Skip to content

Commit 2158574

Browse files
committed
Rename SPI miso/mosi to more modern cipo/copi
1 parent 5df6987 commit 2158574

File tree

8 files changed

+56
-56
lines changed

8 files changed

+56
-56
lines changed

my_design/ips/spi.py

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -12,8 +12,8 @@
1212

1313
SPISignature = wiring.Signature({
1414
"sck": Out(OutputPinSignature(1)),
15-
"mosi": Out(OutputPinSignature(1)),
16-
"miso": Out(InputPinSignature(1)),
15+
"copi": Out(OutputPinSignature(1)),
16+
"cipo": Out(InputPinSignature(1)),
1717
"csn": Out(OutputPinSignature(1)),
1818
})
1919

@@ -104,11 +104,11 @@ def elaborate(self, platform):
104104
with m.If(setup):
105105
m.d.sync += sr_o.eq(Cat(C(0, 1), sr_o))
106106
with m.If(latch):
107-
m.d.sync += sr_i.eq(Cat(self.spi.miso.i, sr_i))
107+
m.d.sync += sr_i.eq(Cat(self.spi.cipo.i, sr_i))
108108

109109
m.d.comb += [
110110
self.d_recv.eq(sr_i),
111-
self.spi.mosi.o.eq(sr_o[-1])
111+
self.spi.copi.o.eq(sr_o[-1])
112112
]
113113

114114
return m

my_design/ips/test_spi.py

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -64,16 +64,16 @@ def testbench():
6464
yield Tick()
6565
for i in reversed(range(width)):
6666
if sck_edge:
67-
yield dut.spi_pins.miso.i.eq((d_recv >> i) & 0x1)
67+
yield dut.spi_pins.cipo.i.eq((d_recv >> i) & 0x1)
6868
else:
69-
self.assertEqual((yield dut.spi_pins.mosi.o), (d_send >> i) & 0x1)
69+
self.assertEqual((yield dut.spi_pins.copi.o), (d_send >> i) & 0x1)
7070
self.assertEqual((yield dut.spi_pins.sck.o), 0 ^ sck_idle)
7171
yield Tick()
7272
self.assertEqual((yield dut.spi_pins.sck.o), 0 ^ sck_idle)
7373
if sck_edge:
74-
self.assertEqual((yield dut.spi_pins.mosi.o), (d_send >> i) & 0x1)
74+
self.assertEqual((yield dut.spi_pins.copi.o), (d_send >> i) & 0x1)
7575
else:
76-
yield dut.spi_pins.miso.i.eq((d_recv >> i) & 0x1)
76+
yield dut.spi_pins.cipo.i.eq((d_recv >> i) & 0x1)
7777
yield Tick()
7878
self.assertEqual((yield dut.spi_pins.sck.o), 1 ^ sck_idle)
7979
yield Tick()
@@ -106,7 +106,7 @@ def testbench():
106106
yield from self._write_reg(dut, self.REG_SEND_DATA, (d_send << (32 - width)), 4)
107107
yield Tick()
108108
for i in reversed(range(width)):
109-
self.assertEqual((yield dut.spi_pins.mosi.o),(d_send >> i) & 0x1)
109+
self.assertEqual((yield dut.spi_pins.copi.o),(d_send >> i) & 0x1)
110110
self.assertEqual((yield dut.spi_pins.sck.o), 0)
111111
for j in range(divide+1): yield Tick()
112112
self.assertEqual((yield dut.spi_pins.sck.o), 1)

my_design/sim/main.cc

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -23,9 +23,9 @@ int main(int argc, char **argv) {
2323
gpio_model gpio_0("gpio_0", top.p_gpio__0____gpio____o, top.p_gpio__0____gpio____oe, top.p_gpio__0____gpio____i);
2424
gpio_model gpio_1("gpio_1", top.p_gpio__1____gpio____o, top.p_gpio__1____gpio____oe, top.p_gpio__1____gpio____i);
2525

26-
spi_model spi_0("spi_0", top.p_user__spi__0____sck____o, top.p_user__spi__0____csn____o, top.p_user__spi__0____mosi____o, top.p_user__spi__0____miso____i);
27-
spi_model spi_1("spi_1", top.p_user__spi__1____sck____o, top.p_user__spi__1____csn____o, top.p_user__spi__1____mosi____o, top.p_user__spi__1____miso____i);
28-
spi_model spi_2("spi_2", top.p_user__spi__2____sck____o, top.p_user__spi__2____csn____o, top.p_user__spi__2____mosi____o, top.p_user__spi__2____miso____i);
26+
spi_model spi_0("spi_0", top.p_user__spi__0____sck____o, top.p_user__spi__0____csn____o, top.p_user__spi__0____copi____o, top.p_user__spi__0____cipo____i);
27+
spi_model spi_1("spi_1", top.p_user__spi__1____sck____o, top.p_user__spi__1____csn____o, top.p_user__spi__1____copi____o, top.p_user__spi__1____cipo____i);
28+
spi_model spi_2("spi_2", top.p_user__spi__2____sck____o, top.p_user__spi__2____csn____o, top.p_user__spi__2____copi____o, top.p_user__spi__2____cipo____i);
2929

3030
i2c_model i2c_0("i2c_0", top.p_i2c__0____sda____oe, top.p_i2c__0____sda____i, top.p_i2c__0____scl____oe, top.p_i2c__0____scl____i);
3131
i2c_model i2c_1("i2c_1", top.p_i2c__1____sda____oe, top.p_i2c__1____sda____i, top.p_i2c__1____scl____oe, top.p_i2c__1____scl____i);

my_design/steps/board.py

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -34,13 +34,13 @@ def elaborate(self, platform):
3434
"expansion",
3535
0,
3636
Subsignal("user_spi0_sck", Pins("0+", conn=("gpio", 0), dir='o')),
37-
Subsignal("user_spi0_mosi", Pins("0-", conn=("gpio", 0), dir='o')),
38-
Subsignal("user_spi0_miso", Pins("1+", conn=("gpio", 0), dir='i')),
37+
Subsignal("user_spi0_copi", Pins("0-", conn=("gpio", 0), dir='o')),
38+
Subsignal("user_spi0_cipo", Pins("1+", conn=("gpio", 0), dir='i')),
3939
Subsignal("user_spi0_csn", Pins("1-", conn=("gpio", 0), dir='o')),
4040

4141
Subsignal("user_spi1_sck", Pins("2+", conn=("gpio", 0), dir='o')),
42-
Subsignal("user_spi1_mosi", Pins("2-", conn=("gpio", 0), dir='o')),
43-
Subsignal("user_spi1_miso", Pins("3+", conn=("gpio", 0), dir='i')),
42+
Subsignal("user_spi1_copi", Pins("2-", conn=("gpio", 0), dir='o')),
43+
Subsignal("user_spi1_cipo", Pins("3+", conn=("gpio", 0), dir='i')),
4444
Subsignal("user_spi1_csn", Pins("3-", conn=("gpio", 0), dir='o')),
4545

4646
Subsignal("i2c0_sda", Pins("4+", conn=("gpio", 0), dir='io')),

pins.lock

Lines changed: 18 additions & 18 deletions
Original file line numberDiff line numberDiff line change
@@ -282,7 +282,7 @@
282282
"init": null
283283
}
284284
},
285-
"user_spi_0_mosi": {
285+
"user_spi_0_copi": {
286286
"pins": [
287287
"99"
288288
],
@@ -293,7 +293,7 @@
293293
"init": null
294294
}
295295
},
296-
"user_spi_0_miso": {
296+
"user_spi_0_cipo": {
297297
"pins": [
298298
"10"
299299
],
@@ -328,7 +328,7 @@
328328
"init": null
329329
}
330330
},
331-
"user_spi_1_mosi": {
331+
"user_spi_1_copi": {
332332
"pins": [
333333
"43"
334334
],
@@ -339,7 +339,7 @@
339339
"init": null
340340
}
341341
},
342-
"user_spi_1_miso": {
342+
"user_spi_1_cipo": {
343343
"pins": [
344344
"44"
345345
],
@@ -374,7 +374,7 @@
374374
"init": null
375375
}
376376
},
377-
"user_spi_2_mosi": {
377+
"user_spi_2_copi": {
378378
"pins": [
379379
"83"
380380
],
@@ -385,7 +385,7 @@
385385
"init": null
386386
}
387387
},
388-
"user_spi_2_miso": {
388+
"user_spi_2_cipo": {
389389
"pins": [
390390
"84"
391391
],
@@ -1138,12 +1138,12 @@
11381138
}
11391139
}
11401140
},
1141-
"mosi": {
1141+
"copi": {
11421142
"type": "interface",
11431143
"members": {
11441144
"o": {
11451145
"type": "port",
1146-
"name": "user_spi_0__mosi__o",
1146+
"name": "user_spi_0__copi__o",
11471147
"dir": "out",
11481148
"width": 1,
11491149
"signed": false,
@@ -1161,12 +1161,12 @@
11611161
}
11621162
}
11631163
},
1164-
"miso": {
1164+
"cipo": {
11651165
"type": "interface",
11661166
"members": {
11671167
"i": {
11681168
"type": "port",
1169-
"name": "user_spi_0__miso__i",
1169+
"name": "user_spi_0__cipo__i",
11701170
"dir": "in",
11711171
"width": 1,
11721172
"signed": false,
@@ -1236,12 +1236,12 @@
12361236
}
12371237
}
12381238
},
1239-
"mosi": {
1239+
"copi": {
12401240
"type": "interface",
12411241
"members": {
12421242
"o": {
12431243
"type": "port",
1244-
"name": "user_spi_1__mosi__o",
1244+
"name": "user_spi_1__copi__o",
12451245
"dir": "out",
12461246
"width": 1,
12471247
"signed": false,
@@ -1259,12 +1259,12 @@
12591259
}
12601260
}
12611261
},
1262-
"miso": {
1262+
"cipo": {
12631263
"type": "interface",
12641264
"members": {
12651265
"i": {
12661266
"type": "port",
1267-
"name": "user_spi_1__miso__i",
1267+
"name": "user_spi_1__cipo__i",
12681268
"dir": "in",
12691269
"width": 1,
12701270
"signed": false,
@@ -1334,12 +1334,12 @@
13341334
}
13351335
}
13361336
},
1337-
"mosi": {
1337+
"copi": {
13381338
"type": "interface",
13391339
"members": {
13401340
"o": {
13411341
"type": "port",
1342-
"name": "user_spi_2__mosi__o",
1342+
"name": "user_spi_2__copi__o",
13431343
"dir": "out",
13441344
"width": 1,
13451345
"signed": false,
@@ -1357,12 +1357,12 @@
13571357
}
13581358
}
13591359
},
1360-
"miso": {
1360+
"cipo": {
13611361
"type": "interface",
13621362
"members": {
13631363
"i": {
13641364
"type": "port",
1365-
"name": "user_spi_2__miso__i",
1365+
"name": "user_spi_2__cipo__i",
13661366
"dir": "in",
13671367
"width": 1,
13681368
"signed": false,

pins.lock.bak

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -367,10 +367,10 @@
367367
"user_spi_0_csn_o": {
368368
"pin": 22
369369
},
370-
"user_spi_0_miso_i": {
370+
"user_spi_0_cipo_i": {
371371
"pin": 21
372372
},
373-
"user_spi_0_mosi_o": {
373+
"user_spi_0_copi_o": {
374374
"pin": 20
375375
},
376376
"user_spi_0_sck_o": {
@@ -379,10 +379,10 @@
379379
"user_spi_1_csn_o": {
380380
"pin": 26
381381
},
382-
"user_spi_1_miso_i": {
382+
"user_spi_1_cipo_i": {
383383
"pin": 25
384384
},
385-
"user_spi_1_mosi_o": {
385+
"user_spi_1_copi_o": {
386386
"pin": 24
387387
},
388388
"user_spi_1_sck_o": {
@@ -391,10 +391,10 @@
391391
"user_spi_2_csn_o": {
392392
"pin": 30
393393
},
394-
"user_spi_2_miso_i": {
394+
"user_spi_2_cipo_i": {
395395
"pin": 29
396396
},
397-
"user_spi_2_mosi_o": {
397+
"user_spi_2_copi_o": {
398398
"pin": 28
399399
},
400400
"user_spi_2_sck_o": {

pyuvm_verif/utils_spi.py

Lines changed: 12 additions & 12 deletions
Original file line numberDiff line numberDiff line change
@@ -32,7 +32,7 @@ def __init__(self):
3232
self.driver_queue = Queue(maxsize=1)
3333
self.cmd_mon_queue = Queue(maxsize=0)
3434
self.result_mon_queue = Queue(maxsize=0)
35-
self.data_miso = 0
35+
self.data_cipo = 0
3636
self.clk_div = 0
3737
self.width_num = 0
3838
self.width_en = 0
@@ -112,8 +112,8 @@ async def result_mon_bfm(self):
112112
await RisingEdge(self.dut.sck)
113113
else:
114114
await FallingEdge(self.dut.sck)
115-
"""uvm_root().logger.info(f"I: {i} MOSI: {get_int(self.dut.mosi)}")"""
116-
write_result = write_result + get_int(self.dut.mosi)*(2**i)
115+
"""uvm_root().logger.info(f"I: {i} MOSI: {get_int(self.dut.copi)}")"""
116+
write_result = write_result + get_int(self.dut.copi)*(2**i)
117117
for i in range(0, 100):
118118
await RisingEdge(self.dut.clk_test)
119119
final_result = self.reverse_bits(write_result,8)
@@ -132,7 +132,7 @@ async def reset(self):
132132
self.dut.wdata.value = 0
133133
self.dut.rstb.value = 0
134134
self.dut.wstb.value = 0
135-
self.dut.miso.value = 0
135+
self.dut.cipo.value = 0
136136
await FallingEdge(self.dut.clk_test)
137137
await FallingEdge(self.dut.clk_test)
138138
await FallingEdge(self.dut.clk_test)
@@ -156,18 +156,18 @@ async def driver_bfm(self):
156156
self.dut.wstb.value = 1
157157
self.dut.addr.value = addr
158158
self.dut.wdata.value = data
159-
data_wr_miso = bin(data)[2:]
160-
data_wr_rd = (8 - len(data_wr_miso)) * '0' + data_wr_miso
161-
self.data_miso = int(data_wr_rd,2)
162-
binary_miso = bin(self.data_miso)[2:]
163-
uvm_root().logger.info(f"DATA EXTEND: {data_wr_rd} DATA MISO: {self.data_miso } BINARY MISO: {binary_miso}")
159+
data_wr_cipo = bin(data)[2:]
160+
data_wr_rd = (8 - len(data_wr_cipo)) * '0' + data_wr_cipo
161+
self.data_cipo = int(data_wr_rd,2)
162+
binary_cipo = bin(self.data_cipo)[2:]
163+
uvm_root().logger.info(f"DATA EXTEND: {data_wr_rd} DATA MISO: {self.data_cipo } BINARY MISO: {binary_cipo}")
164164
await FallingEdge(self.dut.clk_test)
165165
self.dut.wstb.value = 0
166166
await RisingEdge(self.dut.clk_test)
167167

168-
for bit_miso in data_wr_rd:
169-
self.dut.miso.value = int(bit_miso)
170-
"""uvm_root().logger.info(f"DATA MISO BIT: {int(bit_miso)}")"""
168+
for bit_cipo in data_wr_rd:
169+
self.dut.cipo.value = int(bit_cipo)
170+
"""uvm_root().logger.info(f"DATA MISO BIT: {int(bit_cipo)}")"""
171171
await RisingEdge(self.dut.clk_test)
172172
await RisingEdge(self.dut.clk_test)
173173

pyuvm_verif/verilog/spi_wrap.v

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -9,11 +9,11 @@ module spi_wrap
99
input wstb,
1010
input [4:0] addr,
1111
input [7:0] wdata,
12-
input miso,
12+
input cipo,
1313

1414
output [7:0] rdata,
1515
output sck,
16-
output mosi,
16+
output copi,
1717
output csn,
1818
output reg done
1919
);
@@ -49,10 +49,10 @@ module spi_wrap
4949
.bus__w_data(wdata),
5050
.bus__w_stb(wstb),
5151
.spi_pins__sck_o(sck),
52-
.spi_pins__mosi_o(mosi),
52+
.spi_pins__copi_o(copi),
5353
.spi_pins__csn_o(csn),
5454
.bus__r_data(rdata),
55-
.spi_pins__miso_i(miso)
55+
.spi_pins__cipo_i(cipo)
5656
);
5757

5858
endmodule : spi_wrap

0 commit comments

Comments
 (0)