File tree Expand file tree Collapse file tree 4 files changed +66
-0
lines changed Expand file tree Collapse file tree 4 files changed +66
-0
lines changed Original file line number Diff line number Diff line change 1+ from openflow .configure import ConfigureTools
2+
3+ cfg = ConfigureTools ()
4+
5+ for tool in cfg .get_tools ():
6+ print (cfg .get_command (tool ))
Original file line number Diff line number Diff line change 1+ from openflow .configure import ConfigureTools
2+
3+ cfg = ConfigureTools ('../openflow.yml' )
4+
5+ for tool in cfg .get_tools ():
6+ print (cfg .get_command (tool ))
Original file line number Diff line number Diff line change 1+ from openflow .configure import ConfigureTools
2+
3+ cfg = ConfigureTools ()
4+
5+ print ('* Defaults for GHDL:' )
6+ print (cfg .get_command ('ghdl' ))
7+
8+ print ('* Setting a different engine:' )
9+ cfg .set_engine ('podman' )
10+ print (cfg .get_command ('ghdl' ))
11+
12+ print ('* Setting different volumes:' )
13+ cfg .set_volumes (['v1:v1' , 'v2:v2' ])
14+ print (cfg .get_command ('ghdl' ))
15+
16+ print ('* Setting a different work:' )
17+ cfg .set_work ('/tmp' )
18+ print (cfg .get_command ('ghdl' ))
19+
20+ print ('* Setting a new container:' )
21+ cfg .set_container ('ghdl' , 'alt-ghdl-container' )
22+ print (cfg .get_command ('ghdl' ))
23+
24+ print ('* Setting a new container (with extra options):' )
25+ cfg .set_container ('ghdl' , '--device /dev/bus/usb alt-ghdl-container' )
26+ print (cfg .get_command ('ghdl' ))
27+
28+ print ('* Setting a new tool name:' )
29+ cfg .set_name ('ghdl' , 'alt-ghdl-name' )
30+ print (cfg .get_command ('ghdl' ))
Original file line number Diff line number Diff line change 1+ engine : docker
2+ volumes :
3+ - " $HOME:$HOME"
4+ work : $PWD
5+ containers :
6+ ecppack : " hdlc/prjtrellis"
7+ ghdl : " hdlc/ghdl:yosys"
8+ icepack : " hdlc/icestorm"
9+ iceprog : " --device /dev/bus/usb hdlc/icestorm"
10+ icetime : " hdlc/icestorm"
11+ nextpnr-ecp5 : " hdlc/nextpnr:ecp5"
12+ nextpnr-ice40 : " hdlc/nextpnr:ice40"
13+ openocd : " --device /dev/bus/usb hdlc/prog"
14+ yosys : " hdlc/ghdl:yosys"
15+ names :
16+ ecppack : ecppack
17+ ghdl : ghdl
18+ icepack : icepack
19+ iceprog : iceprog
20+ icetime : icetime
21+ nextpnr-ecp5 : nextpnr-ecp5
22+ nextpnr-ice40 : nextpnr-ice40
23+ openocd : openocd
24+ yosys : yosys
You can’t perform that action at this time.
0 commit comments