Skip to content

Commit 0bb490b

Browse files
committed
Updated examples and tests
1 parent 2f43e5c commit 0bb490b

File tree

21 files changed

+3266
-367
lines changed

21 files changed

+3266
-367
lines changed

examples/simulation_verilator/test_simulation_verilator.py

Lines changed: 230 additions & 19 deletions
Large diffs are not rendered by default.

tests/extension/types_/axi_/axi_to_ram/test_types_axi_axi_to_ram.py

Lines changed: 98 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -8,21 +8,46 @@
88
99
reg CLK;
1010
reg RST;
11+
wire [1-1:0] myaxi_awid;
1112
wire [32-1:0] myaxi_awaddr;
1213
wire [8-1:0] myaxi_awlen;
14+
wire [3-1:0] myaxi_awsize;
15+
wire [2-1:0] myaxi_awburst;
16+
wire [2-1:0] myaxi_awlock;
17+
wire [4-1:0] myaxi_awcache;
18+
wire [3-1:0] myaxi_awprot;
19+
wire [4-1:0] myaxi_awqos;
20+
wire [1-1:0] myaxi_awuser;
1321
wire myaxi_awvalid;
1422
reg myaxi_awready;
1523
wire [32-1:0] myaxi_wdata;
1624
wire [4-1:0] myaxi_wstrb;
1725
wire myaxi_wlast;
26+
wire [1-1:0] myaxi_wuser;
1827
wire myaxi_wvalid;
1928
reg myaxi_wready;
29+
reg [1-1:0] myaxi_bid;
30+
reg [2-1:0] myaxi_bresp;
31+
reg [1-1:0] myaxi_buser;
32+
reg myaxi_bvalid;
33+
wire myaxi_bready;
34+
wire [1-1:0] myaxi_arid;
2035
wire [32-1:0] myaxi_araddr;
2136
wire [8-1:0] myaxi_arlen;
37+
wire [3-1:0] myaxi_arsize;
38+
wire [2-1:0] myaxi_arburst;
39+
wire [2-1:0] myaxi_arlock;
40+
wire [4-1:0] myaxi_arcache;
41+
wire [3-1:0] myaxi_arprot;
42+
wire [4-1:0] myaxi_arqos;
43+
wire [1-1:0] myaxi_aruser;
2244
wire myaxi_arvalid;
2345
reg myaxi_arready;
46+
reg [1-1:0] myaxi_rid;
2447
reg [32-1:0] myaxi_rdata;
48+
reg [2-1:0] myaxi_rresp;
2549
reg myaxi_rlast;
50+
reg [1-1:0] myaxi_ruser;
2651
reg myaxi_rvalid;
2752
wire myaxi_rready;
2853
wire _tmp_0;
@@ -148,29 +173,54 @@
148173
(
149174
.CLK(CLK),
150175
.RST(RST),
176+
.myaxi_awid(myaxi_awid),
151177
.myaxi_awaddr(myaxi_awaddr),
152178
.myaxi_awlen(myaxi_awlen),
179+
.myaxi_awsize(myaxi_awsize),
180+
.myaxi_awburst(myaxi_awburst),
181+
.myaxi_awlock(myaxi_awlock),
182+
.myaxi_awcache(myaxi_awcache),
183+
.myaxi_awprot(myaxi_awprot),
184+
.myaxi_awqos(myaxi_awqos),
185+
.myaxi_awuser(myaxi_awuser),
153186
.myaxi_awvalid(myaxi_awvalid),
154187
.myaxi_awready(myaxi_awready),
155188
.myaxi_wdata(myaxi_wdata),
156189
.myaxi_wstrb(myaxi_wstrb),
157190
.myaxi_wlast(myaxi_wlast),
191+
.myaxi_wuser(myaxi_wuser),
158192
.myaxi_wvalid(myaxi_wvalid),
159193
.myaxi_wready(myaxi_wready),
194+
.myaxi_bid(myaxi_bid),
195+
.myaxi_bresp(myaxi_bresp),
196+
.myaxi_buser(myaxi_buser),
197+
.myaxi_bvalid(myaxi_bvalid),
198+
.myaxi_bready(myaxi_bready),
199+
.myaxi_arid(myaxi_arid),
160200
.myaxi_araddr(myaxi_araddr),
161201
.myaxi_arlen(myaxi_arlen),
202+
.myaxi_arsize(myaxi_arsize),
203+
.myaxi_arburst(myaxi_arburst),
204+
.myaxi_arlock(myaxi_arlock),
205+
.myaxi_arcache(myaxi_arcache),
206+
.myaxi_arprot(myaxi_arprot),
207+
.myaxi_arqos(myaxi_arqos),
208+
.myaxi_aruser(myaxi_aruser),
162209
.myaxi_arvalid(myaxi_arvalid),
163210
.myaxi_arready(myaxi_arready),
211+
.myaxi_rid(myaxi_rid),
164212
.myaxi_rdata(myaxi_rdata),
213+
.myaxi_rresp(myaxi_rresp),
165214
.myaxi_rlast(myaxi_rlast),
215+
.myaxi_ruser(myaxi_ruser),
166216
.myaxi_rvalid(myaxi_rvalid),
167217
.myaxi_rready(myaxi_rready)
168218
);
169219
170220
171221
initial begin
172222
$dumpfile("uut.vcd");
173-
$dumpvars(0, uut, CLK, RST, myaxi_awaddr, myaxi_awlen, myaxi_awvalid, myaxi_awready, myaxi_wdata, myaxi_wstrb, myaxi_wlast, myaxi_wvalid, myaxi_wready, myaxi_araddr, myaxi_arlen, myaxi_arvalid, myaxi_arready, myaxi_rdata, myaxi_rlast, myaxi_rvalid, myaxi_rready, _tmp_0, _tmp_1, raddr, _arlen, _d1_raddr, _raddr_cond_3_0_1);
223+
$dumpvars(0, uut, CLK, RST, myaxi_awid, myaxi_awaddr, myaxi_awlen, myaxi_awsize, myaxi_awburst, myaxi_awlock, myaxi_awcache, myaxi_awprot, myaxi_awqos, myaxi_awuser, myaxi_awvalid, myaxi_awready, myaxi_wdata, myaxi_wstrb, myaxi_wlast, myaxi_wuser, myaxi_wvalid, myaxi_wready, myaxi_bid, myaxi_bresp, myaxi_buser, myaxi_bvalid, myaxi_bready, myaxi_arid, myaxi_araddr, myaxi_arlen, myaxi_arsize, myaxi_arburst, myaxi_arlock, myaxi_arcache, myaxi_arprot, myaxi_arqos, myaxi_aruser, myaxi_arvalid, myaxi_arready, myaxi_rid, myaxi_rdata, myaxi_rresp, myaxi_rlast, myaxi_ruser, myaxi_rvalid, myaxi_rready, _tmp_0, _tmp_1, raddr, _arlen, _d1_raddr, _raddr_cond_3_0_1);
174224
end
175225
176226
@@ -205,25 +255,66 @@
205255
(
206256
input CLK,
207257
input RST,
258+
output reg [1-1:0] myaxi_awid,
208259
output reg [32-1:0] myaxi_awaddr,
209260
output reg [8-1:0] myaxi_awlen,
261+
output [3-1:0] myaxi_awsize,
262+
output [2-1:0] myaxi_awburst,
263+
output [2-1:0] myaxi_awlock,
264+
output [4-1:0] myaxi_awcache,
265+
output [3-1:0] myaxi_awprot,
266+
output [4-1:0] myaxi_awqos,
267+
output [1-1:0] myaxi_awuser,
210268
output reg myaxi_awvalid,
211269
input myaxi_awready,
212270
output reg [32-1:0] myaxi_wdata,
213271
output reg [4-1:0] myaxi_wstrb,
214272
output reg myaxi_wlast,
273+
output [1-1:0] myaxi_wuser,
215274
output reg myaxi_wvalid,
216275
input myaxi_wready,
276+
input [1-1:0] myaxi_bid,
277+
input [2-1:0] myaxi_bresp,
278+
input [1-1:0] myaxi_buser,
279+
input myaxi_bvalid,
280+
output myaxi_bready,
281+
output reg [1-1:0] myaxi_arid,
217282
output reg [32-1:0] myaxi_araddr,
218283
output reg [8-1:0] myaxi_arlen,
284+
output [3-1:0] myaxi_arsize,
285+
output [2-1:0] myaxi_arburst,
286+
output [2-1:0] myaxi_arlock,
287+
output [4-1:0] myaxi_arcache,
288+
output [3-1:0] myaxi_arprot,
289+
output [4-1:0] myaxi_arqos,
290+
output [1-1:0] myaxi_aruser,
219291
output reg myaxi_arvalid,
220292
input myaxi_arready,
293+
input [1-1:0] myaxi_rid,
221294
input [32-1:0] myaxi_rdata,
295+
input [2-1:0] myaxi_rresp,
222296
input myaxi_rlast,
297+
input [1-1:0] myaxi_ruser,
223298
input myaxi_rvalid,
224299
output myaxi_rready
225300
);
226301
302+
assign myaxi_awsize = 2;
303+
assign myaxi_awburst = 1;
304+
assign myaxi_awlock = 0;
305+
assign myaxi_awcache = 3;
306+
assign myaxi_awprot = 0;
307+
assign myaxi_awqos = 0;
308+
assign myaxi_awuser = 1;
309+
assign myaxi_wuser = 1;
310+
assign myaxi_bready = 1;
311+
assign myaxi_arsize = 2;
312+
assign myaxi_arburst = 1;
313+
assign myaxi_arlock = 0;
314+
assign myaxi_arcache = 3;
315+
assign myaxi_arprot = 0;
316+
assign myaxi_arqos = 0;
317+
assign myaxi_aruser = 1;
227318
reg [10-1:0] myram_0_addr;
228319
wire [32-1:0] myram_0_rdata;
229320
reg [32-1:0] myram_0_wdata;
@@ -282,13 +373,15 @@
282373
283374
always @(posedge CLK) begin
284375
if(RST) begin
376+
myaxi_awid <= 0;
285377
myaxi_awaddr <= 0;
286378
myaxi_awlen <= 0;
287379
myaxi_awvalid <= 0;
288380
myaxi_wdata <= 0;
289381
myaxi_wstrb <= 0;
290-
myaxi_wvalid <= 0;
291382
myaxi_wlast <= 0;
383+
myaxi_wvalid <= 0;
384+
myaxi_arid <= 0;
292385
myaxi_araddr <= 0;
293386
myaxi_arlen <= 0;
294387
myaxi_arvalid <= 0;
@@ -298,14 +391,16 @@
298391
if(_myaxi_cond_0_1) begin
299392
myaxi_arvalid <= 0;
300393
end
394+
myaxi_awid <= 0;
301395
myaxi_awaddr <= 0;
302396
myaxi_awlen <= 0;
303397
myaxi_awvalid <= 0;
304398
myaxi_wdata <= 0;
305399
myaxi_wstrb <= 0;
306-
myaxi_wvalid <= 0;
307400
myaxi_wlast <= 0;
401+
myaxi_wvalid <= 0;
308402
if((fsm == 0) && ((myaxi_arready || !myaxi_arvalid) && (_tmp_0 == 0))) begin
403+
myaxi_arid <= 0;
309404
myaxi_araddr <= 1024;
310405
myaxi_arlen <= 63;
311406
myaxi_arvalid <= 1;

0 commit comments

Comments
 (0)