File tree Expand file tree Collapse file tree 4 files changed +119
-118
lines changed
flow/designs/asap7/mock-array Expand file tree Collapse file tree 4 files changed +119
-118
lines changed Original file line number Diff line number Diff line change @@ -4,20 +4,18 @@ set cols [expr {[info exists ::env(MOCK_ARRAY_COLS)] ? $::env(MOCK_ARRAY_COLS) :
44
55set clk_name clock
66set clk_port_name clock
7- set clk_period 2000
7+ set clk_period 1000
88
99set clk_port [get_ports $clk_port_name ]
1010create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port
1111set_clock_uncertainty -setup 20.0 [get_clocks $clk_name ]
1212set_clock_uncertainty -hold 20.0 [get_clocks $clk_name ]
1313
14- # io_ins_x -> REG_x
15- set_input_delay -clock $clk_name -min [expr $clk_period / 2] [get_ports {io_ins_*}]
16- set_input_delay -clock $clk_name -max [expr $clk_period / 2] [get_ports {io_ins_*}]
14+ # io_ins_x -> REG_x in neighbouring element or just outside of the array
15+ set_input_delay -clock $clk_name [expr $clk_period * 0.05] [get_ports {io_ins_*}]
1716
18- # REG_x -> io_outs_x
19- set_output_delay -clock $clk_name -min [expr $clk_period / 2] [get_ports {io_outs_*}]
20- set_output_delay -clock $clk_name -max [expr $clk_period / 2] [get_ports {io_outs_*}]
17+ # REG_x in neighbouring element or just outside of the array -> io_outs_x
18+ set_output_delay -clock $clk_name [expr $clk_period * 0.05 ] [get_ports {io_outs_*}]
2119
2220# For combinational buses routed through the elements, IO delays need to be set to accomodate requirements
2321# for each instance's position across the entire array. For simplicity, we budget the clock period evenly
Original file line number Diff line number Diff line change 11set sdc_version 2.0
22
3- set clk_period 2000
3+ set clk_period 1000
44create_clock [get_ports clock] -period $clk_period -waveform [list 0 [expr $clk_period /2]]
55
66set clk_name clock
You can’t perform that action at this time.
0 commit comments