Skip to content

Commit 08a26c4

Browse files
committed
Enabled choosing an alternate SDC file if FLOW_VARIANT==pos_slack
Signed-off-by: Jeff Ng <[email protected]>
1 parent 560af1d commit 08a26c4

File tree

2 files changed

+20
-0
lines changed

2 files changed

+20
-0
lines changed

flow/designs/asap7/ibex/config.mk

Lines changed: 7 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,14 @@ export DESIGN_NICKNAME = ibex
44
export DESIGN_NAME = ibex_core
55

66
export VERILOG_FILES = $(sort $(wildcard $(DESIGN_HOME)/src/$(DESIGN_NICKNAME)/*.v))
7+
8+
# if FLOW_VARIANT == pos_slack, use an SDC file that has a larger clock
9+
# resulting in positive slack
10+
ifeq ($(FLOW_VARIANT),pos_slack)
11+
export SDC_FILE = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint_pos_slack.sdc
12+
else
713
export SDC_FILE = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc
14+
endif
815

916
export CORE_UTILIZATION = 40
1017
export CORE_ASPECT_RATIO = 1
Lines changed: 13 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,13 @@
1+
set clk_name core_clock
2+
set clk_port_name clk_i
3+
set clk_period 1468
4+
set clk_io_pct 0.2
5+
6+
set clk_port [get_ports $clk_port_name]
7+
8+
create_clock -name $clk_name -period $clk_period $clk_port
9+
10+
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
11+
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

0 commit comments

Comments
 (0)