Skip to content

Commit 2d6cbf7

Browse files
authored
Merge pull request #709 from Pinata-Consulting/reproduce-via-on-pin-in-lef-crash
Reproduce via on pin in lef crash
2 parents ab7a5ee + 2455131 commit 2d6cbf7

File tree

13 files changed

+827
-2
lines changed

13 files changed

+827
-2
lines changed
Lines changed: 20 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,20 @@
1+
export PLATFORM = asap7
2+
3+
export DESIGN_NAME = uart
4+
export DESIGN_NICKNAME = uart-blocks
5+
6+
export VERILOG_FILES = ./designs/src/uart-no-param/*.v
7+
export SDC_FILE = ./designs/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc
8+
9+
export BLOCKS = uart_rx
10+
11+
export VERILOG_FILES_BLACKBOX = ./designs/src/uart-no-param/uart_rx.v
12+
13+
export ABC_CLOCK_PERIOD_IN_PS = 300000
14+
15+
export CORE_UTILIZATION = 10
16+
export CORE_ASPECT_RATIO = 1
17+
export CORE_MARGIN = 2
18+
export PLACE_DENSITY = 0.12
19+
20+
export CORNER = TC
Lines changed: 13 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,13 @@
1+
set clk_name clk
2+
set clk_port_name clk
3+
set clk_period 300
4+
set clk_io_pct 0.2
5+
6+
set clk_port [get_ports $clk_port_name]
7+
8+
create_clock -name $clk_name -period $clk_period $clk_port
9+
10+
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
11+
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
Lines changed: 288 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,288 @@
1+
{
2+
"constraints__clocks__count": 1,
3+
"constraints__clocks__details": [
4+
"clk: 300.0000"
5+
],
6+
"cts__clock__skew__hold": -5.0071,
7+
"cts__clock__skew__hold__post_repair": -4.6622,
8+
"cts__clock__skew__hold__pre_repair": -4.6622,
9+
"cts__clock__skew__setup": -5.0071,
10+
"cts__clock__skew__setup__post_repair": -4.6622,
11+
"cts__clock__skew__setup__pre_repair": -4.6622,
12+
"cts__design__instance__area": 316.6469,
13+
"cts__design__instance__area__macros": 253.5738,
14+
"cts__design__instance__area__macros__post_repair": 253.5738,
15+
"cts__design__instance__area__macros__pre_repair": 253.5738,
16+
"cts__design__instance__area__post_repair": 315.7429,
17+
"cts__design__instance__area__pre_repair": 315.7429,
18+
"cts__design__instance__area__stdcell": 63.0731,
19+
"cts__design__instance__area__stdcell__post_repair": 62.1691,
20+
"cts__design__instance__area__stdcell__pre_repair": 62.1691,
21+
"cts__design__instance__count": 1073,
22+
"cts__design__instance__count__hold_buffer": 4.0,
23+
"cts__design__instance__count__macros": 1,
24+
"cts__design__instance__count__macros__post_repair": 1,
25+
"cts__design__instance__count__macros__pre_repair": 1,
26+
"cts__design__instance__count__post_repair": 1067,
27+
"cts__design__instance__count__pre_repair": 1067,
28+
"cts__design__instance__count__setup_buffer": 2.0,
29+
"cts__design__instance__count__stdcell": 1072,
30+
"cts__design__instance__count__stdcell__post_repair": 1066,
31+
"cts__design__instance__count__stdcell__pre_repair": 1066,
32+
"cts__design__instance__displacement__max": 0.544,
33+
"cts__design__instance__displacement__mean": 0.002,
34+
"cts__design__instance__displacement__total": 2.666,
35+
"cts__design__instance__utilization": 0.1104,
36+
"cts__design__instance__utilization__post_repair": 0.1101,
37+
"cts__design__instance__utilization__pre_repair": 0.1101,
38+
"cts__design__instance__utilization__stdcell": 0.0241,
39+
"cts__design__instance__utilization__stdcell__post_repair": 0.0238,
40+
"cts__design__instance__utilization__stdcell__pre_repair": 0.0238,
41+
"cts__design__io": 44,
42+
"cts__design__io__post_repair": 44,
43+
"cts__design__io__pre_repair": 44,
44+
"cts__design__violations": 0,
45+
"cts__power__internal__total": 0.0003,
46+
"cts__power__internal__total__post_repair": 0.0002,
47+
"cts__power__internal__total__pre_repair": 0.0002,
48+
"cts__power__leakage__total": 0.0,
49+
"cts__power__leakage__total__post_repair": 0.0,
50+
"cts__power__leakage__total__pre_repair": 0.0,
51+
"cts__power__switching__total": 0.0002,
52+
"cts__power__switching__total__post_repair": 0.0002,
53+
"cts__power__switching__total__pre_repair": 0.0002,
54+
"cts__power__total": 0.0004,
55+
"cts__power__total__post_repair": 0.0004,
56+
"cts__power__total__pre_repair": 0.0004,
57+
"cts__route__wirelength__estimated": 1911.118,
58+
"cts__timing__drv__hold_violation_count": 1,
59+
"cts__timing__drv__hold_violation_count__post_repair": 1,
60+
"cts__timing__drv__hold_violation_count__pre_repair": 1,
61+
"cts__timing__drv__max_cap": 0,
62+
"cts__timing__drv__max_cap__post_repair": 0,
63+
"cts__timing__drv__max_cap__pre_repair": 0,
64+
"cts__timing__drv__max_cap_limit": 0.8632,
65+
"cts__timing__drv__max_cap_limit__post_repair": 0.8636,
66+
"cts__timing__drv__max_cap_limit__pre_repair": 0.8636,
67+
"cts__timing__drv__max_fanout": 0,
68+
"cts__timing__drv__max_fanout__post_repair": 0,
69+
"cts__timing__drv__max_fanout__pre_repair": 0,
70+
"cts__timing__drv__max_fanout_limit": 1.0000000150474662e+30,
71+
"cts__timing__drv__max_fanout_limit__post_repair": 1.0000000150474662e+30,
72+
"cts__timing__drv__max_fanout_limit__pre_repair": 1.0000000150474662e+30,
73+
"cts__timing__drv__max_slew": 0,
74+
"cts__timing__drv__max_slew__post_repair": 0,
75+
"cts__timing__drv__max_slew__pre_repair": 0,
76+
"cts__timing__drv__max_slew_limit": 0.5645,
77+
"cts__timing__drv__max_slew_limit__post_repair": 0.5642,
78+
"cts__timing__drv__max_slew_limit__pre_repair": 0.5642,
79+
"cts__timing__drv__setup_violation_count": 1,
80+
"cts__timing__drv__setup_violation_count__post_repair": 1,
81+
"cts__timing__drv__setup_violation_count__pre_repair": 1,
82+
"cts__timing__setup__tns": -134.14,
83+
"cts__timing__setup__tns__post_repair": -169.15,
84+
"cts__timing__setup__tns__pre_repair": -169.15,
85+
"cts__timing__setup__ws": -26.21,
86+
"cts__timing__setup__ws__post_repair": -44.15,
87+
"cts__timing__setup__ws__pre_repair": -44.15,
88+
"detailedplace__cpu__total": 1.22,
89+
"detailedplace__design__instance__area": 313.7454,
90+
"detailedplace__design__instance__area__macros": 253.5738,
91+
"detailedplace__design__instance__area__stdcell": 60.1717,
92+
"detailedplace__design__instance__count": 1028,
93+
"detailedplace__design__instance__count__macros": 1,
94+
"detailedplace__design__instance__count__stdcell": 1027,
95+
"detailedplace__design__instance__displacement__max": 0.876,
96+
"detailedplace__design__instance__displacement__mean": 0.045,
97+
"detailedplace__design__instance__displacement__total": 46.737,
98+
"detailedplace__design__instance__utilization": 0.1094,
99+
"detailedplace__design__instance__utilization__stdcell": 0.023,
100+
"detailedplace__design__io": 44,
101+
"detailedplace__design__violations": 0,
102+
"detailedplace__mem__peak": 192464.0,
103+
"detailedplace__power__internal__total": 0.0002,
104+
"detailedplace__power__leakage__total": 0.0,
105+
"detailedplace__power__switching__total": 0.0001,
106+
"detailedplace__power__total": 0.0003,
107+
"detailedplace__route__wirelength__estimated": 1860.909,
108+
"detailedplace__runtime__total": "0:01.25",
109+
"detailedplace__timing__drv__hold_violation_count": 0,
110+
"detailedplace__timing__drv__max_cap": 0,
111+
"detailedplace__timing__drv__max_cap_limit": 0.8636,
112+
"detailedplace__timing__drv__max_fanout": 0,
113+
"detailedplace__timing__drv__max_fanout_limit": 1.0000000150474662e+30,
114+
"detailedplace__timing__drv__max_slew": 0,
115+
"detailedplace__timing__drv__max_slew_limit": 0.5642,
116+
"detailedplace__timing__drv__setup_violation_count": 1,
117+
"detailedplace__timing__setup__tns": -906.29,
118+
"detailedplace__timing__setup__ws": -125.78,
119+
"detailedroute__route__drc_errors": 0,
120+
"detailedroute__route__drc_errors__iter:1": 209,
121+
"detailedroute__route__drc_errors__iter:2": 146,
122+
"detailedroute__route__drc_errors__iter:3": 97,
123+
"detailedroute__route__drc_errors__iter:4": 5,
124+
"detailedroute__route__drc_errors__iter:5": 0,
125+
"detailedroute__route__net": 420,
126+
"detailedroute__route__net__special": 2,
127+
"detailedroute__route__vias": 2950,
128+
"detailedroute__route__vias__multicut": 0,
129+
"detailedroute__route__vias__singlecut": 2950,
130+
"detailedroute__route__wirelength": 2217,
131+
"detailedroute__route__wirelength__iter:1": 2232,
132+
"detailedroute__route__wirelength__iter:2": 2223,
133+
"detailedroute__route__wirelength__iter:3": 2225,
134+
"detailedroute__route__wirelength__iter:4": 2219,
135+
"detailedroute__route__wirelength__iter:5": 2217,
136+
"finish__clock__skew__hold": -5.3019,
137+
"finish__clock__skew__setup": -4.8434,
138+
"finish__cpu__total": 8.74,
139+
"finish__design__instance__area": 316.6469,
140+
"finish__design__instance__area__macros": 253.5738,
141+
"finish__design__instance__area__stdcell": 63.0731,
142+
"finish__design__instance__count": 1073,
143+
"finish__design__instance__count__macros": 1,
144+
"finish__design__instance__count__stdcell": 1072,
145+
"finish__design__instance__utilization": 0.1104,
146+
"finish__design__instance__utilization__stdcell": 0.0241,
147+
"finish__design__io": 44,
148+
"finish__mem__peak": 1232524.0,
149+
"finish__power__internal__total": 0.0003,
150+
"finish__power__leakage__total": 0.0,
151+
"finish__power__switching__total": 0.0002,
152+
"finish__power__total": 0.0004,
153+
"finish__runtime__total": "0:09.20",
154+
"finish__timing__drv__hold_violation_count": 0.0,
155+
"finish__timing__drv__max_cap": 0,
156+
"finish__timing__drv__max_cap_limit": 0.8557,
157+
"finish__timing__drv__max_fanout": 0,
158+
"finish__timing__drv__max_fanout_limit": 1.0000000150474662e+30,
159+
"finish__timing__drv__max_slew": 0,
160+
"finish__timing__drv__max_slew_limit": 0.6627,
161+
"finish__timing__drv__setup_violation_count": 1.0,
162+
"finish__timing__setup__tns": -48.76,
163+
"finish__timing__setup__ws": -15.06,
164+
"finish__timing__wns_percent_delay": -3.854205,
165+
"floorplan__cpu__total": 1.07,
166+
"floorplan__design__instance__area": 287.4577,
167+
"floorplan__design__instance__area__macros": 253.5738,
168+
"floorplan__design__instance__area__stdcell": 33.8839,
169+
"floorplan__design__instance__count": 274,
170+
"floorplan__design__instance__count__macros": 1,
171+
"floorplan__design__instance__count__stdcell": 273,
172+
"floorplan__design__instance__utilization": 0.1002,
173+
"floorplan__design__instance__utilization__stdcell": 0.013,
174+
"floorplan__design__io": 44,
175+
"floorplan__mem__peak": 178428.0,
176+
"floorplan__power__internal__total": 0.0002,
177+
"floorplan__power__leakage__total": 0.0,
178+
"floorplan__power__switching__total": 0.0001,
179+
"floorplan__power__total": 0.0003,
180+
"floorplan__runtime__total": "0:01.10",
181+
"floorplan__timing__setup__tns": -366.85,
182+
"floorplan__timing__setup__ws": -76.29,
183+
"globalplace__design__instance__area": 308.1905,
184+
"globalplace__design__instance__area__macros": 253.5738,
185+
"globalplace__design__instance__area__stdcell": 54.6167,
186+
"globalplace__design__instance__count": 985,
187+
"globalplace__design__instance__count__macros": 1,
188+
"globalplace__design__instance__count__stdcell": 984,
189+
"globalplace__design__instance__utilization": 0.1074,
190+
"globalplace__design__instance__utilization__stdcell": 0.0209,
191+
"globalplace__design__io": 44,
192+
"globalplace__power__internal__total": 0.0002,
193+
"globalplace__power__leakage__total": 0.0,
194+
"globalplace__power__switching__total": 0.0001,
195+
"globalplace__power__total": 0.0003,
196+
"globalplace__timing__setup__tns": -2291.8501,
197+
"globalplace__timing__setup__ws": -113.44,
198+
"globalroute__clock__skew__hold": -5.9091,
199+
"globalroute__clock__skew__setup": -5.9091,
200+
"globalroute__design__instance__area": 316.6469,
201+
"globalroute__design__instance__area__macros": 253.5738,
202+
"globalroute__design__instance__area__stdcell": 63.0731,
203+
"globalroute__design__instance__count": 1073,
204+
"globalroute__design__instance__count__macros": 1,
205+
"globalroute__design__instance__count__stdcell": 1072,
206+
"globalroute__design__instance__utilization": 0.1104,
207+
"globalroute__design__instance__utilization__stdcell": 0.0241,
208+
"globalroute__design__io": 44,
209+
"globalroute__power__internal__total": 0.0003,
210+
"globalroute__power__leakage__total": 0.0,
211+
"globalroute__power__switching__total": 0.0002,
212+
"globalroute__power__total": 0.0004,
213+
"globalroute__timing__clock__slack": -32.692,
214+
"globalroute__timing__drv__hold_violation_count": 1,
215+
"globalroute__timing__drv__max_cap": 0,
216+
"globalroute__timing__drv__max_cap_limit": 0.8585,
217+
"globalroute__timing__drv__max_fanout": 0,
218+
"globalroute__timing__drv__max_fanout_limit": 1.0000000150474662e+30,
219+
"globalroute__timing__drv__max_slew": 0,
220+
"globalroute__timing__drv__max_slew_limit": 0.5502,
221+
"globalroute__timing__drv__setup_violation_count": 1,
222+
"globalroute__timing__setup__tns": -245.25,
223+
"globalroute__timing__setup__ws": -32.69,
224+
"placeopt__cpu__total": 1.29,
225+
"placeopt__design__instance__area": 313.7454,
226+
"placeopt__design__instance__area__macros": 253.5738,
227+
"placeopt__design__instance__area__macros__pre_opt": 253.5738,
228+
"placeopt__design__instance__area__pre_opt": 308.1905,
229+
"placeopt__design__instance__area__stdcell": 60.1717,
230+
"placeopt__design__instance__area__stdcell__pre_opt": 54.6167,
231+
"placeopt__design__instance__count": 1028,
232+
"placeopt__design__instance__count__macros": 1,
233+
"placeopt__design__instance__count__macros__pre_opt": 1,
234+
"placeopt__design__instance__count__pre_opt": 985,
235+
"placeopt__design__instance__count__stdcell": 1027,
236+
"placeopt__design__instance__count__stdcell__pre_opt": 984,
237+
"placeopt__design__instance__utilization": 0.1094,
238+
"placeopt__design__instance__utilization__pre_opt": 0.1074,
239+
"placeopt__design__instance__utilization__stdcell": 0.023,
240+
"placeopt__design__instance__utilization__stdcell__pre_opt": 0.0209,
241+
"placeopt__design__io": 44,
242+
"placeopt__design__io__pre_opt": 44,
243+
"placeopt__mem__peak": 192488.0,
244+
"placeopt__power__internal__total": 0.0002,
245+
"placeopt__power__internal__total__pre_opt": 0.0002,
246+
"placeopt__power__leakage__total": 0.0,
247+
"placeopt__power__leakage__total__pre_opt": 0.0,
248+
"placeopt__power__switching__total": 0.0001,
249+
"placeopt__power__switching__total__pre_opt": 0.0001,
250+
"placeopt__power__total": 0.0003,
251+
"placeopt__power__total__pre_opt": 0.0003,
252+
"placeopt__runtime__total": "0:01.33",
253+
"placeopt__timing__drv__hold_violation_count": 0,
254+
"placeopt__timing__drv__max_cap": 0,
255+
"placeopt__timing__drv__max_cap_limit": 0.8668,
256+
"placeopt__timing__drv__max_fanout": 0,
257+
"placeopt__timing__drv__max_fanout_limit": 1.0000000150474662e+30,
258+
"placeopt__timing__drv__max_slew": 0,
259+
"placeopt__timing__drv__max_slew_limit": 0.5589,
260+
"placeopt__timing__drv__setup_violation_count": 1,
261+
"placeopt__timing__setup__tns": -924.15,
262+
"placeopt__timing__setup__tns__pre_opt": -2291.8501,
263+
"placeopt__timing__setup__ws": -127.99,
264+
"placeopt__timing__setup__ws__pre_opt": -113.44,
265+
"run__flow__design": "uart-blocks",
266+
"run__flow__generate_date": "2022-12-24 08:43",
267+
"run__flow__metrics_version": "Metrics_2.1.2",
268+
"run__flow__openroad_commit": "N/A",
269+
"run__flow__openroad_version": "GITDIR-NOTFOUND",
270+
"run__flow__platform": "asap7",
271+
"run__flow__platform__capacitance_units": "1fF",
272+
"run__flow__platform__current_units": "1mA",
273+
"run__flow__platform__distance_units": "1um",
274+
"run__flow__platform__power_units": "1pW",
275+
"run__flow__platform__resistance_units": "1kohm",
276+
"run__flow__platform__time_units": "1ps",
277+
"run__flow__platform__voltage_units": "1v",
278+
"run__flow__platform_commit": "N/A",
279+
"run__flow__scripts_commit": "not a git repo",
280+
"run__flow__uuid": "d62b5378-6fad-4751-979a-762cf905bf0d",
281+
"run__flow__variant": "base",
282+
"synth__cpu__total": 3.34,
283+
"synth__design__instance__area__stdcell": 35.25444,
284+
"synth__design__instance__count__stdcell": 289.0,
285+
"synth__mem__peak": 157944.0,
286+
"synth__runtime__total": "0:03.45",
287+
"total_time": "0:00:16.330000"
288+
}

0 commit comments

Comments
 (0)