File tree Expand file tree Collapse file tree 1 file changed +7
-0
lines changed
flow/designs/asap7/mock-array-big/Element Expand file tree Collapse file tree 1 file changed +7
-0
lines changed Original file line number Diff line number Diff line change @@ -38,3 +38,10 @@ set_output_delay -clock $clk_name -max $max_delay [get_ports {io_lsbOuts_7}]
3838# and do not need to be constrained.
3939set non_clk_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port ]
4040set_false_path -from $non_clk_inputs -to [all_outputs]
41+
42+ # Set driving cell and load capacitance explicitly to ensure timing results are sufficiently pessimistic
43+ set_driving_cell [all_inputs] -lib_cell BUFx2_ASAP7_75t_R
44+ # Assuming the load on each output is a BUFx2_ASAP7_75t_R, we pessimistically use 3 times the highest input
45+ # pin capacitance for this cell, which is 0.577042.
46+ # See platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz, line 1223.
47+ set_load -pin_load 1.731126 [all_outputs]
You can’t perform that action at this time.
0 commit comments