@@ -10,6 +10,9 @@ create_clock [get_pins sg13g2_IOPad_io_clock/p2c] -name clk_core -period 20.0 -w
1010set_clock_uncertainty 0.15 [get_clocks clk_core]
1111set_clock_transition 0.25 [get_clocks clk_core]
1212
13+ set  input_delay_value_clk_core 4.0
14+ set  output_delay_value_clk_core 4.0
15+ 
1316set  clock_ports [get_ports {
1417	io_clock_PAD
1518}]
@@ -26,16 +29,16 @@ set clk_core_inout_16mA_ports [get_ports {
2629	io_gpio_7_PAD
2730}]
2831set_driving_cell -lib_cell sg13g2_IOPadInOut16mA -pin pad $clk_core_inout_16mA_ports 
29- set_input_delay 8  -clock clk_core $clk_core_inout_16mA_ports 
30- set_output_delay 8  -clock clk_core $clk_core_inout_16mA_ports 
32+ set_input_delay $input_delay_value_clk_core  -clock clk_core $clk_core_inout_16mA_ports 
33+ set_output_delay $output_delay_value_clk_core  -clock clk_core $clk_core_inout_16mA_ports 
3134
3235set  clk_core_inout_4mA_ports [get_ports {
3336	io_i2c_scl_PAD
3437	io_i2c_sda_PAD
3538}]
3639set_driving_cell -lib_cell sg13g2_IOPadInOut4mA -pin pad $clk_core_inout_4mA_ports 
37- set_input_delay 8  -clock clk_core $clk_core_inout_4mA_ports 
38- set_output_delay 8  -clock clk_core $clk_core_inout_4mA_ports 
40+ set_input_delay $input_delay_value_clk_core  -clock clk_core $clk_core_inout_4mA_ports 
41+ set_output_delay $output_delay_value_clk_core  -clock clk_core $clk_core_inout_4mA_ports 
3942
4043set  clk_core_input_ports [get_ports {
4144	io_reset_PAD
@@ -44,13 +47,13 @@ set clk_core_input_ports [get_ports {
4447	io_address_2_PAD
4548}]
4649set_driving_cell -lib_cell sg13g2_IOPadIn -pin pad $clk_core_input_ports 
47- set_input_delay 8  -clock clk_core $clk_core_input_ports 
50+ set_input_delay $input_delay_value_clk_core  -clock clk_core $clk_core_input_ports 
4851
4952set  clk_core_output_4mA_ports [get_ports {
5053	io_i2c_interrupt_PAD
5154}]
5255set_driving_cell -lib_cell sg13g2_IOPadOut4mA -pin pad $clk_core_output_4mA_ports 
53- set_output_delay 8  -clock clk_core $clk_core_output_4mA_ports 
56+ set_output_delay $output_delay_value_clk_core  -clock clk_core $clk_core_output_4mA_ports 
5457
5558set_load -pin_load 5 [all_inputs]
5659set_load -pin_load 5 [all_outputs]
0 commit comments