Skip to content

Commit 2eef655

Browse files
committed
flow: designs: ihp-sg13g2: Update Designs
Update all ihp-sg13g2 designs to match the latest PDK files. Additionally, lower frequency for spi and gdc design to meet worst-case latency. Signed-off-by: Daniel Schultz <[email protected]>
1 parent 6dea8e0 commit 2eef655

File tree

11 files changed

+42
-119
lines changed

11 files changed

+42
-119
lines changed

flow/designs/ihp-sg13g2/aes/rules-base.json

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -140,4 +140,4 @@
140140
"value": 1054989,
141141
"compare": "<="
142142
}
143-
}
143+
}

flow/designs/ihp-sg13g2/gcd/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@ current_design gcd
22

33
set clk_name core_clock
44
set clk_port_name clk
5-
set clk_period 2.6
5+
set clk_period 2.8
66
set clk_io_pct 0.2
77

88
set clk_port [get_ports $clk_port_name]

flow/designs/ihp-sg13g2/gcd/rules-base.json

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -30,19 +30,19 @@
3030
"level": "warning"
3131
},
3232
"synth__design__instance__area__stdcell": {
33-
"value": 5458.22361,
33+
"value": 6828.9632,
3434
"compare": "<="
3535
},
3636
"constraints__clocks__count": {
3737
"value": 1,
3838
"compare": "=="
3939
},
4040
"placeopt__design__instance__area": {
41-
"value": 6195,
41+
"value": 7382,
4242
"compare": "<="
4343
},
4444
"placeopt__design__instance__count__stdcell": {
45-
"value": 494,
45+
"value": 614,
4646
"compare": "<="
4747
},
4848
"detailedplace__design__violations": {
@@ -94,7 +94,7 @@
9494
"compare": ">="
9595
},
9696
"detailedroute__route__wirelength": {
97-
"value": 12621,
97+
"value": 15132,
9898
"compare": "<="
9999
},
100100
"detailedroute__route__drc_errors": {
@@ -142,7 +142,7 @@
142142
"compare": ">="
143143
},
144144
"finish__design__instance__area": {
145-
"value": 26057,
145+
"value": 7693,
146146
"compare": "<="
147147
}
148-
}
148+
}

flow/designs/ihp-sg13g2/i2c-gpio-expander/config.mk

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -8,14 +8,14 @@ export SDC_FILE = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/constraint.sdc
88

99
export SEAL_GDS = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/sealring.gds.gz
1010

11-
export DIE_AREA = 0.0 0.0 1050.0 1050.0
11+
export DIE_AREA = 0.0 0.0 1050.24 1050.84
1212
export CORE_AREA = 351.36 351.54 699.84 699.3
1313

1414
export MAX_ROUTING_LAYER = TopMetal2
1515

1616
export TNS_END_PERCENT = 100
1717
export PLACE_DENSITY = 0.75
18-
18+
export MACRO_PLACE_HALO = 20 20
1919
export CORNERS = slow fast
2020

2121
export FOOTPRINT_TCL = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/pad.tcl

flow/designs/ihp-sg13g2/i2c-gpio-expander/constraint.sdc

Lines changed: 9 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -10,6 +10,9 @@ create_clock [get_pins sg13g2_IOPad_io_clock/p2c] -name clk_core -period 20.0 -w
1010
set_clock_uncertainty 0.15 [get_clocks clk_core]
1111
set_clock_transition 0.25 [get_clocks clk_core]
1212

13+
set input_delay_value_clk_core 4.0
14+
set output_delay_value_clk_core 4.0
15+
1316
set clock_ports [get_ports {
1417
io_clock_PAD
1518
}]
@@ -26,16 +29,16 @@ set clk_core_inout_16mA_ports [get_ports {
2629
io_gpio_7_PAD
2730
}]
2831
set_driving_cell -lib_cell sg13g2_IOPadInOut16mA -pin pad $clk_core_inout_16mA_ports
29-
set_input_delay 8 -clock clk_core $clk_core_inout_16mA_ports
30-
set_output_delay 8 -clock clk_core $clk_core_inout_16mA_ports
32+
set_input_delay $input_delay_value_clk_core -clock clk_core $clk_core_inout_16mA_ports
33+
set_output_delay $output_delay_value_clk_core -clock clk_core $clk_core_inout_16mA_ports
3134

3235
set clk_core_inout_4mA_ports [get_ports {
3336
io_i2c_scl_PAD
3437
io_i2c_sda_PAD
3538
}]
3639
set_driving_cell -lib_cell sg13g2_IOPadInOut4mA -pin pad $clk_core_inout_4mA_ports
37-
set_input_delay 8 -clock clk_core $clk_core_inout_4mA_ports
38-
set_output_delay 8 -clock clk_core $clk_core_inout_4mA_ports
40+
set_input_delay $input_delay_value_clk_core -clock clk_core $clk_core_inout_4mA_ports
41+
set_output_delay $output_delay_value_clk_core -clock clk_core $clk_core_inout_4mA_ports
3942

4043
set clk_core_input_ports [get_ports {
4144
io_reset_PAD
@@ -44,13 +47,13 @@ set clk_core_input_ports [get_ports {
4447
io_address_2_PAD
4548
}]
4649
set_driving_cell -lib_cell sg13g2_IOPadIn -pin pad $clk_core_input_ports
47-
set_input_delay 8 -clock clk_core $clk_core_input_ports
50+
set_input_delay $input_delay_value_clk_core -clock clk_core $clk_core_input_ports
4851

4952
set clk_core_output_4mA_ports [get_ports {
5053
io_i2c_interrupt_PAD
5154
}]
5255
set_driving_cell -lib_cell sg13g2_IOPadOut4mA -pin pad $clk_core_output_4mA_ports
53-
set_output_delay 8 -clock clk_core $clk_core_output_4mA_ports
56+
set_output_delay $output_delay_value_clk_core -clock clk_core $clk_core_output_4mA_ports
5457

5558
set_load -pin_load 5 [all_inputs]
5659
set_load -pin_load 5 [all_outputs]

flow/designs/ihp-sg13g2/i2c-gpio-expander/rules-base.json

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -97,19 +97,19 @@
9797
"compare": "<="
9898
},
9999
"placeopt__design__instance__count__stdcell": {
100-
"value": 965,
100+
"value": 953,
101101
"compare": "<="
102102
},
103103
"detailedplace__design__violations": {
104104
"value": 0,
105105
"compare": "=="
106106
},
107107
"cts__design__instance__count__setup_buffer": {
108-
"value": 84,
108+
"value": 83,
109109
"compare": "<="
110110
},
111111
"cts__design__instance__count__hold_buffer": {
112-
"value": 84,
112+
"value": 83,
113113
"compare": "<="
114114
},
115115
"cts__timing__setup__ws": {
@@ -197,7 +197,7 @@
197197
"compare": ">="
198198
},
199199
"finish__design__instance__area": {
200-
"value": 135675,
200+
"value": 42034,
201201
"compare": "<="
202202
}
203203
}

flow/designs/ihp-sg13g2/ibex/rules-base.json

Lines changed: 2 additions & 27 deletions
Original file line numberDiff line numberDiff line change
@@ -1,29 +1,4 @@
11
{
2-
"detailedroute__flow__warnings__count:DRT-0349": {
3-
"value": 10,
4-
"compare": "<=",
5-
"level": "warning"
6-
},
7-
"finish__flow__warnings__count:GUI-0076": {
8-
"value": 1,
9-
"compare": "<=",
10-
"level": "warning"
11-
},
12-
"floorplan__flow__warnings__count:EST-0027": {
13-
"value": 1,
14-
"compare": "<=",
15-
"level": "warning"
16-
},
17-
"floorplan__flow__warnings__count:IFP-0028": {
18-
"value": 1,
19-
"compare": "<=",
20-
"level": "warning"
21-
},
22-
"globalroute__flow__warnings__count:DRT-0349": {
23-
"value": 10,
24-
"compare": "<=",
25-
"level": "warning"
26-
},
272
"synth__design__instance__area__stdcell": {
283
"value": 305820.24,
294
"compare": "<="
@@ -89,7 +64,7 @@
8964
"compare": ">="
9065
},
9166
"detailedroute__route__wirelength": {
92-
"value": 999955,
67+
"value": 989089,
9368
"compare": "<="
9469
},
9570
"detailedroute__route__drc_errors": {
@@ -137,7 +112,7 @@
137112
"compare": ">="
138113
},
139114
"finish__design__instance__area": {
140-
"value": 645302,
115+
"value": 314511,
141116
"compare": "<="
142117
}
143118
}

flow/designs/ihp-sg13g2/jpeg/rules-base.json

Lines changed: 4 additions & 24 deletions
Original file line numberDiff line numberDiff line change
@@ -1,24 +1,4 @@
11
{
2-
"detailedroute__flow__warnings__count:DRT-0349": {
3-
"value": 10,
4-
"compare": "<=",
5-
"level": "warning"
6-
},
7-
"finish__flow__warnings__count:GUI-0076": {
8-
"value": 1,
9-
"compare": "<=",
10-
"level": "warning"
11-
},
12-
"floorplan__flow__warnings__count:IFP-0028": {
13-
"value": 1,
14-
"compare": "<=",
15-
"level": "warning"
16-
},
17-
"globalroute__flow__warnings__count:DRT-0349": {
18-
"value": 10,
19-
"compare": "<=",
20-
"level": "warning"
21-
},
222
"synth__design__instance__area__stdcell": {
233
"value": 1499147.11,
244
"compare": "<="
@@ -48,7 +28,7 @@
4828
"compare": "<="
4929
},
5030
"cts__timing__setup__ws": {
51-
"value": -0.4,
31+
"value": 0.0,
5232
"compare": ">="
5333
},
5434
"cts__timing__setup__tns": {
@@ -68,7 +48,7 @@
6848
"compare": "<="
6949
},
7050
"globalroute__timing__setup__ws": {
71-
"value": -0.4,
51+
"value": -0.0182,
7252
"compare": ">="
7353
},
7454
"globalroute__timing__setup__tns": {
@@ -96,7 +76,7 @@
9676
"compare": "<="
9777
},
9878
"detailedroute__antenna_diodes_count": {
99-
"value": 166,
79+
"value": 132,
10080
"compare": "<="
10181
},
10282
"detailedroute__timing__setup__ws": {
@@ -132,7 +112,7 @@
132112
"compare": ">="
133113
},
134114
"finish__design__instance__area": {
135-
"value": 3104666,
115+
"value": 1059270,
136116
"compare": "<="
137117
}
138118
}

flow/designs/ihp-sg13g2/riscv32i/rules-base.json

Lines changed: 4 additions & 39 deletions
Original file line numberDiff line numberDiff line change
@@ -1,39 +1,4 @@
11
{
2-
"detailedroute__flow__warnings__count:DRT-0349": {
3-
"value": 10,
4-
"compare": "<=",
5-
"level": "warning"
6-
},
7-
"finish__flow__warnings__count:GUI-0076": {
8-
"value": 1,
9-
"compare": "<=",
10-
"level": "warning"
11-
},
12-
"floorplan__flow__warnings__count:EST-0027": {
13-
"value": 1,
14-
"compare": "<=",
15-
"level": "warning"
16-
},
17-
"floorplan__flow__warnings__count:IFP-0028": {
18-
"value": 1,
19-
"compare": "<=",
20-
"level": "warning"
21-
},
22-
"flow__warnings__count:FIN-0010": {
23-
"value": 12,
24-
"compare": "<=",
25-
"level": "warning"
26-
},
27-
"globalroute__flow__warnings__count:DRT-0349": {
28-
"value": 10,
29-
"compare": "<=",
30-
"level": "warning"
31-
},
32-
"globalroute__flow__warnings__count:RSZ-0062": {
33-
"value": 1,
34-
"compare": "<=",
35-
"level": "warning"
36-
},
372
"synth__design__instance__area__stdcell": {
383
"value": 151466.57,
394
"compare": "<="
@@ -63,7 +28,7 @@
6328
"compare": "<="
6429
},
6530
"cts__timing__setup__ws": {
66-
"value": -0.3,
31+
"value": -0.0277,
6732
"compare": ">="
6833
},
6934
"cts__timing__setup__tns": {
@@ -83,11 +48,11 @@
8348
"compare": "<="
8449
},
8550
"globalroute__timing__setup__ws": {
86-
"value": -0.331,
51+
"value": -0.1853,
8752
"compare": ">="
8853
},
8954
"globalroute__timing__setup__tns": {
90-
"value": -1.23,
55+
"value": 0.0,
9156
"compare": ">="
9257
},
9358
"globalroute__timing__hold__ws": {
@@ -147,7 +112,7 @@
147112
"compare": ">="
148113
},
149114
"finish__design__instance__area": {
150-
"value": 411968,
115+
"value": 168818,
151116
"compare": "<="
152117
}
153118
}

flow/designs/ihp-sg13g2/spi/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,7 @@ current_design spi
22

33
set clk_name core_clock
44
set clk_port_name clk
5-
set clk_period 0.9
5+
set clk_period 1.0
66
set clk_io_pct 0.2
77

88
set clk_port [get_ports $clk_port_name]

0 commit comments

Comments
 (0)