Skip to content

Commit 355cd04

Browse files
committed
Tighten up ng45/ariane133
Shorter clock period Constraint IOs Use utilization based floorplan Signed-off-by: Matt Liberty <[email protected]>
1 parent a3914fb commit 355cd04

File tree

3 files changed

+117
-20
lines changed

3 files changed

+117
-20
lines changed

flow/designs/nangate45/ariane133/ariane.sdc

Lines changed: 13 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,4 +3,16 @@ set sdc_version 2.0
33
# Set the current design
44
current_design ariane
55

6-
create_clock -name "core_clock" -period 4.0 -waveform {0.0 2.0} [get_ports clk_i]
6+
set clk_name core_clock
7+
set clk_port_name clk_i
8+
set clk_period 3.0
9+
set clk_io_pct 0.2
10+
11+
set clk_port [get_ports $clk_port_name]
12+
13+
create_clock -name $clk_name -period $clk_period $clk_port
14+
15+
set non_clock_inputs [all_inputs -no_clocks]
16+
17+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
18+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/nangate45/ariane133/config.mk

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -12,12 +12,12 @@ export SDC_FILE = $(DESIGN_HOME)/$(PLATFORM)/ariane133/ariane.sdc
1212
export ADDITIONAL_LEFS = $(PLATFORM_DIR)/lef/fakeram45_256x16.lef
1313
export ADDITIONAL_LIBS = $(PLATFORM_DIR)/lib/fakeram45_256x16.lib
1414

15-
export DIE_AREA = 0 0 1500 1500
16-
export CORE_AREA = 10 12 1448 1448
15+
export CORE_UTILIZATION = 40
16+
export CORE_ASPECT_RATIO = 1
17+
export CORE_MARGIN = 5
1718

1819
export IO_CONSTRAINTS = $(DESIGN_HOME)/$(PLATFORM)/$(DESIGN_NICKNAME)/io.tcl
1920

2021
export MACRO_PLACE_HALO = 10 10
2122

22-
export TNS_END_PERCENT = 100
2323
export SKIP_GATE_CLONING = 1

flow/designs/nangate45/ariane133/rules-base.json

Lines changed: 101 additions & 16 deletions
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,89 @@
11
{
2+
"cts__flow__warnings__count:RSZ-0062": {
3+
"value": 1,
4+
"compare": "<=",
5+
"level": "warning"
6+
},
7+
"detailedroute__flow__warnings__count:DRT-0120": {
8+
"value": 12,
9+
"compare": "<=",
10+
"level": "warning"
11+
},
12+
"detailedroute__flow__warnings__count:GRT-0246": {
13+
"value": 1,
14+
"compare": "<=",
15+
"level": "warning"
16+
},
17+
"floorplan__flow__warnings__count:EST-0027": {
18+
"value": 1,
19+
"compare": "<=",
20+
"level": "warning"
21+
},
22+
"floorplan__flow__warnings__count:IFP-0028": {
23+
"value": 1,
24+
"compare": "<=",
25+
"level": "warning"
26+
},
27+
"floorplan__flow__warnings__count:ODB-0011": {
28+
"value": 1,
29+
"compare": "<=",
30+
"level": "warning"
31+
},
32+
"floorplan__flow__warnings__count:RSZ-0062": {
33+
"value": 1,
34+
"compare": "<=",
35+
"level": "warning"
36+
},
37+
"floorplan__flow__warnings__count:RSZ-0075": {
38+
"value": 1001,
39+
"compare": "<=",
40+
"level": "warning"
41+
},
42+
"flow__warnings__count:GPL-0302": {
43+
"value": 1,
44+
"compare": "<=",
45+
"level": "warning"
46+
},
47+
"flow__warnings__count:PDN-0195": {
48+
"value": 1,
49+
"compare": "<=",
50+
"level": "warning"
51+
},
52+
"globalplace__flow__warnings__count:GPL-0323": {
53+
"value": 1,
54+
"compare": "<=",
55+
"level": "warning"
56+
},
57+
"globalplace__flow__warnings__count:GPL-0998": {
58+
"value": 1,
59+
"compare": "<=",
60+
"level": "warning"
61+
},
62+
"globalplace__flow__warnings__count:GPL-0999": {
63+
"value": 1,
64+
"compare": "<=",
65+
"level": "warning"
66+
},
67+
"globalplace__flow__warnings__count:GRT-0281": {
68+
"value": 1,
69+
"compare": "<=",
70+
"level": "warning"
71+
},
72+
"globalroute__flow__warnings__count:DRT-0120": {
73+
"value": 12,
74+
"compare": "<=",
75+
"level": "warning"
76+
},
77+
"globalroute__flow__warnings__count:GRT-0246": {
78+
"value": 1,
79+
"compare": "<=",
80+
"level": "warning"
81+
},
82+
"globalroute__flow__warnings__count:RSZ-0062": {
83+
"value": 1,
84+
"compare": "<=",
85+
"level": "warning"
86+
},
287
"synth__design__instance__area__stdcell": {
388
"value": 825864.85,
489
"compare": "<="
@@ -28,43 +113,43 @@
28113
"compare": "<="
29114
},
30115
"cts__timing__setup__ws": {
31-
"value": -0.2,
116+
"value": -0.695,
32117
"compare": ">="
33118
},
34119
"cts__timing__setup__tns": {
35-
"value": -0.8,
120+
"value": -1580.0,
36121
"compare": ">="
37122
},
38123
"cts__timing__hold__ws": {
39-
"value": -0.2,
124+
"value": -0.15,
40125
"compare": ">="
41126
},
42127
"cts__timing__hold__tns": {
43-
"value": -0.8,
128+
"value": -0.6,
44129
"compare": ">="
45130
},
46131
"globalroute__antenna_diodes_count": {
47132
"value": 194,
48133
"compare": "<="
49134
},
50135
"globalroute__timing__setup__ws": {
51-
"value": -0.2,
136+
"value": -0.657,
52137
"compare": ">="
53138
},
54139
"globalroute__timing__setup__tns": {
55-
"value": -0.8,
140+
"value": -1220.0,
56141
"compare": ">="
57142
},
58143
"globalroute__timing__hold__ws": {
59-
"value": -0.2,
144+
"value": -0.15,
60145
"compare": ">="
61146
},
62147
"globalroute__timing__hold__tns": {
63-
"value": -0.8,
148+
"value": -0.6,
64149
"compare": ">="
65150
},
66151
"detailedroute__route__wirelength": {
67-
"value": 8368533,
152+
"value": 8166072,
68153
"compare": "<="
69154
},
70155
"detailedroute__route__drc_errors": {
@@ -84,31 +169,31 @@
84169
"compare": ">="
85170
},
86171
"detailedroute__timing__setup__tns": {
87-
"value": -0.8,
172+
"value": -53.9,
88173
"compare": ">="
89174
},
90175
"detailedroute__timing__hold__ws": {
91-
"value": -0.2,
176+
"value": -0.155,
92177
"compare": ">="
93178
},
94179
"detailedroute__timing__hold__tns": {
95-
"value": -0.8,
180+
"value": -0.655,
96181
"compare": ">="
97182
},
98183
"finish__timing__setup__ws": {
99-
"value": -0.264,
184+
"value": -0.753,
100185
"compare": ">="
101186
},
102187
"finish__timing__setup__tns": {
103-
"value": -19.7,
188+
"value": -1470.0,
104189
"compare": ">="
105190
},
106191
"finish__timing__hold__ws": {
107-
"value": -0.2,
192+
"value": -0.15,
108193
"compare": ">="
109194
},
110195
"finish__timing__hold__tns": {
111-
"value": -0.8,
196+
"value": -0.6,
112197
"compare": ">="
113198
},
114199
"finish__design__instance__area": {

0 commit comments

Comments
 (0)