Skip to content

Commit 3bdcbbf

Browse files
committed
sdc: simplify part 2
Signed-off-by: Øyvind Harboe <[email protected]>
1 parent aa49946 commit 3bdcbbf

File tree

2 files changed

+4
-4
lines changed

2 files changed

+4
-4
lines changed

flow/designs/asap7/ethmac/constraint.sdc

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -11,15 +11,15 @@ set tx_clk_name mtx_clk_pad_i
1111
set tx_clk_port [get_ports $tx_clk_name]
1212
set tx_clk_period 300
1313
create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
14-
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $tx_clk_port]
14+
set mtx_non_clock_inputs [all_inputs -no_clocks]
1515
set_input_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name $mtx_non_clock_inputs
1616
set_output_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name [all_outputs]
1717

1818
set rx_clk_name mrx_clk_pad_i
1919
set rx_clk_port [get_ports $rx_clk_name]
2020
set rx_clk_period 300
2121
create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
22-
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $rx_clk_port]
22+
set mrx_non_clock_inputs [all_inputs -no_clocks]
2323
set_input_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name $mrx_non_clock_inputs
2424
set_output_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name [all_outputs]
2525

flow/designs/asap7/ethmac_lvt/constraint.sdc

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -11,15 +11,15 @@ set tx_clk_name mtx_clk_pad_i
1111
set tx_clk_port [get_ports $tx_clk_name]
1212
set tx_clk_period 300
1313
create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
14-
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $tx_clk_port]
14+
set mtx_non_clock_inputs [all_inputs -no_clocks]
1515
set_input_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name $mtx_non_clock_inputs
1616
set_output_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name [all_outputs]
1717

1818
set rx_clk_name mrx_clk_pad_i
1919
set rx_clk_port [get_ports $rx_clk_name]
2020
set rx_clk_period 300
2121
create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
22-
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $rx_clk_port]
22+
set mrx_non_clock_inputs [all_inputs -no_clocks]
2323
set_input_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name $mrx_non_clock_inputs
2424
set_output_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name [all_outputs]
2525

0 commit comments

Comments
 (0)