Skip to content

Commit 5a31c13

Browse files
update SDC to avoid top level input path hold fixing (#1962)
Signed-off-by: Tom Spyrou <[email protected]> Co-authored-by: Tom Spyrou <[email protected]>
1 parent 7a6057f commit 5a31c13

File tree

1 file changed

+5
-2
lines changed

1 file changed

+5
-2
lines changed

flow/designs/asap7/mock-array/Element/constraints.sdc

Lines changed: 5 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -20,7 +20,9 @@ set_clock_uncertainty -hold 20.0 [get_clocks $clk_name]
2020
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name ${clk_name}_vir
2121
set_clock_uncertainty -setup 20.0 [get_clocks ${clk_name}_vir]
2222
set_clock_uncertainty -hold 20.0 [get_clocks ${clk_name}_vir]
23-
set_clock_latency 70 [get_clocks ${clk_name}_vir]
23+
24+
# From top level run's metrics
25+
#set_clock_latency 70 [get_clocks ${clk_name}_vir]
2426
# From top level run's metrics
2527
#set_clock_latency -source 380 [get_clocks ${clk_name}_vir]
2628

@@ -62,7 +64,8 @@ set_output_delay -clock ${clk_name}_vir [expr $clk_period * $clk_omax_pct] [get_
6264
# In --> out combinational paths
6365
set_max_delay $max_delay -from [get_ports {io_lsbIns_*}] -to [get_ports {io_lsbOuts_*}]
6466

65-
67+
# Don't fix hold from inputs since skew at the top level will bebalanced by CTS
68+
set_false_path -hold -from [all_inputs]
6669

6770
# Set driving cell and load capacitance explicitly to ensure timing results are sufficiently pessimistic
6871
#set_driving_cell [all_inputs] -lib_cell BUFx2_ASAP7_75t_R

0 commit comments

Comments
 (0)