Skip to content

Commit 5e0b223

Browse files
authored
Merge pull request #1224 from Pinata-Consulting/mock-array-remove-set-dont-touch
Mock array remove set dont touch
2 parents bf030fd + c9c2e99 commit 5e0b223

File tree

6 files changed

+193
-191
lines changed

6 files changed

+193
-191
lines changed

flow/designs/asap7/mock-array/Element/constraints.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@ set cols [expr {[info exists ::env(MOCK_ARRAY_COLS)] ? $::env(MOCK_ARRAY_COLS) :
44

55
set clk_name clock
66
set clk_port_name clock
7-
set clk_period 8000
7+
set clk_period 2000
88

99
set clk_port [get_ports $clk_port_name]
1010
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port

flow/designs/asap7/mock-array/config.mk

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -54,3 +54,7 @@ export MAX_ROUTING_LAYER = M7
5454

5555
# works with 28 or more iterations as of writing, so give it a few more.
5656
export GLOBAL_ROUTE_ARGS=-congestion_iterations 40 -verbose
57+
58+
# ensure we have some rows, so we don't get a bad clock skew.
59+
export MACRO_HALO_X = 0.5
60+
export MACRO_HALO_Y = 0.5

flow/designs/asap7/mock-array/constraints.sdc

Lines changed: 1 addition & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
set sdc_version 2.0
22

3-
set clk_period 8000
3+
set clk_period 2000
44
create_clock [get_ports clock] -period $clk_period -waveform [list 0 [expr $clk_period/2]]
55

66
set clk_name clock
@@ -13,5 +13,3 @@ set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
1313

1414
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1515
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
16-
17-
set_dont_touch [get_nets ces_*_*]

flow/designs/asap7/mock-array/defaults.mk

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@
33
# current unit is configured as 2.16 which is on the routing grid for M5
44

55
# table of Elements - (rows cols width height pitch_x pitch_y)
6-
export MOCK_ARRAY_TABLE ?= 8 8 20 20 20 22
6+
export MOCK_ARRAY_TABLE ?= 8 8 20 20 20 21
77

88
# Element'd data width
99
export MOCK_ARRAY_DATAWIDTH ?= 64

0 commit comments

Comments
 (0)