We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent bccef03 commit 6742538Copy full SHA for 6742538
flow/designs/sky130hd/coyote_tc/constraint.sdc
@@ -191,7 +191,7 @@ set_max_transition 0.069 [get_ports {fsb_node_data_o_3}]
191
set_max_transition 0.069 [get_ports {fsb_node_data_o_2}]
192
set_max_transition 0.069 [get_ports {fsb_node_data_o_1}]
193
set_max_transition 0.069 [get_ports {fsb_node_data_o_0}]
194
-create_clock [get_pins u_clk.u_in/IN] -name core_clk -period 50 -waveform {0 2.5}
+create_clock [get_pins u_clk_i.u_in/IN] -name core_clk -period 50 -waveform {0 2.5}
195
set_clock_latency -source 0 [get_clocks core_clk]
196
set_clock_uncertainty 0.03 [get_clocks core_clk]
197
set_clock_transition -min -fall 0.069 [get_clocks core_clk]
0 commit comments