Skip to content

Commit 6742538

Browse files
committed
update sdc clock pin
Signed-off-by: vijayank88 <[email protected]>
1 parent bccef03 commit 6742538

File tree

1 file changed

+1
-1
lines changed

1 file changed

+1
-1
lines changed

flow/designs/sky130hd/coyote_tc/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -191,7 +191,7 @@ set_max_transition 0.069 [get_ports {fsb_node_data_o_3}]
191191
set_max_transition 0.069 [get_ports {fsb_node_data_o_2}]
192192
set_max_transition 0.069 [get_ports {fsb_node_data_o_1}]
193193
set_max_transition 0.069 [get_ports {fsb_node_data_o_0}]
194-
create_clock [get_pins u_clk.u_in/IN] -name core_clk -period 50 -waveform {0 2.5}
194+
create_clock [get_pins u_clk_i.u_in/IN] -name core_clk -period 50 -waveform {0 2.5}
195195
set_clock_latency -source 0 [get_clocks core_clk]
196196
set_clock_uncertainty 0.03 [get_clocks core_clk]
197197
set_clock_transition -min -fall 0.069 [get_clocks core_clk]

0 commit comments

Comments
 (0)