Skip to content

Commit 73b8918

Browse files
committed
Merge remote-tracking branch 'origin/master' into arian136_add
2 parents 47145ec + fe22850 commit 73b8918

23 files changed

+1672
-1683
lines changed

docker/Dockerfile.runtime

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -2,7 +2,8 @@
22
# binaries.
33
FROM openroad/centos7-runtime
44
RUN yum update -y \
5+
&& yum install -y https://dl.fedoraproject.org/pub/epel/epel-release-latest-7.noarch.rpm \
56
&& yum group install -y "Development Tools" \
67
&& yum install -y https://www.klayout.org/downloads/CentOS_7/klayout-0.27.1-0.x86_64.rpm \
7-
&& yum install -y time tcl-devel \
8+
&& yum install -y tcl tcl-tclreadline-devel tcllib time \
89
&& pip3 install pandas
Lines changed: 25 additions & 9 deletions
Original file line numberDiff line numberDiff line change
@@ -1,13 +1,29 @@
1-
set clk_name wb_clk_i
2-
set clk_port_name wb_clk_i
3-
set clk_period 960
1+
set top_clk_name wb_clk_i
2+
set clk_period 1500
43
set clk_io_pct 0.2
4+
set clk_port [get_ports $top_clk_name]
5+
create_clock -name $top_clk_name -period $clk_period $clk_port
6+
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
7+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name $non_clock_inputs
8+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name [all_outputs]
59

6-
set clk_port [get_ports $clk_port_name]
7-
8-
create_clock -name $clk_name -period $clk_period $clk_port
10+
set tx_clk_name mtx_clk_pad_i
11+
set tx_clk_port [get_ports $tx_clk_name]
12+
set tx_clk_period 500
13+
create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
14+
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $tx_clk_port]
15+
set_input_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name $mtx_non_clock_inputs
16+
set_output_delay [expr $tx_clk_period * $clk_io_pct] -clock $tx_clk_name [all_outputs]
917

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
18+
set rx_clk_name mrx_clk_pad_i
19+
set rx_clk_port [get_ports $rx_clk_name]
20+
set rx_clk_period 500
21+
create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
22+
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $rx_clk_port]
23+
set_input_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name $mrx_non_clock_inputs
24+
set_output_delay [expr $rx_clk_period * $clk_io_pct] -clock $rx_clk_name [all_outputs]
1125

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13-
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
26+
set_clock_groups -name core_clock -logically_exclusive \
27+
-group [get_clocks $top_clk_name] \
28+
-group [get_clocks $tx_clk_name] \
29+
-group [get_clocks $rx_clk_name]

flow/designs/asap7/ethmac/metadata-base-ok.json

Lines changed: 269 additions & 222 deletions
Large diffs are not rendered by default.

flow/designs/asap7/ethmac/rules-base.json

Lines changed: 11 additions & 15 deletions
Original file line numberDiff line numberDiff line change
@@ -4,11 +4,11 @@
44
"compare": "<="
55
},
66
"constraints__clocks__count": {
7-
"value": 1,
7+
"value": 3,
88
"compare": "=="
99
},
1010
"placeopt__design__instance__area": {
11-
"value": 8748,
11+
"value": 8744,
1212
"compare": "<="
1313
},
1414
"placeopt__design__instance__count__stdcell": {
@@ -20,15 +20,15 @@
2020
"compare": "=="
2121
},
2222
"cts__timing__setup__ws": {
23-
"value": 0.0,
23+
"value": -127.74,
2424
"compare": ">="
2525
},
2626
"cts__timing__setup__ws__pre_repair": {
27-
"value": -260.92,
27+
"value": -214.98,
2828
"compare": ">="
2929
},
3030
"cts__timing__setup__ws__post_repair": {
31-
"value": -260.92,
31+
"value": -214.98,
3232
"compare": ">="
3333
},
3434
"cts__design__instance__count__setup_buffer": {
@@ -39,32 +39,28 @@
3939
"value": 2888,
4040
"compare": "<="
4141
},
42-
"globalroute__timing__clock__slack": {
43-
"value": -151.29,
44-
"compare": ">="
45-
},
4642
"globalroute__timing__setup__ws": {
47-
"value": -151.29,
43+
"value": -47.32,
4844
"compare": ">="
4945
},
5046
"detailedroute__route__wirelength": {
51-
"value": 254225,
47+
"value": 250905,
5248
"compare": "<="
5349
},
5450
"detailedroute__route__drc_errors": {
5551
"value": 0,
5652
"compare": "<="
5753
},
5854
"finish__timing__setup__ws": {
59-
"value": -246.63,
55+
"value": 0.0,
6056
"compare": ">="
6157
},
6258
"finish__design__instance__area": {
6359
"value": 8803,
6460
"compare": "<="
6561
},
6662
"finish__timing__drv__max_slew_limit": {
67-
"value": -1.32,
63+
"value": -0.57,
6864
"compare": ">="
6965
},
7066
"finish__timing__drv__max_fanout_limit": {
@@ -84,7 +80,7 @@
8480
"compare": "<="
8581
},
8682
"finish__timing__wns_percent_delay": {
87-
"value": -23.22,
83+
"value": -10.0,
8884
"compare": ">="
8985
}
90-
}
86+
}
Lines changed: 64 additions & 64 deletions
Original file line numberDiff line numberDiff line change
@@ -1,64 +1,64 @@
1-
ces_0_0 R0 10 10
2-
ces_0_1 R0 35 10
3-
ces_0_2 R0 60 10
4-
ces_0_3 R0 85 10
5-
ces_0_4 R0 110 10
6-
ces_0_5 R0 135 10
7-
ces_0_6 R0 160 10
8-
ces_0_7 R0 185 10
9-
ces_1_0 R0 10 35
10-
ces_1_1 R0 35 35
11-
ces_1_2 R0 60 35
12-
ces_1_3 R0 85 35
13-
ces_1_4 R0 110 35
14-
ces_1_5 R0 135 35
15-
ces_1_6 R0 160 35
16-
ces_1_7 R0 185 35
17-
ces_2_0 R0 10 60
18-
ces_2_1 R0 35 60
19-
ces_2_2 R0 60 60
20-
ces_2_3 R0 85 60
21-
ces_2_4 R0 110 60
22-
ces_2_5 R0 135 60
23-
ces_2_6 R0 160 60
24-
ces_2_7 R0 185 60
25-
ces_3_0 R0 10 85
26-
ces_3_1 R0 35 85
27-
ces_3_2 R0 60 85
28-
ces_3_3 R0 85 85
29-
ces_3_4 R0 110 85
30-
ces_3_5 R0 135 85
31-
ces_3_6 R0 160 85
32-
ces_3_7 R0 185 85
33-
ces_4_0 R0 10 110
34-
ces_4_1 R0 35 110
35-
ces_4_2 R0 60 110
36-
ces_4_3 R0 85 110
37-
ces_4_4 R0 110 110
38-
ces_4_5 R0 135 110
39-
ces_4_6 R0 160 110
40-
ces_4_7 R0 185 110
41-
ces_5_0 R0 10 135
42-
ces_5_1 R0 35 135
43-
ces_5_2 R0 60 135
44-
ces_5_3 R0 85 135
45-
ces_5_4 R0 110 135
46-
ces_5_5 R0 135 135
47-
ces_5_6 R0 160 135
48-
ces_5_7 R0 185 135
49-
ces_6_0 R0 10 160
50-
ces_6_1 R0 35 160
51-
ces_6_2 R0 60 160
52-
ces_6_3 R0 85 160
53-
ces_6_4 R0 110 160
54-
ces_6_5 R0 135 160
55-
ces_6_6 R0 160 160
56-
ces_6_7 R0 185 160
57-
ces_7_0 R0 10 185
58-
ces_7_1 R0 35 185
59-
ces_7_2 R0 60 185
60-
ces_7_3 R0 85 185
61-
ces_7_4 R0 110 185
62-
ces_7_5 R0 135 185
63-
ces_7_6 R0 160 185
64-
ces_7_7 R0 185 185
1+
ces_0_0 R0 9.792 9.792
2+
ces_0_1 R0 34.560 9.792
3+
ces_0_2 R0 59.328 9.792
4+
ces_0_3 R0 84.096 9.792
5+
ces_0_4 R0 108.864 9.792
6+
ces_0_5 R0 133.632 9.792
7+
ces_0_6 R0 158.400 9.792
8+
ces_0_7 R0 183.168 9.792
9+
ces_1_0 R0 9.792 34.560
10+
ces_1_1 R0 34.560 34.560
11+
ces_1_2 R0 59.328 34.560
12+
ces_1_3 R0 84.096 34.560
13+
ces_1_4 R0 108.864 34.560
14+
ces_1_5 R0 133.632 34.560
15+
ces_1_6 R0 158.400 34.560
16+
ces_1_7 R0 183.168 34.560
17+
ces_2_0 R0 9.792 59.328
18+
ces_2_1 R0 34.560 59.328
19+
ces_2_2 R0 59.328 59.328
20+
ces_2_3 R0 84.096 59.328
21+
ces_2_4 R0 108.864 59.328
22+
ces_2_5 R0 133.632 59.328
23+
ces_2_6 R0 158.400 59.328
24+
ces_2_7 R0 183.168 59.328
25+
ces_3_0 R0 9.792 84.096
26+
ces_3_1 R0 34.560 84.096
27+
ces_3_2 R0 59.328 84.096
28+
ces_3_3 R0 84.096 84.096
29+
ces_3_4 R0 108.864 84.096
30+
ces_3_5 R0 133.632 84.096
31+
ces_3_6 R0 158.400 84.096
32+
ces_3_7 R0 183.168 84.096
33+
ces_4_0 R0 9.792 108.864
34+
ces_4_1 R0 34.560 108.864
35+
ces_4_2 R0 59.328 108.864
36+
ces_4_3 R0 84.096 108.864
37+
ces_4_4 R0 108.864 108.864
38+
ces_4_5 R0 133.632 108.864
39+
ces_4_6 R0 158.400 108.864
40+
ces_4_7 R0 183.168 108.864
41+
ces_5_0 R0 9.792 133.632
42+
ces_5_1 R0 34.560 133.632
43+
ces_5_2 R0 59.328 133.632
44+
ces_5_3 R0 84.096 133.632
45+
ces_5_4 R0 108.864 133.632
46+
ces_5_5 R0 133.632 133.632
47+
ces_5_6 R0 158.400 133.632
48+
ces_5_7 R0 183.168 133.632
49+
ces_6_0 R0 9.792 158.400
50+
ces_6_1 R0 34.560 158.400
51+
ces_6_2 R0 59.328 158.400
52+
ces_6_3 R0 84.096 158.400
53+
ces_6_4 R0 108.864 158.400
54+
ces_6_5 R0 133.632 158.400
55+
ces_6_6 R0 158.400 158.400
56+
ces_6_7 R0 183.168 158.400
57+
ces_7_0 R0 9.792 183.168
58+
ces_7_1 R0 34.560 183.168
59+
ces_7_2 R0 59.328 183.168
60+
ces_7_3 R0 84.096 183.168
61+
ces_7_4 R0 108.864 183.168
62+
ces_7_5 R0 133.632 183.168
63+
ces_7_6 R0 158.400 183.168
64+
ces_7_7 R0 183.168 183.168

0 commit comments

Comments
 (0)