Skip to content

Commit 7f81ad0

Browse files
committed
Merge branch 'master' into bump-yosys-slang
2 parents ce7b280 + 9699a49 commit 7f81ad0

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

56 files changed

+75
-60
lines changed

.github/workflows/github-actions-lint-tcl.yml

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -17,10 +17,12 @@ jobs:
1717

1818
- name: Install Dependencies
1919
run: |
20-
python3 -m pip install -U --user tclint==0.4.2
20+
python3 -m venv venv
21+
venv/bin/pip install tclint==0.4.2
2122
2223
- name: Lint
2324
run: |
25+
source venv/bin/activate
2426
tclfmt --version
2527
tclfmt --in-place .
2628
git diff --exit-code

.github/workflows/github-actions-manual-update-rules.yml

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -22,12 +22,14 @@ jobs:
2222
python-version: "3.10"
2323
- name: Install Python Packages
2424
run: |
25-
pip install firebase-admin
25+
python3 -m venv venv
26+
venv/bin/pip install firebase-admin
2627
- name: Execute Python Script Update
2728
env:
2829
CREDS_FILE: ${{ secrets.CREDS_FILE }}
2930
API_BASE_URL: ${{ secrets.API_BASE_URL }}
3031
run: |
32+
source venv/bin/activate
3133
if [[ "${{ github.event.inputs.type }}" == "overwrite" ]]; then
3234
python flow/util/updateRules.py --keyFile "${CREDS_FILE}" --apiURL ${API_BASE_URL} --commitSHA $(git rev-parse HEAD) --overwrite
3335
else

.github/workflows/github-actions-update-rules.yml

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -24,12 +24,14 @@ jobs:
2424
python-version: "3.10"
2525
- name: Install Python Packages
2626
run: |
27-
pip install firebase-admin
27+
python3 -m venv venv
28+
venv/bin/pip install firebase-admin
2829
- name: Execute Python Script Update
2930
env:
3031
CREDS_FILE: ${{ secrets.CREDS_FILE }}
3132
API_BASE_URL: ${{ secrets.API_BASE_URL }}
3233
run: |
34+
source ./venv/bin/activate
3335
echo ${{ github.event_name }}
3436
echo ${{ github.event.client_payload.type }}
3537
if [[ "${{ github.event_name }}" == "repository_dispatch" && "${{ github.event.client_payload.type }}" == "overwrite" ]]; then

.github/workflows/github-actions-yaml-test.yml

Lines changed: 5 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -23,9 +23,13 @@ jobs:
2323
- name: Check if FlowVariables.md is up to date
2424
run: |
2525
git diff --exit-code docs/user/FlowVariables.md
26+
- name: Install dependencies
27+
run: |
28+
python3 -m venv venv
29+
venv/bin/pip install --quiet yamlfix==1.17.0
2630
- name: Run yamlfix check
2731
run: |
28-
pip install --quiet yamlfix==1.17.0
32+
source venv/bin/activate
2933
yamlfix --version
3034
set -x
3135
yamlfix -c yamlfix.toml flow/scripts/variables.yaml

.gitignore

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -29,7 +29,6 @@ flow/rc_model.bin
2929
flow/*.tif.gz
3030
flow/*.def.v
3131

32-
3332
# RePlAce deps
3433
*PORT9.dat
3534
*POST9.dat
@@ -103,3 +102,6 @@ bazel-bin
103102
bazel-out
104103
bazel-OpenROAD-flow-scripts
105104
bazel-testlogs
105+
106+
# python venv
107+
venv/

flow/designs/asap7/aes-block/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes-mbff/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes_lvt/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

1212
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/ethmac/constraint.sdc

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@ set clk_period 1000
33
set clk_io_pct 0.2
44
set clk_port [get_ports $top_clk_name]
55
create_clock -name $top_clk_name -period $clk_period $clk_port
6-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
6+
set non_clock_inputs [all_inputs -no_clocks]
77
set_input_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name $non_clock_inputs
88
set_output_delay [expr $clk_period * $clk_io_pct] -clock $top_clk_name [all_outputs]
99

0 commit comments

Comments
 (0)