Skip to content

Commit 8276116

Browse files
committed
Merge branch 'master' into secure-mv-repair_tie-to-floorplan
Signed-off-by: Matt Liberty <[email protected]>
2 parents a4fe8af + 9d305d7 commit 8276116

File tree

184 files changed

+15694
-15529
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

184 files changed

+15694
-15529
lines changed
Lines changed: 29 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,29 @@
1+
name: Lint Tcl code
2+
3+
on:
4+
push:
5+
branches:
6+
- master
7+
pull_request:
8+
branches:
9+
- master
10+
11+
jobs:
12+
build:
13+
runs-on: ${{ vars.USE_SELF_HOSTED == 'true' && 'self-hosted' || 'ubuntu-latest' }}
14+
steps:
15+
- name: Checkout repository
16+
uses: actions/checkout@v4
17+
18+
- name: Install Dependencies
19+
run: |
20+
python3 -m venv venv
21+
venv/bin/pip install tclint==0.4.2
22+
23+
- name: Lint
24+
run: |
25+
source venv/bin/activate
26+
tclfmt --version
27+
tclfmt --in-place .
28+
git diff --exit-code
29+
tclint --no-check-style .

.github/workflows/github-actions-manual-update-rules.yml

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -22,12 +22,14 @@ jobs:
2222
python-version: "3.10"
2323
- name: Install Python Packages
2424
run: |
25-
pip install firebase-admin
25+
python3 -m venv venv
26+
venv/bin/pip install firebase-admin
2627
- name: Execute Python Script Update
2728
env:
2829
CREDS_FILE: ${{ secrets.CREDS_FILE }}
2930
API_BASE_URL: ${{ secrets.API_BASE_URL }}
3031
run: |
32+
source venv/bin/activate
3133
if [[ "${{ github.event.inputs.type }}" == "overwrite" ]]; then
3234
python flow/util/updateRules.py --keyFile "${CREDS_FILE}" --apiURL ${API_BASE_URL} --commitSHA $(git rev-parse HEAD) --overwrite
3335
else

.github/workflows/github-actions-update-rules.yml

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -24,12 +24,14 @@ jobs:
2424
python-version: "3.10"
2525
- name: Install Python Packages
2626
run: |
27-
pip install firebase-admin
27+
python3 -m venv venv
28+
venv/bin/pip install firebase-admin
2829
- name: Execute Python Script Update
2930
env:
3031
CREDS_FILE: ${{ secrets.CREDS_FILE }}
3132
API_BASE_URL: ${{ secrets.API_BASE_URL }}
3233
run: |
34+
source ./venv/bin/activate
3335
echo ${{ github.event_name }}
3436
echo ${{ github.event.client_payload.type }}
3537
if [[ "${{ github.event_name }}" == "repository_dispatch" && "${{ github.event.client_payload.type }}" == "overwrite" ]]; then

.github/workflows/github-actions-yaml-test.yml

Lines changed: 5 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -23,9 +23,13 @@ jobs:
2323
- name: Check if FlowVariables.md is up to date
2424
run: |
2525
git diff --exit-code docs/user/FlowVariables.md
26+
- name: Install dependencies
27+
run: |
28+
python3 -m venv venv
29+
venv/bin/pip install --quiet yamlfix==1.17.0
2630
- name: Run yamlfix check
2731
run: |
28-
pip install --quiet yamlfix==1.17.0
32+
source venv/bin/activate
2933
yamlfix --version
3034
set -x
3135
yamlfix -c yamlfix.toml flow/scripts/variables.yaml

.gitignore

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -29,7 +29,6 @@ flow/rc_model.bin
2929
flow/*.tif.gz
3030
flow/*.def.v
3131

32-
3332
# RePlAce deps
3433
*PORT9.dat
3534
*POST9.dat
@@ -103,3 +102,6 @@ bazel-bin
103102
bazel-out
104103
bazel-OpenROAD-flow-scripts
105104
bazel-testlogs
105+
106+
# python venv
107+
venv/

docs/user/FlowVariables.md

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -128,6 +128,7 @@ configuration file.
128128
| <a name="DONT_USE_CELLS"></a>DONT_USE_CELLS| Dont use cells eases pin access in detailed routing.| |
129129
| <a name="DONT_USE_LIBS"></a>DONT_USE_LIBS| Set liberty files as `dont_use`.| |
130130
| <a name="DPO_MAX_DISPLACEMENT"></a>DPO_MAX_DISPLACEMENT| Specifies how far an instance can be moved when optimizing.| 5 1|
131+
| <a name="EARLY_SIZING_CAP_RATIO"></a>EARLY_SIZING_CAP_RATIO| Ratio between the input pin capacitance and the output pin load during initial gate sizing.| |
131132
| <a name="ENABLE_DPO"></a>ENABLE_DPO| Enable detail placement with improve_placement feature.| 1|
132133
| <a name="EQUIVALENCE_CHECK"></a>EQUIVALENCE_CHECK| Enable running equivalence checks to verify logical correctness of repair_timing.| 0|
133134
| <a name="FASTROUTE_TCL"></a>FASTROUTE_TCL| Specifies a Tcl script with commands to run before FastRoute.| |
@@ -234,6 +235,7 @@ configuration file.
234235
| <a name="TECH_LEF"></a>TECH_LEF| A technology LEF file of the PDK that includes all relevant information regarding metal layers, vias, and spacing requirements.| |
235236
| <a name="TIEHI_CELL_AND_PORT"></a>TIEHI_CELL_AND_PORT| Tie high cells used in Yosys synthesis to replace a logical 1 in the Netlist.| |
236237
| <a name="TIELO_CELL_AND_PORT"></a>TIELO_CELL_AND_PORT| Tie low cells used in Yosys synthesis to replace a logical 0 in the Netlist.| |
238+
| <a name="TIE_SEPARATION"></a>TIE_SEPARATION| Distance separating tie high/low instances from the load.| |
237239
| <a name="TNS_END_PERCENT"></a>TNS_END_PERCENT| Default TNS_END_PERCENT value for post CTS timing repair. Try fixing all violating endpoints by default (reduce to 5% for runtime). Specifies how many percent of violating paths to fix [0-100]. Worst path will always be fixed.| 100|
238240
| <a name="USE_FILL"></a>USE_FILL| Whether to perform metal density filling.| 0|
239241
| <a name="VERILOG_DEFINES"></a>VERILOG_DEFINES| Preprocessor defines passed to the language frontend. Example: `-D HPDCACHE_ASSERT_OFF`| |
@@ -329,6 +331,7 @@ configuration file.
329331

330332
- [CELL_PAD_IN_SITES_DETAIL_PLACEMENT](#CELL_PAD_IN_SITES_DETAIL_PLACEMENT)
331333
- [CELL_PAD_IN_SITES_GLOBAL_PLACEMENT](#CELL_PAD_IN_SITES_GLOBAL_PLACEMENT)
334+
- [EARLY_SIZING_CAP_RATIO](#EARLY_SIZING_CAP_RATIO)
332335
- [FLOORPLAN_DEF](#FLOORPLAN_DEF)
333336
- [GPL_ROUTABILITY_DRIVEN](#GPL_ROUTABILITY_DRIVEN)
334337
- [GPL_TIMING_DRIVEN](#GPL_TIMING_DRIVEN)
@@ -343,6 +346,7 @@ configuration file.
343346
- [PLACE_PINS_ARGS](#PLACE_PINS_ARGS)
344347
- [ROUTING_LAYER_ADJUSTMENT](#ROUTING_LAYER_ADJUSTMENT)
345348
- [SKIP_REPORT_METRICS](#SKIP_REPORT_METRICS)
349+
- [TIE_SEPARATION](#TIE_SEPARATION)
346350

347351
## cts variables
348352

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
set clk_name clk
1+
set clk_name clk
22
set clk_port_name clk
33
set clk_period 475
44
set clk_io_pct 0.2
@@ -7,7 +7,7 @@ set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes-block/rules-base.json

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,7 @@
2424
"compare": "<="
2525
},
2626
"cts__design__instance__count__hold_buffer": {
27-
"value": 1078,
27+
"value": 1331,
2828
"compare": "<="
2929
},
3030
"globalroute__antenna_diodes_count": {
Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -1,13 +1,13 @@
1-
set clk_name clk
1+
set clk_name clk
22
set clk_port_name clk
3-
set clk_period 400
3+
set clk_period 400
44
set clk_io_pct 0.2
55

66
set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]
Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -1,13 +1,13 @@
1-
set clk_name clk
1+
set clk_name clk
22
set clk_port_name clk
3-
set clk_period 400
3+
set clk_period 400
44
set clk_io_pct 0.2
55

66
set clk_port [get_ports $clk_port_name]
77

88
create_clock -name $clk_name -period $clk_period $clk_port
99

10-
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
10+
set non_clock_inputs [all_inputs -no_clocks]
1111

12-
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
1313
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

0 commit comments

Comments
 (0)