Skip to content

Commit bb925a3

Browse files
authored
Merge pull request #1117 from Pinata-Consulting/jake/mock-array-timing
mock-array-big: More detailed Element timing constraints
2 parents bd0e04c + 43a9795 commit bb925a3

File tree

3 files changed

+174
-181
lines changed

3 files changed

+174
-181
lines changed

flow/designs/asap7/mock-array-big/Element/constraints.sdc

Lines changed: 9 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -8,6 +8,8 @@ set clk_period 8000
88

99
set clk_port [get_ports $clk_port_name]
1010
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port
11+
set_clock_uncertainty -setup 20.0 [get_clocks $clk_name]
12+
set_clock_uncertainty -hold 20.0 [get_clocks $clk_name]
1113

1214
# io_ins_x -> REG_x
1315
set_input_delay -clock $clk_name -min [expr $clk_period / 2] [get_ports {io_ins_*}]
@@ -38,3 +40,10 @@ set_output_delay -clock $clk_name -max $max_delay [get_ports {io_lsbOuts_7}]
3840
# and do not need to be constrained.
3941
set non_clk_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
4042
set_false_path -from $non_clk_inputs -to [all_outputs]
43+
44+
# Set driving cell and load capacitance explicitly to ensure timing results are sufficiently pessimistic
45+
set_driving_cell [all_inputs] -lib_cell BUFx2_ASAP7_75t_R
46+
# Assuming the load on each output is a BUFx2_ASAP7_75t_R, we pessimistically use 3 times the highest input
47+
# pin capacitance for this cell, which is 0.577042.
48+
# See platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz, line 1223.
49+
set_load -pin_load 1.731126 [all_outputs]

0 commit comments

Comments
 (0)