Skip to content

Commit d1f15ce

Browse files
Merge remote-tracking branch 'origin/master' into ng45_refactor
Signed-off-by: Ravi Varadarajan <[email protected]>
2 parents e44d6dd + ec6bc0a commit d1f15ce

File tree

12 files changed

+263
-386
lines changed

12 files changed

+263
-386
lines changed

flow/designs/asap7/mock-array-big/Element/config.mk

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -23,8 +23,6 @@ export PDN_TCL = designs/asap7/mock-array-big/Element/pdn.tcl
2323
# to the ring and stipe
2424
export MAX_ROUTING_LAYER = M5
2525

26-
export PRIVATE_DIR=designs/asap7/mock-array-big
27-
2826
# If this design isn't quickly done in detailed routing, something is wrong.
2927
# At time of adding this option, only 3 iterations were needed for 0
3028
# violations.

flow/designs/asap7/mock-array-big/Element/constraints.sdc

Lines changed: 9 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -8,6 +8,8 @@ set clk_period 8000
88

99
set clk_port [get_ports $clk_port_name]
1010
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port
11+
set_clock_uncertainty -setup 20.0 [get_clocks $clk_name]
12+
set_clock_uncertainty -hold 20.0 [get_clocks $clk_name]
1113

1214
# io_ins_x -> REG_x
1315
set_input_delay -clock $clk_name -min [expr $clk_period / 2] [get_ports {io_ins_*}]
@@ -38,3 +40,10 @@ set_output_delay -clock $clk_name -max $max_delay [get_ports {io_lsbOuts_7}]
3840
# and do not need to be constrained.
3941
set non_clk_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
4042
set_false_path -from $non_clk_inputs -to [all_outputs]
43+
44+
# Set driving cell and load capacitance explicitly to ensure timing results are sufficiently pessimistic
45+
set_driving_cell [all_inputs] -lib_cell BUFx2_ASAP7_75t_R
46+
# Assuming the load on each output is a BUFx2_ASAP7_75t_R, we pessimistically use 3 times the highest input
47+
# pin capacitance for this cell, which is 0.577042.
48+
# See platforms/asap7/lib/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz, line 1223.
49+
set_load -pin_load 1.731126 [all_outputs]

flow/designs/asap7/mock-array-big/config.mk

Lines changed: 4 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -26,7 +26,10 @@ export IO_CONSTRAINTS = designs/asap7/mock-array-big/io.tcl
2626
export PDN_TCL = designs/asap7/mock-array-big/pdn.tcl
2727
export TNS_END_PERCENT = 100
2828

29-
export PRIVATE_DIR=designs/asap7/mock-array-big
29+
# Target to force generation of Verilog per user settings
30+
# MOCK_ARRAY_WIDTH and MOCK_ARRAY_HEIGHT
31+
verilog:
32+
./designs/asap7/mock-array-big/verilog.sh
3033

3134
# If this design isn't quickly done in detailed routing, something is wrong.
3235
# At time of adding this option, only 3 iterations were needed for 0

flow/designs/asap7/mock-array-big/metadata-base-ok.json

Lines changed: 159 additions & 175 deletions
Large diffs are not rendered by default.

flow/designs/asap7/mock-array-big/private.mk

Lines changed: 0 additions & 3 deletions
This file was deleted.

flow/designs/asap7/mock-array-big/rules-base.json

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -8,11 +8,11 @@
88
"compare": "=="
99
},
1010
"placeopt__design__instance__area": {
11-
"value": 12786,
11+
"value": 12493,
1212
"compare": "<="
1313
},
1414
"placeopt__design__instance__count__stdcell": {
15-
"value": 12574,
15+
"value": 3464,
1616
"compare": "<="
1717
},
1818
"detailedplace__design__violations": {
@@ -32,11 +32,11 @@
3232
"compare": ">="
3333
},
3434
"cts__design__instance__count__setup_buffer": {
35-
"value": 547,
35+
"value": 151,
3636
"compare": "<="
3737
},
3838
"cts__design__instance__count__hold_buffer": {
39-
"value": 548,
39+
"value": 151,
4040
"compare": "<="
4141
},
4242
"globalroute__timing__clock__slack": {
@@ -48,7 +48,7 @@
4848
"compare": ">="
4949
},
5050
"detailedroute__route__wirelength": {
51-
"value": 45359,
51+
"value": 22167,
5252
"compare": "<="
5353
},
5454
"detailedroute__route__drc_errors": {
@@ -60,7 +60,7 @@
6060
"compare": ">="
6161
},
6262
"finish__design__instance__area": {
63-
"value": 12788,
63+
"value": 12494,
6464
"compare": "<="
6565
},
6666
"finish__timing__drv__max_slew_limit": {

0 commit comments

Comments
 (0)