Skip to content

Commit f6cdfc0

Browse files
authored
Merge pull request #1624 from The-OpenROAD-Project-staging/aes-mbff
Add asap7/aes-mbff test case
2 parents 6eaf6ea + 0c718ae commit f6cdfc0

File tree

10 files changed

+464
-8
lines changed

10 files changed

+464
-8
lines changed
Lines changed: 18 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,18 @@
1+
export PLATFORM = asap7
2+
3+
export DESIGN_NAME = aes_cipher_top
4+
export DESIGN_NICKNAME = aes-mbff
5+
6+
export VERILOG_FILES = $(sort $(wildcard ./designs/src/aes/*.v))
7+
export SDC_FILE = ./designs/$(PLATFORM)/aes/constraint.sdc
8+
9+
export ABC_AREA = 1
10+
11+
export CORE_UTILIZATION = 40
12+
export CORE_ASPECT_RATIO = 1
13+
export CORE_MARGIN = 2
14+
export PLACE_DENSITY = 0.65
15+
export TNS_END_PERCENT = 100
16+
17+
export CLUSTER_FLOPS = 1
18+
export ENABLE_DPO = 0
Lines changed: 13 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,13 @@
1+
set clk_name clk
2+
set clk_port_name clk
3+
set clk_period 400
4+
set clk_io_pct 0.2
5+
6+
set clk_port [get_ports $clk_port_name]
7+
8+
create_clock -name $clk_name -period $clk_period $clk_port
9+
10+
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
11+
12+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
13+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

flow/designs/asap7/aes-mbff/metadata-base-ok.json

Lines changed: 363 additions & 0 deletions
Large diffs are not rendered by default.
Lines changed: 58 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,58 @@
1+
{
2+
"synth__design__instance__area__stdcell": {
3+
"value": 2576.15,
4+
"compare": "<="
5+
},
6+
"constraints__clocks__count": {
7+
"value": 1,
8+
"compare": "=="
9+
},
10+
"placeopt__design__instance__area": {
11+
"value": 2869,
12+
"compare": "<="
13+
},
14+
"placeopt__design__instance__count__stdcell": {
15+
"value": 25144,
16+
"compare": "<="
17+
},
18+
"detailedplace__design__violations": {
19+
"value": 0,
20+
"compare": "=="
21+
},
22+
"cts__design__instance__count__setup_buffer": {
23+
"value": 3048,
24+
"compare": "<="
25+
},
26+
"cts__design__instance__count__hold_buffer": {
27+
"value": 2186,
28+
"compare": "<="
29+
},
30+
"detailedroute__route__wirelength": {
31+
"value": 126061,
32+
"compare": "<="
33+
},
34+
"detailedroute__route__drc_errors": {
35+
"value": 0,
36+
"compare": "<="
37+
},
38+
"finish__timing__setup__ws": {
39+
"value": -81.55,
40+
"compare": ">="
41+
},
42+
"finish__design__instance__area": {
43+
"value": 3287,
44+
"compare": "<="
45+
},
46+
"finish__timing__drv__setup_violation_count": {
47+
"value": 1093,
48+
"compare": "<="
49+
},
50+
"finish__timing__drv__hold_violation_count": {
51+
"value": 100,
52+
"compare": "<="
53+
},
54+
"finish__timing__wns_percent_delay": {
55+
"value": -16.45,
56+
"compare": ">="
57+
}
58+
}

flow/platforms/asap7/config.mk

Lines changed: 6 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -42,12 +42,13 @@ export TC_DFF_LIB_FILE = $(PLATFORM_DIR)/lib/asap7sc7p5t_SEQ_RVT_TT_nldm_
4242
ifdef CLUSTER_FLOPS
4343
# Add the multi-bit FF for clustering. These are single corner libraries.
4444
export ADDITIONAL_LIBS += $(PLATFORM_DIR)/lib/asap7sc7p5t_DFFHQNH2V2X_RVT_TT_nldm_FAKE.lib \
45-
$(PLATFORM_DIR)/lib/asap7sc7p5t_DFFHQNV2X_RVT_TT_nldm_FAKE.lib \
46-
$(PLATFORM_DIR)/lib/asap7sc7p5t_DFFHQNV4X_RVT_TT_nldm_FAKE.lib
45+
$(PLATFORM_DIR)/lib/asap7sc7p5t_DFFHQNV2X_RVT_TT_nldm_FAKE.lib
46+
# $(PLATFORM_DIR)/lib/asap7sc7p5t_DFFHQNV4X_RVT_TT_nldm_FAKE.lib
4747

4848
export ADDITIONAL_LEFS += $(PLATFORM_DIR)/lef/asap7sc7p5t_DFFHQNH2V2X.lef \
49-
$(PLATFORM_DIR)/lef/asap7sc7p5t_DFFHQNV2X.lef \
50-
$(PLATFORM_DIR)/lef/asap7sc7p5t_DFFHQNV4X.lef
49+
$(PLATFORM_DIR)/lef/asap7sc7p5t_DFFHQNV2X.lef
50+
# $(PLATFORM_DIR)/lef/asap7sc7p5t_DFFHQNV4X.lef
51+
export PLACE_SITE += asap7sc7p5t_pg
5152
endif
5253

5354

@@ -85,7 +86,7 @@ export MIN_BUF_CELL_AND_PORTS = BUFx2_ASAP7_75t_R A Y
8586

8687
# Placement site for core cells
8788
# This can be found in the technology lef
88-
export PLACE_SITE = asap7sc7p5t
89+
export PLACE_SITE += asap7sc7p5t
8990

9091
export MAKE_TRACKS = $(PLATFORM_DIR)/openRoad/make_tracks.tcl
9192

flow/scripts/floorplan.tcl

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -43,14 +43,14 @@ if {[info exists ::env(FLOORPLAN_DEF)]} {
4343
initialize_floorplan -utilization $::env(CORE_UTILIZATION) \
4444
-aspect_ratio $aspect_ratio \
4545
-core_space $core_margin \
46-
-site $::env(PLACE_SITE)
46+
-sites $::env(PLACE_SITE)
4747

4848
# Initialize floorplan using DIE_AREA/CORE_AREA
4949
# ----------------------------------------------------------------------------
5050
} else {
5151
initialize_floorplan -die_area $::env(DIE_AREA) \
5252
-core_area $::env(CORE_AREA) \
53-
-site $::env(PLACE_SITE)
53+
-sites $::env(PLACE_SITE)
5454
}
5555

5656
if { [info exists ::env(MAKE_TRACKS)] } {

jenkins/public_nightly.Jenkinsfile

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -52,6 +52,7 @@ pipeline {
5252
name 'TEST_SLUG';
5353
values "docker build",
5454
"aes asap7",
55+
"aes-mbff asap7",
5556
"aes_lvt asap7",
5657
"ethmac asap7",
5758
"ethmac_lvt asap7",

jenkins/public_tests_all.Jenkinsfile

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -30,6 +30,7 @@ pipeline {
3030
name 'TEST_SLUG';
3131
values "docker build",
3232
"aes asap7",
33+
"aes-mbff asap7",
3334
"aes_lvt asap7",
3435
"ethmac asap7",
3536
"ethmac_lvt asap7",

jenkins/public_tests_small.Jenkinsfile

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -30,6 +30,7 @@ pipeline {
3030
name 'TEST_SLUG';
3131
values "docker build",
3232
"aes asap7",
33+
"aes-mbff asap7",
3334
"aes_lvt asap7",
3435
"ethmac asap7",
3536
"ethmac_lvt asap7",

tools/OpenROAD

Submodule OpenROAD updated 68 files

0 commit comments

Comments
 (0)