Skip to content

Commit 6ffc9e3

Browse files
committed
par: fix sdc in write_artnet test
Signed-off-by: Matt Liberty <[email protected]>
1 parent 3248e26 commit 6ffc9e3

File tree

2 files changed

+3
-1
lines changed

2 files changed

+3
-1
lines changed

src/par/test/write_artnet.ok

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,5 @@
11
[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells
2+
[WARNING STA-0441] set_input_delay relative to a clock defined on the same port/pin not allowed.
23
getFromODB done
34
getFromSTA done
45
getFromPAR done

src/par/test/write_artnet.tcl

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,12 +1,13 @@
11
source "helpers.tcl"
2+
source flow_helpers.tcl
23

34
read_liberty "Nangate45/Nangate45_typ.lib"
45
read_lef Nangate45/Nangate45.lef
56

67
read_verilog gcd.v
78
link_design gcd
89

9-
read_sdc gcd.sdc
10+
read_sdc gcd_nangate45.sdc
1011

1112
set spec_file [make_result_file write_artnet.spec]
1213
write_artnet_spec -out_file $spec_file

0 commit comments

Comments
 (0)