Skip to content

Commit a5073ec

Browse files
committed
added missing SDC flie for one test
Signed-off-by: Cho Moon <[email protected]>
1 parent a1a6d3e commit a5073ec

File tree

1 file changed

+15
-0
lines changed

1 file changed

+15
-0
lines changed

src/rsz/test/gcd.sdc

Lines changed: 15 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
current_design gcd
2+
3+
set clk_name core_clock
4+
set clk_port_name clk
5+
set clk_period 220
6+
set clk_io_pct 0.2
7+
8+
set clk_port [get_ports $clk_port_name]
9+
10+
create_clock -name $clk_name -period $clk_period $clk_port
11+
12+
set non_clock_inputs [all_inputs -no_clocks]
13+
14+
set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs
15+
set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs]

0 commit comments

Comments
 (0)