Skip to content

Commit 2972a1f

Browse files
committed
Add default_nettype none and resetall directives
1 parent 2cd7028 commit 2972a1f

39 files changed

+156
-0
lines changed

rtl/arbiter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* Arbiter module
@@ -153,3 +155,5 @@ always @(posedge clk) begin
153155
end
154156

155157
endmodule
158+
159+
`resetall

rtl/axis_adapter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream bus width adapter
@@ -552,3 +554,5 @@ always @(posedge clk) begin
552554
end
553555

554556
endmodule
557+
558+
`resetall

rtl/axis_arb_mux.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream arbitrated multiplexer
@@ -250,3 +252,5 @@ always @(posedge clk) begin
250252
end
251253

252254
endmodule
255+
256+
`resetall

rtl/axis_arb_mux_wrap.py

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -61,7 +61,9 @@ def generate(ports=4, name=None, output=None):
6161
6262
// Language: Verilog 2001
6363
64+
`resetall
6465
`timescale 1ns / 1ps
66+
`default_nettype none
6567
6668
/*
6769
* AXI4-Stream {{n}} port arbitrated mux (wrapper)
@@ -163,6 +165,8 @@ def generate(ports=4, name=None, output=None):
163165
164166
endmodule
165167
168+
`resetall
169+
166170
""")
167171

168172
print(f"Writing file '{output}'...")

rtl/axis_async_fifo.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream asynchronous FIFO
@@ -618,3 +620,5 @@ always @(posedge m_clk) begin
618620
end
619621

620622
endmodule
623+
624+
`resetall

rtl/axis_async_fifo_adapter.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream asynchronous FIFO with width converter
@@ -353,3 +355,5 @@ fifo_inst (
353355
);
354356

355357
endmodule
358+
359+
`resetall

rtl/axis_broadcast.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream broadcaster
@@ -189,3 +191,5 @@ always @(posedge clk) begin
189191
end
190192

191193
endmodule
194+
195+
`resetall

rtl/axis_broadcast_wrap.py

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -61,7 +61,9 @@ def generate(ports=4, name=None, output=None):
6161
6262
// Language: Verilog 2001
6363
64+
`resetall
6465
`timescale 1ns / 1ps
66+
`default_nettype none
6567
6668
/*
6769
* AXI4-Stream {{n}} port broadcast (wrapper)
@@ -158,6 +160,8 @@ def generate(ports=4, name=None, output=None):
158160
159161
endmodule
160162
163+
`resetall
164+
161165
""")
162166

163167
print(f"Writing file '{output}'...")

rtl/axis_cobs_decode.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream consistent overhead byte stuffing (COBS) decoder
@@ -326,3 +328,5 @@ always @(posedge clk) begin
326328
end
327329

328330
endmodule
331+
332+
`resetall

rtl/axis_cobs_encode.v

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -24,7 +24,9 @@ THE SOFTWARE.
2424

2525
// Language: Verilog 2001
2626

27+
`resetall
2728
`timescale 1ns / 1ps
29+
`default_nettype none
2830

2931
/*
3032
* AXI4-Stream consistent overhead byte stuffing (COBS) encoder
@@ -504,3 +506,5 @@ always @(posedge clk) begin
504506
end
505507

506508
endmodule
509+
510+
`resetall

0 commit comments

Comments
 (0)