Skip to content

Commit 0ec58cc

Browse files
committed
Updated Readme and updated niosv/g hello world
1 parent f9bbf78 commit 0ec58cc

File tree

1 file changed

+148
-0
lines changed
  • niosv_g/niosv_g_helloworld/sources/hw

1 file changed

+148
-0
lines changed
Lines changed: 148 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,148 @@
1+
# (C) 2001-2024 Intel Corporation. All rights reserved.
2+
# Your use of Intel Corporation's design tools, logic functions and other
3+
# software and tools, and its AMPP partner logic functions, and any output
4+
# files from any of the foregoing (including device programming or simulation
5+
# files), and any associated documentation or information are expressly subject
6+
# to the terms and conditions of the Intel Program License Subscription
7+
# Agreement, Intel FPGA IP License Agreement, or other applicable
8+
# license agreement, including, without limitation, that your use is for the
9+
# sole purpose of programming logic devices manufactured by Intel and sold by
10+
# Intel or its authorized distributors. Please refer to the applicable
11+
# agreement for further details.
12+
13+
14+
# (C) 2001-2024 Intel Corporation. All rights reserved.
15+
# Your use of Intel Corporation's design tools, logic functions and other
16+
# software and tools, and its AMPP partner logic functions, and any output
17+
# files from any of the foregoing (including device programming or simulation
18+
# files), and any associated documentation or information are expressly subject
19+
# to the terms and conditions of the Intel Program License Subscription
20+
# Agreement, Intel FPGA IP License Agreement, or other applicable
21+
# license agreement, including, without limitation, that your use is for the
22+
# sole purpose of programming logic devices manufactured by Intel and sold by
23+
# Intel or its authorized distributors. Please refer to the applicable
24+
# agreement for further details.
25+
26+
27+
## Generated SDC file "top.out.sdc"
28+
29+
## Copyright (C) 2023 Intel Corporation. All rights reserved.
30+
## Your use of Intel Corporation's design tools, logic functions
31+
## and other software and tools, and any partner logic
32+
## functions, and any output files from any of the foregoing
33+
## (including device programming or simulation files), and any
34+
## associated documentation or information are expressly subject
35+
## to the terms and conditions of the Intel Program License
36+
## Subscription Agreement, the Intel Quartus Prime License Agreement,
37+
## the Intel FPGA IP License Agreement, or other applicable license
38+
## agreement, including, without limitation, that your use is for
39+
## the sole purpose of programming logic devices manufactured by
40+
## Intel and sold by Intel or its authorized distributors. Please
41+
## refer to the Intel FPGA Software License Subscription Agreements
42+
## on the Quartus Prime software download page.
43+
44+
45+
## VENDOR "Intel Corporation"
46+
## PROGRAM "Quartus Prime"
47+
## VERSION "Version 23.4.0 Internal Build 35 09/21/2023 SC Pro Edition"
48+
49+
## DATE "Tue Oct 3 00:07:51 2023"
50+
51+
##
52+
## DEVICE "AGFB014R24B2E2V"
53+
##
54+
55+
56+
#**************************************************************
57+
# Time Information
58+
#**************************************************************
59+
60+
set_time_format -unit ns -decimal_places 3
61+
62+
63+
64+
#**************************************************************
65+
# Create Clock
66+
#**************************************************************
67+
68+
create_clock -name {altera_reserved_tck} -period 62.500 -waveform { 0.000 31.250 } [get_ports {altera_reserved_tck}]
69+
create_clock -name {clk_clk} -period 8.000 -waveform { 0.000 4.000 } [get_ports {clk_clk}]
70+
71+
72+
#**************************************************************
73+
# Create Generated Clock
74+
#**************************************************************
75+
76+
77+
78+
#**************************************************************
79+
# Set Clock Latency
80+
#**************************************************************
81+
82+
83+
84+
#**************************************************************
85+
# Set Clock Uncertainty
86+
#**************************************************************
87+
88+
89+
90+
#**************************************************************
91+
# Set Input Delay
92+
#**************************************************************
93+
94+
95+
96+
#**************************************************************
97+
# Set Output Delay
98+
#**************************************************************
99+
100+
101+
102+
#**************************************************************
103+
# Set Clock Groups
104+
#**************************************************************
105+
set_clock_groups -asynchronous -group [get_clocks {altera_reserved_tck}]
106+
107+
108+
109+
#**************************************************************
110+
# Set False Path
111+
#**************************************************************
112+
113+
114+
115+
#**************************************************************
116+
# Set Multicycle Path
117+
#**************************************************************
118+
119+
120+
121+
#**************************************************************
122+
# Set Maximum Delay
123+
#**************************************************************
124+
125+
126+
127+
#**************************************************************
128+
# Set Minimum Delay
129+
#**************************************************************
130+
131+
132+
133+
#**************************************************************
134+
# Set Input Transition
135+
#**************************************************************
136+
137+
138+
139+
#**************************************************************
140+
# Set Net Delay
141+
#**************************************************************
142+
143+
144+
145+
#**************************************************************
146+
# Set Max Skew
147+
#**************************************************************
148+

0 commit comments

Comments
 (0)