Skip to content

Commit 2e8c0b0

Browse files
robertszczepanskikgugala
authored andcommitted
Make last DEVICE_ID register reserved
1 parent 51a5a72 commit 2e8c0b0

File tree

9 files changed

+34
-83
lines changed

9 files changed

+34
-83
lines changed

src/csr/I3CCSR.sv

Lines changed: 4 additions & 38 deletions
Original file line numberDiff line numberDiff line change
@@ -137,7 +137,7 @@ module I3CCSR (
137137
logic DEVICE_ID_3;
138138
logic DEVICE_ID_4;
139139
logic DEVICE_ID_5;
140-
logic DEVICE_ID_6;
140+
logic DEVICE_ID_RESERVED;
141141
logic DEVICE_STATUS_0;
142142
logic DEVICE_STATUS_1;
143143
logic DEVICE_RESET;
@@ -288,7 +288,7 @@ module I3CCSR (
288288
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_3 = cpuif_req_masked & (cpuif_addr == 12'h120);
289289
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_4 = cpuif_req_masked & (cpuif_addr == 12'h124);
290290
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_5 = cpuif_req_masked & (cpuif_addr == 12'h128);
291-
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6 = cpuif_req_masked & (cpuif_addr == 12'h12c);
291+
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_RESERVED = cpuif_req_masked & (cpuif_addr == 12'h12c);
292292
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0 = cpuif_req_masked & (cpuif_addr == 12'h130);
293293
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_1 = cpuif_req_masked & (cpuif_addr == 12'h134);
294294
decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_RESET = cpuif_req_masked & (cpuif_addr == 12'h138);
@@ -836,12 +836,6 @@ module I3CCSR (
836836
logic load_next;
837837
} DATA;
838838
} DEVICE_ID_5;
839-
struct packed{
840-
struct packed{
841-
logic [31:0] next;
842-
logic load_next;
843-
} DATA;
844-
} DEVICE_ID_6;
845839
struct packed{
846840
struct packed{
847841
logic [7:0] next;
@@ -2127,11 +2121,6 @@ module I3CCSR (
21272121
logic [31:0] value;
21282122
} DATA;
21292123
} DEVICE_ID_5;
2130-
struct packed{
2131-
struct packed{
2132-
logic [31:0] value;
2133-
} DATA;
2134-
} DEVICE_ID_6;
21352124
struct packed{
21362125
struct packed{
21372126
logic [7:0] value;
@@ -5017,30 +5006,7 @@ module I3CCSR (
50175006
end
50185007
end
50195008
assign hwif_out.I3C_EC.SecFwRecoveryIf.DEVICE_ID_5.DATA.value = field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_5.DATA.value;
5020-
// Field: I3CCSR.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA
5021-
always_comb begin
5022-
automatic logic [31:0] next_c;
5023-
automatic logic load_next_c;
5024-
next_c = field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value;
5025-
load_next_c = '0;
5026-
if(decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6 && decoded_req_is_wr) begin // SW write
5027-
next_c = (field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value & ~decoded_wr_biten[31:0]) | (decoded_wr_data[31:0] & decoded_wr_biten[31:0]);
5028-
load_next_c = '1;
5029-
end else if(hwif_in.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.we) begin // HW Write - we
5030-
next_c = hwif_in.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.next;
5031-
load_next_c = '1;
5032-
end
5033-
field_combo.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.next = next_c;
5034-
field_combo.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.load_next = load_next_c;
5035-
end
5036-
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
5037-
if(~hwif_in.rst_ni) begin
5038-
field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value <= 32'h0;
5039-
end else if(field_combo.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.load_next) begin
5040-
field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value <= field_combo.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.next;
5041-
end
5042-
end
5043-
assign hwif_out.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value = field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value;
5009+
assign hwif_out.I3C_EC.SecFwRecoveryIf.DEVICE_ID_RESERVED.DATA.value = 32'h0;
50445010
// Field: I3CCSR.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0.DEV_STATUS
50455011
always_comb begin
50465012
automatic logic [7:0] next_c;
@@ -9845,7 +9811,7 @@ module I3CCSR (
98459811
assign readback_array[39][31:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_3 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_3.DATA.value : '0;
98469812
assign readback_array[40][31:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_4 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_4.DATA.value : '0;
98479813
assign readback_array[41][31:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_5 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_5.DATA.value : '0;
9848-
assign readback_array[42][31:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6.DATA.value : '0;
9814+
assign readback_array[42][31:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_ID_RESERVED && !decoded_req_is_wr) ? 32'h0 : '0;
98499815
assign readback_array[43][7:0] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0.DEV_STATUS.value : '0;
98509816
assign readback_array[43][15:8] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0.PROT_ERROR.value : '0;
98519817
assign readback_array[43][31:16] = (decoded_reg_strb.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0 && !decoded_req_is_wr) ? field_storage.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0.REC_REASON_CODE.value : '0;

src/csr/I3CCSR_covergroups.svh

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1131,8 +1131,8 @@
11311131

11321132
endgroup
11331133

1134-
/*----------------------- I3CCSR__I3C_EC__SECFWRECOVERYIF__DEVICE_ID_6 COVERGROUPS -----------------------*/
1135-
covergroup I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6_bit_cg with function sample(input bit reg_bit);
1134+
/*----------------------- I3CCSR__I3C_EC__SECFWRECOVERYIF__DEVICE_ID_RESERVED COVERGROUPS -----------------------*/
1135+
covergroup I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED_bit_cg with function sample(input bit reg_bit);
11361136
option.per_instance = 1;
11371137
reg_bit_cp : coverpoint reg_bit {
11381138
bins value[2] = {0,1};
@@ -1143,7 +1143,7 @@
11431143
}
11441144

11451145
endgroup
1146-
covergroup I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6_fld_cg with function sample(
1146+
covergroup I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED_fld_cg with function sample(
11471147
input bit [32-1:0] DATA
11481148
);
11491149
option.per_instance = 1;

src/csr/I3CCSR_pkg.sv

Lines changed: 4 additions & 14 deletions
Original file line numberDiff line numberDiff line change
@@ -339,15 +339,6 @@ package I3CCSR_pkg;
339339
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5__DATA__in_t DATA;
340340
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5__in_t;
341341

342-
typedef struct packed{
343-
logic [31:0] next;
344-
logic we;
345-
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__DATA__in_t;
346-
347-
typedef struct packed{
348-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__DATA__in_t DATA;
349-
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__in_t;
350-
351342
typedef struct packed{
352343
logic [7:0] next;
353344
logic we;
@@ -613,7 +604,6 @@ package I3CCSR_pkg;
613604
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_3__in_t DEVICE_ID_3;
614605
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_4__in_t DEVICE_ID_4;
615606
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5__in_t DEVICE_ID_5;
616-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__in_t DEVICE_ID_6;
617607
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_0__in_t DEVICE_STATUS_0;
618608
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_1__in_t DEVICE_STATUS_1;
619609
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_RESET__in_t DEVICE_RESET;
@@ -1840,11 +1830,11 @@ package I3CCSR_pkg;
18401830

18411831
typedef struct packed{
18421832
logic [31:0] value;
1843-
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__DATA__out_t;
1833+
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED__DATA__out_t;
18441834

18451835
typedef struct packed{
1846-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__DATA__out_t DATA;
1847-
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__out_t;
1836+
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED__DATA__out_t DATA;
1837+
} I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED__out_t;
18481838

18491839
typedef struct packed{
18501840
logic [7:0] value;
@@ -2084,7 +2074,7 @@ package I3CCSR_pkg;
20842074
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_3__out_t DEVICE_ID_3;
20852075
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_4__out_t DEVICE_ID_4;
20862076
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5__out_t DEVICE_ID_5;
2087-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6__out_t DEVICE_ID_6;
2077+
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED__out_t DEVICE_ID_RESERVED;
20882078
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_0__out_t DEVICE_STATUS_0;
20892079
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_1__out_t DEVICE_STATUS_1;
20902080
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_RESET__out_t DEVICE_RESET;

src/csr/I3CCSR_sample.svh

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1361,8 +1361,8 @@
13611361
end
13621362
endfunction
13631363

1364-
/*----------------------- I3CCSR__I3C_EC__SECFWRECOVERYIF__DEVICE_ID_6 SAMPLE FUNCTIONS -----------------------*/
1365-
function void I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6::sample(uvm_reg_data_t data,
1364+
/*----------------------- I3CCSR__I3C_EC__SECFWRECOVERYIF__DEVICE_ID_RESERVED SAMPLE FUNCTIONS -----------------------*/
1365+
function void I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED::sample(uvm_reg_data_t data,
13661366
uvm_reg_data_t byte_en,
13671367
bit is_read,
13681368
uvm_reg_map map);
@@ -1377,7 +1377,7 @@
13771377
end
13781378
endfunction
13791379

1380-
function void I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6::sample_values();
1380+
function void I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED::sample_values();
13811381
if (get_coverage(UVM_CVR_REG_BITS)) begin
13821382
foreach(DATA_bit_cg[bt]) this.DATA_bit_cg[bt].sample(DATA.get_mirrored_value() >> bt);
13831383
end

src/csr/I3CCSR_uvm.sv

Lines changed: 12 additions & 12 deletions
Original file line numberDiff line numberDiff line change
@@ -2108,17 +2108,17 @@ package I3CCSR_uvm;
21082108
endfunction : build
21092109
endclass : I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5
21102110

2111-
// Reg - I3CCSR.I3C_EC.SecFwRecoveryIf.DEVICE_ID_6
2112-
class I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6 extends uvm_reg;
2111+
// Reg - I3CCSR.I3C_EC.SecFwRecoveryIf.DEVICE_ID_RESERVED
2112+
class I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED extends uvm_reg;
21132113
protected uvm_reg_data_t m_current;
21142114
protected uvm_reg_data_t m_data;
21152115
protected bit m_is_read;
21162116

2117-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6_bit_cg DATA_bit_cg[32];
2118-
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6_fld_cg fld_cg;
2117+
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED_bit_cg DATA_bit_cg[32];
2118+
I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED_fld_cg fld_cg;
21192119
rand uvm_reg_field DATA;
21202120

2121-
function new(string name = "I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6");
2121+
function new(string name = "I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED");
21222122
super.new(name, 32, build_coverage(UVM_CVR_ALL));
21232123
endfunction : new
21242124
extern virtual function void sample_values();
@@ -2129,14 +2129,14 @@ package I3CCSR_uvm;
21292129

21302130
virtual function void build();
21312131
this.DATA = new("DATA");
2132-
this.DATA.configure(this, 32, 0, "RW", 1, 'h0, 1, 1, 0);
2132+
this.DATA.configure(this, 32, 0, "RO", 0, 'h0, 1, 1, 0);
21332133
if (has_coverage(UVM_CVR_REG_BITS)) begin
21342134
foreach(DATA_bit_cg[bt]) DATA_bit_cg[bt] = new();
21352135
end
21362136
if (has_coverage(UVM_CVR_FIELD_VALS))
21372137
fld_cg = new();
21382138
endfunction : build
2139-
endclass : I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6
2139+
endclass : I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED
21402140

21412141
// Reg - I3CCSR.I3C_EC.SecFwRecoveryIf.DEVICE_STATUS_0
21422142
class I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_0 extends uvm_reg;
@@ -2701,7 +2701,7 @@ package I3CCSR_uvm;
27012701
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_3 DEVICE_ID_3;
27022702
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_4 DEVICE_ID_4;
27032703
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_5 DEVICE_ID_5;
2704-
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_6 DEVICE_ID_6;
2704+
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_ID_RESERVED DEVICE_ID_RESERVED;
27052705
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_0 DEVICE_STATUS_0;
27062706
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_STATUS_1 DEVICE_STATUS_1;
27072707
rand I3CCSR__I3C_EC__SecFwRecoveryIf__DEVICE_RESET DEVICE_RESET;
@@ -2779,11 +2779,11 @@ package I3CCSR_uvm;
27792779

27802780
this.DEVICE_ID_5.build();
27812781
this.default_map.add_reg(this.DEVICE_ID_5, 'h28);
2782-
this.DEVICE_ID_6 = new("DEVICE_ID_6");
2783-
this.DEVICE_ID_6.configure(this);
2782+
this.DEVICE_ID_RESERVED = new("DEVICE_ID_RESERVED");
2783+
this.DEVICE_ID_RESERVED.configure(this);
27842784

2785-
this.DEVICE_ID_6.build();
2786-
this.default_map.add_reg(this.DEVICE_ID_6, 'h2c);
2785+
this.DEVICE_ID_RESERVED.build();
2786+
this.default_map.add_reg(this.DEVICE_ID_RESERVED, 'h2c);
27872787
this.DEVICE_STATUS_0 = new("DEVICE_STATUS_0");
27882788
this.DEVICE_STATUS_0.configure(this);
27892789

src/rdl/docs/README.md

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1106,7 +1106,7 @@ When set to 0, it holds execution of enqueued commands and runs current command
11061106
| 0x20 | DEVICE_ID_3 | Device Identification 3 |
11071107
| 0x24 | DEVICE_ID_4 | Device Identification 4 |
11081108
| 0x28 | DEVICE_ID_5 | Device Identification 5 |
1109-
| 0x2C | DEVICE_ID_6 | Device Identification 6 |
1109+
| 0x2C | DEVICE_ID_RESERVED | Reserved |
11101110
| 0x30 | DEVICE_STATUS_0 | Device status 0 |
11111111
| 0x34 | DEVICE_STATUS_1 | Device status 1 |
11121112
| 0x38 | DEVICE_RESET | Reset control |
@@ -1384,15 +1384,15 @@ When set to 0, it holds execution of enqueued commands and runs current command
13841384

13851385

13861386

1387-
### DEVICE_ID_6 register
1387+
### DEVICE_ID_RESERVED register
13881388

13891389
- Absolute Address: 0x12C
13901390
- Base Offset: 0x2C
13911391
- Size: 0x4
13921392

13931393
|Bits|Identifier|Access|Reset|Name|
13941394
|----|----------|------|-----|----|
1395-
|31:0| DATA | rw | 0x0 | |
1395+
|31:0| DATA | r | 0x0 | |
13961396

13971397
#### DATA field
13981398

src/rdl/secure_firmware_recovery_interface.rdl

Lines changed: 4 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -216,16 +216,15 @@ regfile SecureFirmwareRecoveryInterfaceRegisters{
216216
} DATA[31:0];
217217
} DEVICE_ID_5;
218218
reg {
219-
name = "Device Identification 6";
219+
name = "Reserved";
220220
field {
221221
name = "";
222222
desc = "";
223-
sw = rw;
224-
hw = rw;
225-
we = true;
223+
sw = r;
224+
hw = r;
226225
reset = 32'h0;
227226
} DATA[31:0];
228-
} DEVICE_ID_6;
227+
} DEVICE_ID_RESERVED;
229228
reg {
230229
name = "Device status 0";
231230
field {

src/recovery/recovery_executor.sv

Lines changed: 0 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -122,7 +122,6 @@ module recovery_executor
122122
CSR_DEVICE_ID_3 = 'd7,
123123
CSR_DEVICE_ID_4 = 'd8,
124124
CSR_DEVICE_ID_5 = 'd9,
125-
CSR_DEVICE_ID_6 = 'd10,
126125
CSR_DEVICE_STATUS_0 = 'd11,
127126
CSR_DEVICE_STATUS_1 = 'd12,
128127
CSR_DEVICE_RESET = 'd13,
@@ -317,7 +316,6 @@ module recovery_executor
317316
CSR_DEVICE_ID_3: csr_data <= hwif_rec_i.DEVICE_ID_3.DATA.value;
318317
CSR_DEVICE_ID_4: csr_data <= hwif_rec_i.DEVICE_ID_4.DATA.value;
319318
CSR_DEVICE_ID_5: csr_data <= hwif_rec_i.DEVICE_ID_5.DATA.value;
320-
CSR_DEVICE_ID_6: csr_data <= hwif_rec_i.DEVICE_ID_6.DATA.value;
321319
CSR_DEVICE_STATUS_0: csr_data <= device_status_0;
322320
CSR_DEVICE_STATUS_1: csr_data <= device_status_1;
323321
CSR_DEVICE_RESET: csr_data <= device_reset;
@@ -594,7 +592,6 @@ module recovery_executor
594592
hwif_rec_o.DEVICE_ID_3.DATA.we = '0;
595593
hwif_rec_o.DEVICE_ID_4.DATA.we = '0;
596594
hwif_rec_o.DEVICE_ID_5.DATA.we = '0;
597-
hwif_rec_o.DEVICE_ID_6.DATA.we = '0;
598595
hwif_rec_o.DEVICE_STATUS_1.HEARTBEAT.we = '0;
599596
hwif_rec_o.DEVICE_STATUS_1.VENDOR_STATUS_LENGTH.we = '0;
600597
hwif_rec_o.DEVICE_STATUS_1.VENDOR_STATUS.we = '0;
@@ -680,7 +677,6 @@ module recovery_executor
680677
hwif_rec_o.DEVICE_ID_3.DATA.next = '0;
681678
hwif_rec_o.DEVICE_ID_4.DATA.next = '0;
682679
hwif_rec_o.DEVICE_ID_5.DATA.next = '0;
683-
hwif_rec_o.DEVICE_ID_6.DATA.next = '0;
684680
hwif_rec_o.DEVICE_ID_0.DESC_TYPE.next = '0;
685681
hwif_rec_o.DEVICE_ID_0.VENDOR_SPECIFIC_STR_LENGTH.next = '0;
686682
hwif_rec_o.DEVICE_ID_0.DATA.next = '0;

verification/cocotb/common/reg_map.py

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -772,7 +772,7 @@
772772
"mask": 4294967295
773773
}
774774
},
775-
"DEVICE_ID_6": {
775+
"DEVICE_ID_RESERVED": {
776776
"base_addr": 300,
777777
"offset": 300,
778778
"DATA": {

0 commit comments

Comments
 (0)