Skip to content

Commit 388dc0a

Browse files
Set default values to STBY_CR_CAPABILITIES register
Internal-tag: [#75231]
1 parent 3627b94 commit 388dc0a

File tree

6 files changed

+32
-225
lines changed

6 files changed

+32
-225
lines changed

src/csr/I3CCSR.sv

Lines changed: 10 additions & 170 deletions
Original file line numberDiff line numberDiff line change
@@ -1072,28 +1072,6 @@ module I3CCSR (
10721072
logic load_next;
10731073
} DYNAMIC_ADDR_VALID;
10741074
} STBY_CR_DEVICE_ADDR;
1075-
struct packed{
1076-
struct packed{
1077-
logic next;
1078-
logic load_next;
1079-
} SIMPLE_CRR_SUPPORT;
1080-
struct packed{
1081-
logic next;
1082-
logic load_next;
1083-
} TARGET_XACT_SUPPORT;
1084-
struct packed{
1085-
logic next;
1086-
logic load_next;
1087-
} DAA_SETAASA_SUPPORT;
1088-
struct packed{
1089-
logic next;
1090-
logic load_next;
1091-
} DAA_SETDASA_SUPPORT;
1092-
struct packed{
1093-
logic next;
1094-
logic load_next;
1095-
} DAA_ENTDAA_SUPPORT;
1096-
} STBY_CR_CAPABILITIES;
10971075
struct packed{
10981076
struct packed{
10991077
logic [31:0] next;
@@ -2303,23 +2281,6 @@ module I3CCSR (
23032281
logic value;
23042282
} DYNAMIC_ADDR_VALID;
23052283
} STBY_CR_DEVICE_ADDR;
2306-
struct packed{
2307-
struct packed{
2308-
logic value;
2309-
} SIMPLE_CRR_SUPPORT;
2310-
struct packed{
2311-
logic value;
2312-
} TARGET_XACT_SUPPORT;
2313-
struct packed{
2314-
logic value;
2315-
} DAA_SETAASA_SUPPORT;
2316-
struct packed{
2317-
logic value;
2318-
} DAA_SETDASA_SUPPORT;
2319-
struct packed{
2320-
logic value;
2321-
} DAA_ENTDAA_SUPPORT;
2322-
} STBY_CR_CAPABILITIES;
23232284
struct packed{
23242285
struct packed{
23252286
logic [31:0] value;
@@ -6463,132 +6424,11 @@ module I3CCSR (
64636424
end
64646425
end
64656426
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_ADDR.DYNAMIC_ADDR_VALID.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_ADDR.DYNAMIC_ADDR_VALID.value;
6466-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT
6467-
always_comb begin
6468-
automatic logic [0:0] next_c;
6469-
automatic logic load_next_c;
6470-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value;
6471-
load_next_c = '0;
6472-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && decoded_req_is_wr) begin // SW write
6473-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value & ~decoded_wr_biten[5:5]) | (decoded_wr_data[5:5] & decoded_wr_biten[5:5]);
6474-
load_next_c = '1;
6475-
end else begin // HW Write
6476-
next_c = hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.next;
6477-
load_next_c = '1;
6478-
end
6479-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.next = next_c;
6480-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.load_next = load_next_c;
6481-
end
6482-
always_ff @(posedge clk) begin
6483-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.load_next) begin
6484-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.next;
6485-
end
6486-
end
6487-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value;
6488-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT
6489-
always_comb begin
6490-
automatic logic [0:0] next_c;
6491-
automatic logic load_next_c;
6492-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value;
6493-
load_next_c = '0;
6494-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && decoded_req_is_wr) begin // SW write
6495-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value & ~decoded_wr_biten[12:12]) | (decoded_wr_data[12:12] & decoded_wr_biten[12:12]);
6496-
load_next_c = '1;
6497-
end else begin // HW Write
6498-
next_c = hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.next;
6499-
load_next_c = '1;
6500-
end
6501-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.next = next_c;
6502-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.load_next = load_next_c;
6503-
end
6504-
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
6505-
if(~hwif_in.rst_ni) begin
6506-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value <= 1'h1;
6507-
end else begin
6508-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.load_next) begin
6509-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.next;
6510-
end
6511-
end
6512-
end
6513-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value;
6514-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT
6515-
always_comb begin
6516-
automatic logic [0:0] next_c;
6517-
automatic logic load_next_c;
6518-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value;
6519-
load_next_c = '0;
6520-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && decoded_req_is_wr) begin // SW write
6521-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value & ~decoded_wr_biten[13:13]) | (decoded_wr_data[13:13] & decoded_wr_biten[13:13]);
6522-
load_next_c = '1;
6523-
end else begin // HW Write
6524-
next_c = hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.next;
6525-
load_next_c = '1;
6526-
end
6527-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.next = next_c;
6528-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.load_next = load_next_c;
6529-
end
6530-
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
6531-
if(~hwif_in.rst_ni) begin
6532-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value <= 1'h1;
6533-
end else begin
6534-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.load_next) begin
6535-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.next;
6536-
end
6537-
end
6538-
end
6539-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value;
6540-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT
6541-
always_comb begin
6542-
automatic logic [0:0] next_c;
6543-
automatic logic load_next_c;
6544-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value;
6545-
load_next_c = '0;
6546-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && decoded_req_is_wr) begin // SW write
6547-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value & ~decoded_wr_biten[14:14]) | (decoded_wr_data[14:14] & decoded_wr_biten[14:14]);
6548-
load_next_c = '1;
6549-
end else begin // HW Write
6550-
next_c = hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.next;
6551-
load_next_c = '1;
6552-
end
6553-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.next = next_c;
6554-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.load_next = load_next_c;
6555-
end
6556-
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
6557-
if(~hwif_in.rst_ni) begin
6558-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value <= 1'h1;
6559-
end else begin
6560-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.load_next) begin
6561-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.next;
6562-
end
6563-
end
6564-
end
6565-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value;
6566-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT
6567-
always_comb begin
6568-
automatic logic [0:0] next_c;
6569-
automatic logic load_next_c;
6570-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value;
6571-
load_next_c = '0;
6572-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && decoded_req_is_wr) begin // SW write
6573-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value & ~decoded_wr_biten[15:15]) | (decoded_wr_data[15:15] & decoded_wr_biten[15:15]);
6574-
load_next_c = '1;
6575-
end else begin // HW Write
6576-
next_c = hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.next;
6577-
load_next_c = '1;
6578-
end
6579-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.next = next_c;
6580-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.load_next = load_next_c;
6581-
end
6582-
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
6583-
if(~hwif_in.rst_ni) begin
6584-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value <= 1'h1;
6585-
end else begin
6586-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.load_next) begin
6587-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.next;
6588-
end
6589-
end
6590-
end
6591-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value;
6427+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value = 1'h0;
6428+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value = 1'h1;
6429+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value = 1'h1;
6430+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value = 1'h1;
6431+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value = 1'h0;
65926432
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.__rsvd_0.__rsvd
65936433
always_comb begin
65946434
automatic logic [31:0] next_c;
@@ -10306,12 +10146,12 @@ module I3CCSR (
1030610146
assign readback_array[60][30:23] = '0;
1030710147
assign readback_array[60][31:31] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_ADDR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_ADDR.DYNAMIC_ADDR_VALID.value : '0;
1030810148
assign readback_array[61][4:0] = '0;
10309-
assign readback_array[61][5:5] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.value : '0;
10149+
assign readback_array[61][5:5] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h0 : '0;
1031010150
assign readback_array[61][11:6] = '0;
10311-
assign readback_array[61][12:12] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.value : '0;
10312-
assign readback_array[61][13:13] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value : '0;
10313-
assign readback_array[61][14:14] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value : '0;
10314-
assign readback_array[61][15:15] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value : '0;
10151+
assign readback_array[61][12:12] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h1 : '0;
10152+
assign readback_array[61][13:13] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h1 : '0;
10153+
assign readback_array[61][14:14] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h1 : '0;
10154+
assign readback_array[61][15:15] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h0 : '0;
1031510155
assign readback_array[61][31:16] = '0;
1031610156
assign readback_array[62][31:0] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.__rsvd_0 && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.__rsvd_0.__rsvd.value : '0;
1031710157
assign readback_array[63][1:0] = '0;

src/csr/I3CCSR_pkg.sv

Lines changed: 0 additions & 29 deletions
Original file line numberDiff line numberDiff line change
@@ -697,34 +697,6 @@ package I3CCSR_pkg;
697697
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_ADDR__DYNAMIC_ADDR_VALID__in_t DYNAMIC_ADDR_VALID;
698698
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_ADDR__in_t;
699699

700-
typedef struct packed{
701-
logic next;
702-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__SIMPLE_CRR_SUPPORT__in_t;
703-
704-
typedef struct packed{
705-
logic next;
706-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__TARGET_XACT_SUPPORT__in_t;
707-
708-
typedef struct packed{
709-
logic next;
710-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_SETAASA_SUPPORT__in_t;
711-
712-
typedef struct packed{
713-
logic next;
714-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_SETDASA_SUPPORT__in_t;
715-
716-
typedef struct packed{
717-
logic next;
718-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_ENTDAA_SUPPORT__in_t;
719-
720-
typedef struct packed{
721-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__SIMPLE_CRR_SUPPORT__in_t SIMPLE_CRR_SUPPORT;
722-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__TARGET_XACT_SUPPORT__in_t TARGET_XACT_SUPPORT;
723-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_SETAASA_SUPPORT__in_t DAA_SETAASA_SUPPORT;
724-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_SETDASA_SUPPORT__in_t DAA_SETDASA_SUPPORT;
725-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__DAA_ENTDAA_SUPPORT__in_t DAA_ENTDAA_SUPPORT;
726-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__in_t;
727-
728700
typedef struct packed{
729701
logic [31:0] next;
730702
} I3CCSR__I3C_EC__StdbyCtrlMode____rsvd_0____rsvd__in_t;
@@ -1022,7 +994,6 @@ package I3CCSR_pkg;
1022994
typedef struct packed{
1023995
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CONTROL__in_t STBY_CR_CONTROL;
1024996
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_ADDR__in_t STBY_CR_DEVICE_ADDR;
1025-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__in_t STBY_CR_CAPABILITIES;
1026997
I3CCSR__I3C_EC__StdbyCtrlMode____rsvd_0__in_t __rsvd_0;
1027998
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_STATUS__in_t STBY_CR_STATUS;
1028999
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_INTR_STATUS__in_t STBY_CR_INTR_STATUS;

src/csr/I3CCSR_uvm.sv

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -3056,15 +3056,15 @@ package I3CCSR_uvm;
30563056

30573057
virtual function void build();
30583058
this.SIMPLE_CRR_SUPPORT = new("SIMPLE_CRR_SUPPORT");
3059-
this.SIMPLE_CRR_SUPPORT.configure(this, 1, 5, "RW", 1, 'h0, 0, 1, 0);
3059+
this.SIMPLE_CRR_SUPPORT.configure(this, 1, 5, "RO", 0, 'h0, 1, 1, 0);
30603060
this.TARGET_XACT_SUPPORT = new("TARGET_XACT_SUPPORT");
3061-
this.TARGET_XACT_SUPPORT.configure(this, 1, 12, "RW", 1, 'h1, 1, 1, 0);
3061+
this.TARGET_XACT_SUPPORT.configure(this, 1, 12, "RO", 0, 'h1, 1, 1, 0);
30623062
this.DAA_SETAASA_SUPPORT = new("DAA_SETAASA_SUPPORT");
3063-
this.DAA_SETAASA_SUPPORT.configure(this, 1, 13, "RW", 1, 'h1, 1, 1, 0);
3063+
this.DAA_SETAASA_SUPPORT.configure(this, 1, 13, "RO", 0, 'h1, 1, 1, 0);
30643064
this.DAA_SETDASA_SUPPORT = new("DAA_SETDASA_SUPPORT");
3065-
this.DAA_SETDASA_SUPPORT.configure(this, 1, 14, "RW", 1, 'h1, 1, 1, 0);
3065+
this.DAA_SETDASA_SUPPORT.configure(this, 1, 14, "RO", 0, 'h1, 1, 1, 0);
30663066
this.DAA_ENTDAA_SUPPORT = new("DAA_ENTDAA_SUPPORT");
3067-
this.DAA_ENTDAA_SUPPORT.configure(this, 1, 15, "RW", 1, 'h1, 1, 1, 0);
3067+
this.DAA_ENTDAA_SUPPORT.configure(this, 1, 15, "RO", 0, 'h0, 1, 1, 0);
30683068
if (has_coverage(UVM_CVR_REG_BITS)) begin
30693069
foreach(SIMPLE_CRR_SUPPORT_bit_cg[bt]) SIMPLE_CRR_SUPPORT_bit_cg[bt] = new();
30703070
foreach(TARGET_XACT_SUPPORT_bit_cg[bt]) TARGET_XACT_SUPPORT_bit_cg[bt] = new();

src/hci/hci.sv

Lines changed: 0 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -545,11 +545,6 @@ module hci
545545
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CONTROL.DAA_SETDASA_ENABLE.we = '0;
546546
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CONTROL.DAA_SETDASA_ENABLE.next = '0;
547547
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CONTROL.DAA_ENTDAA_ENABLE.we = '0;
548-
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.SIMPLE_CRR_SUPPORT.next = '0;
549-
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.TARGET_XACT_SUPPORT.next = '0;
550-
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.next = '0;
551-
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.next = '0;
552-
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.next = '0;
553548
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS.AC_CURRENT_OWN.next = '0;
554549
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS.SIMPLE_CRR_STATUS.next = '0;
555550
hwif_in.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS.HJ_REQ_STATUS.next = '0;

src/rdl/docs/README.md

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -2124,11 +2124,11 @@ to receive its Dynamic Address before operating in Standby Controller mode.</p>
21242124

21252125
|Bits| Identifier |Access|Reset| Name |
21262126
|----|-------------------|------|-----|-------------------|
2127-
| 5 | SIMPLE_CRR_SUPPORT| rw | | SIMPLE_CRR_SUPPORT|
2128-
| 12 |TARGET_XACT_SUPPORT| rw | 0x1 |TARGET_XACT_SUPPORT|
2129-
| 13 |DAA_SETAASA_SUPPORT| rw | 0x1 |DAA_SETAASA_SUPPORT|
2130-
| 14 |DAA_SETDASA_SUPPORT| rw | 0x1 |DAA_SETDASA_SUPPORT|
2131-
| 15 | DAA_ENTDAA_SUPPORT| rw | 0x1 | DAA_ENTDAA_SUPPORT|
2127+
| 5 | SIMPLE_CRR_SUPPORT| r | 0x0 | SIMPLE_CRR_SUPPORT|
2128+
| 12 |TARGET_XACT_SUPPORT| r | 0x1 |TARGET_XACT_SUPPORT|
2129+
| 13 |DAA_SETAASA_SUPPORT| r | 0x1 |DAA_SETAASA_SUPPORT|
2130+
| 14 |DAA_SETDASA_SUPPORT| r | 0x1 |DAA_SETDASA_SUPPORT|
2131+
| 15 | DAA_ENTDAA_SUPPORT| r | 0x0 | DAA_ENTDAA_SUPPORT|
21322132

21332133
#### SIMPLE_CRR_SUPPORT field
21342134

0 commit comments

Comments
 (0)