Skip to content

Commit 75cf17e

Browse files
committed
fix typo in virtual device CSR name
1 parent aa375a9 commit 75cf17e

File tree

8 files changed

+82
-82
lines changed

8 files changed

+82
-82
lines changed

src/csr/I3CCSR.sv

Lines changed: 48 additions & 48 deletions
Original file line numberDiff line numberDiff line change
@@ -161,7 +161,7 @@ module I3CCSR (
161161
logic STBY_CR_CONTROL;
162162
logic STBY_CR_DEVICE_ADDR;
163163
logic STBY_CR_CAPABILITIES;
164-
logic STBY_CR_VIRUTAL_DEVICE_CHAR;
164+
logic STBY_CR_VIRTUAL_DEVICE_CHAR;
165165
logic STBY_CR_STATUS;
166166
logic STBY_CR_DEVICE_CHAR;
167167
logic STBY_CR_DEVICE_PID_LO;
@@ -311,7 +311,7 @@ module I3CCSR (
311311
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CONTROL = cpuif_req_masked & (cpuif_addr == 12'h184);
312312
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_ADDR = cpuif_req_masked & (cpuif_addr == 12'h188);
313313
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES = cpuif_req_masked & (cpuif_addr == 12'h18c);
314-
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR = cpuif_req_masked & (cpuif_addr == 12'h190);
314+
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR = cpuif_req_masked & (cpuif_addr == 12'h190);
315315
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS = cpuif_req_masked & (cpuif_addr == 12'h194);
316316
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_CHAR = cpuif_req_masked & (cpuif_addr == 12'h198);
317317
decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_DEVICE_PID_LO = cpuif_req_masked & (cpuif_addr == 12'h19c);
@@ -1083,7 +1083,7 @@ module I3CCSR (
10831083
logic [2:0] next;
10841084
logic load_next;
10851085
} BCR_FIXED;
1086-
} STBY_CR_VIRUTAL_DEVICE_CHAR;
1086+
} STBY_CR_VIRTUAL_DEVICE_CHAR;
10871087
struct packed{
10881088
struct packed{
10891089
logic next;
@@ -2319,7 +2319,7 @@ module I3CCSR (
23192319
struct packed{
23202320
logic [2:0] value;
23212321
} BCR_FIXED;
2322-
} STBY_CR_VIRUTAL_DEVICE_CHAR;
2322+
} STBY_CR_VIRTUAL_DEVICE_CHAR;
23232323
struct packed{
23242324
struct packed{
23252325
logic value;
@@ -6457,98 +6457,98 @@ module I3CCSR (
64576457
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETAASA_SUPPORT.value = 1'h1;
64586458
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_SETDASA_SUPPORT.value = 1'h1;
64596459
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES.DAA_ENTDAA_SUPPORT.value = 1'h0;
6460-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI
6460+
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI
64616461
always_comb begin
64626462
automatic logic [14:0] next_c;
64636463
automatic logic load_next_c;
6464-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value;
6464+
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value;
64656465
load_next_c = '0;
6466-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6467-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value & ~decoded_wr_biten[15:1]) | (decoded_wr_data[15:1] & decoded_wr_biten[15:1]);
6466+
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6467+
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value & ~decoded_wr_biten[15:1]) | (decoded_wr_data[15:1] & decoded_wr_biten[15:1]);
64686468
load_next_c = '1;
64696469
end
6470-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.next = next_c;
6471-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.load_next = load_next_c;
6470+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.next = next_c;
6471+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.load_next = load_next_c;
64726472
end
64736473
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
64746474
if(~hwif_in.rst_ni) begin
6475-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value <= 15'h7fff;
6475+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value <= 15'h7fff;
64766476
end else begin
6477-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.load_next) begin
6478-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.next;
6477+
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.load_next) begin
6478+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.next;
64796479
end
64806480
end
64816481
end
6482-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value;
6483-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR
6482+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value;
6483+
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR
64846484
always_comb begin
64856485
automatic logic [7:0] next_c;
64866486
automatic logic load_next_c;
6487-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value;
6487+
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value;
64886488
load_next_c = '0;
6489-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6490-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value & ~decoded_wr_biten[23:16]) | (decoded_wr_data[23:16] & decoded_wr_biten[23:16]);
6489+
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6490+
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value & ~decoded_wr_biten[23:16]) | (decoded_wr_data[23:16] & decoded_wr_biten[23:16]);
64916491
load_next_c = '1;
64926492
end
6493-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.next = next_c;
6494-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.load_next = load_next_c;
6493+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.next = next_c;
6494+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.load_next = load_next_c;
64956495
end
64966496
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
64976497
if(~hwif_in.rst_ni) begin
6498-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value <= 8'hbd;
6498+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value <= 8'hbd;
64996499
end else begin
6500-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.load_next) begin
6501-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.next;
6500+
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.load_next) begin
6501+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.next;
65026502
end
65036503
end
65046504
end
6505-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value;
6506-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR
6505+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value;
6506+
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR
65076507
always_comb begin
65086508
automatic logic [4:0] next_c;
65096509
automatic logic load_next_c;
6510-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value;
6510+
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value;
65116511
load_next_c = '0;
6512-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6513-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value & ~decoded_wr_biten[28:24]) | (decoded_wr_data[28:24] & decoded_wr_biten[28:24]);
6512+
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6513+
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value & ~decoded_wr_biten[28:24]) | (decoded_wr_data[28:24] & decoded_wr_biten[28:24]);
65146514
load_next_c = '1;
65156515
end
6516-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.next = next_c;
6517-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.load_next = load_next_c;
6516+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.next = next_c;
6517+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.load_next = load_next_c;
65186518
end
65196519
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
65206520
if(~hwif_in.rst_ni) begin
6521-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value <= 5'h6;
6521+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value <= 5'h6;
65226522
end else begin
6523-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.load_next) begin
6524-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.next;
6523+
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.load_next) begin
6524+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.next;
65256525
end
65266526
end
65276527
end
6528-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value;
6529-
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED
6528+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value;
6529+
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED
65306530
always_comb begin
65316531
automatic logic [2:0] next_c;
65326532
automatic logic load_next_c;
6533-
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value;
6533+
next_c = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value;
65346534
load_next_c = '0;
6535-
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6536-
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value & ~decoded_wr_biten[31:29]) | (decoded_wr_data[31:29] & decoded_wr_biten[31:29]);
6535+
if(decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && decoded_req_is_wr) begin // SW write
6536+
next_c = (field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value & ~decoded_wr_biten[31:29]) | (decoded_wr_data[31:29] & decoded_wr_biten[31:29]);
65376537
load_next_c = '1;
65386538
end
6539-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.next = next_c;
6540-
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.load_next = load_next_c;
6539+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.next = next_c;
6540+
field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.load_next = load_next_c;
65416541
end
65426542
always_ff @(posedge clk or negedge hwif_in.rst_ni) begin
65436543
if(~hwif_in.rst_ni) begin
6544-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value <= 3'h1;
6544+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value <= 3'h1;
65456545
end else begin
6546-
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.load_next) begin
6547-
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.next;
6546+
if(field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.load_next) begin
6547+
field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value <= field_combo.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.next;
65486548
end
65496549
end
65506550
end
6551-
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value;
6551+
assign hwif_out.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value = field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value;
65526552
// Field: I3CCSR.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS.AC_CURRENT_OWN
65536553
always_comb begin
65546554
automatic logic [0:0] next_c;
@@ -10408,10 +10408,10 @@ module I3CCSR (
1040810408
assign readback_array[61][15:15] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_CAPABILITIES && !decoded_req_is_wr) ? 1'h0 : '0;
1040910409
assign readback_array[61][31:16] = '0;
1041010410
assign readback_array[62][0:0] = '0;
10411-
assign readback_array[62][15:1] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.PID_HI.value : '0;
10412-
assign readback_array[62][23:16] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.DCR.value : '0;
10413-
assign readback_array[62][28:24] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_VAR.value : '0;
10414-
assign readback_array[62][31:29] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRUTAL_DEVICE_CHAR.BCR_FIXED.value : '0;
10411+
assign readback_array[62][15:1] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.PID_HI.value : '0;
10412+
assign readback_array[62][23:16] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.DCR.value : '0;
10413+
assign readback_array[62][28:24] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_VAR.value : '0;
10414+
assign readback_array[62][31:29] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_VIRTUAL_DEVICE_CHAR.BCR_FIXED.value : '0;
1041510415
assign readback_array[63][1:0] = '0;
1041610416
assign readback_array[63][2:2] = (decoded_reg_strb.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS && !decoded_req_is_wr) ? field_storage.I3C_EC.StdbyCtrlMode.STBY_CR_STATUS.AC_CURRENT_OWN.value : '0;
1041710417
assign readback_array[63][4:3] = '0;

src/csr/I3CCSR_covergroups.svh

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1609,8 +1609,8 @@
16091609

16101610
endgroup
16111611

1612-
/*----------------------- I3CCSR__I3C_EC__STDBYCTRLMODE__STBY_CR_VIRUTAL_DEVICE_CHAR COVERGROUPS -----------------------*/
1613-
covergroup I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR_bit_cg with function sample(input bit reg_bit);
1612+
/*----------------------- I3CCSR__I3C_EC__STDBYCTRLMODE__STBY_CR_VIRTUAL_DEVICE_CHAR COVERGROUPS -----------------------*/
1613+
covergroup I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR_bit_cg with function sample(input bit reg_bit);
16141614
option.per_instance = 1;
16151615
reg_bit_cp : coverpoint reg_bit {
16161616
bins value[2] = {0,1};
@@ -1621,7 +1621,7 @@
16211621
}
16221622

16231623
endgroup
1624-
covergroup I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR_fld_cg with function sample(
1624+
covergroup I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR_fld_cg with function sample(
16251625
input bit [15-1:0] PID_HI,
16261626
input bit [8-1:0] DCR,
16271627
input bit [5-1:0] BCR_VAR,

src/csr/I3CCSR_pkg.sv

Lines changed: 10 additions & 10 deletions
Original file line numberDiff line numberDiff line change
@@ -2194,26 +2194,26 @@ package I3CCSR_pkg;
21942194

21952195
typedef struct packed{
21962196
logic [14:0] value;
2197-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__PID_HI__out_t;
2197+
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__PID_HI__out_t;
21982198

21992199
typedef struct packed{
22002200
logic [7:0] value;
2201-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__DCR__out_t;
2201+
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__DCR__out_t;
22022202

22032203
typedef struct packed{
22042204
logic [4:0] value;
2205-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__BCR_VAR__out_t;
2205+
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__BCR_VAR__out_t;
22062206

22072207
typedef struct packed{
22082208
logic [2:0] value;
2209-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__BCR_FIXED__out_t;
2209+
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__BCR_FIXED__out_t;
22102210

22112211
typedef struct packed{
2212-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__PID_HI__out_t PID_HI;
2213-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__DCR__out_t DCR;
2214-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__BCR_VAR__out_t BCR_VAR;
2215-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__BCR_FIXED__out_t BCR_FIXED;
2216-
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__out_t;
2212+
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__PID_HI__out_t PID_HI;
2213+
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__DCR__out_t DCR;
2214+
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__BCR_VAR__out_t BCR_VAR;
2215+
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__BCR_FIXED__out_t BCR_FIXED;
2216+
} I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__out_t;
22172217

22182218
typedef struct packed{
22192219
logic value;
@@ -2528,7 +2528,7 @@ package I3CCSR_pkg;
25282528
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CONTROL__out_t STBY_CR_CONTROL;
25292529
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_ADDR__out_t STBY_CR_DEVICE_ADDR;
25302530
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_CAPABILITIES__out_t STBY_CR_CAPABILITIES;
2531-
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRUTAL_DEVICE_CHAR__out_t STBY_CR_VIRUTAL_DEVICE_CHAR;
2531+
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_VIRTUAL_DEVICE_CHAR__out_t STBY_CR_VIRTUAL_DEVICE_CHAR;
25322532
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_STATUS__out_t STBY_CR_STATUS;
25332533
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_CHAR__out_t STBY_CR_DEVICE_CHAR;
25342534
I3CCSR__I3C_EC__StdbyCtrlMode__STBY_CR_DEVICE_PID_LO__out_t STBY_CR_DEVICE_PID_LO;

0 commit comments

Comments
 (0)