Skip to content

Commit 9950392

Browse files
robertszczepanskitmichalak
authored andcommitted
Delay control signals to FIFO
1 parent e1dfc16 commit 9950392

File tree

1 file changed

+14
-9
lines changed

1 file changed

+14
-9
lines changed

verification/cocotb/block/axi_adapter/axi_adapter_wrapper.sv

Lines changed: 14 additions & 9 deletions
Original file line numberDiff line numberDiff line change
@@ -254,29 +254,34 @@ module axi_adapter_wrapper
254254
end : other_uninit_signals
255255

256256
logic wr_ack_q, rd_ack_q;
257-
logic [31:0] rdata_q, wdata_q;
257+
logic fifo_rready_q, fifo_wvalid_q;
258+
logic [31:0] fifo_rdata_q, fifo_wdata_q;
258259

259260
always_comb begin : connect_inidrect_fifo
260-
fifo_wvalid = hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req & hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req_is_wr;
261-
fifo_wdata = wdata_q;
261+
fifo_wvalid = fifo_wvalid_q;
262+
fifo_wdata = fifo_wdata_q;
262263
hwif_in.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.wr_ack = wr_ack_q;
263264

264-
fifo_rready = hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req & ~hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req_is_wr;
265-
hwif_in.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.rd_data = rdata_q;
265+
fifo_rready = fifo_rready_q;
266+
hwif_in.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.rd_data = fifo_rdata_q;
266267
hwif_in.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.rd_ack = rd_ack_q;
267268
end
268269

269270
always_ff @(posedge aclk or negedge areset_n) begin : stall_fifo_access
270271
if (~areset_n) begin
271272
wr_ack_q <= '0;
272273
rd_ack_q <= '0;
273-
rdata_q <= '0;
274-
wdata_q <= '0;
274+
fifo_rready_q <= '0;
275+
fifo_wvalid_q <= '0;
276+
fifo_rdata_q <= '0;
277+
fifo_wdata_q <= '0;
275278
end else begin
276279
wr_ack_q <= fifo_wvalid & fifo_wready;
277280
rd_ack_q <= fifo_rvalid & fifo_rready;
278-
rdata_q <= fifo_rdata;
279-
wdata_q <= hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.wr_data;
281+
fifo_rready_q <= hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req & ~hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req_is_wr;
282+
fifo_wvalid_q <= hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req & hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.req_is_wr;
283+
fifo_rdata_q <= fifo_rdata;
284+
fifo_wdata_q <= hwif_out.I3C_EC.SecFwRecoveryIf.INDIRECT_FIFO_DATA.wr_data;
280285
end
281286
end
282287
endmodule

0 commit comments

Comments
 (0)