Skip to content

Commit b3910f3

Browse files
Improve cover properties clock events
1 parent 5a5453a commit b3910f3

File tree

1 file changed

+9
-7
lines changed

1 file changed

+9
-7
lines changed

src/ctrl/i3c_target_fsm.sv

Lines changed: 9 additions & 7 deletions
Original file line numberDiff line numberDiff line change
@@ -660,26 +660,28 @@ module i3c_target_fsm #(
660660
// Record each transaction that gets NACK'd.
661661
assign event_target_nack_o = !nack_transaction_q && nack_transaction_d;
662662

663+
`ifndef SYNTHESIS
663664
property cover_known_addr_ack;
664-
realtime t;
665665
@(posedge clk_i)
666666
(
667667
$rose(bus_addr_valid) |=>
668668
##2 ((is_rsvd_byte_match || is_our_addr_match || is_virtual_addr_match) && ~bus_tx_req_value_o[0])
669-
##[10:48] (scl_negedge_i & ack_done)
669+
##1 @(posedge scl_negedge_i) ##1
670+
##1 @(posedge clk_i) ##1 $fell(bus_tx_req_bit_o)
670671
);
671-
endproperty
672-
covprop_known_our_addr_ack: cover property (cover_known_addr_ack);
672+
endproperty : cover_known_addr_ack
673+
covprop_known_addr_ack: cover property (cover_known_addr_ack);
673674

674675
property cover_unknown_addr_nack;
675676
@(posedge clk_i)
676677
(
677678
$rose(bus_addr_valid) |=>
678679
##2 (~(is_rsvd_byte_match || is_our_addr_match || is_virtual_addr_match) && bus_tx_req_value_o[0])
679-
##[10:48] (scl_negedge_i & ~ack_done)
680+
##1 @(posedge scl_negedge_i) ##1
681+
##1 @(posedge clk_i) ##1 ($stable(bus_tx_req_bit_o) && ~bus_tx_req_bit_o)
680682
);
681-
endproperty
682-
covprop_unknown_our_addr_nack: cover property (cover_unknown_addr_nack);
683+
endproperty : cover_unknown_addr_nack
684+
covprop_unknown_addr_nack: cover property (cover_unknown_addr_nack);
683685

684686
covprop_valid_addr: cover property (@(posedge clk_i) ($rose(bus_addr_valid)));
685687

0 commit comments

Comments
 (0)