|
1 | 1 | diff --git a/hw/ip/alert_handler/rtl/alert_handler.sv b/hw/ip/alert_handler/rtl/alert_handler.sv |
2 | | -index 65035ad0b..b0911d650 100644 |
| 2 | +index 65035ad0b..40e915975 100644 |
3 | 3 | --- a/hw/ip/alert_handler/rtl/alert_handler.sv |
4 | 4 | +++ b/hw/ip/alert_handler/rtl/alert_handler.sv |
5 | | -@@ -26,7 +26,7 @@ module alert_handler |
6 | | - output logic intr_classc_o, |
7 | | - output logic intr_classd_o, |
8 | | - // State information for HW crashdump |
9 | | -- output alert_crashdump_t crashdump_o, |
10 | | -+ output wire [212:0] crashdump_o, |
11 | | - // Entropy Input from TRNG |
12 | | - input entropy_i, |
13 | | - // Alert Sources |
14 | 5 | @@ -42,8 +42,8 @@ module alert_handler |
15 | 6 | ////////////////////////////////// |
16 | 7 |
|
@@ -111,15 +102,12 @@ index 65035ad0b..b0911d650 100644 |
111 | 102 | ); |
112 | 103 | end |
113 | 104 | diff --git a/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv b/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv |
114 | | -index f6dbddef4..14f7722f6 100644 |
| 105 | +index f6dbddef4..bb30fe083 100644 |
115 | 106 | --- a/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv |
116 | 107 | +++ b/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv |
117 | | -@@ -13,11 +13,11 @@ module alert_handler_reg_wrap import alert_pkg::*; ( |
118 | | - // interrupt |
119 | | - output logic [N_CLASSES-1:0] irq_o, |
| 108 | +@@ -15,9 +15,9 @@ module alert_handler_reg_wrap import alert_pkg::*; ( |
120 | 109 | // State information for HW crashdump |
121 | | -- output alert_crashdump_t crashdump_o, |
122 | | -+ output wire [212:0] crashdump_o, |
| 110 | + output alert_crashdump_t crashdump_o, |
123 | 111 | // hw2reg |
124 | 112 | - input hw2reg_wrap_t hw2reg_wrap, |
125 | 113 | + input wire [220:0] hw2reg_wrap, |
@@ -449,21 +437,21 @@ index f6dbddef4..14f7722f6 100644 |
449 | 437 | // alert cause output |
450 | 438 | for (genvar k = 0; k < NAlerts; k++) begin : gen_alert_cause_dump |
451 | 439 | - assign crashdump_o.alert_cause[k] = reg2hw.alert_cause[k].q; |
452 | | -+ assign crashdump_o[208 + k] = reg2hw[784 + k]; |
| 440 | ++ assign crashdump_o.alert_cause[k] = reg2hw[784 + k]; |
453 | 441 | end |
454 | 442 |
|
455 | 443 | // local alert cause register output |
456 | 444 | for (genvar k = 0; k < N_LOC_ALERT; k++) begin : gen_loc_alert_cause_dump |
457 | 445 | - assign crashdump_o.loc_alert_cause[k] = reg2hw.loc_alert_cause[k].q; |
458 | | -+ assign crashdump_o[204 + k] = reg2hw[768 + k]; |
| 446 | ++ assign crashdump_o.loc_alert_cause[k] = reg2hw[768 + k]; |
459 | 447 | end |
460 | 448 |
|
461 | 449 | - assign crashdump_o.class_accum_cnt = hw2reg_wrap.class_accum_cnt; |
462 | 450 | - assign crashdump_o.class_esc_cnt = hw2reg_wrap.class_esc_cnt; |
463 | 451 | - assign crashdump_o.class_esc_state = hw2reg_wrap.class_esc_state; |
464 | | -+ assign crashdump_o[203-:64] = hw2reg_wrap[203-:64]; |
465 | | -+ assign crashdump_o[139-:128] = hw2reg_wrap[139-:128]; |
466 | | -+ assign crashdump_o[11-:12] = hw2reg_wrap[11-:12]; |
| 452 | ++ assign crashdump_o.class_accum_cnt = hw2reg_wrap[203-:64]; |
| 453 | ++ assign crashdump_o.class_esc_cnt = hw2reg_wrap[139-:128]; |
| 454 | ++ assign crashdump_o.class_esc_state = hw2reg_wrap[11-:12]; |
467 | 455 |
|
468 | 456 | endmodule : alert_handler_reg_wrap |
469 | 457 |
|
|
0 commit comments