Skip to content

Commit c3624d0

Browse files
authored
Merge pull request chipsalliance#314 from antmicro/bump-surelog
Bump surelog
2 parents 43816f4 + 0da6732 commit c3624d0

File tree

3 files changed

+11
-23
lines changed

3 files changed

+11
-23
lines changed

Surelog

Submodule Surelog updated 602 files

uhdm-tests/opentitan/0001-Add-opentitan-patch-for-uhdm.patch

Lines changed: 9 additions & 21 deletions
Original file line numberDiff line numberDiff line change
@@ -1,16 +1,7 @@
11
diff --git a/hw/ip/alert_handler/rtl/alert_handler.sv b/hw/ip/alert_handler/rtl/alert_handler.sv
2-
index 65035ad0b..b0911d650 100644
2+
index 65035ad0b..40e915975 100644
33
--- a/hw/ip/alert_handler/rtl/alert_handler.sv
44
+++ b/hw/ip/alert_handler/rtl/alert_handler.sv
5-
@@ -26,7 +26,7 @@ module alert_handler
6-
output logic intr_classc_o,
7-
output logic intr_classd_o,
8-
// State information for HW crashdump
9-
- output alert_crashdump_t crashdump_o,
10-
+ output wire [212:0] crashdump_o,
11-
// Entropy Input from TRNG
12-
input entropy_i,
13-
// Alert Sources
145
@@ -42,8 +42,8 @@ module alert_handler
156
//////////////////////////////////
167

@@ -111,15 +102,12 @@ index 65035ad0b..b0911d650 100644
111102
);
112103
end
113104
diff --git a/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv b/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv
114-
index f6dbddef4..14f7722f6 100644
105+
index f6dbddef4..bb30fe083 100644
115106
--- a/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv
116107
+++ b/hw/ip/alert_handler/rtl/alert_handler_reg_wrap.sv
117-
@@ -13,11 +13,11 @@ module alert_handler_reg_wrap import alert_pkg::*; (
118-
// interrupt
119-
output logic [N_CLASSES-1:0] irq_o,
108+
@@ -15,9 +15,9 @@ module alert_handler_reg_wrap import alert_pkg::*; (
120109
// State information for HW crashdump
121-
- output alert_crashdump_t crashdump_o,
122-
+ output wire [212:0] crashdump_o,
110+
output alert_crashdump_t crashdump_o,
123111
// hw2reg
124112
- input hw2reg_wrap_t hw2reg_wrap,
125113
+ input wire [220:0] hw2reg_wrap,
@@ -449,21 +437,21 @@ index f6dbddef4..14f7722f6 100644
449437
// alert cause output
450438
for (genvar k = 0; k < NAlerts; k++) begin : gen_alert_cause_dump
451439
- assign crashdump_o.alert_cause[k] = reg2hw.alert_cause[k].q;
452-
+ assign crashdump_o[208 + k] = reg2hw[784 + k];
440+
+ assign crashdump_o.alert_cause[k] = reg2hw[784 + k];
453441
end
454442

455443
// local alert cause register output
456444
for (genvar k = 0; k < N_LOC_ALERT; k++) begin : gen_loc_alert_cause_dump
457445
- assign crashdump_o.loc_alert_cause[k] = reg2hw.loc_alert_cause[k].q;
458-
+ assign crashdump_o[204 + k] = reg2hw[768 + k];
446+
+ assign crashdump_o.loc_alert_cause[k] = reg2hw[768 + k];
459447
end
460448

461449
- assign crashdump_o.class_accum_cnt = hw2reg_wrap.class_accum_cnt;
462450
- assign crashdump_o.class_esc_cnt = hw2reg_wrap.class_esc_cnt;
463451
- assign crashdump_o.class_esc_state = hw2reg_wrap.class_esc_state;
464-
+ assign crashdump_o[203-:64] = hw2reg_wrap[203-:64];
465-
+ assign crashdump_o[139-:128] = hw2reg_wrap[139-:128];
466-
+ assign crashdump_o[11-:12] = hw2reg_wrap[11-:12];
452+
+ assign crashdump_o.class_accum_cnt = hw2reg_wrap[203-:64];
453+
+ assign crashdump_o.class_esc_cnt = hw2reg_wrap[139-:128];
454+
+ assign crashdump_o.class_esc_state = hw2reg_wrap[11-:12];
467455

468456
endmodule : alert_handler_reg_wrap
469457

yosys-symbiflow-plugins

0 commit comments

Comments
 (0)