Skip to content

Commit 66580c0

Browse files
chiselbotseldridge
andauthored
[testers] Deprecate everything the testers package (backport #4742) (#4743)
* [testers] Deprecate everything the testers package (#4742) Deprecate `BasicTester` and `TesterDriver`. These have been fully replaced with ChiselSim. Signed-off-by: Schuyler Eldridge <[email protected]> (cherry picked from commit 0a0fa80) # Conflicts: # src/test/scala/chiselTests/TesterDriverSpec.scala * fixup! [testers] Deprecate everything the testers package (#4742) * fixup! [testers] Deprecate everything the testers package (#4742) --------- Co-authored-by: Schuyler Eldridge <[email protected]>
1 parent 8918516 commit 66580c0

File tree

4 files changed

+10
-2
lines changed

4 files changed

+10
-2
lines changed

build.sbt

Lines changed: 3 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -78,7 +78,9 @@ lazy val warningSuppression = Seq(
7878
"cat=deprecation&origin=chisel3\\.aop\\.Aspect$:s",
7979
"cat=deprecation&origin=chisel3\\.stage\\.phases.AspectPhase:s",
8080
"cat=deprecation&origin=chisel3\\.stage\\.phases.MaybeAspectPhase:s",
81-
"cat=deprecation&origin=chisel3\\.InstanceId:s"
81+
"cat=deprecation&origin=chisel3\\.InstanceId:s",
82+
"cat=deprecation&origin=chisel3\\.testers\\.BasicTester:s",
83+
"cat=deprecation&origin=chisel3\\.testers\\.TesterDriver:s"
8284
).mkString(",")
8385
)
8486

src/main/scala/chisel3/testers/BasicTester.scala

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@ import chisel3.internal.Builder.pushCommand
99
import chisel3.internal.firrtl._
1010
import chisel3.experimental.SourceInfo
1111

12+
@deprecated("Use a `Module` instead of `BasicTester`", "Chisel 6.7.0")
1213
class BasicTester extends Module() {
1314
// The testbench has no IOs, rather it should communicate using printf, assert, and stop.
1415
val io = IO(new Bundle() {})

src/main/scala/chisel3/testers/TesterDriver.scala

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -16,6 +16,7 @@ import scala.annotation.nowarn
1616
import scala.sys.process.ProcessLogger
1717

1818
@nowarn("msg=trait BackendCompilationUtilities in package chisel3 is deprecated")
19+
@deprecated("Please migrate to ChiselSim APIs", "Chisel 6.7.0")
1920
object TesterDriver extends BackendCompilationUtilities {
2021
//TODO: need to remove BackendCompilationUtilities here but it will break external API
2122
// unless all methods of it are implemented

src/test/scala/chiselTests/TesterDriverSpec.scala

Lines changed: 5 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,12 +4,16 @@ package chiselTests
44

55
import chisel3._
66
import chisel3.testers.BasicTester
7-
import chisel3.util._
7+
import chisel3.util.Counter
8+
import org.scalatest.flatspec.AnyFlatSpec
9+
import org.scalatest.matchers.should.Matchers
10+
import scala.annotation.nowarn
811

912
/** Extend BasicTester with a simple circuit and finish method. TesterDriver will call the
1013
* finish method after the FinishTester's constructor has completed, which will alter the
1114
* circuit after the constructor has finished.
1215
*/
16+
@nowarn("msg=class BasicTester in package testers is deprecated")
1317
class FinishTester extends BasicTester {
1418
val test_wire_width = 2
1519
val test_wire_override_value = 3

0 commit comments

Comments
 (0)