diff --git a/.gitignore b/.gitignore index a716e5a..fd3df9d 100755 --- a/.gitignore +++ b/.gitignore @@ -11,6 +11,10 @@ !*.py !*.mk !*.bin +!*.scala +!*.elf +!*.txt +!*.sc !Makefile !README !README.md @@ -19,6 +23,10 @@ **/build/ **/build_test/ **/difftest -!difftest -!NEMU -myinfo.txt \ No newline at end of file +**/out/ +!libraries/difftest +!libraries/NEMU +!libraries/DRAMsim3 +!submit/* +!*.xlsx +!myinfo.txt diff --git a/.gitmodules b/.gitmodules index 8ba999f..db5c882 100755 --- a/.gitmodules +++ b/.gitmodules @@ -1,6 +1,12 @@ -[submodule "difftest"] - path = difftest - url = https://github.com/OpenXiangShan/difftest.git -[submodule "NEMU"] - path = NEMU - url = https://github.com/OpenXiangShan/NEMU.git +[submodule "libraries/DRAMsim3"] + path = libraries/DRAMsim3 + url = https://gitee.com/oscpu/DRAMsim3.git +[submodule "libraries/NEMU"] + path = libraries/NEMU + url = https://gitee.com/oscpu/NEMU.git +[submodule "libraries/difftest"] + path = libraries/difftest + url = https://gitee.com/oscpu/difftest.git +[submodule "libraries/ysyxSoC"] + path = libraries/ysyxSoC + url = https://github.com/oscpu/ysyxSoC diff --git a/NEMU b/NEMU deleted file mode 160000 index 1e6883d..0000000 --- a/NEMU +++ /dev/null @@ -1 +0,0 @@ -Subproject commit 1e6883d271e48d2412bc46af852a093d7a7fdde7 diff --git a/README.md b/README.md index 0185ffd..6391c2b 100755 --- a/README.md +++ b/README.md @@ -1,10 +1,229 @@ # oscpu-framework -A Verilator-based framework. -Please fill your information in myinfo.txt. +这是一个基于`verilator`的`RISC-V`CPU开发仿真框架。 -For example: -ID=202100001 -Name=张三 +开发前请在`myinfo.txt`文件中填写报名`一生一芯`时的学号和自己的姓名。例如: -Use "./build.sh -h" command under Ubunutu terminal to get the build instructions. \ No newline at end of file +``` +ID=202100001 +Name=张三 +``` + +# 开发环境 + +操作系统:[Linux Ubuntu v20.04](https://ubuntu.com/download/desktop) + +开发软件:[verilator](https://verilator.org/guide/latest/)、[gtkwave](http://gtkwave.sourceforge.net/)、[mill](https://github.com/com-lihaoyi/mil) + +可以使用下面的命令一键安装搭建开发环境。 + +```shell +# 选择使用verilog语言开发 +wget https://gitee.com/oscpu/oscpu-env-setup/raw/master/oscpu-env-setup.sh && chmod +x oscpu-env-setup.sh && ./oscpu-env-setup.sh -g && rm oscpu-env-setup.sh +# 选择使用chisel语言开发 +wget https://gitee.com/oscpu/oscpu-env-setup/raw/master/oscpu-env-setup.sh && chmod +x oscpu-env-setup.sh && ./oscpu-env-setup.sh -g -c && rm oscpu-env-setup.sh +``` + +# 获取代码 + +```shell +# 从gitee上克隆代码 +git clone --recursive -b 2021 https://gitee.com/oscpu/oscpu-framework.git oscpu +# 从github上克隆代码 +git clone --recursive -b 2021 https://github.com/OSCPU/oscpu-framework.git oscpu +``` + +如果子仓库克隆失败,可在`oscpu`目录下使用下面的命令重新克隆子仓库。 + +```shell +git submodule update --init --recursive +``` + +参与`一生一芯`还需要设置git信息。 + +```shell +# 使用你的编号和姓名拼音代替双引号中内容 +git config --global user.name "2021000001-Zhang San" +# 使用你的邮箱代替双引号中内容 +git config --global user.email "zhangsan@foo.com" +``` + +# 例程 + +`projects`目录用于存放工程文件夹,`projects`目录下的几个例程可用于了解如何基于`verilator`和`香山difftest框架`来开发仿真CPU。你可以在该目录下创建自己的工程。工程目录结构如下: + +```shell +. +├── build.sc # 存放chisel编译信息的文件,选择chisel语言时需要该文件 +├── csrc # 存放仿真c++源码的文件夹,接入香山difftest框架时不需要该文件夹 +├── src # 存放chisel源码的文件夹,选择chisel语言时需要该文件夹 +└── vsrc # 存放verilog源码的文件夹,选择verilog语言时需要该文件夹 +``` + +我们提供了脚本`build.sh`用于自动化编译、仿真和查看波形。下面是`build.sh`的参数说明,也可在oscpu目录下使用`./build.sh -h`命令查看帮助。 + +```shell +-e 指定一个例程作为工程目录,如果不指定,将使用"cpu"目录作为工程目录 +-b 编译工程,编译后会在工程目录下生成"build"(difftest)或"build_test"子目录,里面存放编译后生成的文件 +-t 指定verilog顶层文件名,如果不指定,将使用"top.v" 或"SimTop.v"(difftest)作为顶层文件名,该选项在接入difftest时无效 +-s 运行仿真程序,即"build/emu"程序,运行时工作目录为"build"(difftest)或"build_test"子目录 +-a 传入仿真程序的参数,比如:-a "1 2 3 ......",多个参数需要使用双引号 +-f 传入c++编译器的参数,比如:-f "-DGLOBAL_DEFINE=1 -ggdb3",多个参数需要使用双引号,该选项在接入difftest时无效 +-l 传入c++链接器的参数,比如:-l "-ldl -lm",多个参数需要使用双引号,该选项在接入difftest时无效 +-g 使用gdb调试仿真程序,该选项在接入difftest时无效 +-w 使用gtkwave打开工作目录下修改时间最新的.vcd波形文件 +-c 删除工程目录下编译生成的"build"文件夹 +-d 接入香山difftest框架 +-m 传入difftest框架makefile的参数,比如:-m "EMU_TRACE=1 EMU_THREADS=4",多个参数需要使用双引号 +-r 使用给定的测试用例集合进行回归测试,比如:-r "case1 case2",该选项要求工程能够接入difftest +``` + +## 编译和仿真 + +### counter + +`examples/counter`目录下存放了4位计数器的例程源码。可以使用下面的命令编译和仿真。 + +```shell +./build.sh -e counter -b -s +``` + +如果`verilator`安装正确,你会看到下面的输出 + +```shell +Simulating... +Enabling waves ... +Enter the test cycle: +``` + +输入测试周期数后仿真程序退出,并在`projects/counter/build_test/`路径下生成`.vcd`波形文件。 + +### cpu + +`projects/cpu`目录下存放了`verilog`版本单周期`RISC-V`CPU例程源码,源码实现了`RV64I`指令`addi`。可以使用下面的命令编译和仿真。 + +```shell +./build.sh -b -t rvcpu.v -s +``` + +输入`inst.bin`和回车后程序结束运行,并在`projects/cpu/build_test/`路径下生成`.vcd`波形文件。其中`inst.bin`为`bin`目录下的一个`RISC-V`测试程序,里面存放了3条`addi`指令。 + +### cpu_diff + +`projects/cpu_diff`目录下存放了接入`香山difftest框架`的`verilog`版本单周期`RISC-V` CPU例程源码,源码实现了`RV64I`指令`addi`。关于`香山difftest框架`的详细介绍,可参考[讲座-Difftest 处理器验证方法介绍](https://oscpu.github.io/ysyx/events/events.html?EID=2021-07-17_Difftest),接口说明可参考[difftest_api.md](./doc/difftest_api.md)和[chisel_difftest.md](./doc/chisel_difftest.md)。可以使用下面的命令编译和仿真。 + +```shell +# 编译仿真 +./build.sh -e cpu_diff -d -b -s -a "-i inst_diff.bin" +# 编译仿真,并从CPU上报至difftest的时钟周期0开始输出波形至wave.vcd文件 +./build.sh -e cpu_diff -d -b -s -a "-i inst_diff.bin --wave-path=wave.vcd --dump-wave -b 0" -m "EMU_TRACE=1" +``` + +仿真程序运行后,终端将打印绿色的提示内容`HIT GOOD TRAP at pc = 0x8000000c`。说明程序运行到自定义的`0x6b`指令,并且此时存放错误码的`a0`寄存器的值为0,即程序按照预期结果成功退出。关于`0x6b`自定义指令作用,可参考[讲座-AM运行环境介绍](https://oscpu.github.io/ysyx/events/events.html?EID=2021-07-13_AM_Difftest)。如果指定输出波形,将在`projects/cpu_diff/build/`路径下生成`.vcd`波形文件。 + +### cpu_axi_diff + +`projects/cpu_diff`目录下存放了通过`AXI总线`接入`香山difftest框架`的`verilog`版本单周期`RISC-V`CPU例程源码,源码实现了`RV64I`指令`addi`和`AXI总线`读逻辑。可以使用下面的命令编译和仿真。 + +```shell +./build.sh -e cpu_axi_diff -d -s -a "-i inst_diff.bin --wave-path=wave.vcd --dump-wave -b 0" -m "EMU_TRACE=1 WITH_DRAMSIM3=1" -b +``` + +### chisel_cpu_diff + +`projects/cpu_diff`目录下存放了接入`香山difftest框架`的`chisel`版本单周期`RISC-V` CPU例程源码,源码实现了`RV64I`指令`addi`。可以使用下面的命令编译和仿真。 + +```shell +./build.sh -e chisel_cpu_diff -d -s -a "-i inst_diff.bin" -m "EMU_TRACE=1" -b +``` + +### soc + +`projects/soc`目录下存放了接入`ysyxSoC`的示例程序。源码中只有一个占位符,能够通过编译但不能正常运行。 + +要使用该框架,需要先按照 [ysyx SoC 的 readme](https://github.com/osCPU/ysyxsoc) 完成 `命名规范` 和 `CPU 内部修改` 两个步骤,得到 `ysyx_21xxxx.v`,随后放入 `projects/soc/vsrc/` 中。此后,执行下面的命令将会根据 `myinfo.txt` 中的 ID 自动 对代码进行规范检查、集成到 `soc` 并运行指定的程序。`ysyxSoC` 中附带的例程会被自动软连接至 `build` 目录下,仿真时可以快速使用。 + +```bash +./build.sh -e soc -b -s -y -v '--timescale "1ns/1ns" -Wno-fatal --trace' -a "-i ysyxSoC/flash/hello-flash.bin --dump-wave" +``` + +由于无法直接使用 `difftest` 框架,暂时只支持少量参数。 +```bash +$ ./emu -h +Usage: ./emu [OPTION...] + + -i, --image=FILE run with this image file + --dump-wave dump waveform when log is enabled + -b, --log-begin=NUM display log from NUM th cycle + -e, --log-end=NUM stop display log at NUM th cycle + -h, --help print program help info +``` + +## 查看波形 + +在`oscpu`目录下使用命令可以通过`gtkwave`查看输出的波形,其中`xxx`表示例程名。 + +```shell +# 未接入difftest +./build.sh -e xxx -w +# 接入difftest +./build.sh -e xxx -d -w +``` + +# 测试用例 + +`bin`目录下存放了`一生一芯`[基础任务](https://oscpu.github.io/ysyx/wiki/tasks/basic.html)需要使用的测试用例,具体说明详见[一生一芯基础任务测试用例说明](./bin/README.md)。 + +# 回归测试 + +一键回归测试用于自动化测试给定的测试用例集合,可以通过以下命令对CPU进行一键回归测试。该命令会将`bin`目录下指定子目录中所有`.bin`文件作为参数来调用接入了`香山difftest框架`的仿真程序,其中`xxx`表示例程名。 + +```shell +# 未接入AXI总线的情况下使用"non-output/cpu-tests"和"non-output/riscv-tests"目录下的bin进行回归测试 +./build.sh -e xxx -b -r "non-output/cpu-tests non-output/riscv-tests" +# 接入AXI总线的情况下使用"non-output/cpu-tests"和"non-output/riscv-tests"目录下的bin进行回归测试 +./build.sh -e xxx -b -r "non-output/cpu-tests non-output/riscv-tests" -m "WITH_DRAMSIM3=1" +``` + +通过测试的用例,将打印`PASS`。测试失败的用例,打印`FAIL`并生成对应的log文件,可以查看log文件来调试,也可以另外开启波形输出来调试。 + +# 代码上传 + +在本框架中接入`ysyxSoC` 并完成所有测试后,可以开始代码上传流程。**上传前请确保所有触发器可复位。** + +1. 每次提交前,确保同步了最新的`oscpu-framework`仓库。 +1. 每次提交前,重新接入总线后的回归测试,并将成功运行的截图文件`reg-testing.png`放置于`submit`目录下,截图中必须包含使用`date`命令输出的当前时间。 +1. 每次提交前,重新运行正常模式的`rtthread-loader.bin`,并将成功运行的截图文件`rtthread-loader.png`放置于`submit`目录下,截图中必须包含使用`date`命令输出的当前时间。 +1. 将`.v`代码里所有的宏加上`YSYX210xxx_`作为前缀,例如`define YSYX210001_PC_START 64'h80000000`。 +1. 如果实现了`cache`,填写`doc`目录下的`cache规格.xlsx`并拷贝至`submit`目录下。 +1. 根据[代码规范检查步骤](https://github.com/OSCPU/ysyxSoC/blob/master/ysyx/lint/README.md)填写`Verilator中Warning无法清理说明.xlsx`文件并拷贝至`submit`目录下。 +1. 制作一份带数据流向的处理器架构图,并对图中各模块做简单说明,整理成`ysyx_21xxxx.pdf`文件并放置于`submit`目录下。 +1. 创建自己的`gitee`开源仓库。 +1. 进入`oscpu`目录下,运行`./submit.sh`,根据提示将代码上传至创建的`gitee`开源仓库。 +1. 将自己仓库的`HTTPS`格式的`URL`(例如:`https://gitee.com/oscpu/oscpu-framework.git`)和学号发送给组内助教以完成第一次代码提交。后续提交只需要重新运行`./submit.sh`即可,无需通知助教。 + +后续提交不可修改cache规格,只能根据report反馈修复bug。SoC和后端团队将定期检查新提交的代码,进行综合和仿真测试,并将结果以日志报告的形式上传至ysyx_submit仓库,具体说明请参考[ysyx_submit仓库](https://github.com/OSCPU/ysyx_submit/)的说明文档。 + +# 扩展 + +[一生一芯官网](https://oscpu.github.io/ysyx/) + +[会议汇总](https://oscpu.github.io/ysyx/events/) + +[讲座回放](https://www.bilibili.com/video/BV1PU4y1V7X3) + +[RISC-V Unprivileged Spec](https://github.com/riscv/riscv-isa-manual/releases/download/Ratified-IMAFDQC/riscv-spec-20191213.pdf) + +[RISC-V Privileged Spec](https://github.com/riscv/riscv-isa-manual/releases/download/Ratified-IMFDQC-and-Priv-v1.11/riscv-privileged-20190608.pdf) + +[cpu-tests](https://github.com/NJU-ProjectN/am-kernels) + +[riscv-tests](https://github.com/NJU-ProjectN/riscv-tests) + +[香山difftest框架](https://github.com/OpenXiangShan/difftest) + +[NEMU](https://github.com/OpenXiangShan/NEMU) + +[DRAMsim3](https://github.com/OpenXiangShan/DRAMsim3) + +[AXI4 specification](http://www.gstitt.ece.ufl.edu/courses/fall15/eel4720_5721/labs/refs/AXI4_specification.pdf) diff --git a/bin/README.md b/bin/README.md new file mode 100755 index 0000000..c20f808 --- /dev/null +++ b/bin/README.md @@ -0,0 +1,36 @@ +# 一生一芯基础任务测试用例 + +本目录存放`一生一芯`[基础任务](https://oscpu.github.io/ysyx/wiki/tasks/basic.html)需要使用的测试用例。目录结构如下: + +```shell +. +├── custom-output # 0x7b自定义打印指令测试用例 +│   ├── benchmark +│   ├── hello +│   ├── interrupt-test +│   ├── mario +│   ├── rt-thread +│   ├── time-test +│   └── yield-test +├── inst.bin # 包含3条addi指令,可用于测试cpu例程 +├── inst_diff.bin # 包含3条addi指令和1条0x6b,可用于测试cpu_diff和chisel_cpu_diff例程 +├── non-output # 无输出测试用例 +│   ├── coremark +│   ├── cpu-tests +│   ├── dhrystone +│   ├── microbench +│   └── riscv-tests +└── README.md +``` + +# 测试用例说明 + +所有测试用例使用`0x6b`指令作为结束指令,当执行到`0x6b`指令时,将`a0`寄存器的值作为`trap code`提交给difftest即可。`trap code`为0表示正确执行并退出程序,非0表示断言失败。 + +## non-output + +该目录下的测试用例运行时无输出,可用于测试CPU指令实现的正确性。 + +## custom-output + +该目录下的测试用例使用`0x7b`指令作为输出指令,,可用于测试CPU指令实现的正确性。CPU在执行该指令时,将`a0`寄存器的值作为[ASCII](https://en.wikipedia.org/wiki/ASCII)字符打印出来。其中`interrupt-test`和`rt-thread`需要实现`CLINT`,关于`CLINT`的地址,可以参考[这里](https://chromitem-soc.readthedocs.io/en/latest/clint.html)。 \ No newline at end of file diff --git a/bin/custom-output/benchmark/coremark/coremark.bin b/bin/custom-output/benchmark/coremark/coremark.bin new file mode 100755 index 0000000..c79c66f Binary files /dev/null and b/bin/custom-output/benchmark/coremark/coremark.bin differ diff --git a/bin/custom-output/benchmark/coremark/coremark.elf b/bin/custom-output/benchmark/coremark/coremark.elf new file mode 100755 index 0000000..7da128f Binary files /dev/null and b/bin/custom-output/benchmark/coremark/coremark.elf differ diff --git a/bin/custom-output/benchmark/coremark/coremark.txt b/bin/custom-output/benchmark/coremark/coremark.txt new file mode 100755 index 0000000..79e947f --- /dev/null +++ b/bin/custom-output/benchmark/coremark/coremark.txt @@ -0,0 +1,3954 @@ + +/home/hzb/test/am-kernels/benchmarks/coremark/build/coremark-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0000e117 auipc sp,0xe + 80000008: ffc10113 addi sp,sp,-4 # 8000e000 <_end> + 8000000c: 264020ef jal ra,80002270 <_trm_init> + +0000000080000010 : + 80000010: 00060a63 beqz a2,80000024 + 80000014: 00251503 lh a0,2(a0) + 80000018: 00259783 lh a5,2(a1) + 8000001c: 40f5053b subw a0,a0,a5 + 80000020: 00008067 ret + 80000024: 00051783 lh a5,0(a0) + 80000028: 0087d713 srli a4,a5,0x8 + 8000002c: 0ff77713 andi a4,a4,255 + 80000030: f007f793 andi a5,a5,-256 + 80000034: 00e7e7b3 or a5,a5,a4 + 80000038: 00f51023 sh a5,0(a0) + 8000003c: 00059783 lh a5,0(a1) + 80000040: 00251503 lh a0,2(a0) + 80000044: 0087d713 srli a4,a5,0x8 + 80000048: 0ff77713 andi a4,a4,255 + 8000004c: f007f793 andi a5,a5,-256 + 80000050: 00e7e7b3 or a5,a5,a4 + 80000054: 00f59023 sh a5,0(a1) + 80000058: 00259783 lh a5,2(a1) + 8000005c: 40f5053b subw a0,a0,a5 + 80000060: 00008067 ret + +0000000080000064 : + 80000064: fd010113 addi sp,sp,-48 + 80000068: 02813023 sd s0,32(sp) + 8000006c: 00051403 lh s0,0(a0) + 80000070: 02113423 sd ra,40(sp) + 80000074: 00913c23 sd s1,24(sp) + 80000078: 4074579b sraiw a5,s0,0x7 + 8000007c: 01213823 sd s2,16(sp) + 80000080: 01313423 sd s3,8(sp) + 80000084: 0017f793 andi a5,a5,1 + 80000088: 02078263 beqz a5,800000ac + 8000008c: 02813083 ld ra,40(sp) + 80000090: 07f47513 andi a0,s0,127 + 80000094: 02013403 ld s0,32(sp) + 80000098: 01813483 ld s1,24(sp) + 8000009c: 01013903 ld s2,16(sp) + 800000a0: 00813983 ld s3,8(sp) + 800000a4: 03010113 addi sp,sp,48 + 800000a8: 00008067 ret + 800000ac: 00058493 mv s1,a1 + 800000b0: 4034559b sraiw a1,s0,0x3 + 800000b4: 00f5f593 andi a1,a1,15 + 800000b8: 00459713 slli a4,a1,0x4 + 800000bc: 00747693 andi a3,s0,7 + 800000c0: 0604d783 lhu a5,96(s1) + 800000c4: 00050993 mv s3,a0 + 800000c8: 00b765b3 or a1,a4,a1 + 800000cc: 08068063 beqz a3,8000014c + 800000d0: 00100713 li a4,1 + 800000d4: 04e68863 beq a3,a4,80000124 + 800000d8: 03041513 slli a0,s0,0x30 + 800000dc: 03055513 srli a0,a0,0x30 + 800000e0: 00040913 mv s2,s0 + 800000e4: 00078593 mv a1,a5 + 800000e8: 730000ef jal ra,80000818 + 800000ec: 00050793 mv a5,a0 + 800000f0: f0047413 andi s0,s0,-256 + 800000f4: 07f97513 andi a0,s2,127 + 800000f8: 00856433 or s0,a0,s0 + 800000fc: 06f49023 sh a5,96(s1) + 80000100: 08046413 ori s0,s0,128 + 80000104: 00899023 sh s0,0(s3) + 80000108: 02813083 ld ra,40(sp) + 8000010c: 02013403 ld s0,32(sp) + 80000110: 01813483 ld s1,24(sp) + 80000114: 01013903 ld s2,16(sp) + 80000118: 00813983 ld s3,8(sp) + 8000011c: 03010113 addi sp,sp,48 + 80000120: 00008067 ret + 80000124: 00078613 mv a2,a5 + 80000128: 04048513 addi a0,s1,64 + 8000012c: 158010ef jal ra,80001284 + 80000130: 0644d783 lhu a5,100(s1) + 80000134: 0105191b slliw s2,a0,0x10 + 80000138: 4109591b sraiw s2,s2,0x10 + 8000013c: 04079a63 bnez a5,80000190 + 80000140: 0604d783 lhu a5,96(s1) + 80000144: 06a49223 sh a0,100(s1) + 80000148: f9dff06f j 800000e4 + 8000014c: 02200693 li a3,34 + 80000150: 00058713 mv a4,a1 + 80000154: 00d5d463 bge a1,a3,8000015c + 80000158: 02200713 li a4,34 + 8000015c: 00249683 lh a3,2(s1) + 80000160: 00049603 lh a2,0(s1) + 80000164: 0204b583 ld a1,32(s1) + 80000168: 0284a503 lw a0,40(s1) + 8000016c: 0ff77713 andi a4,a4,255 + 80000170: 608010ef jal ra,80001778 + 80000174: 0664d783 lhu a5,102(s1) + 80000178: 0105191b slliw s2,a0,0x10 + 8000017c: 4109591b sraiw s2,s2,0x10 + 80000180: 00079863 bnez a5,80000190 + 80000184: 0604d783 lhu a5,96(s1) + 80000188: 06a49323 sh a0,102(s1) + 8000018c: f59ff06f j 800000e4 + 80000190: 0604d783 lhu a5,96(s1) + 80000194: f51ff06f j 800000e4 + +0000000080000198 : + 80000198: fe010113 addi sp,sp,-32 + 8000019c: 00913423 sd s1,8(sp) + 800001a0: 00058493 mv s1,a1 + 800001a4: 00060593 mv a1,a2 + 800001a8: 00113c23 sd ra,24(sp) + 800001ac: 00813823 sd s0,16(sp) + 800001b0: 00060413 mv s0,a2 + 800001b4: eb1ff0ef jal ra,80000064 + 800001b8: 00050793 mv a5,a0 + 800001bc: 00040593 mv a1,s0 + 800001c0: 00048513 mv a0,s1 + 800001c4: 00078413 mv s0,a5 + 800001c8: e9dff0ef jal ra,80000064 + 800001cc: 01813083 ld ra,24(sp) + 800001d0: 40a4053b subw a0,s0,a0 + 800001d4: 01013403 ld s0,16(sp) + 800001d8: 00813483 ld s1,8(sp) + 800001dc: 02010113 addi sp,sp,32 + 800001e0: 00008067 ret + +00000000800001e4 : + 800001e4: 00259703 lh a4,2(a1) + 800001e8: 02074263 bltz a4,8000020c + 800001ec: 00051863 bnez a0,800001fc + 800001f0: 0480006f j 80000238 + 800001f4: 00053503 ld a0,0(a0) + 800001f8: 02050c63 beqz a0,80000230 + 800001fc: 00853783 ld a5,8(a0) + 80000200: 00279783 lh a5,2(a5) + 80000204: fee798e3 bne a5,a4,800001f4 + 80000208: 00008067 ret + 8000020c: 02050263 beqz a0,80000230 + 80000210: 00059703 lh a4,0(a1) + 80000214: 00c0006f j 80000220 + 80000218: 00053503 ld a0,0(a0) + 8000021c: 00050c63 beqz a0,80000234 + 80000220: 00853783 ld a5,8(a0) + 80000224: 0007c783 lbu a5,0(a5) + 80000228: fee798e3 bne a5,a4,80000218 + 8000022c: 00008067 ret + 80000230: 00000513 li a0,0 + 80000234: 00008067 ret + 80000238: 00008067 ret + +000000008000023c : + 8000023c: fa010113 addi sp,sp,-96 + 80000240: 04913423 sd s1,72(sp) + 80000244: 03513423 sd s5,40(sp) + 80000248: 01713c23 sd s7,24(sp) + 8000024c: 01913423 sd s9,8(sp) + 80000250: 01a13023 sd s10,0(sp) + 80000254: 04113c23 sd ra,88(sp) + 80000258: 04813823 sd s0,80(sp) + 8000025c: 05213023 sd s2,64(sp) + 80000260: 03313c23 sd s3,56(sp) + 80000264: 03413823 sd s4,48(sp) + 80000268: 03613023 sd s6,32(sp) + 8000026c: 01813823 sd s8,16(sp) + 80000270: 00050493 mv s1,a0 + 80000274: 00058b93 mv s7,a1 + 80000278: 00060d13 mv s10,a2 + 8000027c: 00100a93 li s5,1 + 80000280: 00100c93 li s9,1 + 80000284: 0c048063 beqz s1,80000344 + 80000288: 00000c13 li s8,0 + 8000028c: 00000913 li s2,0 + 80000290: 00000b13 li s6,0 + 80000294: 001c0c1b addiw s8,s8,1 + 80000298: 00048793 mv a5,s1 + 8000029c: 00000413 li s0,0 + 800002a0: 0007b783 ld a5,0(a5) + 800002a4: 0014041b addiw s0,s0,1 + 800002a8: 00078463 beqz a5,800002b0 + 800002ac: fe8a9ae3 bne s5,s0,800002a0 + 800002b0: 00048a13 mv s4,s1 + 800002b4: 000a8993 mv s3,s5 + 800002b8: 00078493 mv s1,a5 + 800002bc: 02040e63 beqz s0,800002f8 + 800002c0: 04098e63 beqz s3,8000031c + 800002c4: 04048c63 beqz s1,8000031c + 800002c8: 0084b583 ld a1,8(s1) + 800002cc: 008a3503 ld a0,8(s4) + 800002d0: 000d0613 mv a2,s10 + 800002d4: 000b80e7 jalr s7 + 800002d8: 04a05263 blez a0,8000031c + 800002dc: 00048793 mv a5,s1 + 800002e0: 0004b483 ld s1,0(s1) + 800002e4: fff9899b addiw s3,s3,-1 + 800002e8: 02090463 beqz s2,80000310 + 800002ec: 00f93023 sd a5,0(s2) + 800002f0: 00078913 mv s2,a5 + 800002f4: fc0416e3 bnez s0,800002c0 + 800002f8: 02098a63 beqz s3,8000032c + 800002fc: 02048a63 beqz s1,80000330 + 80000300: 00048793 mv a5,s1 + 80000304: fff9899b addiw s3,s3,-1 + 80000308: 0004b483 ld s1,0(s1) + 8000030c: fe0910e3 bnez s2,800002ec + 80000310: 00078b13 mv s6,a5 + 80000314: 00078913 mv s2,a5 + 80000318: fddff06f j 800002f4 + 8000031c: 000a0793 mv a5,s4 + 80000320: fff4041b addiw s0,s0,-1 + 80000324: 000a3a03 ld s4,0(s4) + 80000328: fc1ff06f j 800002e8 + 8000032c: f60494e3 bnez s1,80000294 + 80000330: 00093023 sd zero,0(s2) + 80000334: 019c0c63 beq s8,s9,8000034c + 80000338: 001a9a9b slliw s5,s5,0x1 + 8000033c: 000b0493 mv s1,s6 + 80000340: f45ff06f j 80000284 + 80000344: 00003023 sd zero,0(zero) # 0 <_entry_offset> + 80000348: 00100073 ebreak + 8000034c: 05813083 ld ra,88(sp) + 80000350: 05013403 ld s0,80(sp) + 80000354: 04813483 ld s1,72(sp) + 80000358: 04013903 ld s2,64(sp) + 8000035c: 03813983 ld s3,56(sp) + 80000360: 03013a03 ld s4,48(sp) + 80000364: 02813a83 ld s5,40(sp) + 80000368: 01813b83 ld s7,24(sp) + 8000036c: 01013c03 ld s8,16(sp) + 80000370: 00813c83 ld s9,8(sp) + 80000374: 00013d03 ld s10,0(sp) + 80000378: 000b0513 mv a0,s6 + 8000037c: 02013b03 ld s6,32(sp) + 80000380: 06010113 addi sp,sp,96 + 80000384: 00008067 ret + +0000000080000388 : + 80000388: f9010113 addi sp,sp,-112 + 8000038c: 03813023 sd s8,32(sp) + 80000390: 00451c03 lh s8,4(a0) + 80000394: 06813023 sd s0,96(sp) + 80000398: 05413023 sd s4,64(sp) + 8000039c: 03513c23 sd s5,56(sp) + 800003a0: 06113423 sd ra,104(sp) + 800003a4: 04913c23 sd s1,88(sp) + 800003a8: 05213823 sd s2,80(sp) + 800003ac: 05313423 sd s3,72(sp) + 800003b0: 03613823 sd s6,48(sp) + 800003b4: 03713423 sd s7,40(sp) + 800003b8: 01913c23 sd s9,24(sp) + 800003bc: 00011423 sh zero,8(sp) + 800003c0: 00b11523 sh a1,10(sp) + 800003c4: 03853403 ld s0,56(a0) + 800003c8: 00050a93 mv s5,a0 + 800003cc: 00058a13 mv s4,a1 + 800003d0: 21805a63 blez s8,800005e4 + 800003d4: 00058c93 mv s9,a1 + 800003d8: 00000913 li s2,0 + 800003dc: 00000b93 li s7,0 + 800003e0: 00000493 li s1,0 + 800003e4: 00000b13 li s6,0 + 800003e8: 00810993 addi s3,sp,8 + 800003ec: 0ff97793 andi a5,s2,255 + 800003f0: 00098593 mv a1,s3 + 800003f4: 00040513 mv a0,s0 + 800003f8: 00f11423 sh a5,8(sp) + 800003fc: de9ff0ef jal ra,800001e4 + 80000400: 02040063 beqz s0,80000420 + 80000404: 00000713 li a4,0 + 80000408: 0080006f j 80000410 + 8000040c: 00078413 mv s0,a5 + 80000410: 00043783 ld a5,0(s0) + 80000414: 00e43023 sd a4,0(s0) + 80000418: 00040713 mv a4,s0 + 8000041c: fe0798e3 bnez a5,8000040c + 80000420: 06050a63 beqz a0,80000494 + 80000424: 00853783 ld a5,8(a0) + 80000428: 0014849b addiw s1,s1,1 + 8000042c: 03049493 slli s1,s1,0x30 + 80000430: 00079783 lh a5,0(a5) + 80000434: 0304d493 srli s1,s1,0x30 + 80000438: 0017f713 andi a4,a5,1 + 8000043c: 00070c63 beqz a4,80000454 + 80000440: 4097d79b sraiw a5,a5,0x9 + 80000444: 0017f793 andi a5,a5,1 + 80000448: 01678b3b addw s6,a5,s6 + 8000044c: 030b1b13 slli s6,s6,0x30 + 80000450: 030b5b13 srli s6,s6,0x30 + 80000454: 00053783 ld a5,0(a0) + 80000458: 00078c63 beqz a5,80000470 + 8000045c: 0007b703 ld a4,0(a5) + 80000460: 00e53023 sd a4,0(a0) + 80000464: 00043703 ld a4,0(s0) + 80000468: 00e7b023 sd a4,0(a5) + 8000046c: 00f43023 sd a5,0(s0) + 80000470: 000cc663 bltz s9,8000047c + 80000474: 001c8c9b addiw s9,s9,1 + 80000478: 01911523 sh s9,10(sp) + 8000047c: 0019091b addiw s2,s2,1 + 80000480: 0109191b slliw s2,s2,0x10 + 80000484: 4109591b sraiw s2,s2,0x10 + 80000488: 032c0c63 beq s8,s2,800004c0 + 8000048c: 00a11c83 lh s9,10(sp) + 80000490: f5dff06f j 800003ec + 80000494: 00043783 ld a5,0(s0) + 80000498: 001b8b9b addiw s7,s7,1 + 8000049c: 030b9b93 slli s7,s7,0x30 + 800004a0: 0087b783 ld a5,8(a5) + 800004a4: 030bdb93 srli s7,s7,0x30 + 800004a8: 00178783 lb a5,1(a5) + 800004ac: 0017f793 andi a5,a5,1 + 800004b0: 01678b3b addw s6,a5,s6 + 800004b4: 030b1b13 slli s6,s6,0x30 + 800004b8: 030b5b13 srli s6,s6,0x30 + 800004bc: fb5ff06f j 80000470 + 800004c0: 0024949b slliw s1,s1,0x2 + 800004c4: 417484bb subw s1,s1,s7 + 800004c8: 016484bb addw s1,s1,s6 + 800004cc: 03049493 slli s1,s1,0x30 + 800004d0: 0304d493 srli s1,s1,0x30 + 800004d4: 01405e63 blez s4,800004f0 + 800004d8: 00040513 mv a0,s0 + 800004dc: 000a8613 mv a2,s5 + 800004e0: 00000597 auipc a1,0x0 + 800004e4: cb858593 addi a1,a1,-840 # 80000198 + 800004e8: d55ff0ef jal ra,8000023c + 800004ec: 00050413 mv s0,a0 + 800004f0: 00043783 ld a5,0(s0) + 800004f4: 00098593 mv a1,s3 + 800004f8: 00040513 mv a0,s0 + 800004fc: 0007b983 ld s3,0(a5) + 80000500: 0087ba03 ld s4,8(a5) + 80000504: 0089b683 ld a3,8(s3) + 80000508: 0009b703 ld a4,0(s3) + 8000050c: 00d7b423 sd a3,8(a5) + 80000510: 0149b423 sd s4,8(s3) + 80000514: 00e7b023 sd a4,0(a5) + 80000518: 0009b023 sd zero,0(s3) + 8000051c: cc9ff0ef jal ra,800001e4 + 80000520: 00050913 mv s2,a0 + 80000524: 0a050a63 beqz a0,800005d8 + 80000528: 00843783 ld a5,8(s0) + 8000052c: 00048593 mv a1,s1 + 80000530: 00079503 lh a0,0(a5) + 80000534: 39c000ef jal ra,800008d0 + 80000538: 00093903 ld s2,0(s2) + 8000053c: 00050493 mv s1,a0 + 80000540: fe0914e3 bnez s2,80000528 + 80000544: 00043903 ld s2,0(s0) + 80000548: 0089ba03 ld s4,8(s3) + 8000054c: 00893703 ld a4,8(s2) + 80000550: 00093783 ld a5,0(s2) + 80000554: 00040513 mv a0,s0 + 80000558: 00e9b423 sd a4,8(s3) + 8000055c: 01493423 sd s4,8(s2) + 80000560: 00f9b023 sd a5,0(s3) + 80000564: 01393023 sd s3,0(s2) + 80000568: 00000613 li a2,0 + 8000056c: 00000597 auipc a1,0x0 + 80000570: aa458593 addi a1,a1,-1372 # 80000010 + 80000574: cc9ff0ef jal ra,8000023c + 80000578: 00053403 ld s0,0(a0) + 8000057c: 00050913 mv s2,a0 + 80000580: 02040063 beqz s0,800005a0 + 80000584: 00893783 ld a5,8(s2) + 80000588: 00048593 mv a1,s1 + 8000058c: 00079503 lh a0,0(a5) + 80000590: 340000ef jal ra,800008d0 + 80000594: 00043403 ld s0,0(s0) + 80000598: 00050493 mv s1,a0 + 8000059c: fe0414e3 bnez s0,80000584 + 800005a0: 06813083 ld ra,104(sp) + 800005a4: 06013403 ld s0,96(sp) + 800005a8: 05013903 ld s2,80(sp) + 800005ac: 04813983 ld s3,72(sp) + 800005b0: 04013a03 ld s4,64(sp) + 800005b4: 03813a83 ld s5,56(sp) + 800005b8: 03013b03 ld s6,48(sp) + 800005bc: 02813b83 ld s7,40(sp) + 800005c0: 02013c03 ld s8,32(sp) + 800005c4: 01813c83 ld s9,24(sp) + 800005c8: 00048513 mv a0,s1 + 800005cc: 05813483 ld s1,88(sp) + 800005d0: 07010113 addi sp,sp,112 + 800005d4: 00008067 ret + 800005d8: 00043903 ld s2,0(s0) + 800005dc: f40916e3 bnez s2,80000528 + 800005e0: f6dff06f j 8000054c + 800005e4: 00000493 li s1,0 + 800005e8: 00810993 addi s3,sp,8 + 800005ec: ee9ff06f j 800004d4 + +00000000800005f0 : + 800005f0: fd010113 addi sp,sp,-48 + 800005f4: 02051513 slli a0,a0,0x20 + 800005f8: 01213823 sd s2,16(sp) + 800005fc: 02055513 srli a0,a0,0x20 + 80000600: 00058913 mv s2,a1 + 80000604: 01400593 li a1,20 + 80000608: 02813023 sd s0,32(sp) + 8000060c: 01313423 sd s3,8(sp) + 80000610: 02113423 sd ra,40(sp) + 80000614: 00060993 mv s3,a2 + 80000618: 00913c23 sd s1,24(sp) + 8000061c: 4e5010ef jal ra,80002300 <__udivdi3> + 80000620: ffe50e1b addiw t3,a0,-2 + 80000624: 020e1513 slli a0,t3,0x20 + 80000628: 02055513 srli a0,a0,0x20 + 8000062c: 00451613 slli a2,a0,0x4 + 80000630: 00c90633 add a2,s2,a2 + 80000634: ffff87b7 lui a5,0xffff8 + 80000638: 00093023 sd zero,0(s2) + 8000063c: 00c93423 sd a2,8(s2) + 80000640: 0807871b addiw a4,a5,128 + 80000644: 00251e93 slli t4,a0,0x2 + 80000648: 00e61023 sh a4,0(a2) + 8000064c: 00061123 sh zero,2(a2) + 80000650: 02090693 addi a3,s2,32 + 80000654: 01d60eb3 add t4,a2,t4 + 80000658: 01090413 addi s0,s2,16 + 8000065c: 00460713 addi a4,a2,4 + 80000660: 14c6f063 bgeu a3,a2,800007a0 + 80000664: 00860893 addi a7,a2,8 + 80000668: 13d8fc63 bgeu a7,t4,800007a0 + 8000066c: 00e93c23 sd a4,24(s2) + 80000670: 00093823 sd zero,16(s2) + 80000674: 00893023 sd s0,0(s2) + 80000678: fff7c793 not a5,a5 + 8000067c: fff00713 li a4,-1 + 80000680: 00e61223 sh a4,4(a2) + 80000684: 00f61323 sh a5,6(a2) + 80000688: 060e0a63 beqz t3,800006fc + 8000068c: 03099f13 slli t5,s3,0x30 + 80000690: ffff8fb7 lui t6,0xffff8 + 80000694: 030f5f13 srli t5,t5,0x30 + 80000698: 00000813 li a6,0 + 8000069c: ffffcf93 not t6,t6 + 800006a0: 03081713 slli a4,a6,0x30 + 800006a4: 03075713 srli a4,a4,0x30 + 800006a8: 00ef47b3 xor a5,t5,a4 + 800006ac: 00379793 slli a5,a5,0x3 + 800006b0: 00777713 andi a4,a4,7 + 800006b4: 0787f793 andi a5,a5,120 + 800006b8: 00e7e7b3 or a5,a5,a4 + 800006bc: 00879593 slli a1,a5,0x8 + 800006c0: 01068713 addi a4,a3,16 + 800006c4: 0018081b addiw a6,a6,1 + 800006c8: 00488313 addi t1,a7,4 + 800006cc: 00b7e7b3 or a5,a5,a1 + 800006d0: 02c77463 bgeu a4,a2,800006f8 + 800006d4: 03d37263 bgeu t1,t4,800006f8 + 800006d8: 0086b023 sd s0,0(a3) + 800006dc: 00d93023 sd a3,0(s2) + 800006e0: 0116b423 sd a7,8(a3) + 800006e4: 00f89023 sh a5,0(a7) + 800006e8: 01f89123 sh t6,2(a7) + 800006ec: 00068413 mv s0,a3 + 800006f0: 00030893 mv a7,t1 + 800006f4: 00070693 mv a3,a4 + 800006f8: fb0e14e3 bne t3,a6,800006a0 + 800006fc: 00043483 ld s1,0(s0) + 80000700: 06048a63 beqz s1,80000774 + 80000704: 00500593 li a1,5 + 80000708: 3f9010ef jal ra,80002300 <__udivdi3> + 8000070c: 00004637 lui a2,0x4 + 80000710: 0005051b sext.w a0,a0 + 80000714: 00100693 li a3,1 + 80000718: fff60613 addi a2,a2,-1 # 3fff <_entry_offset+0x3fff> + 8000071c: 01c0006f j 80000738 + 80000720: 0004b783 ld a5,0(s1) + 80000724: 00d71123 sh a3,2(a4) + 80000728: 00048413 mv s0,s1 + 8000072c: 0016869b addiw a3,a3,1 + 80000730: 04078263 beqz a5,80000774 + 80000734: 00078493 mv s1,a5 + 80000738: 03069713 slli a4,a3,0x30 + 8000073c: 03075713 srli a4,a4,0x30 + 80000740: 0017079b addiw a5,a4,1 + 80000744: 0087979b slliw a5,a5,0x8 + 80000748: 01374733 xor a4,a4,s3 + 8000074c: 7007f793 andi a5,a5,1792 + 80000750: 00e7e7b3 or a5,a5,a4 + 80000754: 00c7f7b3 and a5,a5,a2 + 80000758: 00843703 ld a4,8(s0) + 8000075c: fca6e2e3 bltu a3,a0,80000720 + 80000760: 00f71123 sh a5,2(a4) + 80000764: 0004b783 ld a5,0(s1) + 80000768: 00048413 mv s0,s1 + 8000076c: 0016869b addiw a3,a3,1 + 80000770: fc0792e3 bnez a5,80000734 + 80000774: 02013403 ld s0,32(sp) + 80000778: 02813083 ld ra,40(sp) + 8000077c: 01813483 ld s1,24(sp) + 80000780: 00813983 ld s3,8(sp) + 80000784: 00090513 mv a0,s2 + 80000788: 01013903 ld s2,16(sp) + 8000078c: 00000613 li a2,0 + 80000790: 00000597 auipc a1,0x0 + 80000794: 88058593 addi a1,a1,-1920 # 80000010 + 80000798: 03010113 addi sp,sp,48 + 8000079c: aa1ff06f j 8000023c + 800007a0: 00040693 mv a3,s0 + 800007a4: 00070893 mv a7,a4 + 800007a8: 00000413 li s0,0 + 800007ac: eddff06f j 80000688 + +00000000800007b0 : + 800007b0: 00500793 li a5,5 + 800007b4: 04a7ee63 bltu a5,a0,80000810 + 800007b8: 00003717 auipc a4,0x3 + 800007bc: 41070713 addi a4,a4,1040 # 80003bc8 <_etext+0x4> + 800007c0: 00251513 slli a0,a0,0x2 + 800007c4: 00e50533 add a0,a0,a4 + 800007c8: 00052783 lw a5,0(a0) + 800007cc: 00e787b3 add a5,a5,a4 + 800007d0: 00078067 jr a5 # ffffffffffff8000 <_end+0xffffffff7ffea000> + 800007d4: 00004517 auipc a0,0x4 + 800007d8: 0a452503 lw a0,164(a0) # 80004878 + 800007dc: 00008067 ret + 800007e0: 00004517 auipc a0,0x4 + 800007e4: 09052503 lw a0,144(a0) # 80004870 + 800007e8: 00008067 ret + 800007ec: 00004517 auipc a0,0x4 + 800007f0: 08852503 lw a0,136(a0) # 80004874 + 800007f4: 00008067 ret + 800007f8: 00004517 auipc a0,0x4 + 800007fc: c5c52503 lw a0,-932(a0) # 80004454 + 80000800: 00008067 ret + 80000804: 00004517 auipc a0,0x4 + 80000808: c5452503 lw a0,-940(a0) # 80004458 + 8000080c: 00008067 ret + 80000810: 00000513 li a0,0 + 80000814: 00008067 ret + +0000000080000818 : + 80000818: 00050693 mv a3,a0 + 8000081c: ffffa837 lui a6,0xffffa + 80000820: 00058513 mv a0,a1 + 80000824: 0ff6f613 andi a2,a3,255 + 80000828: 00800793 li a5,8 + 8000082c: 00180813 addi a6,a6,1 # ffffffffffffa001 <_end+0xffffffff7ffec001> + 80000830: 00c54733 xor a4,a0,a2 + 80000834: fff7879b addiw a5,a5,-1 + 80000838: 00155513 srli a0,a0,0x1 + 8000083c: 00177713 andi a4,a4,1 + 80000840: 0ff7f793 andi a5,a5,255 + 80000844: 010545b3 xor a1,a0,a6 + 80000848: 00165613 srli a2,a2,0x1 + 8000084c: 00070663 beqz a4,80000858 + 80000850: 03059513 slli a0,a1,0x30 + 80000854: 03055513 srli a0,a0,0x30 + 80000858: fc079ce3 bnez a5,80000830 + 8000085c: ffffa5b7 lui a1,0xffffa + 80000860: 0086d693 srli a3,a3,0x8 + 80000864: 00800793 li a5,8 + 80000868: 00158593 addi a1,a1,1 # ffffffffffffa001 <_end+0xffffffff7ffec001> + 8000086c: 00d54733 xor a4,a0,a3 + 80000870: fff7879b addiw a5,a5,-1 + 80000874: 00155513 srli a0,a0,0x1 + 80000878: 00177713 andi a4,a4,1 + 8000087c: 0ff7f793 andi a5,a5,255 + 80000880: 00b54633 xor a2,a0,a1 + 80000884: 0016d693 srli a3,a3,0x1 + 80000888: 00070663 beqz a4,80000894 + 8000088c: 03061513 slli a0,a2,0x30 + 80000890: 03055513 srli a0,a0,0x30 + 80000894: fc079ce3 bnez a5,8000086c + 80000898: 00008067 ret + +000000008000089c : + 8000089c: ff010113 addi sp,sp,-16 + 800008a0: 00813023 sd s0,0(sp) + 800008a4: 00050413 mv s0,a0 + 800008a8: 03051513 slli a0,a0,0x30 + 800008ac: 03055513 srli a0,a0,0x30 + 800008b0: 00113423 sd ra,8(sp) + 800008b4: f65ff0ef jal ra,80000818 + 800008b8: 00050593 mv a1,a0 + 800008bc: 0104551b srliw a0,s0,0x10 + 800008c0: 00013403 ld s0,0(sp) + 800008c4: 00813083 ld ra,8(sp) + 800008c8: 01010113 addi sp,sp,16 + 800008cc: f4dff06f j 80000818 + +00000000800008d0 : + 800008d0: 03051513 slli a0,a0,0x30 + 800008d4: 03055513 srli a0,a0,0x30 + 800008d8: f41ff06f j 80000818 + +00000000800008dc : + 800008dc: 00000513 li a0,0 + 800008e0: 00008067 ret + +00000000800008e4 : + 800008e4: f7010113 addi sp,sp,-144 + 800008e8: 07413023 sd s4,96(sp) + 800008ec: 03b13423 sd s11,40(sp) + 800008f0: 08113423 sd ra,136(sp) + 800008f4: 08813023 sd s0,128(sp) + 800008f8: 06913c23 sd s1,120(sp) + 800008fc: 07213823 sd s2,112(sp) + 80000900: 07313423 sd s3,104(sp) + 80000904: 05513c23 sd s5,88(sp) + 80000908: 05613823 sd s6,80(sp) + 8000090c: 05713423 sd s7,72(sp) + 80000910: 05813023 sd s8,64(sp) + 80000914: 03913c23 sd s9,56(sp) + 80000918: 03a13823 sd s10,48(sp) + 8000091c: 00d13c23 sd a3,24(sp) + 80000920: 00050a13 mv s4,a0 + 80000924: 00100d93 li s11,1 + 80000928: 00060463 beqz a2,80000930 + 8000092c: 00060d93 mv s11,a2 + 80000930: fff58593 addi a1,a1,-1 + 80000934: ffc5f493 andi s1,a1,-4 + 80000938: 00448993 addi s3,s1,4 + 8000093c: 00000913 li s2,0 + 80000940: 160a0663 beqz s4,80000aac + 80000944: 0019079b addiw a5,s2,1 + 80000948: 00078593 mv a1,a5 + 8000094c: 00078513 mv a0,a5 + 80000950: 0009041b sext.w s0,s2 + 80000954: 0007891b sext.w s2,a5 + 80000958: 13d010ef jal ra,80002294 <__muldi3> + 8000095c: 0035179b slliw a5,a0,0x3 + 80000960: ff47e2e3 bltu a5,s4,80000944 + 80000964: 00040593 mv a1,s0 + 80000968: 00040513 mv a0,s0 + 8000096c: 129010ef jal ra,80002294 <__muldi3> + 80000970: 02051c13 slli s8,a0,0x20 + 80000974: 01fc5793 srli a5,s8,0x1f + 80000978: 00f13823 sd a5,16(sp) + 8000097c: 00f984b3 add s1,s3,a5 + 80000980: 0004079b sext.w a5,s0 + 80000984: 00f13423 sd a5,8(sp) + 80000988: 14040063 beqz s0,80000ac8 + 8000098c: 00010a37 lui s4,0x10 + 80000990: 00000b93 li s7,0 + 80000994: 00000b13 li s6,0 + 80000998: 00100a93 li s5,1 + 8000099c: fffa0a13 addi s4,s4,-1 # ffff <_entry_offset+0xffff> + 800009a0: fff40d1b addiw s10,s0,-1 + 800009a4: 000a8c93 mv s9,s5 + 800009a8: 00000c13 li s8,0 + 800009ac: 415b893b subw s2,s7,s5 + 800009b0: 000c8593 mv a1,s9 + 800009b4: 000d8513 mv a0,s11 + 800009b8: 0dd010ef jal ra,80002294 <__muldi3> + 800009bc: 0005051b sext.w a0,a0 + 800009c0: 41f5561b sraiw a2,a0,0x1f + 800009c4: 0106561b srliw a2,a2,0x10 + 800009c8: 00a6053b addw a0,a2,a0 + 800009cc: 030c9713 slli a4,s9,0x30 + 800009d0: 01457533 and a0,a0,s4 + 800009d4: 03075713 srli a4,a4,0x30 + 800009d8: 019907bb addw a5,s2,s9 + 800009dc: 40c50dbb subw s11,a0,a2 + 800009e0: 00ed863b addw a2,s11,a4 + 800009e4: 02079793 slli a5,a5,0x20 + 800009e8: 0207d793 srli a5,a5,0x20 + 800009ec: 03061613 slli a2,a2,0x30 + 800009f0: 03065613 srli a2,a2,0x30 + 800009f4: 00179793 slli a5,a5,0x1 + 800009f8: 00f48833 add a6,s1,a5 + 800009fc: 00e6073b addw a4,a2,a4 + 80000a00: 00c81023 sh a2,0(a6) + 80000a04: 00f987b3 add a5,s3,a5 + 80000a08: 0ff77713 andi a4,a4,255 + 80000a0c: 00e79023 sh a4,0(a5) + 80000a10: 001c0c1b addiw s8,s8,1 + 80000a14: 001c8c9b addiw s9,s9,1 + 80000a18: f88c6ce3 bltu s8,s0,800009b0 + 80000a1c: 001a8a9b addiw s5,s5,1 + 80000a20: 00000793 li a5,0 + 80000a24: 00040463 beqz s0,80000a2c + 80000a28: 000d0793 mv a5,s10 + 80000a2c: 001b0b1b addiw s6,s6,1 + 80000a30: 01578abb addw s5,a5,s5 + 80000a34: 01740bbb addw s7,s0,s7 + 80000a38: f68b66e3 bltu s6,s0,800009a4 + 80000a3c: 01013783 ld a5,16(sp) + 80000a40: 01813703 ld a4,24(sp) + 80000a44: 08813083 ld ra,136(sp) + 80000a48: 00f487b3 add a5,s1,a5 + 80000a4c: fff78793 addi a5,a5,-1 + 80000a50: ffc7f793 andi a5,a5,-4 + 80000a54: 00478793 addi a5,a5,4 + 80000a58: 01373423 sd s3,8(a4) + 80000a5c: 00973823 sd s1,16(a4) + 80000a60: 00f73c23 sd a5,24(a4) + 80000a64: 00070793 mv a5,a4 + 80000a68: 00813703 ld a4,8(sp) + 80000a6c: 00040513 mv a0,s0 + 80000a70: 08013403 ld s0,128(sp) + 80000a74: 00e7a023 sw a4,0(a5) + 80000a78: 07813483 ld s1,120(sp) + 80000a7c: 07013903 ld s2,112(sp) + 80000a80: 06813983 ld s3,104(sp) + 80000a84: 06013a03 ld s4,96(sp) + 80000a88: 05813a83 ld s5,88(sp) + 80000a8c: 05013b03 ld s6,80(sp) + 80000a90: 04813b83 ld s7,72(sp) + 80000a94: 04013c03 ld s8,64(sp) + 80000a98: 03813c83 ld s9,56(sp) + 80000a9c: 03013d03 ld s10,48(sp) + 80000aa0: 02813d83 ld s11,40(sp) + 80000aa4: 09010113 addi sp,sp,144 + 80000aa8: 00008067 ret + 80000aac: fff00793 li a5,-1 + 80000ab0: 00f13423 sd a5,8(sp) + 80000ab4: 00200793 li a5,2 + 80000ab8: 00648493 addi s1,s1,6 + 80000abc: fff00413 li s0,-1 + 80000ac0: 00f13823 sd a5,16(sp) + 80000ac4: ec9ff06f j 8000098c + 80000ac8: 00013423 sd zero,8(sp) + 80000acc: f71ff06f j 80000a3c + +0000000080000ad0 : + 80000ad0: 0c050e63 beqz a0,80000bac + 80000ad4: fa010113 addi sp,sp,-96 + 80000ad8: 01713c23 sd s7,24(sp) + 80000adc: fff50b9b addiw s7,a0,-1 + 80000ae0: 020b9b93 slli s7,s7,0x20 + 80000ae4: 01ebdb93 srli s7,s7,0x1e + 80000ae8: 00458793 addi a5,a1,4 + 80000aec: 05213023 sd s2,64(sp) + 80000af0: 03313c23 sd s3,56(sp) + 80000af4: 03413823 sd s4,48(sp) + 80000af8: 03513423 sd s5,40(sp) + 80000afc: 03613023 sd s6,32(sp) + 80000b00: 01813823 sd s8,16(sp) + 80000b04: 04113c23 sd ra,88(sp) + 80000b08: 04813823 sd s0,80(sp) + 80000b0c: 04913423 sd s1,72(sp) + 80000b10: 01913423 sd s9,8(sp) + 80000b14: 00050b13 mv s6,a0 + 80000b18: 00060993 mv s3,a2 + 80000b1c: 00068c13 mv s8,a3 + 80000b20: 00058a13 mv s4,a1 + 80000b24: 00fb8bb3 add s7,s7,a5 + 80000b28: 00050913 mv s2,a0 + 80000b2c: 00000a93 li s5,0 + 80000b30: 000c0493 mv s1,s8 + 80000b34: 000a8413 mv s0,s5 + 80000b38: 00000c93 li s9,0 + 80000b3c: 02041793 slli a5,s0,0x20 + 80000b40: 01f7d793 srli a5,a5,0x1f + 80000b44: 00f987b3 add a5,s3,a5 + 80000b48: 00049583 lh a1,0(s1) + 80000b4c: 00079503 lh a0,0(a5) + 80000b50: 0014041b addiw s0,s0,1 + 80000b54: 00248493 addi s1,s1,2 + 80000b58: 73c010ef jal ra,80002294 <__muldi3> + 80000b5c: 01950cbb addw s9,a0,s9 + 80000b60: fc891ee3 bne s2,s0,80000b3c + 80000b64: 019a2023 sw s9,0(s4) + 80000b68: 004a0a13 addi s4,s4,4 + 80000b6c: 015b0abb addw s5,s6,s5 + 80000b70: 012b093b addw s2,s6,s2 + 80000b74: fb4b9ee3 bne s7,s4,80000b30 + 80000b78: 05813083 ld ra,88(sp) + 80000b7c: 05013403 ld s0,80(sp) + 80000b80: 04813483 ld s1,72(sp) + 80000b84: 04013903 ld s2,64(sp) + 80000b88: 03813983 ld s3,56(sp) + 80000b8c: 03013a03 ld s4,48(sp) + 80000b90: 02813a83 ld s5,40(sp) + 80000b94: 02013b03 ld s6,32(sp) + 80000b98: 01813b83 ld s7,24(sp) + 80000b9c: 01013c03 ld s8,16(sp) + 80000ba0: 00813c83 ld s9,8(sp) + 80000ba4: 06010113 addi sp,sp,96 + 80000ba8: 00008067 ret + 80000bac: 00008067 ret + +0000000080000bb0 : + 80000bb0: 10050e63 beqz a0,80000ccc + 80000bb4: f9010113 addi sp,sp,-112 + 80000bb8: 06813023 sd s0,96(sp) + 80000bbc: 04913c23 sd s1,88(sp) + 80000bc0: 05213823 sd s2,80(sp) + 80000bc4: 05313423 sd s3,72(sp) + 80000bc8: 03613823 sd s6,48(sp) + 80000bcc: 03713423 sd s7,40(sp) + 80000bd0: 03813023 sd s8,32(sp) + 80000bd4: 06113423 sd ra,104(sp) + 80000bd8: 05413023 sd s4,64(sp) + 80000bdc: 03513c23 sd s5,56(sp) + 80000be0: 01913c23 sd s9,24(sp) + 80000be4: 01a13823 sd s10,16(sp) + 80000be8: 01b13423 sd s11,8(sp) + 80000bec: 00050413 mv s0,a0 + 80000bf0: 00058b93 mv s7,a1 + 80000bf4: 00060993 mv s3,a2 + 80000bf8: 00068913 mv s2,a3 + 80000bfc: 00050493 mv s1,a0 + 80000c00: 00000b13 li s6,0 + 80000c04: 00000c13 li s8,0 + 80000c08: 00000a93 li s5,0 + 80000c0c: 016a8a3b addw s4,s5,s6 + 80000c10: 020a1a13 slli s4,s4,0x20 + 80000c14: 01ea5a13 srli s4,s4,0x1e + 80000c18: 014b8a33 add s4,s7,s4 + 80000c1c: 000a8d13 mv s10,s5 + 80000c20: 000b0c93 mv s9,s6 + 80000c24: 00000d93 li s11,0 + 80000c28: 020c9793 slli a5,s9,0x20 + 80000c2c: 020d1713 slli a4,s10,0x20 + 80000c30: 0207d793 srli a5,a5,0x20 + 80000c34: 02075713 srli a4,a4,0x20 + 80000c38: 00179793 slli a5,a5,0x1 + 80000c3c: 00171713 slli a4,a4,0x1 + 80000c40: 00f987b3 add a5,s3,a5 + 80000c44: 00e90733 add a4,s2,a4 + 80000c48: 00071583 lh a1,0(a4) + 80000c4c: 00079503 lh a0,0(a5) + 80000c50: 001c8c9b addiw s9,s9,1 + 80000c54: 01a40d3b addw s10,s0,s10 + 80000c58: 63c010ef jal ra,80002294 <__muldi3> + 80000c5c: 01b50dbb addw s11,a0,s11 + 80000c60: fd9494e3 bne s1,s9,80000c28 + 80000c64: 01ba2023 sw s11,0(s4) + 80000c68: 001a879b addiw a5,s5,1 + 80000c6c: 00f40663 beq s0,a5,80000c78 + 80000c70: 00078a93 mv s5,a5 + 80000c74: f99ff06f j 80000c0c + 80000c78: 001c079b addiw a5,s8,1 + 80000c7c: 01640b3b addw s6,s0,s6 + 80000c80: 009404bb addw s1,s0,s1 + 80000c84: 015c0663 beq s8,s5,80000c90 + 80000c88: 00078c13 mv s8,a5 + 80000c8c: f7dff06f j 80000c08 + 80000c90: 06813083 ld ra,104(sp) + 80000c94: 06013403 ld s0,96(sp) + 80000c98: 05813483 ld s1,88(sp) + 80000c9c: 05013903 ld s2,80(sp) + 80000ca0: 04813983 ld s3,72(sp) + 80000ca4: 04013a03 ld s4,64(sp) + 80000ca8: 03813a83 ld s5,56(sp) + 80000cac: 03013b03 ld s6,48(sp) + 80000cb0: 02813b83 ld s7,40(sp) + 80000cb4: 02013c03 ld s8,32(sp) + 80000cb8: 01813c83 ld s9,24(sp) + 80000cbc: 01013d03 ld s10,16(sp) + 80000cc0: 00813d83 ld s11,8(sp) + 80000cc4: 07010113 addi sp,sp,112 + 80000cc8: 00008067 ret + 80000ccc: 00008067 ret + +0000000080000cd0 : + 80000cd0: 12050863 beqz a0,80000e00 + 80000cd4: f9010113 addi sp,sp,-112 + 80000cd8: 06813023 sd s0,96(sp) + 80000cdc: 04913c23 sd s1,88(sp) + 80000ce0: 05213823 sd s2,80(sp) + 80000ce4: 05313423 sd s3,72(sp) + 80000ce8: 03613823 sd s6,48(sp) + 80000cec: 03713423 sd s7,40(sp) + 80000cf0: 03813023 sd s8,32(sp) + 80000cf4: 06113423 sd ra,104(sp) + 80000cf8: 05413023 sd s4,64(sp) + 80000cfc: 03513c23 sd s5,56(sp) + 80000d00: 01913c23 sd s9,24(sp) + 80000d04: 01a13823 sd s10,16(sp) + 80000d08: 01b13423 sd s11,8(sp) + 80000d0c: 00050413 mv s0,a0 + 80000d10: 00058b93 mv s7,a1 + 80000d14: 00060993 mv s3,a2 + 80000d18: 00068913 mv s2,a3 + 80000d1c: 00050493 mv s1,a0 + 80000d20: 00000b13 li s6,0 + 80000d24: 00000c13 li s8,0 + 80000d28: 00000a93 li s5,0 + 80000d2c: 016a8a3b addw s4,s5,s6 + 80000d30: 020a1a13 slli s4,s4,0x20 + 80000d34: 01ea5a13 srli s4,s4,0x1e + 80000d38: 014b8a33 add s4,s7,s4 + 80000d3c: 000a8d13 mv s10,s5 + 80000d40: 000b0c93 mv s9,s6 + 80000d44: 00000d93 li s11,0 + 80000d48: 020c9793 slli a5,s9,0x20 + 80000d4c: 020d1713 slli a4,s10,0x20 + 80000d50: 0207d793 srli a5,a5,0x20 + 80000d54: 02075713 srli a4,a4,0x20 + 80000d58: 00179793 slli a5,a5,0x1 + 80000d5c: 00171713 slli a4,a4,0x1 + 80000d60: 00f987b3 add a5,s3,a5 + 80000d64: 00e90733 add a4,s2,a4 + 80000d68: 00071583 lh a1,0(a4) + 80000d6c: 00079503 lh a0,0(a5) + 80000d70: 001c8c9b addiw s9,s9,1 + 80000d74: 01a40d3b addw s10,s0,s10 + 80000d78: 51c010ef jal ra,80002294 <__muldi3> + 80000d7c: 4025579b sraiw a5,a0,0x2 + 80000d80: 4055559b sraiw a1,a0,0x5 + 80000d84: 07f5f593 andi a1,a1,127 + 80000d88: 00f7f513 andi a0,a5,15 + 80000d8c: 508010ef jal ra,80002294 <__muldi3> + 80000d90: 01b50dbb addw s11,a0,s11 + 80000d94: fb949ae3 bne s1,s9,80000d48 + 80000d98: 01ba2023 sw s11,0(s4) + 80000d9c: 001a879b addiw a5,s5,1 + 80000da0: 00f40663 beq s0,a5,80000dac + 80000da4: 00078a93 mv s5,a5 + 80000da8: f85ff06f j 80000d2c + 80000dac: 001c079b addiw a5,s8,1 + 80000db0: 01640b3b addw s6,s0,s6 + 80000db4: 009404bb addw s1,s0,s1 + 80000db8: 015c0663 beq s8,s5,80000dc4 + 80000dbc: 00078c13 mv s8,a5 + 80000dc0: f69ff06f j 80000d28 + 80000dc4: 06813083 ld ra,104(sp) + 80000dc8: 06013403 ld s0,96(sp) + 80000dcc: 05813483 ld s1,88(sp) + 80000dd0: 05013903 ld s2,80(sp) + 80000dd4: 04813983 ld s3,72(sp) + 80000dd8: 04013a03 ld s4,64(sp) + 80000ddc: 03813a83 ld s5,56(sp) + 80000de0: 03013b03 ld s6,48(sp) + 80000de4: 02813b83 ld s7,40(sp) + 80000de8: 02013c03 ld s8,32(sp) + 80000dec: 01813c83 ld s9,24(sp) + 80000df0: 01013d03 ld s10,16(sp) + 80000df4: 00813d83 ld s11,8(sp) + 80000df8: 07010113 addi sp,sp,112 + 80000dfc: 00008067 ret + 80000e00: 00008067 ret + +0000000080000e04 : + 80000e04: f8010113 addi sp,sp,-128 + 80000e08: 05313c23 sd s3,88(sp) + 80000e0c: fffff9b7 lui s3,0xfffff + 80000e10: 013767b3 or a5,a4,s3 + 80000e14: 05413823 sd s4,80(sp) + 80000e18: 05613023 sd s6,64(sp) + 80000e1c: 01b13c23 sd s11,24(sp) + 80000e20: 06113c23 sd ra,120(sp) + 80000e24: 06813823 sd s0,112(sp) + 80000e28: 06913423 sd s1,104(sp) + 80000e2c: 07213023 sd s2,96(sp) + 80000e30: 05513423 sd s5,72(sp) + 80000e34: 03713c23 sd s7,56(sp) + 80000e38: 03813823 sd s8,48(sp) + 80000e3c: 03913423 sd s9,40(sp) + 80000e40: 03a13023 sd s10,32(sp) + 80000e44: 00f13423 sd a5,8(sp) + 80000e48: 00058d93 mv s11,a1 + 80000e4c: 00060a13 mv s4,a2 + 80000e50: 00068b13 mv s6,a3 + 80000e54: 3a050c63 beqz a0,8000120c + 80000e58: 03071413 slli s0,a4,0x30 + 80000e5c: 00050813 mv a6,a0 + 80000e60: 00050593 mv a1,a0 + 80000e64: 03045413 srli s0,s0,0x30 + 80000e68: 00000513 li a0,0 + 80000e6c: 00000c13 li s8,0 + 80000e70: 00050693 mv a3,a0 + 80000e74: 02069793 slli a5,a3,0x20 + 80000e78: 01f7d793 srli a5,a5,0x1f + 80000e7c: 00fa07b3 add a5,s4,a5 + 80000e80: 0007d603 lhu a2,0(a5) + 80000e84: 0016869b addiw a3,a3,1 + 80000e88: 0086063b addw a2,a2,s0 + 80000e8c: 00c79023 sh a2,0(a5) + 80000e90: fed592e3 bne a1,a3,80000e74 + 80000e94: 001c091b addiw s2,s8,1 + 80000e98: 00a8053b addw a0,a6,a0 + 80000e9c: 00b805bb addw a1,a6,a1 + 80000ea0: 01280663 beq a6,s2,80000eac + 80000ea4: 00090c13 mv s8,s2 + 80000ea8: fc9ff06f j 80000e70 + 80000eac: 00070b9b sext.w s7,a4 + 80000eb0: 00090a93 mv s5,s2 + 80000eb4: 00000c93 li s9,0 + 80000eb8: 00000d13 li s10,0 + 80000ebc: 000c8993 mv s3,s9 + 80000ec0: 02099793 slli a5,s3,0x20 + 80000ec4: 0207d793 srli a5,a5,0x20 + 80000ec8: 00179693 slli a3,a5,0x1 + 80000ecc: 00da06b3 add a3,s4,a3 + 80000ed0: 00069583 lh a1,0(a3) + 80000ed4: 00279793 slli a5,a5,0x2 + 80000ed8: 000b8513 mv a0,s7 + 80000edc: 00fd84b3 add s1,s11,a5 + 80000ee0: 3b4010ef jal ra,80002294 <__muldi3> + 80000ee4: 00a4a023 sw a0,0(s1) + 80000ee8: 0019899b addiw s3,s3,1 + 80000eec: fd3a9ae3 bne s5,s3,80000ec0 + 80000ef0: 001d079b addiw a5,s10,1 + 80000ef4: 01990cbb addw s9,s2,s9 + 80000ef8: 01590abb addw s5,s2,s5 + 80000efc: 018d0663 beq s10,s8,80000f08 + 80000f00: 00078d13 mv s10,a5 + 80000f04: fb9ff06f j 80000ebc + 80000f08: 00812983 lw s3,8(sp) + 80000f0c: 00090813 mv a6,s2 + 80000f10: 00000893 li a7,0 + 80000f14: 00000513 li a0,0 + 80000f18: 00000613 li a2,0 + 80000f1c: 00000593 li a1,0 + 80000f20: 00000313 li t1,0 + 80000f24: 00088693 mv a3,a7 + 80000f28: 0180006f j 80000f40 + 80000f2c: 0107951b slliw a0,a5,0x10 + 80000f30: 0016869b addiw a3,a3,1 + 80000f34: 4105551b sraiw a0,a0,0x10 + 80000f38: 00000593 li a1,0 + 80000f3c: 04d80263 beq a6,a3,80000f80 + 80000f40: 02069793 slli a5,a3,0x20 + 80000f44: 01e7d793 srli a5,a5,0x1e + 80000f48: 00fd87b3 add a5,s11,a5 + 80000f4c: 00060713 mv a4,a2 + 80000f50: 0007a603 lw a2,0(a5) + 80000f54: 03051513 slli a0,a0,0x30 + 80000f58: 03055513 srli a0,a0,0x30 + 80000f5c: 00c72733 slt a4,a4,a2 + 80000f60: 00b605bb addw a1,a2,a1 + 80000f64: 00a5079b addiw a5,a0,10 + 80000f68: 00a7073b addw a4,a4,a0 + 80000f6c: fcb9c0e3 blt s3,a1,80000f2c + 80000f70: 0107151b slliw a0,a4,0x10 + 80000f74: 0016869b addiw a3,a3,1 + 80000f78: 4105551b sraiw a0,a0,0x10 + 80000f7c: fcd812e3 bne a6,a3,80000f40 + 80000f80: 0013079b addiw a5,t1,1 + 80000f84: 0109083b addw a6,s2,a6 + 80000f88: 011908bb addw a7,s2,a7 + 80000f8c: 01830663 beq t1,s8,80000f98 + 80000f90: 00078313 mv t1,a5 + 80000f94: f91ff06f j 80000f24 + 80000f98: 00000593 li a1,0 + 80000f9c: 935ff0ef jal ra,800008d0 + 80000fa0: 000a0613 mv a2,s4 + 80000fa4: 000d8593 mv a1,s11 + 80000fa8: 00050493 mv s1,a0 + 80000fac: 000b0693 mv a3,s6 + 80000fb0: 00090513 mv a0,s2 + 80000fb4: b1dff0ef jal ra,80000ad0 + 80000fb8: 00090813 mv a6,s2 + 80000fbc: 00000893 li a7,0 + 80000fc0: 00000513 li a0,0 + 80000fc4: 00000613 li a2,0 + 80000fc8: 00000593 li a1,0 + 80000fcc: 00000313 li t1,0 + 80000fd0: 00088693 mv a3,a7 + 80000fd4: 0180006f j 80000fec + 80000fd8: 0107951b slliw a0,a5,0x10 + 80000fdc: 0016869b addiw a3,a3,1 + 80000fe0: 4105551b sraiw a0,a0,0x10 + 80000fe4: 00000593 li a1,0 + 80000fe8: 04d80263 beq a6,a3,8000102c + 80000fec: 02069793 slli a5,a3,0x20 + 80000ff0: 01e7d793 srli a5,a5,0x1e + 80000ff4: 00fd87b3 add a5,s11,a5 + 80000ff8: 00060713 mv a4,a2 + 80000ffc: 0007a603 lw a2,0(a5) + 80001000: 03051513 slli a0,a0,0x30 + 80001004: 03055513 srli a0,a0,0x30 + 80001008: 00c72733 slt a4,a4,a2 + 8000100c: 00b605bb addw a1,a2,a1 + 80001010: 00a5079b addiw a5,a0,10 + 80001014: 00a7073b addw a4,a4,a0 + 80001018: fcb9c0e3 blt s3,a1,80000fd8 + 8000101c: 0107151b slliw a0,a4,0x10 + 80001020: 0016869b addiw a3,a3,1 + 80001024: 4105551b sraiw a0,a0,0x10 + 80001028: fcd812e3 bne a6,a3,80000fec + 8000102c: 0013079b addiw a5,t1,1 + 80001030: 0109083b addw a6,s2,a6 + 80001034: 011908bb addw a7,s2,a7 + 80001038: 01830663 beq t1,s8,80001044 + 8000103c: 00078313 mv t1,a5 + 80001040: f91ff06f j 80000fd0 + 80001044: 00048593 mv a1,s1 + 80001048: 889ff0ef jal ra,800008d0 + 8000104c: 000a0613 mv a2,s4 + 80001050: 000d8593 mv a1,s11 + 80001054: 00050493 mv s1,a0 + 80001058: 000b0693 mv a3,s6 + 8000105c: 00090513 mv a0,s2 + 80001060: b51ff0ef jal ra,80000bb0 + 80001064: 00000813 li a6,0 + 80001068: 00000513 li a0,0 + 8000106c: 00000613 li a2,0 + 80001070: 00000593 li a1,0 + 80001074: 00000893 li a7,0 + 80001078: 00000693 li a3,0 + 8000107c: 0180006f j 80001094 + 80001080: 0107951b slliw a0,a5,0x10 + 80001084: 0016869b addiw a3,a3,1 + 80001088: 4105551b sraiw a0,a0,0x10 + 8000108c: 00000593 li a1,0 + 80001090: 0526f463 bgeu a3,s2,800010d8 + 80001094: 010687bb addw a5,a3,a6 + 80001098: 02079793 slli a5,a5,0x20 + 8000109c: 01e7d793 srli a5,a5,0x1e + 800010a0: 00fd87b3 add a5,s11,a5 + 800010a4: 00060713 mv a4,a2 + 800010a8: 0007a603 lw a2,0(a5) + 800010ac: 03051513 slli a0,a0,0x30 + 800010b0: 03055513 srli a0,a0,0x30 + 800010b4: 00c72733 slt a4,a4,a2 + 800010b8: 00b605bb addw a1,a2,a1 + 800010bc: 00a5079b addiw a5,a0,10 + 800010c0: 00a7073b addw a4,a4,a0 + 800010c4: fab9cee3 blt s3,a1,80001080 + 800010c8: 0107151b slliw a0,a4,0x10 + 800010cc: 0016869b addiw a3,a3,1 + 800010d0: 4105551b sraiw a0,a0,0x10 + 800010d4: fd26e0e3 bltu a3,s2,80001094 + 800010d8: 0018889b addiw a7,a7,1 + 800010dc: 0109083b addw a6,s2,a6 + 800010e0: f928ece3 bltu a7,s2,80001078 + 800010e4: 00048593 mv a1,s1 + 800010e8: fe8ff0ef jal ra,800008d0 + 800010ec: 000a0613 mv a2,s4 + 800010f0: 000d8593 mv a1,s11 + 800010f4: 00050493 mv s1,a0 + 800010f8: 000b0693 mv a3,s6 + 800010fc: 00090513 mv a0,s2 + 80001100: bd1ff0ef jal ra,80000cd0 + 80001104: 00000813 li a6,0 + 80001108: 00000513 li a0,0 + 8000110c: 00000613 li a2,0 + 80001110: 00000593 li a1,0 + 80001114: 00000893 li a7,0 + 80001118: 00000693 li a3,0 + 8000111c: 0180006f j 80001134 + 80001120: 0107951b slliw a0,a5,0x10 + 80001124: 0016869b addiw a3,a3,1 + 80001128: 4105551b sraiw a0,a0,0x10 + 8000112c: 00000593 li a1,0 + 80001130: 0526f463 bgeu a3,s2,80001178 + 80001134: 010687bb addw a5,a3,a6 + 80001138: 02079793 slli a5,a5,0x20 + 8000113c: 01e7d793 srli a5,a5,0x1e + 80001140: 00fd87b3 add a5,s11,a5 + 80001144: 00060713 mv a4,a2 + 80001148: 0007a603 lw a2,0(a5) + 8000114c: 03051513 slli a0,a0,0x30 + 80001150: 03055513 srli a0,a0,0x30 + 80001154: 00c72733 slt a4,a4,a2 + 80001158: 00b605bb addw a1,a2,a1 + 8000115c: 00a5079b addiw a5,a0,10 + 80001160: 00a7073b addw a4,a4,a0 + 80001164: fab9cee3 blt s3,a1,80001120 + 80001168: 0107151b slliw a0,a4,0x10 + 8000116c: 0016869b addiw a3,a3,1 + 80001170: 4105551b sraiw a0,a0,0x10 + 80001174: fd26e0e3 bltu a3,s2,80001134 + 80001178: 0018889b addiw a7,a7,1 + 8000117c: 0109083b addw a6,s2,a6 + 80001180: f928ece3 bltu a7,s2,80001118 + 80001184: 00048593 mv a1,s1 + 80001188: f48ff0ef jal ra,800008d0 + 8000118c: 00000613 li a2,0 + 80001190: 00000593 li a1,0 + 80001194: 00000713 li a4,0 + 80001198: 00c707bb addw a5,a4,a2 + 8000119c: 02079793 slli a5,a5,0x20 + 800011a0: 01f7d793 srli a5,a5,0x1f + 800011a4: 00fa07b3 add a5,s4,a5 + 800011a8: 0007d683 lhu a3,0(a5) + 800011ac: 0017071b addiw a4,a4,1 + 800011b0: 408686bb subw a3,a3,s0 + 800011b4: 00d79023 sh a3,0(a5) + 800011b8: ff2760e3 bltu a4,s2,80001198 + 800011bc: 0015859b addiw a1,a1,1 + 800011c0: 00c9063b addw a2,s2,a2 + 800011c4: fd25e8e3 bltu a1,s2,80001194 + 800011c8: 07813083 ld ra,120(sp) + 800011cc: 07013403 ld s0,112(sp) + 800011d0: 0105151b slliw a0,a0,0x10 + 800011d4: 06813483 ld s1,104(sp) + 800011d8: 06013903 ld s2,96(sp) + 800011dc: 05813983 ld s3,88(sp) + 800011e0: 05013a03 ld s4,80(sp) + 800011e4: 04813a83 ld s5,72(sp) + 800011e8: 04013b03 ld s6,64(sp) + 800011ec: 03813b83 ld s7,56(sp) + 800011f0: 03013c03 ld s8,48(sp) + 800011f4: 02813c83 ld s9,40(sp) + 800011f8: 02013d03 ld s10,32(sp) + 800011fc: 01813d83 ld s11,24(sp) + 80001200: 4105551b sraiw a0,a0,0x10 + 80001204: 08010113 addi sp,sp,128 + 80001208: 00008067 ret + 8000120c: 00000593 li a1,0 + 80001210: ec0ff0ef jal ra,800008d0 + 80001214: 000b0693 mv a3,s6 + 80001218: 000a0613 mv a2,s4 + 8000121c: 00050413 mv s0,a0 + 80001220: 000d8593 mv a1,s11 + 80001224: 00000513 li a0,0 + 80001228: 8a9ff0ef jal ra,80000ad0 + 8000122c: 00040593 mv a1,s0 + 80001230: 00000513 li a0,0 + 80001234: e9cff0ef jal ra,800008d0 + 80001238: 000b0693 mv a3,s6 + 8000123c: 000a0613 mv a2,s4 + 80001240: 00050413 mv s0,a0 + 80001244: 000d8593 mv a1,s11 + 80001248: 00000513 li a0,0 + 8000124c: 965ff0ef jal ra,80000bb0 + 80001250: 00040593 mv a1,s0 + 80001254: 00000513 li a0,0 + 80001258: e78ff0ef jal ra,800008d0 + 8000125c: 00050413 mv s0,a0 + 80001260: 000d8593 mv a1,s11 + 80001264: 000b0693 mv a3,s6 + 80001268: 000a0613 mv a2,s4 + 8000126c: 00000513 li a0,0 + 80001270: a61ff0ef jal ra,80000cd0 + 80001274: 00040593 mv a1,s0 + 80001278: 00000513 li a0,0 + 8000127c: e54ff0ef jal ra,800008d0 + 80001280: f49ff06f j 800011c8 + +0000000080001284 : + 80001284: ff010113 addi sp,sp,-16 + 80001288: 00813023 sd s0,0(sp) + 8000128c: 01053683 ld a3,16(a0) + 80001290: 00060413 mv s0,a2 + 80001294: 00058713 mv a4,a1 + 80001298: 00853603 ld a2,8(a0) + 8000129c: 01853583 ld a1,24(a0) + 800012a0: 00052503 lw a0,0(a0) + 800012a4: 00113423 sd ra,8(sp) + 800012a8: b5dff0ef jal ra,80000e04 + 800012ac: 00040593 mv a1,s0 + 800012b0: 00013403 ld s0,0(sp) + 800012b4: 00813083 ld ra,8(sp) + 800012b8: 01010113 addi sp,sp,16 + 800012bc: e14ff06f j 800008d0 + +00000000800012c0 : + 800012c0: fd010113 addi sp,sp,-48 + 800012c4: fff5081b addiw a6,a0,-1 + 800012c8: 02813423 sd s0,40(sp) + 800012cc: 02913023 sd s1,32(sp) + 800012d0: 01213c23 sd s2,24(sp) + 800012d4: 01313823 sd s3,16(sp) + 800012d8: 01413423 sd s4,8(sp) + 800012dc: 00100713 li a4,1 + 800012e0: 00080e93 mv t4,a6 + 800012e4: 17077a63 bgeu a4,a6,80001458 + 800012e8: 0015859b addiw a1,a1,1 + 800012ec: 03059693 slli a3,a1,0x30 + 800012f0: 0306d693 srli a3,a3,0x30 + 800012f4: 0036d793 srli a5,a3,0x3 + 800012f8: 00700313 li t1,7 + 800012fc: 0076f493 andi s1,a3,7 + 80001300: 00000413 li s0,0 + 80001304: 00003397 auipc t2,0x3 + 80001308: 9bc38393 addi t2,t2,-1604 # 80003cc0 + 8000130c: 00400893 li a7,4 + 80001310: 00003297 auipc t0,0x3 + 80001314: a1028293 addi t0,t0,-1520 # 80003d20 + 80001318: 00100593 li a1,1 + 8000131c: 00003f97 auipc t6,0x3 + 80001320: 9c4f8f93 addi t6,t6,-1596 # 80003ce0 + 80001324: 00003f17 auipc t5,0x3 + 80001328: 9dcf0f13 addi t5,t5,-1572 # 80003d00 + 8000132c: 02c00e13 li t3,44 + 80001330: 0037f793 andi a5,a5,3 + 80001334: 08648663 beq s1,t1,800013c0 + 80001338: 1098e463 bltu a7,s1,80001440 + 8000133c: ffd4871b addiw a4,s1,-3 + 80001340: 03071713 slli a4,a4,0x30 + 80001344: 03075713 srli a4,a4,0x30 + 80001348: 00379793 slli a5,a5,0x3 + 8000134c: 0ee5e063 bltu a1,a4,8000142c + 80001350: 00ff87b3 add a5,t6,a5 + 80001354: 0007b783 ld a5,0(a5) + 80001358: 00900913 li s2,9 + 8000135c: 00800493 li s1,8 + 80001360: 0124093b addw s2,s0,s2 + 80001364: 07097c63 bgeu s2,a6,800013dc + 80001368: 02041a13 slli s4,s0,0x20 + 8000136c: 020a5a13 srli s4,s4,0x20 + 80001370: 02049413 slli s0,s1,0x20 + 80001374: 01460733 add a4,a2,s4 + 80001378: 02045413 srli s0,s0,0x20 + 8000137c: 009784b3 add s1,a5,s1 + 80001380: 0007c983 lbu s3,0(a5) + 80001384: 00178793 addi a5,a5,1 + 80001388: 00170713 addi a4,a4,1 + 8000138c: ff370fa3 sb s3,-1(a4) + 80001390: fef498e3 bne s1,a5,80001380 + 80001394: 0016869b addiw a3,a3,1 + 80001398: 008607b3 add a5,a2,s0 + 8000139c: 03069693 slli a3,a3,0x30 + 800013a0: 01478433 add s0,a5,s4 + 800013a4: 0306d693 srli a3,a3,0x30 + 800013a8: 01c40023 sb t3,0(s0) + 800013ac: 0036d793 srli a5,a3,0x3 + 800013b0: 0076f493 andi s1,a3,7 + 800013b4: 00090413 mv s0,s2 + 800013b8: 0037f793 andi a5,a5,3 + 800013bc: f6649ee3 bne s1,t1,80001338 + 800013c0: 00379793 slli a5,a5,0x3 + 800013c4: 00900913 li s2,9 + 800013c8: 00f387b3 add a5,t2,a5 + 800013cc: 0124093b addw s2,s0,s2 + 800013d0: 0007b783 ld a5,0(a5) + 800013d4: 00800493 li s1,8 + 800013d8: f90968e3 bltu s2,a6,80001368 + 800013dc: 02a47a63 bgeu s0,a0,80001410 + 800013e0: 02041793 slli a5,s0,0x20 + 800013e4: 408e8ebb subw t4,t4,s0 + 800013e8: 0207d793 srli a5,a5,0x20 + 800013ec: 00160713 addi a4,a2,1 + 800013f0: 020e9e93 slli t4,t4,0x20 + 800013f4: 00f70733 add a4,a4,a5 + 800013f8: 020ede93 srli t4,t4,0x20 + 800013fc: 00f607b3 add a5,a2,a5 + 80001400: 01d70733 add a4,a4,t4 + 80001404: 00078023 sb zero,0(a5) + 80001408: 00178793 addi a5,a5,1 + 8000140c: fef71ce3 bne a4,a5,80001404 + 80001410: 02813403 ld s0,40(sp) + 80001414: 02013483 ld s1,32(sp) + 80001418: 01813903 ld s2,24(sp) + 8000141c: 01013983 ld s3,16(sp) + 80001420: 00813a03 ld s4,8(sp) + 80001424: 03010113 addi sp,sp,48 + 80001428: 00008067 ret + 8000142c: 00ff07b3 add a5,t5,a5 + 80001430: 0007b783 ld a5,0(a5) + 80001434: 00500913 li s2,5 + 80001438: 00400493 li s1,4 + 8000143c: f25ff06f j 80001360 + 80001440: 00379793 slli a5,a5,0x3 + 80001444: 00f287b3 add a5,t0,a5 + 80001448: 0007b783 ld a5,0(a5) + 8000144c: 00900913 li s2,9 + 80001450: 00800493 li s1,8 + 80001454: f0dff06f j 80001360 + 80001458: 00000413 li s0,0 + 8000145c: f85ff06f j 800013e0 + +0000000080001460 : + 80001460: 00053783 ld a5,0(a0) + 80001464: 00050e13 mv t3,a0 + 80001468: 00000513 li a0,0 + 8000146c: 0007c703 lbu a4,0(a5) + 80001470: 00078813 mv a6,a5 + 80001474: 0c070c63 beqz a4,8000154c + 80001478: 02c00693 li a3,44 + 8000147c: 00178813 addi a6,a5,1 + 80001480: 2ad70863 beq a4,a3,80001730 + 80001484: 0005a503 lw a0,0(a1) + 80001488: fd07061b addiw a2,a4,-48 + 8000148c: 0ff67613 andi a2,a2,255 + 80001490: 00900893 li a7,9 + 80001494: 0015051b addiw a0,a0,1 + 80001498: 0ac8ee63 bltu a7,a2,80001554 + 8000149c: 00a5a023 sw a0,0(a1) + 800014a0: 0017c883 lbu a7,1(a5) + 800014a4: 16088063 beqz a7,80001604 + 800014a8: 00278713 addi a4,a5,2 + 800014ac: 14d88a63 beq a7,a3,80001600 + 800014b0: fd08851b addiw a0,a7,-48 + 800014b4: 02e00793 li a5,46 + 800014b8: 00900693 li a3,9 + 800014bc: 02c00613 li a2,44 + 800014c0: 0ff57513 andi a0,a0,255 + 800014c4: 02f88663 beq a7,a5,800014f0 + 800014c8: 0ca6e063 bltu a3,a0,80001588 + 800014cc: 00184883 lbu a7,1(a6) + 800014d0: 00170513 addi a0,a4,1 + 800014d4: 00070813 mv a6,a4 + 800014d8: 12088463 beqz a7,80001600 + 800014dc: 28c88863 beq a7,a2,8000176c + 800014e0: 00050713 mv a4,a0 + 800014e4: fd08851b addiw a0,a7,-48 + 800014e8: 0ff57513 andi a0,a0,255 + 800014ec: fcf89ee3 bne a7,a5,800014c8 + 800014f0: 0105a783 lw a5,16(a1) + 800014f4: 00500513 li a0,5 + 800014f8: 0017879b addiw a5,a5,1 + 800014fc: 00f5a823 sw a5,16(a1) + 80001500: 00184303 lbu t1,1(a6) + 80001504: 00070813 mv a6,a4 + 80001508: 04030263 beqz t1,8000154c + 8000150c: 02c00793 li a5,44 + 80001510: 00170893 addi a7,a4,1 + 80001514: 20f30863 beq t1,a5,80001724 + 80001518: 04500793 li a5,69 + 8000151c: 00900693 li a3,9 + 80001520: 02c00613 li a2,44 + 80001524: fd03051b addiw a0,t1,-48 + 80001528: 0df37313 andi t1,t1,223 + 8000152c: 0ff57513 andi a0,a0,255 + 80001530: 0cf30e63 beq t1,a5,8000160c + 80001534: 1aa6f063 bgeu a3,a0,800016d4 + 80001538: 0145a783 lw a5,20(a1) + 8000153c: 00088813 mv a6,a7 + 80001540: 00100513 li a0,1 + 80001544: 0017879b addiw a5,a5,1 + 80001548: 00f5aa23 sw a5,20(a1) + 8000154c: 010e3023 sd a6,0(t3) + 80001550: 00008067 ret + 80001554: 02b00613 li a2,43 + 80001558: 04c70663 beq a4,a2,800015a4 + 8000155c: 02d00613 li a2,45 + 80001560: 04c70263 beq a4,a2,800015a4 + 80001564: 02e00613 li a2,46 + 80001568: 18c70463 beq a4,a2,800016f0 + 8000156c: 0045a783 lw a5,4(a1) + 80001570: 00a5a023 sw a0,0(a1) + 80001574: 00100513 li a0,1 + 80001578: 0017879b addiw a5,a5,1 + 8000157c: 00f5a223 sw a5,4(a1) + 80001580: 010e3023 sd a6,0(t3) + 80001584: 00008067 ret + 80001588: 0105a783 lw a5,16(a1) + 8000158c: 00070813 mv a6,a4 + 80001590: 00100513 li a0,1 + 80001594: 0017879b addiw a5,a5,1 + 80001598: 00f5a823 sw a5,16(a1) + 8000159c: 010e3023 sd a6,0(t3) + 800015a0: 00008067 ret + 800015a4: 00a5a023 sw a0,0(a1) + 800015a8: 0017c603 lbu a2,1(a5) + 800015ac: 1a060663 beqz a2,80001758 + 800015b0: 00278813 addi a6,a5,2 + 800015b4: 1ad60263 beq a2,a3,80001758 + 800015b8: 0085a683 lw a3,8(a1) + 800015bc: fd06071b addiw a4,a2,-48 + 800015c0: 0ff77713 andi a4,a4,255 + 800015c4: 00900513 li a0,9 + 800015c8: 0016869b addiw a3,a3,1 + 800015cc: 00e57e63 bgeu a0,a4,800015e8 + 800015d0: 02e00713 li a4,46 + 800015d4: 12e60c63 beq a2,a4,8000170c + 800015d8: 00d5a423 sw a3,8(a1) + 800015dc: 00100513 li a0,1 + 800015e0: 010e3023 sd a6,0(t3) + 800015e4: 00008067 ret + 800015e8: 00d5a423 sw a3,8(a1) + 800015ec: 0027c883 lbu a7,2(a5) + 800015f0: 00088a63 beqz a7,80001604 + 800015f4: 02c00793 li a5,44 + 800015f8: 00180713 addi a4,a6,1 + 800015fc: eaf89ae3 bne a7,a5,800014b0 + 80001600: 00070813 mv a6,a4 + 80001604: 00400513 li a0,4 + 80001608: f45ff06f j 8000154c + 8000160c: 0145a783 lw a5,20(a1) + 80001610: 0017879b addiw a5,a5,1 + 80001614: 00f5aa23 sw a5,20(a1) + 80001618: 00174783 lbu a5,1(a4) + 8000161c: 12078463 beqz a5,80001744 + 80001620: 02c00693 li a3,44 + 80001624: 00188813 addi a6,a7,1 + 80001628: 12d78063 beq a5,a3,80001748 + 8000162c: 00c5a703 lw a4,12(a1) + 80001630: fd57879b addiw a5,a5,-43 + 80001634: 0fd7f793 andi a5,a5,253 + 80001638: 0017071b addiw a4,a4,1 + 8000163c: 00e5a623 sw a4,12(a1) + 80001640: 00078863 beqz a5,80001650 + 80001644: 00100513 li a0,1 + 80001648: 010e3023 sd a6,0(t3) + 8000164c: 00008067 ret + 80001650: 0018c783 lbu a5,1(a7) + 80001654: 0e078e63 beqz a5,80001750 + 80001658: 00288813 addi a6,a7,2 + 8000165c: 0ed78a63 beq a5,a3,80001750 + 80001660: 0185a703 lw a4,24(a1) + 80001664: fd07879b addiw a5,a5,-48 + 80001668: 0ff7f793 andi a5,a5,255 + 8000166c: 0017071b addiw a4,a4,1 + 80001670: 00900613 li a2,9 + 80001674: 00e5ac23 sw a4,24(a1) + 80001678: fcf666e3 bltu a2,a5,80001644 + 8000167c: 0028c703 lbu a4,2(a7) + 80001680: 0a070e63 beqz a4,8000173c + 80001684: 00388793 addi a5,a7,3 + 80001688: 0ad70863 beq a4,a3,80001738 + 8000168c: 00900693 li a3,9 + 80001690: 02c00513 li a0,44 + 80001694: fd07071b addiw a4,a4,-48 + 80001698: 0ff77713 andi a4,a4,255 + 8000169c: 00e6fe63 bgeu a3,a4,800016b8 + 800016a0: 0045a703 lw a4,4(a1) + 800016a4: 00078813 mv a6,a5 + 800016a8: 00100513 li a0,1 + 800016ac: 0017079b addiw a5,a4,1 + 800016b0: 00f5a223 sw a5,4(a1) + 800016b4: e99ff06f j 8000154c + 800016b8: 00184703 lbu a4,1(a6) + 800016bc: 00178613 addi a2,a5,1 + 800016c0: 00078813 mv a6,a5 + 800016c4: 06070a63 beqz a4,80001738 + 800016c8: 08a70c63 beq a4,a0,80001760 + 800016cc: 00060793 mv a5,a2 + 800016d0: fc5ff06f j 80001694 + 800016d4: 00174303 lbu t1,1(a4) + 800016d8: 00188813 addi a6,a7,1 + 800016dc: 00088713 mv a4,a7 + 800016e0: 04030263 beqz t1,80001724 + 800016e4: 04c30263 beq t1,a2,80001728 + 800016e8: 00080893 mv a7,a6 + 800016ec: e39ff06f j 80001524 + 800016f0: 00a5a023 sw a0,0(a1) + 800016f4: 0017c303 lbu t1,1(a5) + 800016f8: 02030863 beqz t1,80001728 + 800016fc: 00278893 addi a7,a5,2 + 80001700: 02d30263 beq t1,a3,80001724 + 80001704: 00080713 mv a4,a6 + 80001708: e11ff06f j 80001518 + 8000170c: 00d5a423 sw a3,8(a1) + 80001710: 0027c303 lbu t1,2(a5) + 80001714: 00030a63 beqz t1,80001728 + 80001718: 02c00793 li a5,44 + 8000171c: 00180893 addi a7,a6,1 + 80001720: fef312e3 bne t1,a5,80001704 + 80001724: 00088813 mv a6,a7 + 80001728: 00500513 li a0,5 + 8000172c: e21ff06f j 8000154c + 80001730: 00000513 li a0,0 + 80001734: e19ff06f j 8000154c + 80001738: 00078813 mv a6,a5 + 8000173c: 00700513 li a0,7 + 80001740: e0dff06f j 8000154c + 80001744: 00088813 mv a6,a7 + 80001748: 00300513 li a0,3 + 8000174c: e01ff06f j 8000154c + 80001750: 00600513 li a0,6 + 80001754: df9ff06f j 8000154c + 80001758: 00200513 li a0,2 + 8000175c: df1ff06f j 8000154c + 80001760: 00060813 mv a6,a2 + 80001764: 00700513 li a0,7 + 80001768: de5ff06f j 8000154c + 8000176c: 00050813 mv a6,a0 + 80001770: 00400513 li a0,4 + 80001774: dd9ff06f j 8000154c + +0000000080001778 : + 80001778: f6010113 addi sp,sp,-160 + 8000177c: 08813823 sd s0,144(sp) + 80001780: 09213023 sd s2,128(sp) + 80001784: 01010413 addi s0,sp,16 + 80001788: 03010913 addi s2,sp,48 + 8000178c: 08913423 sd s1,136(sp) + 80001790: 07313c23 sd s3,120(sp) + 80001794: 07413823 sd s4,112(sp) + 80001798: 07513423 sd s5,104(sp) + 8000179c: 07613023 sd s6,96(sp) + 800017a0: 05713c23 sd s7,88(sp) + 800017a4: 00068a13 mv s4,a3 + 800017a8: 00070993 mv s3,a4 + 800017ac: 00078493 mv s1,a5 + 800017b0: 08113c23 sd ra,152(sp) + 800017b4: 05813823 sd s8,80(sp) + 800017b8: 00058a93 mv s5,a1 + 800017bc: 00050b93 mv s7,a0 + 800017c0: 00060b13 mv s6,a2 + 800017c4: 00b13423 sd a1,8(sp) + 800017c8: 05010693 addi a3,sp,80 + 800017cc: 00040713 mv a4,s0 + 800017d0: 00090793 mv a5,s2 + 800017d4: 0007a023 sw zero,0(a5) + 800017d8: 00072023 sw zero,0(a4) + 800017dc: 00478793 addi a5,a5,4 + 800017e0: 00470713 addi a4,a4,4 + 800017e4: fed798e3 bne a5,a3,800017d4 + 800017e8: 000ac703 lbu a4,0(s5) + 800017ec: 14070663 beqz a4,80001938 + 800017f0: 00810c13 addi s8,sp,8 + 800017f4: 03010593 addi a1,sp,48 + 800017f8: 000c0513 mv a0,s8 + 800017fc: c65ff0ef jal ra,80001460 + 80001800: 02051813 slli a6,a0,0x20 + 80001804: 05010793 addi a5,sp,80 + 80001808: 01e85813 srli a6,a6,0x1e + 8000180c: 01078833 add a6,a5,a6 + 80001810: 00813703 ld a4,8(sp) + 80001814: fc082783 lw a5,-64(a6) + 80001818: 00074703 lbu a4,0(a4) + 8000181c: 0017879b addiw a5,a5,1 + 80001820: fcf82023 sw a5,-64(a6) + 80001824: fc0718e3 bnez a4,800017f4 + 80001828: 020b9b93 slli s7,s7,0x20 + 8000182c: 020bdb93 srli s7,s7,0x20 + 80001830: 01513423 sd s5,8(sp) + 80001834: 017a8bb3 add s7,s5,s7 + 80001838: 000ac703 lbu a4,0(s5) + 8000183c: 117afa63 bgeu s5,s7,80001950 + 80001840: 000a8793 mv a5,s5 + 80001844: 02c00613 li a2,44 + 80001848: 0080006f j 80001850 + 8000184c: 0007c703 lbu a4,0(a5) + 80001850: 016746b3 xor a3,a4,s6 + 80001854: 00c70463 beq a4,a2,8000185c + 80001858: 00d78023 sb a3,0(a5) + 8000185c: 00813783 ld a5,8(sp) + 80001860: 013787b3 add a5,a5,s3 + 80001864: 00f13423 sd a5,8(sp) + 80001868: ff77e2e3 bltu a5,s7,8000184c + 8000186c: 000ac783 lbu a5,0(s5) + 80001870: 01513423 sd s5,8(sp) + 80001874: 00810c13 addi s8,sp,8 + 80001878: 04078063 beqz a5,800018b8 + 8000187c: 03010593 addi a1,sp,48 + 80001880: 000c0513 mv a0,s8 + 80001884: bddff0ef jal ra,80001460 + 80001888: 02051613 slli a2,a0,0x20 + 8000188c: 05010793 addi a5,sp,80 + 80001890: 01e65613 srli a2,a2,0x1e + 80001894: 00c78633 add a2,a5,a2 + 80001898: 00813703 ld a4,8(sp) + 8000189c: fc062783 lw a5,-64(a2) + 800018a0: 00074703 lbu a4,0(a4) + 800018a4: 0017879b addiw a5,a5,1 + 800018a8: fcf62023 sw a5,-64(a2) + 800018ac: fc0718e3 bnez a4,8000187c + 800018b0: 01513423 sd s5,8(sp) + 800018b4: 037af463 bgeu s5,s7,800018dc + 800018b8: 02c00693 li a3,44 + 800018bc: 000ac783 lbu a5,0(s5) + 800018c0: 0147c733 xor a4,a5,s4 + 800018c4: 00d78463 beq a5,a3,800018cc + 800018c8: 00ea8023 sb a4,0(s5) + 800018cc: 00813a83 ld s5,8(sp) + 800018d0: 013a8ab3 add s5,s5,s3 + 800018d4: 01513423 sd s5,8(sp) + 800018d8: ff7ae2e3 bltu s5,s7,800018bc + 800018dc: 02040993 addi s3,s0,32 + 800018e0: 00042503 lw a0,0(s0) + 800018e4: 00048593 mv a1,s1 + 800018e8: 00440413 addi s0,s0,4 + 800018ec: fb1fe0ef jal ra,8000089c + 800018f0: 00050593 mv a1,a0 + 800018f4: 00092503 lw a0,0(s2) + 800018f8: 00490913 addi s2,s2,4 + 800018fc: fa1fe0ef jal ra,8000089c + 80001900: 00050493 mv s1,a0 + 80001904: fc899ee3 bne s3,s0,800018e0 + 80001908: 09813083 ld ra,152(sp) + 8000190c: 09013403 ld s0,144(sp) + 80001910: 08813483 ld s1,136(sp) + 80001914: 08013903 ld s2,128(sp) + 80001918: 07813983 ld s3,120(sp) + 8000191c: 07013a03 ld s4,112(sp) + 80001920: 06813a83 ld s5,104(sp) + 80001924: 06013b03 ld s6,96(sp) + 80001928: 05813b83 ld s7,88(sp) + 8000192c: 05013c03 ld s8,80(sp) + 80001930: 0a010113 addi sp,sp,160 + 80001934: 00008067 ret + 80001938: 020b9b93 slli s7,s7,0x20 + 8000193c: 020bdb93 srli s7,s7,0x20 + 80001940: 01513423 sd s5,8(sp) + 80001944: 017a8bb3 add s7,s5,s7 + 80001948: ef7aece3 bltu s5,s7,80001840 + 8000194c: f91ff06f j 800018dc + 80001950: f20716e3 bnez a4,8000187c + 80001954: f89ff06f j 800018dc + +0000000080001958 : + 80001958: fe010113 addi sp,sp,-32 + 8000195c: 01213023 sd s2,0(sp) + 80001960: 02c52903 lw s2,44(a0) + 80001964: 00113c23 sd ra,24(sp) + 80001968: 00813823 sd s0,16(sp) + 8000196c: 00913423 sd s1,8(sp) + 80001970: 06053023 sd zero,96(a0) + 80001974: 04090663 beqz s2,800019c0 + 80001978: 00050413 mv s0,a0 + 8000197c: 00000493 li s1,0 + 80001980: 00100593 li a1,1 + 80001984: 00040513 mv a0,s0 + 80001988: a01fe0ef jal ra,80000388 + 8000198c: 06045583 lhu a1,96(s0) + 80001990: e89fe0ef jal ra,80000818 + 80001994: fff00593 li a1,-1 + 80001998: 06a41023 sh a0,96(s0) + 8000199c: 00040513 mv a0,s0 + 800019a0: 9e9fe0ef jal ra,80000388 + 800019a4: 06045583 lhu a1,96(s0) + 800019a8: e71fe0ef jal ra,80000818 + 800019ac: 06a41023 sh a0,96(s0) + 800019b0: 00049463 bnez s1,800019b8 + 800019b4: 06a41123 sh a0,98(s0) + 800019b8: 0014849b addiw s1,s1,1 + 800019bc: fc9912e3 bne s2,s1,80001980 + 800019c0: 01813083 ld ra,24(sp) + 800019c4: 01013403 ld s0,16(sp) + 800019c8: 00813483 ld s1,8(sp) + 800019cc: 00013903 ld s2,0(sp) + 800019d0: 00000513 li a0,0 + 800019d4: 02010113 addi sp,sp,32 + 800019d8: 00008067 ret + +00000000800019dc
: + 800019dc: f2010113 addi sp,sp,-224 + 800019e0: 0c113c23 sd ra,216(sp) + 800019e4: 0c813823 sd s0,208(sp) + 800019e8: 0c913423 sd s1,200(sp) + 800019ec: 00058413 mv s0,a1 + 800019f0: 0d213023 sd s2,192(sp) + 800019f4: 0b313c23 sd s3,184(sp) + 800019f8: 0b413823 sd s4,176(sp) + 800019fc: 0b513423 sd s5,168(sp) + 80001a00: 0b613023 sd s6,160(sp) + 80001a04: 09713c23 sd s7,152(sp) + 80001a08: 09813823 sd s8,144(sp) + 80001a0c: 09913423 sd s9,136(sp) + 80001a10: 09a13023 sd s10,128(sp) + 80001a14: 00a12623 sw a0,12(sp) + 80001a18: 225000ef jal ra,8000243c + 80001a1c: 3e800593 li a1,1000 + 80001a20: 00002517 auipc a0,0x2 + 80001a24: 32050513 addi a0,a0,800 # 80003d40 + 80001a28: 695010ef jal ra,800038bc + 80001a2c: 00040613 mv a2,s0 + 80001a30: 00c10593 addi a1,sp,12 + 80001a34: 07a10513 addi a0,sp,122 + 80001a38: 7f4000ef jal ra,8000222c + 80001a3c: 00100513 li a0,1 + 80001a40: d71fe0ef jal ra,800007b0 + 80001a44: 00050793 mv a5,a0 + 80001a48: 00200513 li a0,2 + 80001a4c: 00f11823 sh a5,16(sp) + 80001a50: d61fe0ef jal ra,800007b0 + 80001a54: 00050793 mv a5,a0 + 80001a58: 00300513 li a0,3 + 80001a5c: 00f11923 sh a5,18(sp) + 80001a60: d51fe0ef jal ra,800007b0 + 80001a64: 00050793 mv a5,a0 + 80001a68: 00400513 li a0,4 + 80001a6c: 00f11a23 sh a5,20(sp) + 80001a70: d41fe0ef jal ra,800007b0 + 80001a74: 00050793 mv a5,a0 + 80001a78: 00500513 li a0,5 + 80001a7c: 02f12e23 sw a5,60(sp) + 80001a80: d31fe0ef jal ra,800007b0 + 80001a84: 0005051b sext.w a0,a0 + 80001a88: 5e050263 beqz a0,8000206c + 80001a8c: 04a12023 sw a0,64(sp) + 80001a90: 01013783 ld a5,16(sp) + 80001a94: 01079713 slli a4,a5,0x10 + 80001a98: 00071a63 bnez a4,80001aac + 80001a9c: 06600793 li a5,102 + 80001aa0: 00012823 sw zero,16(sp) + 80001aa4: 00f11a23 sh a5,20(sp) + 80001aa8: 01013783 ld a5,16(sp) + 80001aac: 01079793 slli a5,a5,0x10 + 80001ab0: 0107d793 srli a5,a5,0x10 + 80001ab4: 00100713 li a4,1 + 80001ab8: 00e79c63 bne a5,a4,80001ad0 + 80001abc: 341537b7 lui a5,0x34153 + 80001ac0: 4157879b addiw a5,a5,1045 + 80001ac4: 00f12823 sw a5,16(sp) + 80001ac8: 06600793 li a5,102 + 80001acc: 00f11a23 sh a5,20(sp) + 80001ad0: 04012403 lw s0,64(sp) + 80001ad4: 00003a17 auipc s4,0x3 + 80001ad8: db4a0a13 addi s4,s4,-588 # 80004888 + 80001adc: 01413c23 sd s4,24(sp) + 80001ae0: 00147a93 andi s5,s0,1 + 80001ae4: 06011c23 sh zero,120(sp) + 80001ae8: 00247493 andi s1,s0,2 + 80001aec: 000a8593 mv a1,s5 + 80001af0: 00048863 beqz s1,80001b00 + 80001af4: 001a859b addiw a1,s5,1 + 80001af8: 03059593 slli a1,a1,0x30 + 80001afc: 0305d593 srli a1,a1,0x30 + 80001b00: 00447793 andi a5,s0,4 + 80001b04: 00078863 beqz a5,80001b14 + 80001b08: 0015859b addiw a1,a1,1 + 80001b0c: 03059593 slli a1,a1,0x30 + 80001b10: 0305d593 srli a1,a1,0x30 + 80001b14: 7d000513 li a0,2000 + 80001b18: 7e0000ef jal ra,800022f8 <__divdi3> + 80001b1c: 0005099b sext.w s3,a0 + 80001b20: 03312c23 sw s3,56(sp) + 80001b24: 00000913 li s2,0 + 80001b28: 00000b13 li s6,0 + 80001b2c: 00100c93 li s9,1 + 80001b30: 00300c13 li s8,3 + 80001b34: 012c97bb sllw a5,s9,s2 + 80001b38: 00f477b3 and a5,s0,a5 + 80001b3c: 0007879b sext.w a5,a5 + 80001b40: 4e079a63 bnez a5,80002034 + 80001b44: 00190913 addi s2,s2,1 + 80001b48: ff8916e3 bne s2,s8,80001b34 + 80001b4c: 260a9663 bnez s5,80001db8 + 80001b50: 28049463 bnez s1,80001dd8 + 80001b54: 00447413 andi s0,s0,4 + 80001b58: 00040a63 beqz s0,80001b6c + 80001b5c: 03013603 ld a2,48(sp) + 80001b60: 01011583 lh a1,16(sp) + 80001b64: 03812503 lw a0,56(sp) + 80001b68: f58ff0ef jal ra,800012c0 + 80001b6c: 03c12783 lw a5,60(sp) + 80001b70: 06079463 bnez a5,80001bd8 + 80001b74: 00100793 li a5,1 + 80001b78: 02f12e23 sw a5,60(sp) + 80001b7c: 03c12703 lw a4,60(sp) + 80001b80: 0027179b slliw a5,a4,0x2 + 80001b84: 00e787bb addw a5,a5,a4 + 80001b88: 0017979b slliw a5,a5,0x1 + 80001b8c: 02f12e23 sw a5,60(sp) + 80001b90: 608000ef jal ra,80002198 + 80001b94: 01010513 addi a0,sp,16 + 80001b98: dc1ff0ef jal ra,80001958 + 80001b9c: 638000ef jal ra,800021d4 + 80001ba0: 670000ef jal ra,80002210 + 80001ba4: 0005051b sext.w a0,a0 + 80001ba8: 680000ef jal ra,80002228 + 80001bac: 0005051b sext.w a0,a0 + 80001bb0: fc0506e3 beqz a0,80001b7c + 80001bb4: 02051593 slli a1,a0,0x20 + 80001bb8: 0205d593 srli a1,a1,0x20 + 80001bbc: 00a00513 li a0,10 + 80001bc0: 740000ef jal ra,80002300 <__udivdi3> + 80001bc4: 00050593 mv a1,a0 + 80001bc8: 03c12503 lw a0,60(sp) + 80001bcc: 0015859b addiw a1,a1,1 + 80001bd0: 6c4000ef jal ra,80002294 <__muldi3> + 80001bd4: 02a12e23 sw a0,60(sp) + 80001bd8: 5c0000ef jal ra,80002198 + 80001bdc: 01010513 addi a0,sp,16 + 80001be0: d79ff0ef jal ra,80001958 + 80001be4: 5f0000ef jal ra,800021d4 + 80001be8: 628000ef jal ra,80002210 + 80001bec: 00050993 mv s3,a0 + 80001bf0: 01011503 lh a0,16(sp) + 80001bf4: 00000593 li a1,0 + 80001bf8: 0009899b sext.w s3,s3 + 80001bfc: cd5fe0ef jal ra,800008d0 + 80001c00: 00050593 mv a1,a0 + 80001c04: 01211503 lh a0,18(sp) + 80001c08: cc9fe0ef jal ra,800008d0 + 80001c0c: 00050593 mv a1,a0 + 80001c10: 01411503 lh a0,20(sp) + 80001c14: cbdfe0ef jal ra,800008d0 + 80001c18: 00050593 mv a1,a0 + 80001c1c: 03811503 lh a0,56(sp) + 80001c20: cb1fe0ef jal ra,800008d0 + 80001c24: 000087b7 lui a5,0x8 + 80001c28: 00050a1b sext.w s4,a0 + 80001c2c: b0578793 addi a5,a5,-1275 # 7b05 <_entry_offset+0x7b05> + 80001c30: 54fa0663 beq s4,a5,8000217c + 80001c34: 3d47ea63 bltu a5,s4,80002008 + 80001c38: 000027b7 lui a5,0x2 + 80001c3c: 8f278793 addi a5,a5,-1806 # 18f2 <_entry_offset+0x18f2> + 80001c40: 50fa0a63 beq s4,a5,80002154 + 80001c44: 000057b7 lui a5,0x5 + 80001c48: eaf78793 addi a5,a5,-337 # 4eaf <_entry_offset+0x4eaf> + 80001c4c: 1afa1c63 bne s4,a5,80001e04 + 80001c50: 00002517 auipc a0,0x2 + 80001c54: 17850513 addi a0,a0,376 # 80003dc8 + 80001c58: 465010ef jal ra,800038bc + 80001c5c: 00200a93 li s5,2 + 80001c60: 00002917 auipc s2,0x2 + 80001c64: 7f090913 addi s2,s2,2032 # 80004450 + 80001c68: 00092783 lw a5,0(s2) + 80001c6c: 00000c93 li s9,0 + 80001c70: 00000c13 li s8,0 + 80001c74: 00000d13 li s10,0 + 80001c78: 50078c63 beqz a5,80002190 + 80001c7c: 001a9793 slli a5,s5,0x1 + 80001c80: 00002b97 auipc s7,0x2 + 80001c84: 4b0b8b93 addi s7,s7,1200 # 80004130 + 80001c88: 00002b17 auipc s6,0x2 + 80001c8c: 4b8b0b13 addi s6,s6,1208 # 80004140 + 80001c90: 00002a97 auipc s5,0x2 + 80001c94: 4c0a8a93 addi s5,s5,1216 # 80004150 + 80001c98: 00fb8bb3 add s7,s7,a5 + 80001c9c: 00fb0b33 add s6,s6,a5 + 80001ca0: 00fa8ab3 add s5,s5,a5 + 80001ca4: 0600006f j 80001d04 + 80001ca8: ff645603 lhu a2,-10(s0) + 80001cac: 000ad683 lhu a3,0(s5) + 80001cb0: 10d60063 beq a2,a3,80001db0 + 80001cb4: 000d0593 mv a1,s10 + 80001cb8: 00002517 auipc a0,0x2 + 80001cbc: 21050513 addi a0,a0,528 # 80003ec8 + 80001cc0: 3fd010ef jal ra,800038bc + 80001cc4: ff845783 lhu a5,-8(s0) + 80001cc8: 0017879b addiw a5,a5,1 + 80001ccc: 03079793 slli a5,a5,0x30 + 80001cd0: 0307d793 srli a5,a5,0x30 + 80001cd4: fef41c23 sh a5,-8(s0) + 80001cd8: 001c0c1b addiw s8,s8,1 + 80001cdc: 00092703 lw a4,0(s2) + 80001ce0: 00fc87bb addw a5,s9,a5 + 80001ce4: 030c1c13 slli s8,s8,0x30 + 80001ce8: 030c5c13 srli s8,s8,0x30 + 80001cec: 03079493 slli s1,a5,0x30 + 80001cf0: 01079c9b slliw s9,a5,0x10 + 80001cf4: 0304d493 srli s1,s1,0x30 + 80001cf8: 410cdc9b sraiw s9,s9,0x10 + 80001cfc: 000c0d1b sext.w s10,s8 + 80001d00: 10ec7a63 bgeu s8,a4,80001e14 + 80001d04: 003d1413 slli s0,s10,0x3 + 80001d08: 41a404b3 sub s1,s0,s10 + 80001d0c: 08010793 addi a5,sp,128 + 80001d10: 00449493 slli s1,s1,0x4 + 80001d14: 009784b3 add s1,a5,s1 + 80001d18: fc04a783 lw a5,-64(s1) + 80001d1c: fe049c23 sh zero,-8(s1) + 80001d20: 0017f793 andi a5,a5,1 + 80001d24: 02078663 beqz a5,80001d50 + 80001d28: ff24d603 lhu a2,-14(s1) + 80001d2c: 000bd683 lhu a3,0(s7) + 80001d30: 02d60063 beq a2,a3,80001d50 + 80001d34: 000d0593 mv a1,s10 + 80001d38: 00002517 auipc a0,0x2 + 80001d3c: 12850513 addi a0,a0,296 # 80003e60 + 80001d40: 37d010ef jal ra,800038bc + 80001d44: ff84d783 lhu a5,-8(s1) + 80001d48: 0017879b addiw a5,a5,1 + 80001d4c: fef49c23 sh a5,-8(s1) + 80001d50: 41a404b3 sub s1,s0,s10 + 80001d54: 08010793 addi a5,sp,128 + 80001d58: 00449493 slli s1,s1,0x4 + 80001d5c: 009784b3 add s1,a5,s1 + 80001d60: fc04a703 lw a4,-64(s1) + 80001d64: 00277793 andi a5,a4,2 + 80001d68: 02078863 beqz a5,80001d98 + 80001d6c: ff44d603 lhu a2,-12(s1) + 80001d70: 000b5683 lhu a3,0(s6) + 80001d74: 02d60263 beq a2,a3,80001d98 + 80001d78: 000d0593 mv a1,s10 + 80001d7c: 00002517 auipc a0,0x2 + 80001d80: 11450513 addi a0,a0,276 # 80003e90 + 80001d84: 339010ef jal ra,800038bc + 80001d88: ff84d783 lhu a5,-8(s1) + 80001d8c: fc04a703 lw a4,-64(s1) + 80001d90: 0017879b addiw a5,a5,1 + 80001d94: fef49c23 sh a5,-8(s1) + 80001d98: 41a40433 sub s0,s0,s10 + 80001d9c: 00441413 slli s0,s0,0x4 + 80001da0: 08010793 addi a5,sp,128 + 80001da4: 00477713 andi a4,a4,4 + 80001da8: 00878433 add s0,a5,s0 + 80001dac: ee071ee3 bnez a4,80001ca8 + 80001db0: ff845783 lhu a5,-8(s0) + 80001db4: f25ff06f j 80001cd8 + 80001db8: 01011603 lh a2,16(sp) + 80001dbc: 02013583 ld a1,32(sp) + 80001dc0: 00098513 mv a0,s3 + 80001dc4: 82dfe0ef jal ra,800005f0 + 80001dc8: 04012403 lw s0,64(sp) + 80001dcc: 04a13423 sd a0,72(sp) + 80001dd0: 00247493 andi s1,s0,2 + 80001dd4: d80480e3 beqz s1,80001b54 + 80001dd8: 01211603 lh a2,18(sp) + 80001ddc: 01011783 lh a5,16(sp) + 80001de0: 02813583 ld a1,40(sp) + 80001de4: 03812503 lw a0,56(sp) + 80001de8: 0106161b slliw a2,a2,0x10 + 80001dec: 00f66633 or a2,a2,a5 + 80001df0: 05010693 addi a3,sp,80 + 80001df4: 0006061b sext.w a2,a2 + 80001df8: aedfe0ef jal ra,800008e4 + 80001dfc: 04012403 lw s0,64(sp) + 80001e00: d55ff06f j 80001b54 + 80001e04: 00010437 lui s0,0x10 + 80001e08: fff40493 addi s1,s0,-1 # ffff <_entry_offset+0xffff> + 80001e0c: 00002917 auipc s2,0x2 + 80001e10: 64490913 addi s2,s2,1604 # 80004450 + 80001e14: ac9fe0ef jal ra,800008dc + 80001e18: 03812583 lw a1,56(sp) + 80001e1c: 009504bb addw s1,a0,s1 + 80001e20: 00002517 auipc a0,0x2 + 80001e24: 0d850513 addi a0,a0,216 # 80003ef8 + 80001e28: 295010ef jal ra,800038bc + 80001e2c: 00098513 mv a0,s3 + 80001e30: 3f8000ef jal ra,80002228 + 80001e34: 0005059b sext.w a1,a0 + 80001e38: 00002517 auipc a0,0x2 + 80001e3c: 0d850513 addi a0,a0,216 # 80003f10 + 80001e40: 27d010ef jal ra,800038bc + 80001e44: 00092583 lw a1,0(s2) + 80001e48: 03c12503 lw a0,60(sp) + 80001e4c: 0104949b slliw s1,s1,0x10 + 80001e50: 4104d49b sraiw s1,s1,0x10 + 80001e54: 440000ef jal ra,80002294 <__muldi3> + 80001e58: 0005059b sext.w a1,a0 + 80001e5c: 00002517 auipc a0,0x2 + 80001e60: 0cc50513 addi a0,a0,204 # 80003f28 + 80001e64: 259010ef jal ra,800038bc + 80001e68: 00002597 auipc a1,0x2 + 80001e6c: 0d858593 addi a1,a1,216 # 80003f40 + 80001e70: 00002517 auipc a0,0x2 + 80001e74: 0e050513 addi a0,a0,224 # 80003f50 + 80001e78: 245010ef jal ra,800038bc + 80001e7c: 000a0593 mv a1,s4 + 80001e80: 00002517 auipc a0,0x2 + 80001e84: 0e850513 addi a0,a0,232 # 80003f68 + 80001e88: 235010ef jal ra,800038bc + 80001e8c: 04012783 lw a5,64(sp) + 80001e90: 0017f713 andi a4,a5,1 + 80001e94: 04070c63 beqz a4,80001eec + 80001e98: 00092703 lw a4,0(s2) + 80001e9c: 04070863 beqz a4,80001eec + 80001ea0: 00000413 li s0,0 + 80001ea4: 00000593 li a1,0 + 80001ea8: 00002a17 auipc s4,0x2 + 80001eac: 0e0a0a13 addi s4,s4,224 # 80003f88 + 80001eb0: 00359793 slli a5,a1,0x3 + 80001eb4: 40b787b3 sub a5,a5,a1 + 80001eb8: 08010713 addi a4,sp,128 + 80001ebc: 00479793 slli a5,a5,0x4 + 80001ec0: 00f707b3 add a5,a4,a5 + 80001ec4: ff27d603 lhu a2,-14(a5) + 80001ec8: 000a0513 mv a0,s4 + 80001ecc: 0014041b addiw s0,s0,1 + 80001ed0: 1ed010ef jal ra,800038bc + 80001ed4: 00092783 lw a5,0(s2) + 80001ed8: 03041413 slli s0,s0,0x30 + 80001edc: 03045413 srli s0,s0,0x30 + 80001ee0: 0004059b sext.w a1,s0 + 80001ee4: fcf466e3 bltu s0,a5,80001eb0 + 80001ee8: 04012783 lw a5,64(sp) + 80001eec: 0027f713 andi a4,a5,2 + 80001ef0: 04070c63 beqz a4,80001f48 + 80001ef4: 00092703 lw a4,0(s2) + 80001ef8: 24070863 beqz a4,80002148 + 80001efc: 00000413 li s0,0 + 80001f00: 00000593 li a1,0 + 80001f04: 00002a17 auipc s4,0x2 + 80001f08: 0a4a0a13 addi s4,s4,164 # 80003fa8 + 80001f0c: 00359793 slli a5,a1,0x3 + 80001f10: 40b787b3 sub a5,a5,a1 + 80001f14: 08010713 addi a4,sp,128 + 80001f18: 00479793 slli a5,a5,0x4 + 80001f1c: 00f707b3 add a5,a4,a5 + 80001f20: ff47d603 lhu a2,-12(a5) + 80001f24: 000a0513 mv a0,s4 + 80001f28: 0014041b addiw s0,s0,1 + 80001f2c: 191010ef jal ra,800038bc + 80001f30: 00092783 lw a5,0(s2) + 80001f34: 03041413 slli s0,s0,0x30 + 80001f38: 03045413 srli s0,s0,0x30 + 80001f3c: 0004059b sext.w a1,s0 + 80001f40: fcf466e3 bltu s0,a5,80001f0c + 80001f44: 04012783 lw a5,64(sp) + 80001f48: 0047f793 andi a5,a5,4 + 80001f4c: 12079663 bnez a5,80002078 + 80001f50: 00092783 lw a5,0(s2) + 80001f54: 00000413 li s0,0 + 80001f58: 00000593 li a1,0 + 80001f5c: 00002a17 auipc s4,0x2 + 80001f60: 0a4a0a13 addi s4,s4,164 # 80004000 + 80001f64: 02078e63 beqz a5,80001fa0 + 80001f68: 00359793 slli a5,a1,0x3 + 80001f6c: 40b787b3 sub a5,a5,a1 + 80001f70: 08010713 addi a4,sp,128 + 80001f74: 00479793 slli a5,a5,0x4 + 80001f78: 00f707b3 add a5,a4,a5 + 80001f7c: ff07d603 lhu a2,-16(a5) + 80001f80: 000a0513 mv a0,s4 + 80001f84: 0014041b addiw s0,s0,1 + 80001f88: 135010ef jal ra,800038bc + 80001f8c: 00092783 lw a5,0(s2) + 80001f90: 03041413 slli s0,s0,0x30 + 80001f94: 03045413 srli s0,s0,0x30 + 80001f98: 0004059b sext.w a1,s0 + 80001f9c: fcf466e3 bltu s0,a5,80001f68 + 80001fa0: 00098593 mv a1,s3 + 80001fa4: 00002517 auipc a0,0x2 + 80001fa8: 02450513 addi a0,a0,36 # 80003fc8 + 80001fac: 111010ef jal ra,800038bc + 80001fb0: 12048663 beqz s1,800020dc + 80001fb4: 10905c63 blez s1,800020cc + 80001fb8: 00002517 auipc a0,0x2 + 80001fbc: 0f850513 addi a0,a0,248 # 800040b0 + 80001fc0: 0fd010ef jal ra,800038bc + 80001fc4: 07a10513 addi a0,sp,122 + 80001fc8: 270000ef jal ra,80002238 + 80001fcc: 0d813083 ld ra,216(sp) + 80001fd0: 0d013403 ld s0,208(sp) + 80001fd4: 0c813483 ld s1,200(sp) + 80001fd8: 0c013903 ld s2,192(sp) + 80001fdc: 0b813983 ld s3,184(sp) + 80001fe0: 0b013a03 ld s4,176(sp) + 80001fe4: 0a813a83 ld s5,168(sp) + 80001fe8: 0a013b03 ld s6,160(sp) + 80001fec: 09813b83 ld s7,152(sp) + 80001ff0: 09013c03 ld s8,144(sp) + 80001ff4: 08813c83 ld s9,136(sp) + 80001ff8: 08013d03 ld s10,128(sp) + 80001ffc: 00000513 li a0,0 + 80002000: 0e010113 addi sp,sp,224 + 80002004: 00008067 ret + 80002008: 000097b7 lui a5,0x9 + 8000200c: a0278793 addi a5,a5,-1534 # 8a02 <_entry_offset+0x8a02> + 80002010: 14fa0c63 beq s4,a5,80002168 + 80002014: 0000f7b7 lui a5,0xf + 80002018: 9f578793 addi a5,a5,-1547 # e9f5 <_entry_offset+0xe9f5> + 8000201c: defa14e3 bne s4,a5,80001e04 + 80002020: 00002517 auipc a0,0x2 + 80002024: de050513 addi a0,a0,-544 # 80003e00 + 80002028: 095010ef jal ra,800038bc + 8000202c: 00300a93 li s5,3 + 80002030: c31ff06f j 80001c60 + 80002034: 01010793 addi a5,sp,16 + 80002038: 000b0513 mv a0,s6 + 8000203c: 00391b93 slli s7,s2,0x3 + 80002040: 00098593 mv a1,s3 + 80002044: 01778bb3 add s7,a5,s7 + 80002048: 24c000ef jal ra,80002294 <__muldi3> + 8000204c: 02051793 slli a5,a0,0x20 + 80002050: 0207d793 srli a5,a5,0x20 + 80002054: 001b0b1b addiw s6,s6,1 + 80002058: 00fa07b3 add a5,s4,a5 + 8000205c: 030b1b13 slli s6,s6,0x30 + 80002060: 00fbb823 sd a5,16(s7) + 80002064: 030b5b13 srli s6,s6,0x30 + 80002068: addff06f j 80001b44 + 8000206c: 00700793 li a5,7 + 80002070: 04f12023 sw a5,64(sp) + 80002074: a1dff06f j 80001a90 + 80002078: 00092783 lw a5,0(s2) + 8000207c: 00000413 li s0,0 + 80002080: 00000593 li a1,0 + 80002084: 00002a17 auipc s4,0x2 + 80002088: f5ca0a13 addi s4,s4,-164 # 80003fe0 + 8000208c: f0078ae3 beqz a5,80001fa0 + 80002090: 00359793 slli a5,a1,0x3 + 80002094: 40b787b3 sub a5,a5,a1 + 80002098: 08010713 addi a4,sp,128 + 8000209c: 00479793 slli a5,a5,0x4 + 800020a0: 00f707b3 add a5,a4,a5 + 800020a4: ff67d603 lhu a2,-10(a5) + 800020a8: 000a0513 mv a0,s4 + 800020ac: 0014041b addiw s0,s0,1 + 800020b0: 00d010ef jal ra,800038bc + 800020b4: 00092783 lw a5,0(s2) + 800020b8: 03041413 slli s0,s0,0x30 + 800020bc: 03045413 srli s0,s0,0x30 + 800020c0: 0004059b sext.w a1,s0 + 800020c4: fcf466e3 bltu s0,a5,80002090 + 800020c8: e89ff06f j 80001f50 + 800020cc: 00002517 auipc a0,0x2 + 800020d0: ffc50513 addi a0,a0,-4 # 800040c8 + 800020d4: 7e8010ef jal ra,800038bc + 800020d8: eedff06f j 80001fc4 + 800020dc: 00002517 auipc a0,0x2 + 800020e0: f4450513 addi a0,a0,-188 # 80004020 + 800020e4: 7d8010ef jal ra,800038bc + 800020e8: 00098513 mv a0,s3 + 800020ec: 13c000ef jal ra,80002228 + 800020f0: 02051593 slli a1,a0,0x20 + 800020f4: 002c9537 lui a0,0x2c9 + 800020f8: 0205d593 srli a1,a1,0x20 + 800020fc: 3b850513 addi a0,a0,952 # 2c93b8 <_entry_offset+0x2c93b8> + 80002100: 200000ef jal ra,80002300 <__udivdi3> + 80002104: 0055179b slliw a5,a0,0x5 + 80002108: 40a787bb subw a5,a5,a0 + 8000210c: 0027979b slliw a5,a5,0x2 + 80002110: 00a7853b addw a0,a5,a0 + 80002114: 0035151b slliw a0,a0,0x3 + 80002118: 02051513 slli a0,a0,0x20 + 8000211c: 3e800593 li a1,1000 + 80002120: 02055513 srli a0,a0,0x20 + 80002124: 1dc000ef jal ra,80002300 <__udivdi3> + 80002128: 0005059b sext.w a1,a0 + 8000212c: 00002517 auipc a0,0x2 + 80002130: f2c50513 addi a0,a0,-212 # 80004058 + 80002134: 788010ef jal ra,800038bc + 80002138: 00002517 auipc a0,0x2 + 8000213c: f4050513 addi a0,a0,-192 # 80004078 + 80002140: 77c010ef jal ra,800038bc + 80002144: e81ff06f j 80001fc4 + 80002148: 0047f793 andi a5,a5,4 + 8000214c: e4079ae3 bnez a5,80001fa0 + 80002150: e01ff06f j 80001f50 + 80002154: 00002517 auipc a0,0x2 + 80002158: cdc50513 addi a0,a0,-804 # 80003e30 + 8000215c: 760010ef jal ra,800038bc + 80002160: 00400a93 li s5,4 + 80002164: afdff06f j 80001c60 + 80002168: 00002517 auipc a0,0x2 + 8000216c: c0050513 addi a0,a0,-1024 # 80003d68 + 80002170: 74c010ef jal ra,800038bc + 80002174: 00000a93 li s5,0 + 80002178: ae9ff06f j 80001c60 + 8000217c: 00002517 auipc a0,0x2 + 80002180: c1c50513 addi a0,a0,-996 # 80003d98 + 80002184: 738010ef jal ra,800038bc + 80002188: 00100a93 li s5,1 + 8000218c: ad5ff06f j 80001c60 + 80002190: 00000493 li s1,0 + 80002194: c81ff06f j 80001e14 + +0000000080002198 : + 80002198: fe010113 addi sp,sp,-32 + 8000219c: 00810593 addi a1,sp,8 + 800021a0: 00600513 li a0,6 + 800021a4: 00113c23 sd ra,24(sp) + 800021a8: 2e8000ef jal ra,80002490 + 800021ac: 00813503 ld a0,8(sp) + 800021b0: 3e800593 li a1,1000 + 800021b4: 14c000ef jal ra,80002300 <__udivdi3> + 800021b8: 01813083 ld ra,24(sp) + 800021bc: 02051513 slli a0,a0,0x20 + 800021c0: 02055513 srli a0,a0,0x20 + 800021c4: 00003797 auipc a5,0x3 + 800021c8: e8a7ba23 sd a0,-364(a5) # 80005058 + 800021cc: 02010113 addi sp,sp,32 + 800021d0: 00008067 ret + +00000000800021d4 : + 800021d4: fe010113 addi sp,sp,-32 + 800021d8: 00810593 addi a1,sp,8 + 800021dc: 00600513 li a0,6 + 800021e0: 00113c23 sd ra,24(sp) + 800021e4: 2ac000ef jal ra,80002490 + 800021e8: 00813503 ld a0,8(sp) + 800021ec: 3e800593 li a1,1000 + 800021f0: 110000ef jal ra,80002300 <__udivdi3> + 800021f4: 01813083 ld ra,24(sp) + 800021f8: 02051513 slli a0,a0,0x20 + 800021fc: 02055513 srli a0,a0,0x20 + 80002200: 00003797 auipc a5,0x3 + 80002204: e6a7b023 sd a0,-416(a5) # 80005060 + 80002208: 02010113 addi sp,sp,32 + 8000220c: 00008067 ret + +0000000080002210 : + 80002210: 00003517 auipc a0,0x3 + 80002214: e5053503 ld a0,-432(a0) # 80005060 + 80002218: 00003797 auipc a5,0x3 + 8000221c: e407b783 ld a5,-448(a5) # 80005058 + 80002220: 40f5053b subw a0,a0,a5 + 80002224: 00008067 ret + +0000000080002228 : + 80002228: 00008067 ret + +000000008000222c : + 8000222c: 00100793 li a5,1 + 80002230: 00f50023 sb a5,0(a0) + 80002234: 00008067 ret + +0000000080002238 : + 80002238: 00050023 sb zero,0(a0) + 8000223c: 00008067 ret + +0000000080002240 : + 80002240: 00050513 mv a0,a0 + 80002244: 0000007b 0x7b + 80002248: 00008067 ret + +000000008000224c : + 8000224c: 00050513 mv a0,a0 + 80002250: 0000006b 0x6b + 80002254: 0000006f j 80002254 + +0000000080002258 <_assert>: + 80002258: 00051a63 bnez a0,8000226c <_assert+0x14> + 8000225c: 00100793 li a5,1 + 80002260: 00078513 mv a0,a5 + 80002264: 0000006b 0x6b + 80002268: 0000006f j 80002268 <_assert+0x10> + 8000226c: 00008067 ret + +0000000080002270 <_trm_init>: + 80002270: ff010113 addi sp,sp,-16 + 80002274: 00113423 sd ra,8(sp) + 80002278: 590000ef jal ra,80002808 + 8000227c: 00002517 auipc a0,0x2 + 80002280: 1c450513 addi a0,a0,452 # 80004440 + 80002284: f58ff0ef jal ra,800019dc
+ 80002288: 00050513 mv a0,a0 + 8000228c: 0000006b 0x6b + 80002290: 0000006f j 80002290 <_trm_init+0x20> + +0000000080002294 <__muldi3>: + 80002294: 00050613 mv a2,a0 + 80002298: 00000513 li a0,0 + 8000229c: 0015f693 andi a3,a1,1 + 800022a0: 00068463 beqz a3,800022a8 <__muldi3+0x14> + 800022a4: 00c50533 add a0,a0,a2 + 800022a8: 0015d593 srli a1,a1,0x1 + 800022ac: 00161613 slli a2,a2,0x1 + 800022b0: fe0596e3 bnez a1,8000229c <__muldi3+0x8> + 800022b4: 00008067 ret + +00000000800022b8 <__udivsi3>: + 800022b8: 02051513 slli a0,a0,0x20 + 800022bc: 02059593 slli a1,a1,0x20 + 800022c0: 00008293 mv t0,ra + 800022c4: 03c000ef jal ra,80002300 <__udivdi3> + 800022c8: 0005051b sext.w a0,a0 + 800022cc: 00028067 jr t0 + +00000000800022d0 <__umodsi3>: + 800022d0: 02051513 slli a0,a0,0x20 + 800022d4: 02059593 slli a1,a1,0x20 + 800022d8: 02055513 srli a0,a0,0x20 + 800022dc: 0205d593 srli a1,a1,0x20 + 800022e0: 00008293 mv t0,ra + 800022e4: 01c000ef jal ra,80002300 <__udivdi3> + 800022e8: 0005851b sext.w a0,a1 + 800022ec: 00028067 jr t0 + +00000000800022f0 <__divsi3>: + 800022f0: fff00293 li t0,-1 + 800022f4: 0a558c63 beq a1,t0,800023ac <__moddi3+0x30> + +00000000800022f8 <__divdi3>: + 800022f8: 06054063 bltz a0,80002358 <__umoddi3+0x10> + 800022fc: 0605c663 bltz a1,80002368 <__umoddi3+0x20> + +0000000080002300 <__udivdi3>: + 80002300: 00058613 mv a2,a1 + 80002304: 00050593 mv a1,a0 + 80002308: fff00513 li a0,-1 + 8000230c: 02060c63 beqz a2,80002344 <__udivdi3+0x44> + 80002310: 00100693 li a3,1 + 80002314: 00b67a63 bgeu a2,a1,80002328 <__udivdi3+0x28> + 80002318: 00c05863 blez a2,80002328 <__udivdi3+0x28> + 8000231c: 00161613 slli a2,a2,0x1 + 80002320: 00169693 slli a3,a3,0x1 + 80002324: feb66ae3 bltu a2,a1,80002318 <__udivdi3+0x18> + 80002328: 00000513 li a0,0 + 8000232c: 00c5e663 bltu a1,a2,80002338 <__udivdi3+0x38> + 80002330: 40c585b3 sub a1,a1,a2 + 80002334: 00d56533 or a0,a0,a3 + 80002338: 0016d693 srli a3,a3,0x1 + 8000233c: 00165613 srli a2,a2,0x1 + 80002340: fe0696e3 bnez a3,8000232c <__udivdi3+0x2c> + 80002344: 00008067 ret + +0000000080002348 <__umoddi3>: + 80002348: 00008293 mv t0,ra + 8000234c: fb5ff0ef jal ra,80002300 <__udivdi3> + 80002350: 00058513 mv a0,a1 + 80002354: 00028067 jr t0 + 80002358: 40a00533 neg a0,a0 + 8000235c: 00b04863 bgtz a1,8000236c <__umoddi3+0x24> + 80002360: 40b005b3 neg a1,a1 + 80002364: f9dff06f j 80002300 <__udivdi3> + 80002368: 40b005b3 neg a1,a1 + 8000236c: 00008293 mv t0,ra + 80002370: f91ff0ef jal ra,80002300 <__udivdi3> + 80002374: 40a00533 neg a0,a0 + 80002378: 00028067 jr t0 + +000000008000237c <__moddi3>: + 8000237c: 00008293 mv t0,ra + 80002380: 0005ca63 bltz a1,80002394 <__moddi3+0x18> + 80002384: 00054c63 bltz a0,8000239c <__moddi3+0x20> + 80002388: f79ff0ef jal ra,80002300 <__udivdi3> + 8000238c: 00058513 mv a0,a1 + 80002390: 00028067 jr t0 + 80002394: 40b005b3 neg a1,a1 + 80002398: fe0558e3 bgez a0,80002388 <__moddi3+0xc> + 8000239c: 40a00533 neg a0,a0 + 800023a0: f61ff0ef jal ra,80002300 <__udivdi3> + 800023a4: 40b00533 neg a0,a1 + 800023a8: 00028067 jr t0 + 800023ac: 01f29293 slli t0,t0,0x1f + 800023b0: f45514e3 bne a0,t0,800022f8 <__divdi3> + 800023b4: 00008067 ret + +00000000800023b8 <__am_timer_config>: + 800023b8: 00100793 li a5,1 + 800023bc: 00f50023 sb a5,0(a0) + 800023c0: 00f500a3 sb a5,1(a0) + 800023c4: 00008067 ret + +00000000800023c8 <__am_input_config>: + 800023c8: 00100793 li a5,1 + 800023cc: 00f50023 sb a5,0(a0) + 800023d0: 00008067 ret + +00000000800023d4 : + 800023d4: ff010113 addi sp,sp,-16 + 800023d8: 00813023 sd s0,0(sp) + 800023dc: 00113423 sd ra,8(sp) + 800023e0: 00002417 auipc s0,0x2 + 800023e4: d8040413 addi s0,s0,-640 # 80004160 + 800023e8: 04100513 li a0,65 + 800023ec: 00140413 addi s0,s0,1 + 800023f0: e51ff0ef jal ra,80002240 + 800023f4: 00044503 lbu a0,0(s0) + 800023f8: fe051ae3 bnez a0,800023ec + 800023fc: 00002417 auipc s0,0x2 + 80002400: d7440413 addi s0,s0,-652 # 80004170 + 80002404: 06100513 li a0,97 + 80002408: 00140413 addi s0,s0,1 + 8000240c: e35ff0ef jal ra,80002240 + 80002410: 00044503 lbu a0,0(s0) + 80002414: fe051ae3 bnez a0,80002408 + 80002418: 00002417 auipc s0,0x2 + 8000241c: d7840413 addi s0,s0,-648 # 80004190 + 80002420: 02000513 li a0,32 + 80002424: 00140413 addi s0,s0,1 + 80002428: e19ff0ef jal ra,80002240 + 8000242c: 00044503 lbu a0,0(s0) + 80002430: fe051ae3 bnez a0,80002424 + 80002434: 00100513 li a0,1 + 80002438: e15ff0ef jal ra,8000224c + +000000008000243c : + 8000243c: ff010113 addi sp,sp,-16 + 80002440: 00113423 sd ra,8(sp) + 80002444: 00002797 auipc a5,0x2 + 80002448: 02c78793 addi a5,a5,44 # 80004470 + 8000244c: 00002697 auipc a3,0x2 + 80002450: 42468693 addi a3,a3,1060 # 80004870 + 80002454: 00000617 auipc a2,0x0 + 80002458: f8060613 addi a2,a2,-128 # 800023d4 + 8000245c: 00c0006f j 80002468 + 80002460: 00878793 addi a5,a5,8 + 80002464: 00d78c63 beq a5,a3,8000247c + 80002468: 0007b703 ld a4,0(a5) + 8000246c: fe071ae3 bnez a4,80002460 + 80002470: 00c7b023 sd a2,0(a5) + 80002474: 00878793 addi a5,a5,8 + 80002478: fed798e3 bne a5,a3,80002468 + 8000247c: 030000ef jal ra,800024ac <__am_timer_init> + 80002480: 00813083 ld ra,8(sp) + 80002484: 00100513 li a0,1 + 80002488: 01010113 addi sp,sp,16 + 8000248c: 00008067 ret + +0000000080002490 : + 80002490: 00351793 slli a5,a0,0x3 + 80002494: 00002517 auipc a0,0x2 + 80002498: fdc50513 addi a0,a0,-36 # 80004470 + 8000249c: 00f50533 add a0,a0,a5 + 800024a0: 00053303 ld t1,0(a0) + 800024a4: 00058513 mv a0,a1 + 800024a8: 00030067 jr t1 + +00000000800024ac <__am_timer_init>: + 800024ac: 00008067 ret + +00000000800024b0 <__am_timer_uptime>: + 800024b0: fd010113 addi sp,sp,-48 + 800024b4: 00913c23 sd s1,24(sp) + 800024b8: 02113423 sd ra,40(sp) + 800024bc: 02813023 sd s0,32(sp) + 800024c0: 01213823 sd s2,16(sp) + 800024c4: 01313423 sd s3,8(sp) + 800024c8: 00050493 mv s1,a0 + 800024cc: b0002973 csrr s2,mcycle + 800024d0: 000f49b7 lui s3,0xf4 + 800024d4: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 800024d8: 00090513 mv a0,s2 + 800024dc: e25ff0ef jal ra,80002300 <__udivdi3> + 800024e0: 00551413 slli s0,a0,0x5 + 800024e4: 40a407b3 sub a5,s0,a0 + 800024e8: 00679413 slli s0,a5,0x6 + 800024ec: 40f40433 sub s0,s0,a5 + 800024f0: 00341413 slli s0,s0,0x3 + 800024f4: 00a40433 add s0,s0,a0 + 800024f8: 24098593 addi a1,s3,576 + 800024fc: 00090513 mv a0,s2 + 80002500: e49ff0ef jal ra,80002348 <__umoddi3> + 80002504: 00641413 slli s0,s0,0x6 + 80002508: 00a40433 add s0,s0,a0 + 8000250c: 0084b023 sd s0,0(s1) + 80002510: 02813083 ld ra,40(sp) + 80002514: 02013403 ld s0,32(sp) + 80002518: 01813483 ld s1,24(sp) + 8000251c: 01013903 ld s2,16(sp) + 80002520: 00813983 ld s3,8(sp) + 80002524: 03010113 addi sp,sp,48 + 80002528: 00008067 ret + +000000008000252c : + 8000252c: fc010113 addi sp,sp,-64 + 80002530: 01313c23 sd s3,24(sp) + 80002534: 00058993 mv s3,a1 + 80002538: 00050793 mv a5,a0 + 8000253c: 03800613 li a2,56 + 80002540: 00000593 li a1,0 + 80002544: 00098513 mv a0,s3 + 80002548: 02813823 sd s0,48(sp) + 8000254c: 03213023 sd s2,32(sp) + 80002550: 0007b403 ld s0,0(a5) + 80002554: 01413823 sd s4,16(sp) + 80002558: 02113c23 sd ra,56(sp) + 8000255c: 02913423 sd s1,40(sp) + 80002560: 01513423 sd s5,8(sp) + 80002564: 3ac010ef jal ra,80003910 + 80002568: 7b200793 li a5,1970 + 8000256c: 00f9aa23 sw a5,20(s3) + 80002570: 7b200913 li s2,1970 + 80002574: 00002a17 auipc s4,0x2 + 80002578: ed4a0a13 addi s4,s4,-300 # 80004448 + 8000257c: 0100006f j 8000258c + 80002580: 40e40433 sub s0,s0,a4 + 80002584: 00d9aa23 sw a3,20(s3) + 80002588: 00068913 mv s2,a3 + 8000258c: 0009049b sext.w s1,s2 + 80002590: 06400593 li a1,100 + 80002594: 00048513 mv a0,s1 + 80002598: de5ff0ef jal ra,8000237c <__moddi3> + 8000259c: 00050793 mv a5,a0 + 800025a0: 0007879b sext.w a5,a5 + 800025a4: 00048513 mv a0,s1 + 800025a8: 00397493 andi s1,s2,3 + 800025ac: 19000593 li a1,400 + 800025b0: 0014b493 seqz s1,s1 + 800025b4: 00079863 bnez a5,800025c4 + 800025b8: dc5ff0ef jal ra,8000237c <__moddi3> + 800025bc: 0005049b sext.w s1,a0 + 800025c0: 0014b493 seqz s1,s1 + 800025c4: 00249793 slli a5,s1,0x2 + 800025c8: 00fa07b3 add a5,s4,a5 + 800025cc: 0007e703 lwu a4,0(a5) + 800025d0: 0019069b addiw a3,s2,1 + 800025d4: fae456e3 bge s0,a4,80002580 + 800025d8: 000155b7 lui a1,0x15 + 800025dc: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800025e0: 00040513 mv a0,s0 + 800025e4: d15ff0ef jal ra,800022f8 <__divdi3> + 800025e8: 00149793 slli a5,s1,0x1 + 800025ec: 009784b3 add s1,a5,s1 + 800025f0: 00449793 slli a5,s1,0x4 + 800025f4: 00002497 auipc s1,0x2 + 800025f8: bdc48493 addi s1,s1,-1060 # 800041d0 + 800025fc: 00f484b3 add s1,s1,a5 + 80002600: 0004e783 lwu a5,0(s1) + 80002604: 0005051b sext.w a0,a0 + 80002608: 00a9ae23 sw a0,28(s3) + 8000260c: 14f44463 blt s0,a5,80002754 + 80002610: 00100713 li a4,1 + 80002614: 40f40433 sub s0,s0,a5 + 80002618: 0044e783 lwu a5,4(s1) + 8000261c: 00070a1b sext.w s4,a4 + 80002620: 00448493 addi s1,s1,4 + 80002624: 00170713 addi a4,a4,1 + 80002628: fef456e3 bge s0,a5,80002614 + 8000262c: 000155b7 lui a1,0x15 + 80002630: 0149a823 sw s4,16(s3) + 80002634: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80002638: 00040513 mv a0,s0 + 8000263c: cbdff0ef jal ra,800022f8 <__divdi3> + 80002640: 0005051b sext.w a0,a0 + 80002644: 0015079b addiw a5,a0,1 + 80002648: 000155b7 lui a1,0x15 + 8000264c: 00f9a623 sw a5,12(s3) + 80002650: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80002654: 00040513 mv a0,s0 + 80002658: 00001ab7 lui s5,0x1 + 8000265c: 0007841b sext.w s0,a5 + 80002660: d1dff0ef jal ra,8000237c <__moddi3> + 80002664: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80002668: 00050493 mv s1,a0 + 8000266c: c8dff0ef jal ra,800022f8 <__divdi3> + 80002670: e10a8593 addi a1,s5,-496 + 80002674: 00a9a423 sw a0,8(s3) + 80002678: 00048513 mv a0,s1 + 8000267c: d01ff0ef jal ra,8000237c <__moddi3> + 80002680: 03c00593 li a1,60 + 80002684: 00050493 mv s1,a0 + 80002688: c71ff0ef jal ra,800022f8 <__divdi3> + 8000268c: 00a9a223 sw a0,4(s3) + 80002690: 03c00593 li a1,60 + 80002694: 00048513 mv a0,s1 + 80002698: ce5ff0ef jal ra,8000237c <__moddi3> + 8000269c: 001a0a1b addiw s4,s4,1 + 800026a0: 0ffa7793 andi a5,s4,255 + 800026a4: 0037b793 sltiu a5,a5,3 + 800026a8: 40f9093b subw s2,s2,a5 + 800026ac: 03091913 slli s2,s2,0x30 + 800026b0: 03095913 srli s2,s2,0x30 + 800026b4: 00a9a023 sw a0,0(s3) + 800026b8: 06400593 li a1,100 + 800026bc: 00090513 mv a0,s2 + 800026c0: c41ff0ef jal ra,80002300 <__udivdi3> + 800026c4: 0029549b srliw s1,s2,0x2 + 800026c8: 0105179b slliw a5,a0,0x10 + 800026cc: 0107d79b srliw a5,a5,0x10 + 800026d0: 012484bb addw s1,s1,s2 + 800026d4: 19000593 li a1,400 + 800026d8: 00090513 mv a0,s2 + 800026dc: 0ffa7a13 andi s4,s4,255 + 800026e0: 40f484bb subw s1,s1,a5 + 800026e4: fffa0a1b addiw s4,s4,-1 + 800026e8: c19ff0ef jal ra,80002300 <__udivdi3> + 800026ec: 00002797 auipc a5,0x2 + 800026f0: b4478793 addi a5,a5,-1212 # 80004230 + 800026f4: 002a1a13 slli s4,s4,0x2 + 800026f8: 01478a33 add s4,a5,s4 + 800026fc: 000a2783 lw a5,0(s4) + 80002700: 0105151b slliw a0,a0,0x10 + 80002704: 0105551b srliw a0,a0,0x10 + 80002708: 00a484bb addw s1,s1,a0 + 8000270c: 00f484bb addw s1,s1,a5 + 80002710: 0ff47513 andi a0,s0,255 + 80002714: 00a4853b addw a0,s1,a0 + 80002718: 00700593 li a1,7 + 8000271c: c61ff0ef jal ra,8000237c <__moddi3> + 80002720: 03813083 ld ra,56(sp) + 80002724: 03013403 ld s0,48(sp) + 80002728: 0ff57513 andi a0,a0,255 + 8000272c: 00a9ac23 sw a0,24(s3) + 80002730: 0209a023 sw zero,32(s3) + 80002734: 02813483 ld s1,40(sp) + 80002738: 02013903 ld s2,32(sp) + 8000273c: 01013a03 ld s4,16(sp) + 80002740: 00813a83 ld s5,8(sp) + 80002744: 00098513 mv a0,s3 + 80002748: 01813983 ld s3,24(sp) + 8000274c: 04010113 addi sp,sp,64 + 80002750: 00008067 ret + 80002754: 00000a13 li s4,0 + 80002758: eedff06f j 80002644 + +000000008000275c <__am_timer_rtc>: + 8000275c: f9010113 addi sp,sp,-112 + 80002760: 06813023 sd s0,96(sp) + 80002764: 06113423 sd ra,104(sp) + 80002768: 04913c23 sd s1,88(sp) + 8000276c: 05213823 sd s2,80(sp) + 80002770: 00050413 mv s0,a0 + 80002774: b00024f3 csrr s1,mcycle + 80002778: 000f4937 lui s2,0xf4 + 8000277c: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80002780: 00048513 mv a0,s1 + 80002784: b7dff0ef jal ra,80002300 <__udivdi3> + 80002788: 00050793 mv a5,a0 + 8000278c: 24090593 addi a1,s2,576 + 80002790: 00048513 mv a0,s1 + 80002794: 00f13423 sd a5,8(sp) + 80002798: bb1ff0ef jal ra,80002348 <__umoddi3> + 8000279c: 00050793 mv a5,a0 + 800027a0: 01810593 addi a1,sp,24 + 800027a4: 00810513 addi a0,sp,8 + 800027a8: 00f13823 sd a5,16(sp) + 800027ac: d81ff0ef jal ra,8000252c + 800027b0: 01812703 lw a4,24(sp) + 800027b4: 02812783 lw a5,40(sp) + 800027b8: 06813083 ld ra,104(sp) + 800027bc: 00e42a23 sw a4,20(s0) + 800027c0: 01c12703 lw a4,28(sp) + 800027c4: 0017879b addiw a5,a5,1 + 800027c8: 00f42223 sw a5,4(s0) + 800027cc: 00e42823 sw a4,16(s0) + 800027d0: 02012703 lw a4,32(sp) + 800027d4: 02c12783 lw a5,44(sp) + 800027d8: 05813483 ld s1,88(sp) + 800027dc: 00e42623 sw a4,12(s0) + 800027e0: 02412703 lw a4,36(sp) + 800027e4: 00f42023 sw a5,0(s0) + 800027e8: 05013903 ld s2,80(sp) + 800027ec: 00e42423 sw a4,8(s0) + 800027f0: 06013403 ld s0,96(sp) + 800027f4: 07010113 addi sp,sp,112 + 800027f8: 00008067 ret + +00000000800027fc <__am_input_keybrd>: + 800027fc: 00050023 sb zero,0(a0) + 80002800: 00052223 sw zero,4(a0) + 80002804: 00008067 ret + +0000000080002808 : + 80002808: 00002797 auipc a5,0x2 + 8000280c: c5878793 addi a5,a5,-936 # 80004460 + 80002810: 0007b503 ld a0,0(a5) + 80002814: 0087b583 ld a1,8(a5) + 80002818: ff010113 addi sp,sp,-16 + 8000281c: 00000693 li a3,0 + 80002820: 00000613 li a2,0 + 80002824: 40a585b3 sub a1,a1,a0 + 80002828: 00113423 sd ra,8(sp) + 8000282c: 1c4010ef jal ra,800039f0 + 80002830: 00813083 ld ra,8(sp) + 80002834: 00002797 auipc a5,0x2 + 80002838: 04a7b623 sd a0,76(a5) # 80004880 + 8000283c: 01010113 addi sp,sp,16 + 80002840: 00008067 ret + +0000000080002844 <_out_null>: + 80002844: 00008067 ret + +0000000080002848 <_ntoa_format>: + 80002848: fa010113 addi sp,sp,-96 + 8000284c: 07012303 lw t1,112(sp) + 80002850: 03313c23 sd s3,56(sp) + 80002854: 03413823 sd s4,48(sp) + 80002858: 03513423 sd s5,40(sp) + 8000285c: 03613023 sd s6,32(sp) + 80002860: 01713c23 sd s7,24(sp) + 80002864: 01813823 sd s8,16(sp) + 80002868: 01913423 sd s9,8(sp) + 8000286c: 00237e13 andi t3,t1,2 + 80002870: 01037e93 andi t4,t1,16 + 80002874: 04113c23 sd ra,88(sp) + 80002878: 04813823 sd s0,80(sp) + 8000287c: 04913423 sd s1,72(sp) + 80002880: 05213023 sd s2,64(sp) + 80002884: 06012f03 lw t5,96(sp) + 80002888: 06812c03 lw s8,104(sp) + 8000288c: 00050a13 mv s4,a0 + 80002890: 00058a93 mv s5,a1 + 80002894: 00060b93 mv s7,a2 + 80002898: 00068b13 mv s6,a3 + 8000289c: 00070993 mv s3,a4 + 800028a0: 000e0c9b sext.w s9,t3 + 800028a4: 000e8e9b sext.w t4,t4 + 800028a8: 060e1c63 bnez t3,80002920 <_ntoa_format+0xd8> + 800028ac: 020f1693 slli a3,t5,0x20 + 800028b0: 00137513 andi a0,t1,1 + 800028b4: 0206d693 srli a3,a3,0x20 + 800028b8: 180c1e63 bnez s8,80002a54 <_ntoa_format+0x20c> + 800028bc: 02d7f663 bgeu a5,a3,800028e8 <_ntoa_format+0xa0> + 800028c0: 02000713 li a4,32 + 800028c4: 36e78e63 beq a5,a4,80002c40 <_ntoa_format+0x3f8> + 800028c8: 03000613 li a2,48 + 800028cc: 02000593 li a1,32 + 800028d0: 0080006f j 800028d8 <_ntoa_format+0x90> + 800028d4: 00b78a63 beq a5,a1,800028e8 <_ntoa_format+0xa0> + 800028d8: 00178793 addi a5,a5,1 + 800028dc: 00f98733 add a4,s3,a5 + 800028e0: fec70fa3 sb a2,-1(a4) + 800028e4: fed7e8e3 bltu a5,a3,800028d4 <_ntoa_format+0x8c> + 800028e8: 02050c63 beqz a0,80002920 <_ntoa_format+0xd8> + 800028ec: 020c1913 slli s2,s8,0x20 + 800028f0: 02095913 srli s2,s2,0x20 + 800028f4: 0327f663 bgeu a5,s2,80002920 <_ntoa_format+0xd8> + 800028f8: 02000713 li a4,32 + 800028fc: 36e78863 beq a5,a4,80002c6c <_ntoa_format+0x424> + 80002900: 03000693 li a3,48 + 80002904: 02000613 li a2,32 + 80002908: 0080006f j 80002910 <_ntoa_format+0xc8> + 8000290c: 16c78463 beq a5,a2,80002a74 <_ntoa_format+0x22c> + 80002910: 00178793 addi a5,a5,1 + 80002914: 00f98733 add a4,s3,a5 + 80002918: fed70fa3 sb a3,-1(a4) + 8000291c: ff2798e3 bne a5,s2,8000290c <_ntoa_format+0xc4> + 80002920: 1a0e8663 beqz t4,80002acc <_ntoa_format+0x284> + 80002924: 40037713 andi a4,t1,1024 + 80002928: 20071463 bnez a4,80002b30 <_ntoa_format+0x2e8> + 8000292c: 1e079663 bnez a5,80002b18 <_ntoa_format+0x2d0> + 80002930: 01000793 li a5,16 + 80002934: 2af88863 beq a7,a5,80002be4 <_ntoa_format+0x39c> + 80002938: 00200793 li a5,2 + 8000293c: 2ef88a63 beq a7,a5,80002c30 <_ntoa_format+0x3e8> + 80002940: 03000793 li a5,48 + 80002944: 00f98023 sb a5,0(s3) + 80002948: 00100793 li a5,1 + 8000294c: 1a080463 beqz a6,80002af4 <_ntoa_format+0x2ac> + 80002950: 00f98733 add a4,s3,a5 + 80002954: 00178413 addi s0,a5,1 + 80002958: 02d00793 li a5,45 + 8000295c: 00f70023 sb a5,0(a4) + 80002960: 00337313 andi t1,t1,3 + 80002964: 20031263 bnez t1,80002b68 <_ntoa_format+0x320> + 80002968: 020c1913 slli s2,s8,0x20 + 8000296c: 02095913 srli s2,s2,0x20 + 80002970: 1f247c63 bgeu s0,s2,80002b68 <_ntoa_format+0x320> + 80002974: 40890933 sub s2,s2,s0 + 80002978: 01790933 add s2,s2,s7 + 8000297c: 000b8493 mv s1,s7 + 80002980: 00048613 mv a2,s1 + 80002984: 000b0693 mv a3,s6 + 80002988: 00148493 addi s1,s1,1 + 8000298c: 000a8593 mv a1,s5 + 80002990: 02000513 li a0,32 + 80002994: 000a00e7 jalr s4 + 80002998: ff2494e3 bne s1,s2,80002980 <_ntoa_format+0x138> + 8000299c: 02040663 beqz s0,800029c8 <_ntoa_format+0x180> + 800029a0: 01240933 add s2,s0,s2 + 800029a4: 012984b3 add s1,s3,s2 + 800029a8: 00898433 add s0,s3,s0 + 800029ac: fff44503 lbu a0,-1(s0) + 800029b0: 40848633 sub a2,s1,s0 + 800029b4: 000b0693 mv a3,s6 + 800029b8: fff40413 addi s0,s0,-1 + 800029bc: 000a8593 mv a1,s5 + 800029c0: 000a00e7 jalr s4 + 800029c4: fe8994e3 bne s3,s0,800029ac <_ntoa_format+0x164> + 800029c8: 040c8a63 beqz s9,80002a1c <_ntoa_format+0x1d4> + 800029cc: 020c1c13 slli s8,s8,0x20 + 800029d0: 41790433 sub s0,s2,s7 + 800029d4: 020c5c13 srli s8,s8,0x20 + 800029d8: 05847263 bgeu s0,s8,80002a1c <_ntoa_format+0x1d4> + 800029dc: 01740633 add a2,s0,s7 + 800029e0: 000b0693 mv a3,s6 + 800029e4: 00140413 addi s0,s0,1 + 800029e8: 000a8593 mv a1,s5 + 800029ec: 02000513 li a0,32 + 800029f0: 000a00e7 jalr s4 + 800029f4: ff8464e3 bltu s0,s8,800029dc <_ntoa_format+0x194> + 800029f8: 41790733 sub a4,s2,s7 + 800029fc: 00170713 addi a4,a4,1 + 80002a00: 00000793 li a5,0 + 80002a04: 00ec6863 bltu s8,a4,80002a14 <_ntoa_format+0x1cc> + 80002a08: fffb8793 addi a5,s7,-1 + 80002a0c: 01878c33 add s8,a5,s8 + 80002a10: 412c07b3 sub a5,s8,s2 + 80002a14: 00190913 addi s2,s2,1 + 80002a18: 00f90933 add s2,s2,a5 + 80002a1c: 05813083 ld ra,88(sp) + 80002a20: 05013403 ld s0,80(sp) + 80002a24: 04813483 ld s1,72(sp) + 80002a28: 03813983 ld s3,56(sp) + 80002a2c: 03013a03 ld s4,48(sp) + 80002a30: 02813a83 ld s5,40(sp) + 80002a34: 02013b03 ld s6,32(sp) + 80002a38: 01813b83 ld s7,24(sp) + 80002a3c: 01013c03 ld s8,16(sp) + 80002a40: 00813c83 ld s9,8(sp) + 80002a44: 00090513 mv a0,s2 + 80002a48: 04013903 ld s2,64(sp) + 80002a4c: 06010113 addi sp,sp,96 + 80002a50: 00008067 ret + 80002a54: 12050863 beqz a0,80002b84 <_ntoa_format+0x33c> + 80002a58: 00081663 bnez a6,80002a64 <_ntoa_format+0x21c> + 80002a5c: 00c37713 andi a4,t1,12 + 80002a60: 00070463 beqz a4,80002a68 <_ntoa_format+0x220> + 80002a64: fffc0c1b addiw s8,s8,-1 + 80002a68: e8d7f2e3 bgeu a5,a3,800028ec <_ntoa_format+0xa4> + 80002a6c: 02000713 li a4,32 + 80002a70: e4e79ce3 bne a5,a4,800028c8 <_ntoa_format+0x80> + 80002a74: 0e0e8063 beqz t4,80002b54 <_ntoa_format+0x30c> + 80002a78: 40037793 andi a5,t1,1024 + 80002a7c: 04079c63 bnez a5,80002ad4 <_ntoa_format+0x28c> + 80002a80: 02000793 li a5,32 + 80002a84: 0aff1063 bne t5,a5,80002b24 <_ntoa_format+0x2dc> + 80002a88: 02000793 li a5,32 + 80002a8c: 01f00713 li a4,31 + 80002a90: 01000693 li a3,16 + 80002a94: 1ad88c63 beq a7,a3,80002c4c <_ntoa_format+0x404> + 80002a98: 00200693 li a3,2 + 80002a9c: 00070793 mv a5,a4 + 80002aa0: 00d89e63 bne a7,a3,80002abc <_ntoa_format+0x274> + 80002aa4: 00e986b3 add a3,s3,a4 + 80002aa8: 00170793 addi a5,a4,1 + 80002aac: 06200713 li a4,98 + 80002ab0: 00e68023 sb a4,0(a3) + 80002ab4: 02000713 li a4,32 + 80002ab8: 00e78e63 beq a5,a4,80002ad4 <_ntoa_format+0x28c> + 80002abc: 00f98733 add a4,s3,a5 + 80002ac0: 03000693 li a3,48 + 80002ac4: 00d70023 sb a3,0(a4) + 80002ac8: 00178793 addi a5,a5,1 + 80002acc: 02000713 li a4,32 + 80002ad0: e6e79ee3 bne a5,a4,8000294c <_ntoa_format+0x104> + 80002ad4: 00337313 andi t1,t1,3 + 80002ad8: 08031263 bnez t1,80002b5c <_ntoa_format+0x314> + 80002adc: 02000413 li s0,32 + 80002ae0: 020c1913 slli s2,s8,0x20 + 80002ae4: 02095913 srli s2,s2,0x20 + 80002ae8: e92466e3 bltu s0,s2,80002974 <_ntoa_format+0x12c> + 80002aec: 000b8913 mv s2,s7 + 80002af0: eadff06f j 8000299c <_ntoa_format+0x154> + 80002af4: 00437713 andi a4,t1,4 + 80002af8: 06071c63 bnez a4,80002b70 <_ntoa_format+0x328> + 80002afc: 00837713 andi a4,t1,8 + 80002b00: 0c071863 bnez a4,80002bd0 <_ntoa_format+0x388> + 80002b04: 00337313 andi t1,t1,3 + 80002b08: 00078413 mv s0,a5 + 80002b0c: fc030ae3 beqz t1,80002ae0 <_ntoa_format+0x298> + 80002b10: 000b8913 mv s2,s7 + 80002b14: e89ff06f j 8000299c <_ntoa_format+0x154> + 80002b18: 020f1f13 slli t5,t5,0x20 + 80002b1c: 020f5f13 srli t5,t5,0x20 + 80002b20: 0aff0263 beq t5,a5,80002bc4 <_ntoa_format+0x37c> + 80002b24: 020c1913 slli s2,s8,0x20 + 80002b28: 02095913 srli s2,s2,0x20 + 80002b2c: 08f90c63 beq s2,a5,80002bc4 <_ntoa_format+0x37c> + 80002b30: 01000713 li a4,16 + 80002b34: 06e88663 beq a7,a4,80002ba0 <_ntoa_format+0x358> + 80002b38: 00200713 li a4,2 + 80002b3c: f6e89ce3 bne a7,a4,80002ab4 <_ntoa_format+0x26c> + 80002b40: 02000713 li a4,32 + 80002b44: f8e788e3 beq a5,a4,80002ad4 <_ntoa_format+0x28c> + 80002b48: 00f986b3 add a3,s3,a5 + 80002b4c: 00178793 addi a5,a5,1 + 80002b50: f5dff06f j 80002aac <_ntoa_format+0x264> + 80002b54: 00337313 andi t1,t1,3 + 80002b58: 0c030063 beqz t1,80002c18 <_ntoa_format+0x3d0> + 80002b5c: 000b8913 mv s2,s7 + 80002b60: 02000413 li s0,32 + 80002b64: e3dff06f j 800029a0 <_ntoa_format+0x158> + 80002b68: 000b8913 mv s2,s7 + 80002b6c: e35ff06f j 800029a0 <_ntoa_format+0x158> + 80002b70: 00f98733 add a4,s3,a5 + 80002b74: 00178413 addi s0,a5,1 + 80002b78: 02b00793 li a5,43 + 80002b7c: 00f70023 sb a5,0(a4) + 80002b80: de1ff06f j 80002960 <_ntoa_format+0x118> + 80002b84: d8d7fee3 bgeu a5,a3,80002920 <_ntoa_format+0xd8> + 80002b88: 02000713 li a4,32 + 80002b8c: d2e79ee3 bne a5,a4,800028c8 <_ntoa_format+0x80> + 80002b90: 0e0e8c63 beqz t4,80002c88 <_ntoa_format+0x440> + 80002b94: 40037793 andi a5,t1,1024 + 80002b98: f2079ee3 bnez a5,80002ad4 <_ntoa_format+0x28c> + 80002b9c: ee5ff06f j 80002a80 <_ntoa_format+0x238> + 80002ba0: 02037713 andi a4,t1,32 + 80002ba4: 04071c63 bnez a4,80002bfc <_ntoa_format+0x3b4> + 80002ba8: 02000713 li a4,32 + 80002bac: f2e784e3 beq a5,a4,80002ad4 <_ntoa_format+0x28c> + 80002bb0: 00f98733 add a4,s3,a5 + 80002bb4: 00178793 addi a5,a5,1 + 80002bb8: 07800693 li a3,120 + 80002bbc: 00d70023 sb a3,0(a4) + 80002bc0: ef5ff06f j 80002ab4 <_ntoa_format+0x26c> + 80002bc4: fff78713 addi a4,a5,-1 + 80002bc8: d60704e3 beqz a4,80002930 <_ntoa_format+0xe8> + 80002bcc: ec5ff06f j 80002a90 <_ntoa_format+0x248> + 80002bd0: 00f98733 add a4,s3,a5 + 80002bd4: 00178413 addi s0,a5,1 + 80002bd8: 02000793 li a5,32 + 80002bdc: 00f70023 sb a5,0(a4) + 80002be0: d81ff06f j 80002960 <_ntoa_format+0x118> + 80002be4: 02037793 andi a5,t1,32 + 80002be8: 02079c63 bnez a5,80002c20 <_ntoa_format+0x3d8> + 80002bec: 07800793 li a5,120 + 80002bf0: 00f98023 sb a5,0(s3) + 80002bf4: 00100793 li a5,1 + 80002bf8: ec5ff06f j 80002abc <_ntoa_format+0x274> + 80002bfc: 02000713 li a4,32 + 80002c00: ece78ae3 beq a5,a4,80002ad4 <_ntoa_format+0x28c> + 80002c04: 00f98733 add a4,s3,a5 + 80002c08: 05800693 li a3,88 + 80002c0c: 00d70023 sb a3,0(a4) + 80002c10: 00178793 addi a5,a5,1 + 80002c14: ea1ff06f j 80002ab4 <_ntoa_format+0x26c> + 80002c18: 02000413 li s0,32 + 80002c1c: d4dff06f j 80002968 <_ntoa_format+0x120> + 80002c20: 05800793 li a5,88 + 80002c24: 00f98023 sb a5,0(s3) + 80002c28: 00100793 li a5,1 + 80002c2c: e91ff06f j 80002abc <_ntoa_format+0x274> + 80002c30: 06200793 li a5,98 + 80002c34: 00f98023 sb a5,0(s3) + 80002c38: 00100793 li a5,1 + 80002c3c: e81ff06f j 80002abc <_ntoa_format+0x274> + 80002c40: e2051ae3 bnez a0,80002a74 <_ntoa_format+0x22c> + 80002c44: 000c8c13 mv s8,s9 + 80002c48: f49ff06f j 80002b90 <_ntoa_format+0x348> + 80002c4c: 02037693 andi a3,t1,32 + 80002c50: ffe78713 addi a4,a5,-2 + 80002c54: 00069863 bnez a3,80002c64 <_ntoa_format+0x41c> + 80002c58: 00e98733 add a4,s3,a4 + 80002c5c: fff78793 addi a5,a5,-1 + 80002c60: f59ff06f j 80002bb8 <_ntoa_format+0x370> + 80002c64: 00070793 mv a5,a4 + 80002c68: f9dff06f j 80002c04 <_ntoa_format+0x3bc> + 80002c6c: 000e8e63 beqz t4,80002c88 <_ntoa_format+0x440> + 80002c70: 40037713 andi a4,t1,1024 + 80002c74: 00071e63 bnez a4,80002c90 <_ntoa_format+0x448> + 80002c78: 02ff0e63 beq t5,a5,80002cb4 <_ntoa_format+0x46c> + 80002c7c: e4fc1ce3 bne s8,a5,80002ad4 <_ntoa_format+0x28c> + 80002c80: 01f00713 li a4,31 + 80002c84: e0dff06f j 80002a90 <_ntoa_format+0x248> + 80002c88: 02000413 li s0,32 + 80002c8c: cd5ff06f j 80002960 <_ntoa_format+0x118> + 80002c90: 01000793 li a5,16 + 80002c94: 02f88c63 beq a7,a5,80002ccc <_ntoa_format+0x484> + 80002c98: 00200793 li a5,2 + 80002c9c: e2f88ce3 beq a7,a5,80002ad4 <_ntoa_format+0x28c> + 80002ca0: 00337313 andi t1,t1,3 + 80002ca4: ea031ce3 bnez t1,80002b5c <_ntoa_format+0x314> + 80002ca8: 02000413 li s0,32 + 80002cac: cd2464e3 bltu s0,s2,80002974 <_ntoa_format+0x12c> + 80002cb0: e3dff06f j 80002aec <_ntoa_format+0x2a4> + 80002cb4: 01000713 li a4,16 + 80002cb8: f8e88ae3 beq a7,a4,80002c4c <_ntoa_format+0x404> + 80002cbc: 00200793 li a5,2 + 80002cc0: 00f88c63 beq a7,a5,80002cd8 <_ntoa_format+0x490> + 80002cc4: 01f00793 li a5,31 + 80002cc8: df5ff06f j 80002abc <_ntoa_format+0x274> + 80002ccc: 02037793 andi a5,t1,32 + 80002cd0: fc0788e3 beqz a5,80002ca0 <_ntoa_format+0x458> + 80002cd4: e01ff06f j 80002ad4 <_ntoa_format+0x28c> + 80002cd8: 01f00713 li a4,31 + 80002cdc: dc9ff06f j 80002aa4 <_ntoa_format+0x25c> + +0000000080002ce0 <_ntoa_long>: + 80002ce0: f4010113 addi sp,sp,-192 + 80002ce4: 09413823 sd s4,144(sp) + 80002ce8: 09513423 sd s5,136(sp) + 80002cec: 09613023 sd s6,128(sp) + 80002cf0: 07713c23 sd s7,120(sp) + 80002cf4: 07813823 sd s8,112(sp) + 80002cf8: 07913423 sd s9,104(sp) + 80002cfc: 05b13c23 sd s11,88(sp) + 80002d00: 0a113c23 sd ra,184(sp) + 80002d04: 0a813823 sd s0,176(sp) + 80002d08: 0a913423 sd s1,168(sp) + 80002d0c: 0b213023 sd s2,160(sp) + 80002d10: 09313c23 sd s3,152(sp) + 80002d14: 07a13023 sd s10,96(sp) + 80002d18: 02a13023 sd a0,32(sp) + 80002d1c: 03113423 sd a7,40(sp) + 80002d20: 0c812a03 lw s4,200(sp) + 80002d24: 00070d93 mv s11,a4 + 80002d28: 00058b13 mv s6,a1 + 80002d2c: 00060b93 mv s7,a2 + 80002d30: 00068c13 mv s8,a3 + 80002d34: 00078c93 mv s9,a5 + 80002d38: 00080a93 mv s5,a6 + 80002d3c: 00071863 bnez a4,80002d4c <_ntoa_long+0x6c> + 80002d40: 400a7793 andi a5,s4,1024 + 80002d44: fefa7a13 andi s4,s4,-17 + 80002d48: 0e079663 bnez a5,80002e34 <_ntoa_long+0x154> + 80002d4c: 020a7793 andi a5,s4,32 + 80002d50: 06100413 li s0,97 + 80002d54: 0c079c63 bnez a5,80002e2c <_ntoa_long+0x14c> + 80002d58: 00000d13 li s10,0 + 80002d5c: 03010493 addi s1,sp,48 + 80002d60: 00900913 li s2,9 + 80002d64: ff64041b addiw s0,s0,-10 + 80002d68: 02000993 li s3,32 + 80002d6c: 00c0006f j 80002d78 <_ntoa_long+0x98> + 80002d70: 053d0463 beq s10,s3,80002db8 <_ntoa_long+0xd8> + 80002d74: 00050d93 mv s11,a0 + 80002d78: 000a8593 mv a1,s5 + 80002d7c: 000d8513 mv a0,s11 + 80002d80: dc8ff0ef jal ra,80002348 <__umoddi3> + 80002d84: 0ff57313 andi t1,a0,255 + 80002d88: 0303071b addiw a4,t1,48 + 80002d8c: 0064033b addw t1,s0,t1 + 80002d90: 0ff37313 andi t1,t1,255 + 80002d94: 00a96463 bltu s2,a0,80002d9c <_ntoa_long+0xbc> + 80002d98: 0ff77313 andi t1,a4,255 + 80002d9c: 001d0d13 addi s10,s10,1 + 80002da0: 01a48733 add a4,s1,s10 + 80002da4: 000d8513 mv a0,s11 + 80002da8: 000a8593 mv a1,s5 + 80002dac: fe670fa3 sb t1,-1(a4) + 80002db0: d50ff0ef jal ra,80002300 <__udivdi3> + 80002db4: fb5dfee3 bgeu s11,s5,80002d70 <_ntoa_long+0x90> + 80002db8: 0c012703 lw a4,192(sp) + 80002dbc: 02813783 ld a5,40(sp) + 80002dc0: 02013503 ld a0,32(sp) + 80002dc4: 01413823 sd s4,16(sp) + 80002dc8: 00e13423 sd a4,8(sp) + 80002dcc: 00f13023 sd a5,0(sp) + 80002dd0: 000a889b sext.w a7,s5 + 80002dd4: 000c8813 mv a6,s9 + 80002dd8: 000d0793 mv a5,s10 + 80002ddc: 00048713 mv a4,s1 + 80002de0: 000c0693 mv a3,s8 + 80002de4: 000b8613 mv a2,s7 + 80002de8: 000b0593 mv a1,s6 + 80002dec: a5dff0ef jal ra,80002848 <_ntoa_format> + 80002df0: 0b813083 ld ra,184(sp) + 80002df4: 0b013403 ld s0,176(sp) + 80002df8: 0a813483 ld s1,168(sp) + 80002dfc: 0a013903 ld s2,160(sp) + 80002e00: 09813983 ld s3,152(sp) + 80002e04: 09013a03 ld s4,144(sp) + 80002e08: 08813a83 ld s5,136(sp) + 80002e0c: 08013b03 ld s6,128(sp) + 80002e10: 07813b83 ld s7,120(sp) + 80002e14: 07013c03 ld s8,112(sp) + 80002e18: 06813c83 ld s9,104(sp) + 80002e1c: 06013d03 ld s10,96(sp) + 80002e20: 05813d83 ld s11,88(sp) + 80002e24: 0c010113 addi sp,sp,192 + 80002e28: 00008067 ret + 80002e2c: 04100413 li s0,65 + 80002e30: f29ff06f j 80002d58 <_ntoa_long+0x78> + 80002e34: 00000d13 li s10,0 + 80002e38: 03010493 addi s1,sp,48 + 80002e3c: f7dff06f j 80002db8 <_ntoa_long+0xd8> + +0000000080002e40 <_ntoa_long_long>: + 80002e40: f4010113 addi sp,sp,-192 + 80002e44: 09413823 sd s4,144(sp) + 80002e48: 09513423 sd s5,136(sp) + 80002e4c: 09613023 sd s6,128(sp) + 80002e50: 07713c23 sd s7,120(sp) + 80002e54: 07813823 sd s8,112(sp) + 80002e58: 07913423 sd s9,104(sp) + 80002e5c: 05b13c23 sd s11,88(sp) + 80002e60: 0a113c23 sd ra,184(sp) + 80002e64: 0a813823 sd s0,176(sp) + 80002e68: 0a913423 sd s1,168(sp) + 80002e6c: 0b213023 sd s2,160(sp) + 80002e70: 09313c23 sd s3,152(sp) + 80002e74: 07a13023 sd s10,96(sp) + 80002e78: 02a13023 sd a0,32(sp) + 80002e7c: 03113423 sd a7,40(sp) + 80002e80: 0c812a03 lw s4,200(sp) + 80002e84: 00070d93 mv s11,a4 + 80002e88: 00058b13 mv s6,a1 + 80002e8c: 00060b93 mv s7,a2 + 80002e90: 00068c13 mv s8,a3 + 80002e94: 00078c93 mv s9,a5 + 80002e98: 00080a93 mv s5,a6 + 80002e9c: 00071863 bnez a4,80002eac <_ntoa_long_long+0x6c> + 80002ea0: 400a7793 andi a5,s4,1024 + 80002ea4: fefa7a13 andi s4,s4,-17 + 80002ea8: 0e079663 bnez a5,80002f94 <_ntoa_long_long+0x154> + 80002eac: 020a7793 andi a5,s4,32 + 80002eb0: 06100413 li s0,97 + 80002eb4: 0c079c63 bnez a5,80002f8c <_ntoa_long_long+0x14c> + 80002eb8: 00000d13 li s10,0 + 80002ebc: 03010493 addi s1,sp,48 + 80002ec0: 00900913 li s2,9 + 80002ec4: ff64041b addiw s0,s0,-10 + 80002ec8: 02000993 li s3,32 + 80002ecc: 00c0006f j 80002ed8 <_ntoa_long_long+0x98> + 80002ed0: 053d0463 beq s10,s3,80002f18 <_ntoa_long_long+0xd8> + 80002ed4: 00050d93 mv s11,a0 + 80002ed8: 000a8593 mv a1,s5 + 80002edc: 000d8513 mv a0,s11 + 80002ee0: c68ff0ef jal ra,80002348 <__umoddi3> + 80002ee4: 0ff57313 andi t1,a0,255 + 80002ee8: 0303071b addiw a4,t1,48 + 80002eec: 0064033b addw t1,s0,t1 + 80002ef0: 0ff37313 andi t1,t1,255 + 80002ef4: 00a96463 bltu s2,a0,80002efc <_ntoa_long_long+0xbc> + 80002ef8: 0ff77313 andi t1,a4,255 + 80002efc: 001d0d13 addi s10,s10,1 + 80002f00: 01a48733 add a4,s1,s10 + 80002f04: 000d8513 mv a0,s11 + 80002f08: 000a8593 mv a1,s5 + 80002f0c: fe670fa3 sb t1,-1(a4) + 80002f10: bf0ff0ef jal ra,80002300 <__udivdi3> + 80002f14: fb5dfee3 bgeu s11,s5,80002ed0 <_ntoa_long_long+0x90> + 80002f18: 0c012703 lw a4,192(sp) + 80002f1c: 02813783 ld a5,40(sp) + 80002f20: 02013503 ld a0,32(sp) + 80002f24: 01413823 sd s4,16(sp) + 80002f28: 00e13423 sd a4,8(sp) + 80002f2c: 00f13023 sd a5,0(sp) + 80002f30: 000a889b sext.w a7,s5 + 80002f34: 000c8813 mv a6,s9 + 80002f38: 000d0793 mv a5,s10 + 80002f3c: 00048713 mv a4,s1 + 80002f40: 000c0693 mv a3,s8 + 80002f44: 000b8613 mv a2,s7 + 80002f48: 000b0593 mv a1,s6 + 80002f4c: 8fdff0ef jal ra,80002848 <_ntoa_format> + 80002f50: 0b813083 ld ra,184(sp) + 80002f54: 0b013403 ld s0,176(sp) + 80002f58: 0a813483 ld s1,168(sp) + 80002f5c: 0a013903 ld s2,160(sp) + 80002f60: 09813983 ld s3,152(sp) + 80002f64: 09013a03 ld s4,144(sp) + 80002f68: 08813a83 ld s5,136(sp) + 80002f6c: 08013b03 ld s6,128(sp) + 80002f70: 07813b83 ld s7,120(sp) + 80002f74: 07013c03 ld s8,112(sp) + 80002f78: 06813c83 ld s9,104(sp) + 80002f7c: 06013d03 ld s10,96(sp) + 80002f80: 05813d83 ld s11,88(sp) + 80002f84: 0c010113 addi sp,sp,192 + 80002f88: 00008067 ret + 80002f8c: 04100413 li s0,65 + 80002f90: f29ff06f j 80002eb8 <_ntoa_long_long+0x78> + 80002f94: 00000d13 li s10,0 + 80002f98: 03010493 addi s1,sp,48 + 80002f9c: f7dff06f j 80002f18 <_ntoa_long_long+0xd8> + +0000000080002fa0 <_vsnprintf>: + 80002fa0: f6010113 addi sp,sp,-160 + 80002fa4: 08913423 sd s1,136(sp) + 80002fa8: 09213023 sd s2,128(sp) + 80002fac: 07313c23 sd s3,120(sp) + 80002fb0: 05713c23 sd s7,88(sp) + 80002fb4: 05813823 sd s8,80(sp) + 80002fb8: 08113c23 sd ra,152(sp) + 80002fbc: 08813823 sd s0,144(sp) + 80002fc0: 07413823 sd s4,112(sp) + 80002fc4: 07513423 sd s5,104(sp) + 80002fc8: 07613023 sd s6,96(sp) + 80002fcc: 05913423 sd s9,72(sp) + 80002fd0: 05a13023 sd s10,64(sp) + 80002fd4: 03b13c23 sd s11,56(sp) + 80002fd8: 00058493 mv s1,a1 + 80002fdc: 00060c13 mv s8,a2 + 80002fe0: 00068b93 mv s7,a3 + 80002fe4: 00070993 mv s3,a4 + 80002fe8: 00000917 auipc s2,0x0 + 80002fec: 85c90913 addi s2,s2,-1956 # 80002844 <_out_null> + 80002ff0: 00058463 beqz a1,80002ff8 <_vsnprintf+0x58> + 80002ff4: 00050913 mv s2,a0 + 80002ff8: 000bc503 lbu a0,0(s7) + 80002ffc: 00000d13 li s10,0 + 80003000: 66050663 beqz a0,8000366c <_vsnprintf+0x6cc> + 80003004: 000107b7 lui a5,0x10 + 80003008: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000300c: 02500a13 li s4,37 + 80003010: 00001417 auipc s0,0x1 + 80003014: 25040413 addi s0,s0,592 # 80004260 + 80003018: 00f13c23 sd a5,24(sp) + 8000301c: 0200006f j 8000303c <_vsnprintf+0x9c> + 80003020: 000d0613 mv a2,s10 + 80003024: 000c0693 mv a3,s8 + 80003028: 00048593 mv a1,s1 + 8000302c: 001d0d13 addi s10,s10,1 + 80003030: 000900e7 jalr s2 + 80003034: 000bc503 lbu a0,0(s7) + 80003038: 1c050263 beqz a0,800031fc <_vsnprintf+0x25c> + 8000303c: 001b8b93 addi s7,s7,1 + 80003040: ff4510e3 bne a0,s4,80003020 <_vsnprintf+0x80> + 80003044: 00000593 li a1,0 + 80003048: 01000813 li a6,16 + 8000304c: 000bc503 lbu a0,0(s7) + 80003050: 001b8713 addi a4,s7,1 + 80003054: 00070613 mv a2,a4 + 80003058: fe05079b addiw a5,a0,-32 + 8000305c: 0ff7f793 andi a5,a5,255 + 80003060: 00f86c63 bltu a6,a5,80003078 <_vsnprintf+0xd8> + 80003064: 00279793 slli a5,a5,0x2 + 80003068: 008787b3 add a5,a5,s0 + 8000306c: 0007a783 lw a5,0(a5) + 80003070: 008787b3 add a5,a5,s0 + 80003074: 00078067 jr a5 + 80003078: fd05079b addiw a5,a0,-48 + 8000307c: 0ff7f793 andi a5,a5,255 + 80003080: 00900813 li a6,9 + 80003084: 0ef87c63 bgeu a6,a5,8000317c <_vsnprintf+0x1dc> + 80003088: 02a00793 li a5,42 + 8000308c: 20f50463 beq a0,a5,80003294 <_vsnprintf+0x2f4> + 80003090: 000b8613 mv a2,s7 + 80003094: 00000d93 li s11,0 + 80003098: 00070b93 mv s7,a4 + 8000309c: 02e00793 li a5,46 + 800030a0: 00000c93 li s9,0 + 800030a4: 12f50463 beq a0,a5,800031cc <_vsnprintf+0x22c> + 800030a8: f985079b addiw a5,a0,-104 + 800030ac: 0ff7f793 andi a5,a5,255 + 800030b0: 01200713 li a4,18 + 800030b4: 08f76463 bltu a4,a5,8000313c <_vsnprintf+0x19c> + 800030b8: 00001717 auipc a4,0x1 + 800030bc: 1ec70713 addi a4,a4,492 # 800042a4 + 800030c0: 00279793 slli a5,a5,0x2 + 800030c4: 00e787b3 add a5,a5,a4 + 800030c8: 0007a783 lw a5,0(a5) + 800030cc: 00e787b3 add a5,a5,a4 + 800030d0: 00078067 jr a5 + 800030d4: 0015e593 ori a1,a1,1 + 800030d8: 0005859b sext.w a1,a1 + 800030dc: 00070b93 mv s7,a4 + 800030e0: f6dff06f j 8000304c <_vsnprintf+0xac> + 800030e4: 0025e593 ori a1,a1,2 + 800030e8: 0005859b sext.w a1,a1 + 800030ec: 00070b93 mv s7,a4 + 800030f0: f5dff06f j 8000304c <_vsnprintf+0xac> + 800030f4: 0045e593 ori a1,a1,4 + 800030f8: 0005859b sext.w a1,a1 + 800030fc: 00070b93 mv s7,a4 + 80003100: f4dff06f j 8000304c <_vsnprintf+0xac> + 80003104: 0105e593 ori a1,a1,16 + 80003108: 0005859b sext.w a1,a1 + 8000310c: 00070b93 mv s7,a4 + 80003110: f3dff06f j 8000304c <_vsnprintf+0xac> + 80003114: 0085e593 ori a1,a1,8 + 80003118: 0005859b sext.w a1,a1 + 8000311c: 00070b93 mv s7,a4 + 80003120: f2dff06f j 8000304c <_vsnprintf+0xac> + 80003124: 00164503 lbu a0,1(a2) + 80003128: 06800793 li a5,104 + 8000312c: 52f50663 beq a0,a5,80003658 <_vsnprintf+0x6b8> + 80003130: 0805e593 ori a1,a1,128 + 80003134: 0005859b sext.w a1,a1 + 80003138: 001b8b93 addi s7,s7,1 + 8000313c: fdb5079b addiw a5,a0,-37 + 80003140: 0ff7f793 andi a5,a5,255 + 80003144: 05300713 li a4,83 + 80003148: ecf76ce3 bltu a4,a5,80003020 <_vsnprintf+0x80> + 8000314c: 00001717 auipc a4,0x1 + 80003150: 1a470713 addi a4,a4,420 # 800042f0 + 80003154: 00279793 slli a5,a5,0x2 + 80003158: 00e787b3 add a5,a5,a4 + 8000315c: 0007a783 lw a5,0(a5) + 80003160: 00e787b3 add a5,a5,a4 + 80003164: 00078067 jr a5 + 80003168: 00164503 lbu a0,1(a2) + 8000316c: 1005e593 ori a1,a1,256 + 80003170: 0005859b sext.w a1,a1 + 80003174: 001b8b93 addi s7,s7,1 + 80003178: fc5ff06f j 8000313c <_vsnprintf+0x19c> + 8000317c: 00000d93 li s11,0 + 80003180: 00900813 li a6,9 + 80003184: 0080006f j 8000318c <_vsnprintf+0x1ec> + 80003188: 00170713 addi a4,a4,1 + 8000318c: 002d979b slliw a5,s11,0x2 + 80003190: 01b787bb addw a5,a5,s11 + 80003194: 0017979b slliw a5,a5,0x1 + 80003198: 00a787bb addw a5,a5,a0 + 8000319c: 00074503 lbu a0,0(a4) + 800031a0: 000b8893 mv a7,s7 + 800031a4: fd078d9b addiw s11,a5,-48 + 800031a8: fd05061b addiw a2,a0,-48 + 800031ac: 0ff67613 andi a2,a2,255 + 800031b0: 00070b93 mv s7,a4 + 800031b4: fcc87ae3 bgeu a6,a2,80003188 <_vsnprintf+0x1e8> + 800031b8: 02e00793 li a5,46 + 800031bc: 00070613 mv a2,a4 + 800031c0: 00288b93 addi s7,a7,2 + 800031c4: 00000c93 li s9,0 + 800031c8: eef510e3 bne a0,a5,800030a8 <_vsnprintf+0x108> + 800031cc: 00164503 lbu a0,1(a2) + 800031d0: 4005e593 ori a1,a1,1024 + 800031d4: 00900713 li a4,9 + 800031d8: fd05079b addiw a5,a0,-48 + 800031dc: 0ff7f793 andi a5,a5,255 + 800031e0: 0005859b sext.w a1,a1 + 800031e4: 06f77c63 bgeu a4,a5,8000325c <_vsnprintf+0x2bc> + 800031e8: 02a00793 li a5,42 + 800031ec: 32f50e63 beq a0,a5,80003528 <_vsnprintf+0x588> + 800031f0: 000b8613 mv a2,s7 + 800031f4: 001b8b93 addi s7,s7,1 + 800031f8: eb1ff06f j 800030a8 <_vsnprintf+0x108> + 800031fc: 000d041b sext.w s0,s10 + 80003200: 018d6463 bltu s10,s8,80003208 <_vsnprintf+0x268> + 80003204: fffc0d13 addi s10,s8,-1 + 80003208: 000c0693 mv a3,s8 + 8000320c: 000d0613 mv a2,s10 + 80003210: 00048593 mv a1,s1 + 80003214: 00000513 li a0,0 + 80003218: 000900e7 jalr s2 + 8000321c: 09813083 ld ra,152(sp) + 80003220: 00040513 mv a0,s0 + 80003224: 09013403 ld s0,144(sp) + 80003228: 08813483 ld s1,136(sp) + 8000322c: 08013903 ld s2,128(sp) + 80003230: 07813983 ld s3,120(sp) + 80003234: 07013a03 ld s4,112(sp) + 80003238: 06813a83 ld s5,104(sp) + 8000323c: 06013b03 ld s6,96(sp) + 80003240: 05813b83 ld s7,88(sp) + 80003244: 05013c03 ld s8,80(sp) + 80003248: 04813c83 ld s9,72(sp) + 8000324c: 04013d03 ld s10,64(sp) + 80003250: 03813d83 ld s11,56(sp) + 80003254: 0a010113 addi sp,sp,160 + 80003258: 00008067 ret + 8000325c: 002c979b slliw a5,s9,0x2 + 80003260: 019788bb addw a7,a5,s9 + 80003264: 000b8813 mv a6,s7 + 80003268: 0018989b slliw a7,a7,0x1 + 8000326c: 001b8b93 addi s7,s7,1 + 80003270: 00a888bb addw a7,a7,a0 + 80003274: 000bc503 lbu a0,0(s7) + 80003278: fd088c9b addiw s9,a7,-48 + 8000327c: fd05079b addiw a5,a0,-48 + 80003280: 0ff7f793 andi a5,a5,255 + 80003284: fcf77ce3 bgeu a4,a5,8000325c <_vsnprintf+0x2bc> + 80003288: 000b8613 mv a2,s7 + 8000328c: 00280b93 addi s7,a6,2 + 80003290: e19ff06f j 800030a8 <_vsnprintf+0x108> + 80003294: 0009a783 lw a5,0(s3) + 80003298: 00898993 addi s3,s3,8 + 8000329c: 00078d9b sext.w s11,a5 + 800032a0: 0007c863 bltz a5,800032b0 <_vsnprintf+0x310> + 800032a4: 001bc503 lbu a0,1(s7) + 800032a8: 002b8b93 addi s7,s7,2 + 800032ac: df1ff06f j 8000309c <_vsnprintf+0xfc> + 800032b0: 0025e593 ori a1,a1,2 + 800032b4: 001bc503 lbu a0,1(s7) + 800032b8: 0005859b sext.w a1,a1 + 800032bc: 40f00dbb negw s11,a5 + 800032c0: 002b8b93 addi s7,s7,2 + 800032c4: dd9ff06f j 8000309c <_vsnprintf+0xfc> + 800032c8: 07800793 li a5,120 + 800032cc: 00898a93 addi s5,s3,8 + 800032d0: 56f50063 beq a0,a5,80003830 <_vsnprintf+0x890> + 800032d4: 05800793 li a5,88 + 800032d8: 28f50463 beq a0,a5,80003560 <_vsnprintf+0x5c0> + 800032dc: 06f00793 li a5,111 + 800032e0: 4af50c63 beq a0,a5,80003798 <_vsnprintf+0x7f8> + 800032e4: 06200793 li a5,98 + 800032e8: 54f50063 beq a0,a5,80003828 <_vsnprintf+0x888> + 800032ec: fef5ff13 andi t5,a1,-17 + 800032f0: 4005f793 andi a5,a1,1024 + 800032f4: 06900713 li a4,105 + 800032f8: 000f0f1b sext.w t5,t5 + 800032fc: 0007879b sext.w a5,a5 + 80003300: 5ae51063 bne a0,a4,800038a0 <_vsnprintf+0x900> + 80003304: 48079663 bnez a5,80003790 <_vsnprintf+0x7f0> + 80003308: 2005f593 andi a1,a1,512 + 8000330c: 0005879b sext.w a5,a1 + 80003310: 00a00813 li a6,10 + 80003314: 42079663 bnez a5,80003740 <_vsnprintf+0x7a0> + 80003318: 100f7793 andi a5,t5,256 + 8000331c: 000f0613 mv a2,t5 + 80003320: 4e079063 bnez a5,80003800 <_vsnprintf+0x860> + 80003324: 040f7713 andi a4,t5,64 + 80003328: 0009a783 lw a5,0(s3) + 8000332c: 4a071a63 bnez a4,800037e0 <_vsnprintf+0x840> + 80003330: 08067613 andi a2,a2,128 + 80003334: 52060a63 beqz a2,80003868 <_vsnprintf+0x8c8> + 80003338: 0107979b slliw a5,a5,0x10 + 8000333c: 4107d79b sraiw a5,a5,0x10 + 80003340: 40f7d61b sraiw a2,a5,0xf + 80003344: 00c7c733 xor a4,a5,a2 + 80003348: 40c7073b subw a4,a4,a2 + 8000334c: 03071713 slli a4,a4,0x30 + 80003350: 03075713 srli a4,a4,0x30 + 80003354: 000d0613 mv a2,s10 + 80003358: 01e13423 sd t5,8(sp) + 8000335c: 01b13023 sd s11,0(sp) + 80003360: 000c8893 mv a7,s9 + 80003364: 01f7d79b srliw a5,a5,0x1f + 80003368: 000c0693 mv a3,s8 + 8000336c: 00048593 mv a1,s1 + 80003370: 00090513 mv a0,s2 + 80003374: 96dff0ef jal ra,80002ce0 <_ntoa_long> + 80003378: 00050d13 mv s10,a0 + 8000337c: 000a8993 mv s3,s5 + 80003380: cb5ff06f j 80003034 <_vsnprintf+0x94> + 80003384: 00164503 lbu a0,1(a2) + 80003388: 06c00793 li a5,108 + 8000338c: def510e3 bne a0,a5,8000316c <_vsnprintf+0x1cc> + 80003390: 3005e593 ori a1,a1,768 + 80003394: 00264503 lbu a0,2(a2) + 80003398: 0005859b sext.w a1,a1 + 8000339c: 00360b93 addi s7,a2,3 + 800033a0: d9dff06f j 8000313c <_vsnprintf+0x19c> + 800033a4: 00898793 addi a5,s3,8 + 800033a8: 001d0813 addi a6,s10,1 + 800033ac: 0025f593 andi a1,a1,2 + 800033b0: 02f13023 sd a5,32(sp) + 800033b4: 00080b13 mv s6,a6 + 800033b8: 32058263 beqz a1,800036dc <_vsnprintf+0x73c> + 800033bc: 0009c503 lbu a0,0(s3) + 800033c0: 000c0693 mv a3,s8 + 800033c4: 000d0613 mv a2,s10 + 800033c8: 00048593 mv a1,s1 + 800033cc: 000900e7 jalr s2 + 800033d0: 00100793 li a5,1 + 800033d4: 43b7f063 bgeu a5,s11,800037f4 <_vsnprintf+0x854> + 800033d8: ffed879b addiw a5,s11,-2 + 800033dc: 02079a93 slli s5,a5,0x20 + 800033e0: 020ada93 srli s5,s5,0x20 + 800033e4: 002d0d13 addi s10,s10,2 + 800033e8: 015d0cb3 add s9,s10,s5 + 800033ec: 000b0613 mv a2,s6 + 800033f0: 000c0693 mv a3,s8 + 800033f4: 001b0b13 addi s6,s6,1 + 800033f8: 00048593 mv a1,s1 + 800033fc: 02000513 li a0,32 + 80003400: 000900e7 jalr s2 + 80003404: ff6c94e3 bne s9,s6,800033ec <_vsnprintf+0x44c> + 80003408: 02013983 ld s3,32(sp) + 8000340c: 015d0d33 add s10,s10,s5 + 80003410: c25ff06f j 80003034 <_vsnprintf+0x94> + 80003414: 000d0613 mv a2,s10 + 80003418: 000c0693 mv a3,s8 + 8000341c: 00048593 mv a1,s1 + 80003420: 02500513 li a0,37 + 80003424: 001d0d13 addi s10,s10,1 + 80003428: 000900e7 jalr s2 + 8000342c: c09ff06f j 80003034 <_vsnprintf+0x94> + 80003430: 0009ba83 ld s5,0(s3) + 80003434: 00898793 addi a5,s3,8 + 80003438: 02f13023 sd a5,32(sp) + 8000343c: 000ac503 lbu a0,0(s5) + 80003440: 100c9663 bnez s9,8000354c <_vsnprintf+0x5ac> + 80003444: ffe00793 li a5,-2 + 80003448: 42050863 beqz a0,80003878 <_vsnprintf+0x8d8> + 8000344c: 00178693 addi a3,a5,1 + 80003450: 00da8633 add a2,s5,a3 + 80003454: 000a8793 mv a5,s5 + 80003458: 0080006f j 80003460 <_vsnprintf+0x4c0> + 8000345c: 1ec78863 beq a5,a2,8000364c <_vsnprintf+0x6ac> + 80003460: 0017c703 lbu a4,1(a5) + 80003464: 00178793 addi a5,a5,1 + 80003468: fe071ae3 bnez a4,8000345c <_vsnprintf+0x4bc> + 8000346c: 415787bb subw a5,a5,s5 + 80003470: 00f13823 sd a5,16(sp) + 80003474: 4005f793 andi a5,a1,1024 + 80003478: 0007899b sext.w s3,a5 + 8000347c: 00078c63 beqz a5,80003494 <_vsnprintf+0x4f4> + 80003480: 01013703 ld a4,16(sp) + 80003484: 000c879b sext.w a5,s9 + 80003488: 01977463 bgeu a4,s9,80003490 <_vsnprintf+0x4f0> + 8000348c: 0007079b sext.w a5,a4 + 80003490: 00f13823 sd a5,16(sp) + 80003494: 0025f593 andi a1,a1,2 + 80003498: 0005879b sext.w a5,a1 + 8000349c: 02f13423 sd a5,40(sp) + 800034a0: 1c058a63 beqz a1,80003674 <_vsnprintf+0x6d4> + 800034a4: 14050863 beqz a0,800035f4 <_vsnprintf+0x654> + 800034a8: 000d0613 mv a2,s10 + 800034ac: 00098863 beqz s3,800034bc <_vsnprintf+0x51c> + 800034b0: fffc879b addiw a5,s9,-1 + 800034b4: 120c8863 beqz s9,800035e4 <_vsnprintf+0x644> + 800034b8: 00078c93 mv s9,a5 + 800034bc: 000c0693 mv a3,s8 + 800034c0: 00048593 mv a1,s1 + 800034c4: 00160b13 addi s6,a2,1 + 800034c8: 000900e7 jalr s2 + 800034cc: 41ab07b3 sub a5,s6,s10 + 800034d0: 00fa87b3 add a5,s5,a5 + 800034d4: 0007c503 lbu a0,0(a5) + 800034d8: 10050863 beqz a0,800035e8 <_vsnprintf+0x648> + 800034dc: 000b0613 mv a2,s6 + 800034e0: fcdff06f j 800034ac <_vsnprintf+0x50c> + 800034e4: 0009b703 ld a4,0(s3) + 800034e8: 0215e593 ori a1,a1,33 + 800034ec: 0005859b sext.w a1,a1 + 800034f0: 01000793 li a5,16 + 800034f4: 000d0613 mv a2,s10 + 800034f8: 00b13423 sd a1,8(sp) + 800034fc: 00f13023 sd a5,0(sp) + 80003500: 000c8893 mv a7,s9 + 80003504: 01000813 li a6,16 + 80003508: 00000793 li a5,0 + 8000350c: 000c0693 mv a3,s8 + 80003510: 00048593 mv a1,s1 + 80003514: 00090513 mv a0,s2 + 80003518: 929ff0ef jal ra,80002e40 <_ntoa_long_long> + 8000351c: 00898993 addi s3,s3,8 + 80003520: 00050d13 mv s10,a0 + 80003524: b11ff06f j 80003034 <_vsnprintf+0x94> + 80003528: 0009a883 lw a7,0(s3) + 8000352c: 00264503 lbu a0,2(a2) + 80003530: 00360b93 addi s7,a2,3 + 80003534: fff8c793 not a5,a7 + 80003538: 43f7d793 srai a5,a5,0x3f + 8000353c: 00f8fcb3 and s9,a7,a5 + 80003540: 00898993 addi s3,s3,8 + 80003544: 00260613 addi a2,a2,2 + 80003548: b61ff06f j 800030a8 <_vsnprintf+0x108> + 8000354c: 020c9793 slli a5,s9,0x20 + 80003550: 0207d793 srli a5,a5,0x20 + 80003554: 32050263 beqz a0,80003878 <_vsnprintf+0x8d8> + 80003558: fff78793 addi a5,a5,-1 + 8000355c: ef1ff06f j 8000344c <_vsnprintf+0x4ac> + 80003560: ff35ff13 andi t5,a1,-13 + 80003564: 000f0f1b sext.w t5,t5 + 80003568: 4005f793 andi a5,a1,1024 + 8000356c: 020f6f13 ori t5,t5,32 + 80003570: 20078863 beqz a5,80003780 <_vsnprintf+0x7e0> + 80003574: 01000813 li a6,16 + 80003578: ffef7f13 andi t5,t5,-2 + 8000357c: 000f0f1b sext.w t5,t5 + 80003580: 200f7793 andi a5,t5,512 + 80003584: 06900713 li a4,105 + 80003588: 0007879b sext.w a5,a5 + 8000358c: d8e504e3 beq a0,a4,80003314 <_vsnprintf+0x374> + 80003590: 06400713 li a4,100 + 80003594: d8e500e3 beq a0,a4,80003314 <_vsnprintf+0x374> + 80003598: 22079663 bnez a5,800037c4 <_vsnprintf+0x824> + 8000359c: 100f7793 andi a5,t5,256 + 800035a0: 000f0713 mv a4,t5 + 800035a4: 2a079463 bnez a5,8000384c <_vsnprintf+0x8ac> + 800035a8: 040f7793 andi a5,t5,64 + 800035ac: 24079063 bnez a5,800037ec <_vsnprintf+0x84c> + 800035b0: 08077713 andi a4,a4,128 + 800035b4: 2c070663 beqz a4,80003880 <_vsnprintf+0x8e0> + 800035b8: 0009a703 lw a4,0(s3) + 800035bc: 01813783 ld a5,24(sp) + 800035c0: 00e7f733 and a4,a5,a4 + 800035c4: 02071713 slli a4,a4,0x20 + 800035c8: 000d0613 mv a2,s10 + 800035cc: 01e13423 sd t5,8(sp) + 800035d0: 01b13023 sd s11,0(sp) + 800035d4: 000c8893 mv a7,s9 + 800035d8: 00000793 li a5,0 + 800035dc: 02075713 srli a4,a4,0x20 + 800035e0: d89ff06f j 80003368 <_vsnprintf+0x3c8> + 800035e4: 00060b13 mv s6,a2 + 800035e8: 02813783 ld a5,40(sp) + 800035ec: 04078a63 beqz a5,80003640 <_vsnprintf+0x6a0> + 800035f0: 000b0d13 mv s10,s6 + 800035f4: 01013703 ld a4,16(sp) + 800035f8: 0db77e63 bgeu a4,s11,800036d4 <_vsnprintf+0x734> + 800035fc: fffd879b addiw a5,s11,-1 + 80003600: 40e7873b subw a4,a5,a4 + 80003604: 02071713 slli a4,a4,0x20 + 80003608: 02075713 srli a4,a4,0x20 + 8000360c: 001d0813 addi a6,s10,1 + 80003610: 01070b33 add s6,a4,a6 + 80003614: 0080006f j 8000361c <_vsnprintf+0x67c> + 80003618: 00180813 addi a6,a6,1 + 8000361c: 000d0613 mv a2,s10 + 80003620: 01013823 sd a6,16(sp) + 80003624: 000c0693 mv a3,s8 + 80003628: 00048593 mv a1,s1 + 8000362c: 02000513 li a0,32 + 80003630: 00080d13 mv s10,a6 + 80003634: 000900e7 jalr s2 + 80003638: 01013803 ld a6,16(sp) + 8000363c: fd0b1ee3 bne s6,a6,80003618 <_vsnprintf+0x678> + 80003640: 02013983 ld s3,32(sp) + 80003644: 000b0d13 mv s10,s6 + 80003648: 9edff06f j 80003034 <_vsnprintf+0x94> + 8000364c: 0006879b sext.w a5,a3 + 80003650: 00f13823 sd a5,16(sp) + 80003654: e21ff06f j 80003474 <_vsnprintf+0x4d4> + 80003658: 0c05e593 ori a1,a1,192 + 8000365c: 00264503 lbu a0,2(a2) + 80003660: 0005859b sext.w a1,a1 + 80003664: 00360b93 addi s7,a2,3 + 80003668: ad5ff06f j 8000313c <_vsnprintf+0x19c> + 8000366c: 00000413 li s0,0 + 80003670: b91ff06f j 80003200 <_vsnprintf+0x260> + 80003674: 01013703 ld a4,16(sp) + 80003678: 0017079b addiw a5,a4,1 + 8000367c: 21b77c63 bgeu a4,s11,80003894 <_vsnprintf+0x8f4> + 80003680: fffd879b addiw a5,s11,-1 + 80003684: 40e787bb subw a5,a5,a4 + 80003688: 02079793 slli a5,a5,0x20 + 8000368c: 0207d793 srli a5,a5,0x20 + 80003690: 001d0813 addi a6,s10,1 + 80003694: 01078b33 add s6,a5,a6 + 80003698: 00c0006f j 800036a4 <_vsnprintf+0x704> + 8000369c: 01013803 ld a6,16(sp) + 800036a0: 00180813 addi a6,a6,1 + 800036a4: 000d0613 mv a2,s10 + 800036a8: 000c0693 mv a3,s8 + 800036ac: 00080d13 mv s10,a6 + 800036b0: 01013823 sd a6,16(sp) + 800036b4: 00048593 mv a1,s1 + 800036b8: 02000513 li a0,32 + 800036bc: 000900e7 jalr s2 + 800036c0: fd6d1ee3 bne s10,s6,8000369c <_vsnprintf+0x6fc> + 800036c4: 000ac503 lbu a0,0(s5) + 800036c8: 001d879b addiw a5,s11,1 + 800036cc: 00f13823 sd a5,16(sp) + 800036d0: dc051ce3 bnez a0,800034a8 <_vsnprintf+0x508> + 800036d4: 000d0b13 mv s6,s10 + 800036d8: f69ff06f j 80003640 <_vsnprintf+0x6a0> + 800036dc: 00100793 li a5,1 + 800036e0: 1bb7f463 bgeu a5,s11,80003888 <_vsnprintf+0x8e8> + 800036e4: ffed879b addiw a5,s11,-2 + 800036e8: 02079a93 slli s5,a5,0x20 + 800036ec: 020ada93 srli s5,s5,0x20 + 800036f0: 010a8ab3 add s5,s5,a6 + 800036f4: 00c0006f j 80003700 <_vsnprintf+0x760> + 800036f8: 01013803 ld a6,16(sp) + 800036fc: 00180813 addi a6,a6,1 + 80003700: 000d0613 mv a2,s10 + 80003704: 000c0693 mv a3,s8 + 80003708: 00080d13 mv s10,a6 + 8000370c: 01013823 sd a6,16(sp) + 80003710: 00048593 mv a1,s1 + 80003714: 02000513 li a0,32 + 80003718: 000900e7 jalr s2 + 8000371c: fdaa9ee3 bne s5,s10,800036f8 <_vsnprintf+0x758> + 80003720: 001a8d13 addi s10,s5,1 + 80003724: 0009c503 lbu a0,0(s3) + 80003728: 000c0693 mv a3,s8 + 8000372c: 000a8613 mv a2,s5 + 80003730: 00048593 mv a1,s1 + 80003734: 000900e7 jalr s2 + 80003738: 02013983 ld s3,32(sp) + 8000373c: 8f9ff06f j 80003034 <_vsnprintf+0x94> + 80003740: 0009b783 ld a5,0(s3) + 80003744: 000d0613 mv a2,s10 + 80003748: 01e13423 sd t5,8(sp) + 8000374c: 43f7d713 srai a4,a5,0x3f + 80003750: 00f745b3 xor a1,a4,a5 + 80003754: 01b13023 sd s11,0(sp) + 80003758: 000c8893 mv a7,s9 + 8000375c: 03f7d793 srli a5,a5,0x3f + 80003760: 40e58733 sub a4,a1,a4 + 80003764: 000c0693 mv a3,s8 + 80003768: 00048593 mv a1,s1 + 8000376c: 00090513 mv a0,s2 + 80003770: ed0ff0ef jal ra,80002e40 <_ntoa_long_long> + 80003774: 00050d13 mv s10,a0 + 80003778: 000a8993 mv s3,s5 + 8000377c: 8b9ff06f j 80003034 <_vsnprintf+0x94> + 80003780: 2005f793 andi a5,a1,512 + 80003784: 0007879b sext.w a5,a5 + 80003788: 01000813 li a6,16 + 8000378c: e0dff06f j 80003598 <_vsnprintf+0x5f8> + 80003790: 00a00813 li a6,10 + 80003794: de5ff06f j 80003578 <_vsnprintf+0x5d8> + 80003798: 00800813 li a6,8 + 8000379c: 00058f13 mv t5,a1 + 800037a0: 400f7713 andi a4,t5,1024 + 800037a4: 06400613 li a2,100 + 800037a8: 000f0793 mv a5,t5 + 800037ac: 0007071b sext.w a4,a4 + 800037b0: 0ec51c63 bne a0,a2,800038a8 <_vsnprintf+0x908> + 800037b4: dc0712e3 bnez a4,80003578 <_vsnprintf+0x5d8> + 800037b8: 2007f793 andi a5,a5,512 + 800037bc: 0007879b sext.w a5,a5 + 800037c0: b55ff06f j 80003314 <_vsnprintf+0x374> + 800037c4: 0009b703 ld a4,0(s3) + 800037c8: 000d0613 mv a2,s10 + 800037cc: 01e13423 sd t5,8(sp) + 800037d0: 01b13023 sd s11,0(sp) + 800037d4: 000c8893 mv a7,s9 + 800037d8: 00000793 li a5,0 + 800037dc: f89ff06f j 80003764 <_vsnprintf+0x7c4> + 800037e0: 0ff7f793 andi a5,a5,255 + 800037e4: 00078713 mv a4,a5 + 800037e8: b6dff06f j 80003354 <_vsnprintf+0x3b4> + 800037ec: 0009c703 lbu a4,0(s3) + 800037f0: dd5ff06f j 800035c4 <_vsnprintf+0x624> + 800037f4: 02013983 ld s3,32(sp) + 800037f8: 000b0d13 mv s10,s6 + 800037fc: 839ff06f j 80003034 <_vsnprintf+0x94> + 80003800: 0009b783 ld a5,0(s3) + 80003804: 000d0613 mv a2,s10 + 80003808: 01e13423 sd t5,8(sp) + 8000380c: 43f7d713 srai a4,a5,0x3f + 80003810: 00f745b3 xor a1,a4,a5 + 80003814: 01b13023 sd s11,0(sp) + 80003818: 000c8893 mv a7,s9 + 8000381c: 03f7d793 srli a5,a5,0x3f + 80003820: 40e58733 sub a4,a1,a4 + 80003824: b45ff06f j 80003368 <_vsnprintf+0x3c8> + 80003828: 00200813 li a6,2 + 8000382c: f71ff06f j 8000379c <_vsnprintf+0x7fc> + 80003830: 4005f713 andi a4,a1,1024 + 80003834: 0007071b sext.w a4,a4 + 80003838: 01000813 li a6,16 + 8000383c: ff35f593 andi a1,a1,-13 + 80003840: 00058f1b sext.w t5,a1 + 80003844: d2071ae3 bnez a4,80003578 <_vsnprintf+0x5d8> + 80003848: d39ff06f j 80003580 <_vsnprintf+0x5e0> + 8000384c: 0009b703 ld a4,0(s3) + 80003850: 000d0613 mv a2,s10 + 80003854: 01e13423 sd t5,8(sp) + 80003858: 01b13023 sd s11,0(sp) + 8000385c: 000c8893 mv a7,s9 + 80003860: 00000793 li a5,0 + 80003864: b05ff06f j 80003368 <_vsnprintf+0x3c8> + 80003868: 41f7d61b sraiw a2,a5,0x1f + 8000386c: 00c7c733 xor a4,a5,a2 + 80003870: 40c7073b subw a4,a4,a2 + 80003874: ae1ff06f j 80003354 <_vsnprintf+0x3b4> + 80003878: 00013823 sd zero,16(sp) + 8000387c: bf9ff06f j 80003474 <_vsnprintf+0x4d4> + 80003880: 0009a703 lw a4,0(s3) + 80003884: d41ff06f j 800035c4 <_vsnprintf+0x624> + 80003888: 000d0a93 mv s5,s10 + 8000388c: 00080d13 mv s10,a6 + 80003890: e95ff06f j 80003724 <_vsnprintf+0x784> + 80003894: 00f13823 sd a5,16(sp) + 80003898: c00518e3 bnez a0,800034a8 <_vsnprintf+0x508> + 8000389c: e39ff06f j 800036d4 <_vsnprintf+0x734> + 800038a0: 00a00813 li a6,10 + 800038a4: efdff06f j 800037a0 <_vsnprintf+0x800> + 800038a8: 000f0593 mv a1,t5 + 800038ac: f91ff06f j 8000383c <_vsnprintf+0x89c> + +00000000800038b0 <_out_char>: + 800038b0: 00051463 bnez a0,800038b8 <_out_char+0x8> + 800038b4: 00008067 ret + 800038b8: 989fe06f j 80002240 + +00000000800038bc : + 800038bc: fa010113 addi sp,sp,-96 + 800038c0: 02810313 addi t1,sp,40 + 800038c4: 02b13423 sd a1,40(sp) + 800038c8: 02c13823 sd a2,48(sp) + 800038cc: 02d13c23 sd a3,56(sp) + 800038d0: 04e13023 sd a4,64(sp) + 800038d4: 00050693 mv a3,a0 + 800038d8: 00010593 mv a1,sp + 800038dc: 00030713 mv a4,t1 + 800038e0: fff00613 li a2,-1 + 800038e4: 00000517 auipc a0,0x0 + 800038e8: fcc50513 addi a0,a0,-52 # 800038b0 <_out_char> + 800038ec: 00113c23 sd ra,24(sp) + 800038f0: 04f13423 sd a5,72(sp) + 800038f4: 05013823 sd a6,80(sp) + 800038f8: 05113c23 sd a7,88(sp) + 800038fc: 00613423 sd t1,8(sp) + 80003900: ea0ff0ef jal ra,80002fa0 <_vsnprintf> + 80003904: 01813083 ld ra,24(sp) + 80003908: 06010113 addi sp,sp,96 + 8000390c: 00008067 ret + +0000000080003910 : + 80003910: 00700713 li a4,7 + 80003914: 00050793 mv a5,a0 + 80003918: 0ac77a63 bgeu a4,a2,800039cc + 8000391c: 0ff5f893 andi a7,a1,255 + 80003920: 00889693 slli a3,a7,0x8 + 80003924: 0116e6b3 or a3,a3,a7 + 80003928: 01069713 slli a4,a3,0x10 + 8000392c: 00d766b3 or a3,a4,a3 + 80003930: 02069713 slli a4,a3,0x20 + 80003934: 00757813 andi a6,a0,7 + 80003938: 00d76733 or a4,a4,a3 + 8000393c: 0a080663 beqz a6,800039e8 + 80003940: 00c50633 add a2,a0,a2 + 80003944: 01178023 sb a7,0(a5) + 80003948: 00178793 addi a5,a5,1 + 8000394c: 0077f813 andi a6,a5,7 + 80003950: 40f606b3 sub a3,a2,a5 + 80003954: fe0818e3 bnez a6,80003944 + 80003958: 0066d813 srli a6,a3,0x6 + 8000395c: 04080063 beqz a6,8000399c + 80003960: 00681613 slli a2,a6,0x6 + 80003964: 00078893 mv a7,a5 + 80003968: 00f60633 add a2,a2,a5 + 8000396c: 00e7b023 sd a4,0(a5) + 80003970: 00e7b423 sd a4,8(a5) + 80003974: 00e7b823 sd a4,16(a5) + 80003978: 00e7bc23 sd a4,24(a5) + 8000397c: 02e7b023 sd a4,32(a5) + 80003980: 02e7b423 sd a4,40(a5) + 80003984: 02e7b823 sd a4,48(a5) + 80003988: 02e7bc23 sd a4,56(a5) + 8000398c: 04078793 addi a5,a5,64 + 80003990: fcf61ee3 bne a2,a5,8000396c + 80003994: 00681793 slli a5,a6,0x6 + 80003998: 011787b3 add a5,a5,a7 + 8000399c: 0036d813 srli a6,a3,0x3 + 800039a0: 00787813 andi a6,a6,7 + 800039a4: 02080263 beqz a6,800039c8 + 800039a8: 00381613 slli a2,a6,0x3 + 800039ac: 00078893 mv a7,a5 + 800039b0: 00f60633 add a2,a2,a5 + 800039b4: 00e7b023 sd a4,0(a5) + 800039b8: 00878793 addi a5,a5,8 + 800039bc: fef61ce3 bne a2,a5,800039b4 + 800039c0: 00381793 slli a5,a6,0x3 + 800039c4: 011787b3 add a5,a5,a7 + 800039c8: 0076f613 andi a2,a3,7 + 800039cc: 0ff5f593 andi a1,a1,255 + 800039d0: 00c78733 add a4,a5,a2 + 800039d4: 00060863 beqz a2,800039e4 + 800039d8: 00b78023 sb a1,0(a5) + 800039dc: 00178793 addi a5,a5,1 + 800039e0: fef71ce3 bne a4,a5,800039d8 + 800039e4: 00008067 ret + 800039e8: 00060693 mv a3,a2 + 800039ec: f6dff06f j 80003958 + +00000000800039f0 : + 800039f0: 1a050e63 beqz a0,80003bac + 800039f4: fd010113 addi sp,sp,-48 + 800039f8: 02813023 sd s0,32(sp) + 800039fc: 00913c23 sd s1,24(sp) + 80003a00: 01f57793 andi a5,a0,31 + 80003a04: 02113423 sd ra,40(sp) + 80003a08: 01213823 sd s2,16(sp) + 80003a0c: 01313423 sd s3,8(sp) + 80003a10: 01413023 sd s4,0(sp) + 80003a14: 00050493 mv s1,a0 + 80003a18: 00050413 mv s0,a0 + 80003a1c: 00000513 li a0,0 + 80003a20: 14079a63 bnez a5,80003b74 + 80003a24: 27f00713 li a4,639 + 80003a28: 00058913 mv s2,a1 + 80003a2c: 00078513 mv a0,a5 + 80003a30: 14b77263 bgeu a4,a1,80003b74 + 80003a34: 0074f513 andi a0,s1,7 + 80003a38: 00153513 seqz a0,a0 + 80003a3c: 00060a13 mv s4,a2 + 80003a40: 00068993 mv s3,a3 + 80003a44: 815fe0ef jal ra,80002258 <_assert> + 80003a48: 20048793 addi a5,s1,512 + 80003a4c: 2004b023 sd zero,512(s1) + 80003a50: 2144b423 sd s4,520(s1) + 80003a54: 2134b823 sd s3,528(s1) + 80003a58: 00043023 sd zero,0(s0) + 80003a5c: 00840413 addi s0,s0,8 + 80003a60: fe879ce3 bne a5,s0,80003a58 + 80003a64: fff00793 li a5,-1 + 80003a68: dc090413 addi s0,s2,-576 + 80003a6c: 03f79793 slli a5,a5,0x3f + 80003a70: 1287f863 bgeu a5,s0,80003ba0 + 80003a74: 00078413 mv s0,a5 + 80003a78: 00100513 li a0,1 + 80003a7c: fdcfe0ef jal ra,80002258 <_assert> + 80003a80: f8300793 li a5,-125 + 80003a84: 0017d793 srli a5,a5,0x1 + 80003a88: fc040513 addi a0,s0,-64 + 80003a8c: 00f53533 sltu a0,a0,a5 + 80003a90: fc8fe0ef jal ra,80002258 <_assert> + 80003a94: 01f4f513 andi a0,s1,31 + 80003a98: 00153513 seqz a0,a0 + 80003a9c: fbcfe0ef jal ra,80002258 <_assert> + 80003aa0: 00100513 li a0,1 + 80003aa4: 2404b023 sd zero,576(s1) + 80003aa8: 2404b423 sd zero,584(s1) + 80003aac: 2484b823 sd s0,592(s1) + 80003ab0: 24048c23 sb zero,600(s1) + 80003ab4: 2604b023 sd zero,608(s1) + 80003ab8: 2604b423 sd zero,616(s1) + 80003abc: f9cfe0ef jal ra,80002258 <_assert> + 80003ac0: 00100513 li a0,1 + 80003ac4: f94fe0ef jal ra,80002258 <_assert> + 80003ac8: 2504b503 ld a0,592(s1) + 80003acc: 24048a13 addi s4,s1,576 + 80003ad0: 04053513 sltiu a0,a0,64 + 80003ad4: 00154513 xori a0,a0,1 + 80003ad8: 00157513 andi a0,a0,1 + 80003adc: f7cfe0ef jal ra,80002258 <_assert> + 80003ae0: 2504b503 ld a0,592(s1) + 80003ae4: 03f57513 andi a0,a0,63 + 80003ae8: 00153513 seqz a0,a0 + 80003aec: f6cfe0ef jal ra,80002258 <_assert> + 80003af0: 2504b703 ld a4,592(s1) + 80003af4: 00100793 li a5,1 + 80003af8: 00675713 srli a4,a4,0x6 + 80003afc: 0ae7fc63 bgeu a5,a4,80003bb4 + 80003b00: 00000793 li a5,0 + 80003b04: 00100693 li a3,1 + 80003b08: 0017879b addiw a5,a5,1 + 80003b0c: 00175713 srli a4,a4,0x1 + 80003b10: 0ff7f793 andi a5,a5,255 + 80003b14: fed71ae3 bne a4,a3,80003b08 + 80003b18: 00078993 mv s3,a5 + 80003b1c: 0407b513 sltiu a0,a5,64 + 80003b20: 00f71933 sll s2,a4,a5 + 80003b24: f34fe0ef jal ra,80002258 <_assert> + 80003b28: 00399793 slli a5,s3,0x3 + 80003b2c: 00f487b3 add a5,s1,a5 + 80003b30: 0007b703 ld a4,0(a5) + 80003b34: 2604b423 sd zero,616(s1) + 80003b38: 26e4b023 sd a4,608(s1) + 80003b3c: 00070463 beqz a4,80003b44 + 80003b40: 03473423 sd s4,40(a4) + 80003b44: 0147b023 sd s4,0(a5) + 80003b48: 2004b503 ld a0,512(s1) + 80003b4c: 00a96533 or a0,s2,a0 + 80003b50: 20a4b023 sd a0,512(s1) + 80003b54: 00a03533 snez a0,a0 + 80003b58: f00fe0ef jal ra,80002258 <_assert> + 80003b5c: 00048513 mv a0,s1 + 80003b60: 2084bc23 sd s0,536(s1) + 80003b64: 2204b023 sd zero,544(s1) + 80003b68: 2204b423 sd zero,552(s1) + 80003b6c: 2204b823 sd zero,560(s1) + 80003b70: 2204bc23 sd zero,568(s1) + 80003b74: 02813083 ld ra,40(sp) + 80003b78: 02013403 ld s0,32(sp) + 80003b7c: 01813483 ld s1,24(sp) + 80003b80: 01013903 ld s2,16(sp) + 80003b84: 00813983 ld s3,8(sp) + 80003b88: 00013a03 ld s4,0(sp) + 80003b8c: 03010113 addi sp,sp,48 + 80003b90: 00008067 ret + 80003b94: 00100513 li a0,1 + 80003b98: fff40413 addi s0,s0,-1 + 80003b9c: ebcfe0ef jal ra,80002258 <_assert> + 80003ba0: 03f47793 andi a5,s0,63 + 80003ba4: fe0798e3 bnez a5,80003b94 + 80003ba8: ed1ff06f j 80003a78 + 80003bac: 00000513 li a0,0 + 80003bb0: 00008067 ret + 80003bb4: 00100913 li s2,1 + 80003bb8: 00000993 li s3,0 + 80003bbc: 00100513 li a0,1 + 80003bc0: f65ff06f j 80003b24 diff --git a/bin/custom-output/benchmark/dhrystone/dhrystone.bin b/bin/custom-output/benchmark/dhrystone/dhrystone.bin new file mode 100755 index 0000000..8ea9f9c Binary files /dev/null and b/bin/custom-output/benchmark/dhrystone/dhrystone.bin differ diff --git a/bin/custom-output/benchmark/dhrystone/dhrystone.elf b/bin/custom-output/benchmark/dhrystone/dhrystone.elf new file mode 100755 index 0000000..307ba5d Binary files /dev/null and b/bin/custom-output/benchmark/dhrystone/dhrystone.elf differ diff --git a/bin/custom-output/benchmark/dhrystone/dhrystone.txt b/bin/custom-output/benchmark/dhrystone/dhrystone.txt new file mode 100755 index 0000000..7451636 --- /dev/null +++ b/bin/custom-output/benchmark/dhrystone/dhrystone.txt @@ -0,0 +1,2359 @@ + +/home/hzb/test/am-kernels/benchmarks/dhrystone/build/dhrystone-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0000e117 auipc sp,0xe + 80000008: ffc10113 addi sp,sp,-4 # 8000e000 <_end> + 8000000c: 211000ef jal ra,80000a1c <_trm_init> + +0000000080000010 : + 80000010: 00200713 li a4,2 + 80000014: 04e50263 beq a0,a4,80000058 + 80000018: 00300793 li a5,3 + 8000001c: 00f5a023 sw a5,0(a1) + 80000020: 00100793 li a5,1 + 80000024: 00f50c63 beq a0,a5,8000003c + 80000028: 02a7f263 bgeu a5,a0,8000004c + 8000002c: 00400793 li a5,4 + 80000030: 02f51263 bne a0,a5,80000054 + 80000034: 00e5a023 sw a4,0(a1) + 80000038: 00008067 ret + 8000003c: 00006717 auipc a4,0x6 + 80000040: afc72703 lw a4,-1284(a4) # 80005b38 + 80000044: 06400793 li a5,100 + 80000048: fee7d8e3 bge a5,a4,80000038 + 8000004c: 0005a023 sw zero,0(a1) + 80000050: 00008067 ret + 80000054: 00008067 ret + 80000058: 00100793 li a5,1 + 8000005c: 00f5a023 sw a5,0(a1) + 80000060: 00008067 ret + +0000000080000064 : + 80000064: fe010113 addi sp,sp,-32 + 80000068: 00913423 sd s1,8(sp) + 8000006c: 00006497 auipc s1,0x6 + 80000070: ad448493 addi s1,s1,-1324 # 80005b40 + 80000074: 0004b783 ld a5,0(s1) + 80000078: 00813823 sd s0,16(sp) + 8000007c: 00053403 ld s0,0(a0) + 80000080: 0007b683 ld a3,0(a5) + 80000084: 0307b703 ld a4,48(a5) + 80000088: 0087b303 ld t1,8(a5) + 8000008c: 0107b883 ld a7,16(a5) + 80000090: 0187b803 ld a6,24(a5) + 80000094: 0207b583 ld a1,32(a5) + 80000098: 0287b603 ld a2,40(a5) + 8000009c: 00113c23 sd ra,24(sp) + 800000a0: 00d43023 sd a3,0(s0) + 800000a4: 00053683 ld a3,0(a0) + 800000a8: 00643423 sd t1,8(s0) + 800000ac: 01143823 sd a7,16(s0) + 800000b0: 02e43823 sd a4,48(s0) + 800000b4: 01043c23 sd a6,24(s0) + 800000b8: 00500713 li a4,5 + 800000bc: 02b43023 sd a1,32(s0) + 800000c0: 02c43423 sd a2,40(s0) + 800000c4: 00e52823 sw a4,16(a0) + 800000c8: 00d43023 sd a3,0(s0) + 800000cc: 0007b683 ld a3,0(a5) + 800000d0: 00006797 auipc a5,0x6 + 800000d4: a687a783 lw a5,-1432(a5) # 80005b38 + 800000d8: 00e42823 sw a4,16(s0) + 800000dc: 00d43023 sd a3,0(s0) + 800000e0: 0004b703 ld a4,0(s1) + 800000e4: 00c7879b addiw a5,a5,12 + 800000e8: 00f72823 sw a5,16(a4) + 800000ec: 00842783 lw a5,8(s0) + 800000f0: 04078a63 beqz a5,80000144 + 800000f4: 00053783 ld a5,0(a0) + 800000f8: 01813083 ld ra,24(sp) + 800000fc: 01013403 ld s0,16(sp) + 80000100: 0007b883 ld a7,0(a5) + 80000104: 0087b803 ld a6,8(a5) + 80000108: 0107b583 ld a1,16(a5) + 8000010c: 0187b603 ld a2,24(a5) + 80000110: 0207b683 ld a3,32(a5) + 80000114: 0287b703 ld a4,40(a5) + 80000118: 0307b783 ld a5,48(a5) + 8000011c: 01153023 sd a7,0(a0) + 80000120: 01053423 sd a6,8(a0) + 80000124: 00b53823 sd a1,16(a0) + 80000128: 00c53c23 sd a2,24(a0) + 8000012c: 02d53023 sd a3,32(a0) + 80000130: 02e53423 sd a4,40(a0) + 80000134: 02f53823 sd a5,48(a0) + 80000138: 00813483 ld s1,8(sp) + 8000013c: 02010113 addi sp,sp,32 + 80000140: 00008067 ret + 80000144: 00c52503 lw a0,12(a0) + 80000148: 00600793 li a5,6 + 8000014c: 00f42823 sw a5,16(s0) + 80000150: 00c40593 addi a1,s0,12 + 80000154: ebdff0ef jal ra,80000010 + 80000158: 0004b703 ld a4,0(s1) + 8000015c: 01042783 lw a5,16(s0) + 80000160: 01813083 ld ra,24(sp) + 80000164: 00073703 ld a4,0(a4) + 80000168: 00c7879b addiw a5,a5,12 + 8000016c: 00f42823 sw a5,16(s0) + 80000170: 00e43023 sd a4,0(s0) + 80000174: 01013403 ld s0,16(sp) + 80000178: 00813483 ld s1,8(sp) + 8000017c: 02010113 addi sp,sp,32 + 80000180: 00008067 ret + +0000000080000184 : + 80000184: 0056071b addiw a4,a2,5 + 80000188: 00171793 slli a5,a4,0x1 + 8000018c: 00e787b3 add a5,a5,a4 + 80000190: 00379793 slli a5,a5,0x3 + 80000194: 00e787b3 add a5,a5,a4 + 80000198: 00070813 mv a6,a4 + 8000019c: 00379793 slli a5,a5,0x3 + 800001a0: 00261613 slli a2,a2,0x2 + 800001a4: 00271713 slli a4,a4,0x2 + 800001a8: 00e50533 add a0,a0,a4 + 800001ac: 00c78733 add a4,a5,a2 + 800001b0: 00d52023 sw a3,0(a0) + 800001b4: 00d52223 sw a3,4(a0) + 800001b8: 07052c23 sw a6,120(a0) + 800001bc: 00e58733 add a4,a1,a4 + 800001c0: 01072683 lw a3,16(a4) + 800001c4: 01072a23 sw a6,20(a4) + 800001c8: 01072c23 sw a6,24(a4) + 800001cc: 0016869b addiw a3,a3,1 + 800001d0: 00d72823 sw a3,16(a4) + 800001d4: 00052703 lw a4,0(a0) + 800001d8: 00f587b3 add a5,a1,a5 + 800001dc: 00c787b3 add a5,a5,a2 + 800001e0: 000015b7 lui a1,0x1 + 800001e4: 00f587b3 add a5,a1,a5 + 800001e8: fae7aa23 sw a4,-76(a5) + 800001ec: 00500793 li a5,5 + 800001f0: 00006717 auipc a4,0x6 + 800001f4: 94f72423 sw a5,-1720(a4) # 80005b38 + 800001f8: 00008067 ret + +00000000800001fc : + 800001fc: ff010113 addi sp,sp,-16 + 80000200: 00113423 sd ra,8(sp) + 80000204: 00006817 auipc a6,0x6 + 80000208: 93880813 addi a6,a6,-1736 # 80005b3c + 8000020c: 00254783 lbu a5,2(a0) + 80000210: 0035c703 lbu a4,3(a1) # 1003 <_entry_offset+0x1003> + 80000214: 00084603 lbu a2,0(a6) + 80000218: 00000693 li a3,0 + 8000021c: 02e78c63 beq a5,a4,80000254 + 80000220: 00068463 beqz a3,80000228 + 80000224: 00c80023 sb a2,0(a6) + 80000228: 569000ef jal ra,80000f90 + 8000022c: 00000793 li a5,0 + 80000230: 00a05a63 blez a0,80000244 + 80000234: 00a00793 li a5,10 + 80000238: 00006717 auipc a4,0x6 + 8000023c: 90f72023 sw a5,-1792(a4) # 80005b38 + 80000240: 00100793 li a5,1 + 80000244: 00813083 ld ra,8(sp) + 80000248: 00078513 mv a0,a5 + 8000024c: 01010113 addi sp,sp,16 + 80000250: 00008067 ret + 80000254: 00100693 li a3,1 + 80000258: 00078613 mv a2,a5 + 8000025c: fc1ff06f j 8000021c + +0000000080000260
: + 80000260: f4010113 addi sp,sp,-192 + 80000264: 0a113c23 sd ra,184(sp) + 80000268: 0a813823 sd s0,176(sp) + 8000026c: 0a913423 sd s1,168(sp) + 80000270: 0b213023 sd s2,160(sp) + 80000274: 09313c23 sd s3,152(sp) + 80000278: 09413823 sd s4,144(sp) + 8000027c: 09513423 sd s5,136(sp) + 80000280: 09613023 sd s6,128(sp) + 80000284: 07713c23 sd s7,120(sp) + 80000288: 07813823 sd s8,112(sp) + 8000028c: 07913423 sd s9,104(sp) + 80000290: 07a13023 sd s10,96(sp) + 80000294: 05b13c23 sd s11,88(sp) + 80000298: 12d000ef jal ra,80000bc4 + 8000029c: 00003697 auipc a3,0x3 + 800002a0: 93c68693 addi a3,a3,-1732 # 80002bd8 + 800002a4: 0006b783 ld a5,0(a3) + 800002a8: 0037f713 andi a4,a5,3 + 800002ac: 00070c63 beqz a4,800002c4 + 800002b0: 00178713 addi a4,a5,1 + 800002b4: 00377613 andi a2,a4,3 + 800002b8: 00070793 mv a5,a4 + 800002bc: 00170713 addi a4,a4,1 + 800002c0: fe061ae3 bnez a2,800002b4 + 800002c4: 00006717 auipc a4,0x6 + 800002c8: 86c70713 addi a4,a4,-1940 # 80005b30 + 800002cc: 00f73023 sd a5,0(a4) + 800002d0: 0037f713 andi a4,a5,3 + 800002d4: 03878513 addi a0,a5,56 + 800002d8: 00070c63 beqz a4,800002f0 + 800002dc: 03978713 addi a4,a5,57 + 800002e0: 00377613 andi a2,a4,3 + 800002e4: 00070513 mv a0,a4 + 800002e8: 00170713 addi a4,a4,1 + 800002ec: fe061ae3 bnez a2,800002e0 + 800002f0: 00006a97 auipc s5,0x6 + 800002f4: 850a8a93 addi s5,s5,-1968 # 80005b40 + 800002f8: 00aab023 sd a0,0(s5) + 800002fc: 00f53023 sd a5,0(a0) + 80000300: 00100793 li a5,1 + 80000304: 02179793 slli a5,a5,0x21 + 80000308: 00f53423 sd a5,8(a0) + 8000030c: 02800793 li a5,40 + 80000310: 03850713 addi a4,a0,56 + 80000314: 00f52823 sw a5,16(a0) + 80000318: 00002597 auipc a1,0x2 + 8000031c: 08858593 addi a1,a1,136 # 800023a0 <_etext+0x10> + 80000320: 01450513 addi a0,a0,20 + 80000324: 00e6b023 sd a4,0(a3) + 80000328: 679010ef jal ra,800021a0 + 8000032c: 00002597 auipc a1,0x2 + 80000330: 09458593 addi a1,a1,148 # 800023c0 <_etext+0x30> + 80000334: 01010513 addi a0,sp,16 + 80000338: 669010ef jal ra,800021a0 + 8000033c: 00a00793 li a5,10 + 80000340: 00003717 auipc a4,0x3 + 80000344: 0d870713 addi a4,a4,216 # 80003418 + 80000348: 00002597 auipc a1,0x2 + 8000034c: 09858593 addi a1,a1,152 # 800023e0 <_etext+0x50> + 80000350: 00002517 auipc a0,0x2 + 80000354: 0a050513 addi a0,a0,160 # 800023f0 <_etext+0x60> + 80000358: 64f72e23 sw a5,1628(a4) + 8000035c: 0007ab37 lui s6,0x7a + 80000360: 50d010ef jal ra,8000206c + 80000364: 120b0593 addi a1,s6,288 # 7a120 <_entry_offset+0x7a120> + 80000368: 00002517 auipc a0,0x2 + 8000036c: 0b050513 addi a0,a0,176 # 80002418 <_etext+0x88> + 80000370: 00005797 auipc a5,0x5 + 80000374: 7c07ac23 sw zero,2008(a5) # 80005b48 + 80000378: 4f5010ef jal ra,8000206c + 8000037c: 03010593 addi a1,sp,48 + 80000380: 00600513 li a0,6 + 80000384: 095000ef jal ra,80000c18 + 80000388: 03013503 ld a0,48(sp) + 8000038c: 3e800593 li a1,1000 + 80000390: 00100413 li s0,1 + 80000394: 6f4000ef jal ra,80000a88 <__udivdi3> + 80000398: 02051793 slli a5,a0,0x20 + 8000039c: 0207d793 srli a5,a5,0x20 + 800003a0: 00003717 auipc a4,0x3 + 800003a4: 07070713 addi a4,a4,112 # 80003410 + 800003a8: 00f73023 sd a5,0(a4) + 800003ac: 00005497 auipc s1,0x5 + 800003b0: 79048493 addi s1,s1,1936 # 80005b3c + 800003b4: 00006917 auipc s2,0x6 + 800003b8: 86490913 addi s2,s2,-1948 # 80005c18 + 800003bc: 00005b97 auipc s7,0x5 + 800003c0: 781b8b93 addi s7,s7,1921 # 80005b3d + 800003c4: 00005997 auipc s3,0x5 + 800003c8: 77498993 addi s3,s3,1908 # 80005b38 + 800003cc: 04300c13 li s8,67 + 800003d0: 00002a17 auipc s4,0x2 + 800003d4: 090a0a13 addi s4,s4,144 # 80002460 <_etext+0xd0> + 800003d8: 121b0b13 addi s6,s6,289 + 800003dc: 04100793 li a5,65 + 800003e0: 00f48023 sb a5,0(s1) + 800003e4: 00100793 li a5,1 + 800003e8: 00002597 auipc a1,0x2 + 800003ec: 05858593 addi a1,a1,88 # 80002440 <_etext+0xb0> + 800003f0: 00f92023 sw a5,0(s2) + 800003f4: 03010513 addi a0,sp,48 + 800003f8: 04200793 li a5,66 + 800003fc: 00fb8023 sb a5,0(s7) + 80000400: 5a1010ef jal ra,800021a0 + 80000404: 03010593 addi a1,sp,48 + 80000408: 01010513 addi a0,sp,16 + 8000040c: df1ff0ef jal ra,800001fc + 80000410: 00153793 seqz a5,a0 + 80000414: 00300613 li a2,3 + 80000418: 00003597 auipc a1,0x3 + 8000041c: 00058593 mv a1,a1 + 80000420: 00700693 li a3,7 + 80000424: 00005517 auipc a0,0x5 + 80000428: 72c50513 addi a0,a0,1836 # 80005b50 + 8000042c: 00f92023 sw a5,0(s2) + 80000430: d55ff0ef jal ra,80000184 + 80000434: 000ab503 ld a0,0(s5) + 80000438: 04100c93 li s9,65 + 8000043c: 00100d13 li s10,1 + 80000440: c25ff0ef jal ra,80000064 + 80000444: 000bc583 lbu a1,0(s7) + 80000448: 04000713 li a4,64 + 8000044c: 00300613 li a2,3 + 80000450: 58b77863 bgeu a4,a1,800009e0 + 80000454: 000bc703 lbu a4,0(s7) + 80000458: 001c879b addiw a5,s9,1 + 8000045c: 0ff7fc93 andi s9,a5,255 + 80000460: 03976c63 bltu a4,s9,80000498 + 80000464: 00000713 li a4,0 + 80000468: 578c8463 beq s9,s8,800009d0 + 8000046c: feed14e3 bne s10,a4,80000454 + 80000470: 000a0593 mv a1,s4 + 80000474: 03010513 addi a0,sp,48 + 80000478: 529010ef jal ra,800021a0 + 8000047c: 000bc703 lbu a4,0(s7) + 80000480: 001c879b addiw a5,s9,1 + 80000484: 0089a023 sw s0,0(s3) + 80000488: 0ff7fc93 andi s9,a5,255 + 8000048c: 00000d13 li s10,0 + 80000490: 00040613 mv a2,s0 + 80000494: fd9778e3 bgeu a4,s9,80000464 + 80000498: 0016179b slliw a5,a2,0x1 + 8000049c: 00c7853b addw a0,a5,a2 + 800004a0: 00700593 li a1,7 + 800004a4: 00050c93 mv s9,a0 + 800004a8: 5d8000ef jal ra,80000a80 <__divdi3> + 800004ac: ff9c879b addiw a5,s9,-7 + 800004b0: 0037971b slliw a4,a5,0x3 + 800004b4: 40f707bb subw a5,a4,a5 + 800004b8: 00050c9b sext.w s9,a0 + 800004bc: 40a78dbb subw s11,a5,a0 + 800004c0: 0004c603 lbu a2,0(s1) + 800004c4: 04100793 li a5,65 + 800004c8: 00f61863 bne a2,a5,800004d8 + 800004cc: 0009a603 lw a2,0(s3) + 800004d0: 009c871b addiw a4,s9,9 + 800004d4: 40c70cbb subw s9,a4,a2 + 800004d8: 0014041b addiw s0,s0,1 + 800004dc: f16410e3 bne s0,s6,800003dc + 800004e0: 00810593 addi a1,sp,8 + 800004e4: 00600513 li a0,6 + 800004e8: 730000ef jal ra,80000c18 + 800004ec: 00813503 ld a0,8(sp) + 800004f0: 3e800593 li a1,1000 + 800004f4: 00003417 auipc s0,0x3 + 800004f8: f1440413 addi s0,s0,-236 # 80003408 + 800004fc: 58c000ef jal ra,80000a88 <__udivdi3> + 80000500: 00003797 auipc a5,0x3 + 80000504: f1078793 addi a5,a5,-240 # 80003410 + 80000508: 0007b883 ld a7,0(a5) + 8000050c: 02051613 slli a2,a0,0x20 + 80000510: 02065613 srli a2,a2,0x20 + 80000514: 0009a583 lw a1,0(s3) + 80000518: 411608b3 sub a7,a2,a7 + 8000051c: 00005797 auipc a5,0x5 + 80000520: 60c7b623 sd a2,1548(a5) # 80005b28 + 80000524: 00100613 li a2,1 + 80000528: 00005797 auipc a5,0x5 + 8000052c: 62c7a023 sw a2,1568(a5) # 80005b48 + 80000530: 01143023 sd a7,0(s0) + 80000534: 00500613 li a2,5 + 80000538: 02c58463 beq a1,a2,80000560 + 8000053c: 00002517 auipc a0,0x2 + 80000540: f4450513 addi a0,a0,-188 # 80002480 <_etext+0xf0> + 80000544: 00002797 auipc a5,0x2 + 80000548: 6807ae23 sw zero,1692(a5) # 80002be0 + 8000054c: 321010ef jal ra,8000206c + 80000550: 00500593 li a1,5 + 80000554: 00002517 auipc a0,0x2 + 80000558: f4c50513 addi a0,a0,-180 # 800024a0 <_etext+0x110> + 8000055c: 311010ef jal ra,8000206c + 80000560: 00092583 lw a1,0(s2) + 80000564: 00100613 li a2,1 + 80000568: 02c58463 beq a1,a2,80000590 + 8000056c: 00002517 auipc a0,0x2 + 80000570: f5450513 addi a0,a0,-172 # 800024c0 <_etext+0x130> + 80000574: 00002797 auipc a5,0x2 + 80000578: 6607a623 sw zero,1644(a5) # 80002be0 + 8000057c: 2f1010ef jal ra,8000206c + 80000580: 00100593 li a1,1 + 80000584: 00002517 auipc a0,0x2 + 80000588: f1c50513 addi a0,a0,-228 # 800024a0 <_etext+0x110> + 8000058c: 2e1010ef jal ra,8000206c + 80000590: 0004c583 lbu a1,0(s1) + 80000594: 04100613 li a2,65 + 80000598: 02c58463 beq a1,a2,800005c0 + 8000059c: 00002517 auipc a0,0x2 + 800005a0: f4450513 addi a0,a0,-188 # 800024e0 <_etext+0x150> + 800005a4: 00002797 auipc a5,0x2 + 800005a8: 6207ae23 sw zero,1596(a5) # 80002be0 + 800005ac: 2c1010ef jal ra,8000206c + 800005b0: 04100593 li a1,65 + 800005b4: 00002517 auipc a0,0x2 + 800005b8: f4c50513 addi a0,a0,-180 # 80002500 <_etext+0x170> + 800005bc: 2b1010ef jal ra,8000206c + 800005c0: 000bc583 lbu a1,0(s7) + 800005c4: 04200613 li a2,66 + 800005c8: 02c58463 beq a1,a2,800005f0 + 800005cc: 00002517 auipc a0,0x2 + 800005d0: f5450513 addi a0,a0,-172 # 80002520 <_etext+0x190> + 800005d4: 00002797 auipc a5,0x2 + 800005d8: 6007a623 sw zero,1548(a5) # 80002be0 + 800005dc: 291010ef jal ra,8000206c + 800005e0: 04200593 li a1,66 + 800005e4: 00002517 auipc a0,0x2 + 800005e8: f1c50513 addi a0,a0,-228 # 80002500 <_etext+0x170> + 800005ec: 281010ef jal ra,8000206c + 800005f0: 00005597 auipc a1,0x5 + 800005f4: 5805a583 lw a1,1408(a1) # 80005b70 + 800005f8: 00700613 li a2,7 + 800005fc: 02c58463 beq a1,a2,80000624 + 80000600: 00002517 auipc a0,0x2 + 80000604: f4050513 addi a0,a0,-192 # 80002540 <_etext+0x1b0> + 80000608: 00002797 auipc a5,0x2 + 8000060c: 5c07ac23 sw zero,1496(a5) # 80002be0 + 80000610: 25d010ef jal ra,8000206c + 80000614: 00700593 li a1,7 + 80000618: 00002517 auipc a0,0x2 + 8000061c: e8850513 addi a0,a0,-376 # 800024a0 <_etext+0x110> + 80000620: 24d010ef jal ra,8000206c + 80000624: 00003797 auipc a5,0x3 + 80000628: df478793 addi a5,a5,-524 # 80003418 + 8000062c: 65c7a583 lw a1,1628(a5) + 80000630: 0007a637 lui a2,0x7a + 80000634: 12a60613 addi a2,a2,298 # 7a12a <_entry_offset+0x7a12a> + 80000638: 02c58263 beq a1,a2,8000065c + 8000063c: 00002517 auipc a0,0x2 + 80000640: f2450513 addi a0,a0,-220 # 80002560 <_etext+0x1d0> + 80000644: 00002797 auipc a5,0x2 + 80000648: 5807ae23 sw zero,1436(a5) # 80002be0 + 8000064c: 221010ef jal ra,8000206c + 80000650: 00002517 auipc a0,0x2 + 80000654: f3050513 addi a0,a0,-208 # 80002580 <_etext+0x1f0> + 80000658: 215010ef jal ra,8000206c + 8000065c: 000ab603 ld a2,0(s5) + 80000660: 00862583 lw a1,8(a2) + 80000664: 02058463 beqz a1,8000068c + 80000668: 00002517 auipc a0,0x2 + 8000066c: f4850513 addi a0,a0,-184 # 800025b0 <_etext+0x220> + 80000670: 00002797 auipc a5,0x2 + 80000674: 5607a823 sw zero,1392(a5) # 80002be0 + 80000678: 1f5010ef jal ra,8000206c + 8000067c: 00000593 li a1,0 + 80000680: 00002517 auipc a0,0x2 + 80000684: e2050513 addi a0,a0,-480 # 800024a0 <_etext+0x110> + 80000688: 1e5010ef jal ra,8000206c + 8000068c: 000ab583 ld a1,0(s5) + 80000690: 00200613 li a2,2 + 80000694: 00c5a583 lw a1,12(a1) + 80000698: 02c58463 beq a1,a2,800006c0 + 8000069c: 00002517 auipc a0,0x2 + 800006a0: f3c50513 addi a0,a0,-196 # 800025d8 <_etext+0x248> + 800006a4: 00002797 auipc a5,0x2 + 800006a8: 5207ae23 sw zero,1340(a5) # 80002be0 + 800006ac: 1c1010ef jal ra,8000206c + 800006b0: 00200593 li a1,2 + 800006b4: 00002517 auipc a0,0x2 + 800006b8: dec50513 addi a0,a0,-532 # 800024a0 <_etext+0x110> + 800006bc: 1b1010ef jal ra,8000206c + 800006c0: 000ab583 ld a1,0(s5) + 800006c4: 01100613 li a2,17 + 800006c8: 0105a583 lw a1,16(a1) + 800006cc: 02c58463 beq a1,a2,800006f4 + 800006d0: 00002517 auipc a0,0x2 + 800006d4: f3050513 addi a0,a0,-208 # 80002600 <_etext+0x270> + 800006d8: 00002797 auipc a5,0x2 + 800006dc: 5007a423 sw zero,1288(a5) # 80002be0 + 800006e0: 18d010ef jal ra,8000206c + 800006e4: 01100593 li a1,17 + 800006e8: 00002517 auipc a0,0x2 + 800006ec: db850513 addi a0,a0,-584 # 800024a0 <_etext+0x110> + 800006f0: 17d010ef jal ra,8000206c + 800006f4: 000ab503 ld a0,0(s5) + 800006f8: 00002597 auipc a1,0x2 + 800006fc: ca858593 addi a1,a1,-856 # 800023a0 <_etext+0x10> + 80000700: 01450513 addi a0,a0,20 + 80000704: 08d000ef jal ra,80000f90 + 80000708: 02050663 beqz a0,80000734 + 8000070c: 000ab583 ld a1,0(s5) + 80000710: 00002517 auipc a0,0x2 + 80000714: f1850513 addi a0,a0,-232 # 80002628 <_etext+0x298> + 80000718: 00002797 auipc a5,0x2 + 8000071c: 4c07a423 sw zero,1224(a5) # 80002be0 + 80000720: 01458593 addi a1,a1,20 + 80000724: 149010ef jal ra,8000206c + 80000728: 00002517 auipc a0,0x2 + 8000072c: f2850513 addi a0,a0,-216 # 80002650 <_etext+0x2c0> + 80000730: 13d010ef jal ra,8000206c + 80000734: 00005797 auipc a5,0x5 + 80000738: 3fc78793 addi a5,a5,1020 # 80005b30 + 8000073c: 0007b603 ld a2,0(a5) + 80000740: 00862583 lw a1,8(a2) + 80000744: 02058463 beqz a1,8000076c + 80000748: 00002517 auipc a0,0x2 + 8000074c: f4050513 addi a0,a0,-192 # 80002688 <_etext+0x2f8> + 80000750: 00002797 auipc a5,0x2 + 80000754: 4807a823 sw zero,1168(a5) # 80002be0 + 80000758: 115010ef jal ra,8000206c + 8000075c: 00000593 li a1,0 + 80000760: 00002517 auipc a0,0x2 + 80000764: d4050513 addi a0,a0,-704 # 800024a0 <_etext+0x110> + 80000768: 105010ef jal ra,8000206c + 8000076c: 00005797 auipc a5,0x5 + 80000770: 3c478793 addi a5,a5,964 # 80005b30 + 80000774: 0007b583 ld a1,0(a5) + 80000778: 00100613 li a2,1 + 8000077c: 00c5a583 lw a1,12(a1) + 80000780: 02c58463 beq a1,a2,800007a8 + 80000784: 00002517 auipc a0,0x2 + 80000788: f2c50513 addi a0,a0,-212 # 800026b0 <_etext+0x320> + 8000078c: 00002797 auipc a5,0x2 + 80000790: 4407aa23 sw zero,1108(a5) # 80002be0 + 80000794: 0d9010ef jal ra,8000206c + 80000798: 00100593 li a1,1 + 8000079c: 00002517 auipc a0,0x2 + 800007a0: d0450513 addi a0,a0,-764 # 800024a0 <_etext+0x110> + 800007a4: 0c9010ef jal ra,8000206c + 800007a8: 00005797 auipc a5,0x5 + 800007ac: 38878793 addi a5,a5,904 # 80005b30 + 800007b0: 0007b583 ld a1,0(a5) + 800007b4: 01200613 li a2,18 + 800007b8: 0105a583 lw a1,16(a1) + 800007bc: 02c58463 beq a1,a2,800007e4 + 800007c0: 00002517 auipc a0,0x2 + 800007c4: f1850513 addi a0,a0,-232 # 800026d8 <_etext+0x348> + 800007c8: 00002797 auipc a5,0x2 + 800007cc: 4007ac23 sw zero,1048(a5) # 80002be0 + 800007d0: 09d010ef jal ra,8000206c + 800007d4: 01200593 li a1,18 + 800007d8: 00002517 auipc a0,0x2 + 800007dc: cc850513 addi a0,a0,-824 # 800024a0 <_etext+0x110> + 800007e0: 08d010ef jal ra,8000206c + 800007e4: 00005497 auipc s1,0x5 + 800007e8: 34c48493 addi s1,s1,844 # 80005b30 + 800007ec: 0004b503 ld a0,0(s1) + 800007f0: 00002597 auipc a1,0x2 + 800007f4: bb058593 addi a1,a1,-1104 # 800023a0 <_etext+0x10> + 800007f8: 01450513 addi a0,a0,20 + 800007fc: 794000ef jal ra,80000f90 + 80000800: 02050663 beqz a0,8000082c + 80000804: 0004b583 ld a1,0(s1) + 80000808: 00002517 auipc a0,0x2 + 8000080c: ef850513 addi a0,a0,-264 # 80002700 <_etext+0x370> + 80000810: 00002797 auipc a5,0x2 + 80000814: 3c07a823 sw zero,976(a5) # 80002be0 + 80000818: 01458593 addi a1,a1,20 + 8000081c: 051010ef jal ra,8000206c + 80000820: 00002517 auipc a0,0x2 + 80000824: e3050513 addi a0,a0,-464 # 80002650 <_etext+0x2c0> + 80000828: 045010ef jal ra,8000206c + 8000082c: 00500613 li a2,5 + 80000830: 02cc8663 beq s9,a2,8000085c + 80000834: 000c8593 mv a1,s9 + 80000838: 00002517 auipc a0,0x2 + 8000083c: ef050513 addi a0,a0,-272 # 80002728 <_etext+0x398> + 80000840: 00002797 auipc a5,0x2 + 80000844: 3a07a023 sw zero,928(a5) # 80002be0 + 80000848: 025010ef jal ra,8000206c + 8000084c: 00500593 li a1,5 + 80000850: 00002517 auipc a0,0x2 + 80000854: c5050513 addi a0,a0,-944 # 800024a0 <_etext+0x110> + 80000858: 015010ef jal ra,8000206c + 8000085c: 00d00713 li a4,13 + 80000860: 02ed8663 beq s11,a4,8000088c + 80000864: 000d8593 mv a1,s11 + 80000868: 00002517 auipc a0,0x2 + 8000086c: ee050513 addi a0,a0,-288 # 80002748 <_etext+0x3b8> + 80000870: 00002797 auipc a5,0x2 + 80000874: 3607a823 sw zero,880(a5) # 80002be0 + 80000878: 7f4010ef jal ra,8000206c + 8000087c: 00d00593 li a1,13 + 80000880: 00002517 auipc a0,0x2 + 80000884: c2050513 addi a0,a0,-992 # 800024a0 <_etext+0x110> + 80000888: 7e4010ef jal ra,8000206c + 8000088c: 020d1663 bnez s10,800008b8 + 80000890: 000d0593 mv a1,s10 + 80000894: 00002517 auipc a0,0x2 + 80000898: ed450513 addi a0,a0,-300 # 80002768 <_etext+0x3d8> + 8000089c: 00002797 auipc a5,0x2 + 800008a0: 3407a223 sw zero,836(a5) # 80002be0 + 800008a4: 7c8010ef jal ra,8000206c + 800008a8: 00100593 li a1,1 + 800008ac: 00002517 auipc a0,0x2 + 800008b0: bf450513 addi a0,a0,-1036 # 800024a0 <_etext+0x110> + 800008b4: 7b8010ef jal ra,8000206c + 800008b8: 00002597 auipc a1,0x2 + 800008bc: b0858593 addi a1,a1,-1272 # 800023c0 <_etext+0x30> + 800008c0: 01010513 addi a0,sp,16 + 800008c4: 6cc000ef jal ra,80000f90 + 800008c8: 02050463 beqz a0,800008f0 + 800008cc: 01010593 addi a1,sp,16 + 800008d0: 00002517 auipc a0,0x2 + 800008d4: eb850513 addi a0,a0,-328 # 80002788 <_etext+0x3f8> + 800008d8: 00002797 auipc a5,0x2 + 800008dc: 3007a423 sw zero,776(a5) # 80002be0 + 800008e0: 78c010ef jal ra,8000206c + 800008e4: 00002517 auipc a0,0x2 + 800008e8: ec450513 addi a0,a0,-316 # 800027a8 <_etext+0x418> + 800008ec: 780010ef jal ra,8000206c + 800008f0: 00002597 auipc a1,0x2 + 800008f4: b5058593 addi a1,a1,-1200 # 80002440 <_etext+0xb0> + 800008f8: 03010513 addi a0,sp,48 + 800008fc: 694000ef jal ra,80000f90 + 80000900: 02050463 beqz a0,80000928 + 80000904: 03010593 addi a1,sp,48 + 80000908: 00002517 auipc a0,0x2 + 8000090c: ed850513 addi a0,a0,-296 # 800027e0 <_etext+0x450> + 80000910: 00002797 auipc a5,0x2 + 80000914: 2c07a823 sw zero,720(a5) # 80002be0 + 80000918: 754010ef jal ra,8000206c + 8000091c: 00002517 auipc a0,0x2 + 80000920: ee450513 addi a0,a0,-284 # 80002800 <_etext+0x470> + 80000924: 748010ef jal ra,8000206c + 80000928: 00042583 lw a1,0(s0) + 8000092c: 00002517 auipc a0,0x2 + 80000930: f0c50513 addi a0,a0,-244 # 80002838 <_etext+0x4a8> + 80000934: 00002497 auipc s1,0x2 + 80000938: a5c48493 addi s1,s1,-1444 # 80002390 <_etext> + 8000093c: 730010ef jal ra,8000206c + 80000940: 00002517 auipc a0,0x2 + 80000944: f1050513 addi a0,a0,-240 # 80002850 <_etext+0x4c0> + 80000948: 724010ef jal ra,8000206c + 8000094c: 00002797 auipc a5,0x2 + 80000950: 2947a783 lw a5,660(a5) # 80002be0 + 80000954: 00079663 bnez a5,80000960 + 80000958: 00002497 auipc s1,0x2 + 8000095c: a4048493 addi s1,s1,-1472 # 80002398 <_etext+0x8> + 80000960: 00042583 lw a1,0(s0) + 80000964: 000d7537 lui a0,0xd7 + 80000968: 10450513 addi a0,a0,260 # d7104 <_entry_offset+0xd7104> + 8000096c: 114000ef jal ra,80000a80 <__divdi3> + 80000970: 0005061b sext.w a2,a0 + 80000974: 00048593 mv a1,s1 + 80000978: 00002517 auipc a0,0x2 + 8000097c: f1050513 addi a0,a0,-240 # 80002888 <_etext+0x4f8> + 80000980: 6ec010ef jal ra,8000206c + 80000984: 00002517 auipc a0,0x2 + 80000988: f2450513 addi a0,a0,-220 # 800028a8 <_etext+0x518> + 8000098c: 6e0010ef jal ra,8000206c + 80000990: 0b813083 ld ra,184(sp) + 80000994: 0b013403 ld s0,176(sp) + 80000998: 0a813483 ld s1,168(sp) + 8000099c: 0a013903 ld s2,160(sp) + 800009a0: 09813983 ld s3,152(sp) + 800009a4: 09013a03 ld s4,144(sp) + 800009a8: 08813a83 ld s5,136(sp) + 800009ac: 08013b03 ld s6,128(sp) + 800009b0: 07813b83 ld s7,120(sp) + 800009b4: 07013c03 ld s8,112(sp) + 800009b8: 06813c83 ld s9,104(sp) + 800009bc: 06013d03 ld s10,96(sp) + 800009c0: 05813d83 ld s11,88(sp) + 800009c4: 00000513 li a0,0 + 800009c8: 0c010113 addi sp,sp,192 + 800009cc: 00008067 ret + 800009d0: 01948023 sb s9,0(s1) + 800009d4: 00100713 li a4,1 + 800009d8: a6ed1ee3 bne s10,a4,80000454 + 800009dc: a95ff06f j 80000470 + 800009e0: 00d00d93 li s11,13 + 800009e4: 00100c93 li s9,1 + 800009e8: ad9ff06f j 800004c0 + +00000000800009ec : + 800009ec: 00050513 mv a0,a0 + 800009f0: 0000007b 0x7b + 800009f4: 00008067 ret + +00000000800009f8 : + 800009f8: 00050513 mv a0,a0 + 800009fc: 0000006b 0x6b + 80000a00: 0000006f j 80000a00 + +0000000080000a04 <_assert>: + 80000a04: 00051a63 bnez a0,80000a18 <_assert+0x14> + 80000a08: 00100793 li a5,1 + 80000a0c: 00078513 mv a0,a5 + 80000a10: 0000006b 0x6b + 80000a14: 0000006f j 80000a14 <_assert+0x10> + 80000a18: 00008067 ret + +0000000080000a1c <_trm_init>: + 80000a1c: ff010113 addi sp,sp,-16 + 80000a20: 00113423 sd ra,8(sp) + 80000a24: 594000ef jal ra,80000fb8 + 80000a28: 00002517 auipc a0,0x2 + 80000a2c: 1a050513 addi a0,a0,416 # 80002bc8 + 80000a30: 831ff0ef jal ra,80000260
+ 80000a34: 00050513 mv a0,a0 + 80000a38: 0000006b 0x6b + 80000a3c: 0000006f j 80000a3c <_trm_init+0x20> + +0000000080000a40 <__udivsi3>: + 80000a40: 02051513 slli a0,a0,0x20 + 80000a44: 02059593 slli a1,a1,0x20 + 80000a48: 00008293 mv t0,ra + 80000a4c: 03c000ef jal ra,80000a88 <__udivdi3> + 80000a50: 0005051b sext.w a0,a0 + 80000a54: 00028067 jr t0 + +0000000080000a58 <__umodsi3>: + 80000a58: 02051513 slli a0,a0,0x20 + 80000a5c: 02059593 slli a1,a1,0x20 + 80000a60: 02055513 srli a0,a0,0x20 + 80000a64: 0205d593 srli a1,a1,0x20 + 80000a68: 00008293 mv t0,ra + 80000a6c: 01c000ef jal ra,80000a88 <__udivdi3> + 80000a70: 0005851b sext.w a0,a1 + 80000a74: 00028067 jr t0 + +0000000080000a78 <__divsi3>: + 80000a78: fff00293 li t0,-1 + 80000a7c: 0a558c63 beq a1,t0,80000b34 <__moddi3+0x30> + +0000000080000a80 <__divdi3>: + 80000a80: 06054063 bltz a0,80000ae0 <__umoddi3+0x10> + 80000a84: 0605c663 bltz a1,80000af0 <__umoddi3+0x20> + +0000000080000a88 <__udivdi3>: + 80000a88: 00058613 mv a2,a1 + 80000a8c: 00050593 mv a1,a0 + 80000a90: fff00513 li a0,-1 + 80000a94: 02060c63 beqz a2,80000acc <__udivdi3+0x44> + 80000a98: 00100693 li a3,1 + 80000a9c: 00b67a63 bgeu a2,a1,80000ab0 <__udivdi3+0x28> + 80000aa0: 00c05863 blez a2,80000ab0 <__udivdi3+0x28> + 80000aa4: 00161613 slli a2,a2,0x1 + 80000aa8: 00169693 slli a3,a3,0x1 + 80000aac: feb66ae3 bltu a2,a1,80000aa0 <__udivdi3+0x18> + 80000ab0: 00000513 li a0,0 + 80000ab4: 00c5e663 bltu a1,a2,80000ac0 <__udivdi3+0x38> + 80000ab8: 40c585b3 sub a1,a1,a2 + 80000abc: 00d56533 or a0,a0,a3 + 80000ac0: 0016d693 srli a3,a3,0x1 + 80000ac4: 00165613 srli a2,a2,0x1 + 80000ac8: fe0696e3 bnez a3,80000ab4 <__udivdi3+0x2c> + 80000acc: 00008067 ret + +0000000080000ad0 <__umoddi3>: + 80000ad0: 00008293 mv t0,ra + 80000ad4: fb5ff0ef jal ra,80000a88 <__udivdi3> + 80000ad8: 00058513 mv a0,a1 + 80000adc: 00028067 jr t0 + 80000ae0: 40a00533 neg a0,a0 + 80000ae4: 00b04863 bgtz a1,80000af4 <__umoddi3+0x24> + 80000ae8: 40b005b3 neg a1,a1 + 80000aec: f9dff06f j 80000a88 <__udivdi3> + 80000af0: 40b005b3 neg a1,a1 + 80000af4: 00008293 mv t0,ra + 80000af8: f91ff0ef jal ra,80000a88 <__udivdi3> + 80000afc: 40a00533 neg a0,a0 + 80000b00: 00028067 jr t0 + +0000000080000b04 <__moddi3>: + 80000b04: 00008293 mv t0,ra + 80000b08: 0005ca63 bltz a1,80000b1c <__moddi3+0x18> + 80000b0c: 00054c63 bltz a0,80000b24 <__moddi3+0x20> + 80000b10: f79ff0ef jal ra,80000a88 <__udivdi3> + 80000b14: 00058513 mv a0,a1 + 80000b18: 00028067 jr t0 + 80000b1c: 40b005b3 neg a1,a1 + 80000b20: fe0558e3 bgez a0,80000b10 <__moddi3+0xc> + 80000b24: 40a00533 neg a0,a0 + 80000b28: f61ff0ef jal ra,80000a88 <__udivdi3> + 80000b2c: 40b00533 neg a0,a1 + 80000b30: 00028067 jr t0 + 80000b34: 01f29293 slli t0,t0,0x1f + 80000b38: f45514e3 bne a0,t0,80000a80 <__divdi3> + 80000b3c: 00008067 ret + +0000000080000b40 <__am_timer_config>: + 80000b40: 00100793 li a5,1 + 80000b44: 00f50023 sb a5,0(a0) + 80000b48: 00f500a3 sb a5,1(a0) + 80000b4c: 00008067 ret + +0000000080000b50 <__am_input_config>: + 80000b50: 00100793 li a5,1 + 80000b54: 00f50023 sb a5,0(a0) + 80000b58: 00008067 ret + +0000000080000b5c : + 80000b5c: ff010113 addi sp,sp,-16 + 80000b60: 00813023 sd s0,0(sp) + 80000b64: 00113423 sd ra,8(sp) + 80000b68: 00002417 auipc s0,0x2 + 80000b6c: d8040413 addi s0,s0,-640 # 800028e8 <_etext+0x558> + 80000b70: 04100513 li a0,65 + 80000b74: 00140413 addi s0,s0,1 + 80000b78: e75ff0ef jal ra,800009ec + 80000b7c: 00044503 lbu a0,0(s0) + 80000b80: fe051ae3 bnez a0,80000b74 + 80000b84: 00002417 auipc s0,0x2 + 80000b88: d7440413 addi s0,s0,-652 # 800028f8 <_etext+0x568> + 80000b8c: 06100513 li a0,97 + 80000b90: 00140413 addi s0,s0,1 + 80000b94: e59ff0ef jal ra,800009ec + 80000b98: 00044503 lbu a0,0(s0) + 80000b9c: fe051ae3 bnez a0,80000b90 + 80000ba0: 00002417 auipc s0,0x2 + 80000ba4: d7840413 addi s0,s0,-648 # 80002918 <_etext+0x588> + 80000ba8: 02000513 li a0,32 + 80000bac: 00140413 addi s0,s0,1 + 80000bb0: e3dff0ef jal ra,800009ec + 80000bb4: 00044503 lbu a0,0(s0) + 80000bb8: fe051ae3 bnez a0,80000bac + 80000bbc: 00100513 li a0,1 + 80000bc0: e39ff0ef jal ra,800009f8 + +0000000080000bc4 : + 80000bc4: ff010113 addi sp,sp,-16 + 80000bc8: 00113423 sd ra,8(sp) + 80000bcc: 00002797 auipc a5,0x2 + 80000bd0: 02c78793 addi a5,a5,44 # 80002bf8 + 80000bd4: 00002697 auipc a3,0x2 + 80000bd8: 42468693 addi a3,a3,1060 # 80002ff8 + 80000bdc: 00000617 auipc a2,0x0 + 80000be0: f8060613 addi a2,a2,-128 # 80000b5c + 80000be4: 00c0006f j 80000bf0 + 80000be8: 00878793 addi a5,a5,8 + 80000bec: 00d78c63 beq a5,a3,80000c04 + 80000bf0: 0007b703 ld a4,0(a5) + 80000bf4: fe071ae3 bnez a4,80000be8 + 80000bf8: 00c7b023 sd a2,0(a5) + 80000bfc: 00878793 addi a5,a5,8 + 80000c00: fed798e3 bne a5,a3,80000bf0 + 80000c04: 030000ef jal ra,80000c34 <__am_timer_init> + 80000c08: 00813083 ld ra,8(sp) + 80000c0c: 00100513 li a0,1 + 80000c10: 01010113 addi sp,sp,16 + 80000c14: 00008067 ret + +0000000080000c18 : + 80000c18: 00351793 slli a5,a0,0x3 + 80000c1c: 00002517 auipc a0,0x2 + 80000c20: fdc50513 addi a0,a0,-36 # 80002bf8 + 80000c24: 00f50533 add a0,a0,a5 + 80000c28: 00053303 ld t1,0(a0) + 80000c2c: 00058513 mv a0,a1 + 80000c30: 00030067 jr t1 + +0000000080000c34 <__am_timer_init>: + 80000c34: 00008067 ret + +0000000080000c38 <__am_timer_uptime>: + 80000c38: fd010113 addi sp,sp,-48 + 80000c3c: 00913c23 sd s1,24(sp) + 80000c40: 02113423 sd ra,40(sp) + 80000c44: 02813023 sd s0,32(sp) + 80000c48: 01213823 sd s2,16(sp) + 80000c4c: 01313423 sd s3,8(sp) + 80000c50: 00050493 mv s1,a0 + 80000c54: b0002973 csrr s2,mcycle + 80000c58: 000f49b7 lui s3,0xf4 + 80000c5c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80000c60: 00090513 mv a0,s2 + 80000c64: e25ff0ef jal ra,80000a88 <__udivdi3> + 80000c68: 00551413 slli s0,a0,0x5 + 80000c6c: 40a407b3 sub a5,s0,a0 + 80000c70: 00679413 slli s0,a5,0x6 + 80000c74: 40f40433 sub s0,s0,a5 + 80000c78: 00341413 slli s0,s0,0x3 + 80000c7c: 00a40433 add s0,s0,a0 + 80000c80: 24098593 addi a1,s3,576 + 80000c84: 00090513 mv a0,s2 + 80000c88: e49ff0ef jal ra,80000ad0 <__umoddi3> + 80000c8c: 00641413 slli s0,s0,0x6 + 80000c90: 00a40433 add s0,s0,a0 + 80000c94: 0084b023 sd s0,0(s1) + 80000c98: 02813083 ld ra,40(sp) + 80000c9c: 02013403 ld s0,32(sp) + 80000ca0: 01813483 ld s1,24(sp) + 80000ca4: 01013903 ld s2,16(sp) + 80000ca8: 00813983 ld s3,8(sp) + 80000cac: 03010113 addi sp,sp,48 + 80000cb0: 00008067 ret + +0000000080000cb4 : + 80000cb4: fc010113 addi sp,sp,-64 + 80000cb8: 01313c23 sd s3,24(sp) + 80000cbc: 00058993 mv s3,a1 + 80000cc0: 00050793 mv a5,a0 + 80000cc4: 03800613 li a2,56 + 80000cc8: 00000593 li a1,0 + 80000ccc: 00098513 mv a0,s3 + 80000cd0: 02813823 sd s0,48(sp) + 80000cd4: 03213023 sd s2,32(sp) + 80000cd8: 0007b403 ld s0,0(a5) + 80000cdc: 01413823 sd s4,16(sp) + 80000ce0: 02113c23 sd ra,56(sp) + 80000ce4: 02913423 sd s1,40(sp) + 80000ce8: 01513423 sd s5,8(sp) + 80000cec: 3d4010ef jal ra,800020c0 + 80000cf0: 7b200793 li a5,1970 + 80000cf4: 00f9aa23 sw a5,20(s3) + 80000cf8: 7b200913 li s2,1970 + 80000cfc: 00002a17 auipc s4,0x2 + 80000d00: ed4a0a13 addi s4,s4,-300 # 80002bd0 + 80000d04: 0100006f j 80000d14 + 80000d08: 40e40433 sub s0,s0,a4 + 80000d0c: 00d9aa23 sw a3,20(s3) + 80000d10: 00068913 mv s2,a3 + 80000d14: 0009049b sext.w s1,s2 + 80000d18: 06400593 li a1,100 + 80000d1c: 00048513 mv a0,s1 + 80000d20: de5ff0ef jal ra,80000b04 <__moddi3> + 80000d24: 00050793 mv a5,a0 + 80000d28: 0007879b sext.w a5,a5 + 80000d2c: 00048513 mv a0,s1 + 80000d30: 00397493 andi s1,s2,3 + 80000d34: 19000593 li a1,400 + 80000d38: 0014b493 seqz s1,s1 + 80000d3c: 00079863 bnez a5,80000d4c + 80000d40: dc5ff0ef jal ra,80000b04 <__moddi3> + 80000d44: 0005049b sext.w s1,a0 + 80000d48: 0014b493 seqz s1,s1 + 80000d4c: 00249793 slli a5,s1,0x2 + 80000d50: 00fa07b3 add a5,s4,a5 + 80000d54: 0007e703 lwu a4,0(a5) + 80000d58: 0019069b addiw a3,s2,1 + 80000d5c: fae456e3 bge s0,a4,80000d08 + 80000d60: 000155b7 lui a1,0x15 + 80000d64: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000d68: 00040513 mv a0,s0 + 80000d6c: d15ff0ef jal ra,80000a80 <__divdi3> + 80000d70: 00149793 slli a5,s1,0x1 + 80000d74: 009784b3 add s1,a5,s1 + 80000d78: 00449793 slli a5,s1,0x4 + 80000d7c: 00002497 auipc s1,0x2 + 80000d80: bdc48493 addi s1,s1,-1060 # 80002958 + 80000d84: 00f484b3 add s1,s1,a5 + 80000d88: 0004e783 lwu a5,0(s1) + 80000d8c: 0005051b sext.w a0,a0 + 80000d90: 00a9ae23 sw a0,28(s3) + 80000d94: 14f44463 blt s0,a5,80000edc + 80000d98: 00100713 li a4,1 + 80000d9c: 40f40433 sub s0,s0,a5 + 80000da0: 0044e783 lwu a5,4(s1) + 80000da4: 00070a1b sext.w s4,a4 + 80000da8: 00448493 addi s1,s1,4 + 80000dac: 00170713 addi a4,a4,1 + 80000db0: fef456e3 bge s0,a5,80000d9c + 80000db4: 000155b7 lui a1,0x15 + 80000db8: 0149a823 sw s4,16(s3) + 80000dbc: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000dc0: 00040513 mv a0,s0 + 80000dc4: cbdff0ef jal ra,80000a80 <__divdi3> + 80000dc8: 0005051b sext.w a0,a0 + 80000dcc: 0015079b addiw a5,a0,1 + 80000dd0: 000155b7 lui a1,0x15 + 80000dd4: 00f9a623 sw a5,12(s3) + 80000dd8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000ddc: 00040513 mv a0,s0 + 80000de0: 00001ab7 lui s5,0x1 + 80000de4: 0007841b sext.w s0,a5 + 80000de8: d1dff0ef jal ra,80000b04 <__moddi3> + 80000dec: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80000df0: 00050493 mv s1,a0 + 80000df4: c8dff0ef jal ra,80000a80 <__divdi3> + 80000df8: e10a8593 addi a1,s5,-496 + 80000dfc: 00a9a423 sw a0,8(s3) + 80000e00: 00048513 mv a0,s1 + 80000e04: d01ff0ef jal ra,80000b04 <__moddi3> + 80000e08: 03c00593 li a1,60 + 80000e0c: 00050493 mv s1,a0 + 80000e10: c71ff0ef jal ra,80000a80 <__divdi3> + 80000e14: 00a9a223 sw a0,4(s3) + 80000e18: 03c00593 li a1,60 + 80000e1c: 00048513 mv a0,s1 + 80000e20: ce5ff0ef jal ra,80000b04 <__moddi3> + 80000e24: 001a0a1b addiw s4,s4,1 + 80000e28: 0ffa7793 andi a5,s4,255 + 80000e2c: 0037b793 sltiu a5,a5,3 + 80000e30: 40f9093b subw s2,s2,a5 + 80000e34: 03091913 slli s2,s2,0x30 + 80000e38: 03095913 srli s2,s2,0x30 + 80000e3c: 00a9a023 sw a0,0(s3) + 80000e40: 06400593 li a1,100 + 80000e44: 00090513 mv a0,s2 + 80000e48: c41ff0ef jal ra,80000a88 <__udivdi3> + 80000e4c: 0029549b srliw s1,s2,0x2 + 80000e50: 0105179b slliw a5,a0,0x10 + 80000e54: 0107d79b srliw a5,a5,0x10 + 80000e58: 012484bb addw s1,s1,s2 + 80000e5c: 19000593 li a1,400 + 80000e60: 00090513 mv a0,s2 + 80000e64: 0ffa7a13 andi s4,s4,255 + 80000e68: 40f484bb subw s1,s1,a5 + 80000e6c: fffa0a1b addiw s4,s4,-1 + 80000e70: c19ff0ef jal ra,80000a88 <__udivdi3> + 80000e74: 00002797 auipc a5,0x2 + 80000e78: b4478793 addi a5,a5,-1212 # 800029b8 + 80000e7c: 002a1a13 slli s4,s4,0x2 + 80000e80: 01478a33 add s4,a5,s4 + 80000e84: 000a2783 lw a5,0(s4) + 80000e88: 0105151b slliw a0,a0,0x10 + 80000e8c: 0105551b srliw a0,a0,0x10 + 80000e90: 00a484bb addw s1,s1,a0 + 80000e94: 00f484bb addw s1,s1,a5 + 80000e98: 0ff47513 andi a0,s0,255 + 80000e9c: 00a4853b addw a0,s1,a0 + 80000ea0: 00700593 li a1,7 + 80000ea4: c61ff0ef jal ra,80000b04 <__moddi3> + 80000ea8: 03813083 ld ra,56(sp) + 80000eac: 03013403 ld s0,48(sp) + 80000eb0: 0ff57513 andi a0,a0,255 + 80000eb4: 00a9ac23 sw a0,24(s3) + 80000eb8: 0209a023 sw zero,32(s3) + 80000ebc: 02813483 ld s1,40(sp) + 80000ec0: 02013903 ld s2,32(sp) + 80000ec4: 01013a03 ld s4,16(sp) + 80000ec8: 00813a83 ld s5,8(sp) + 80000ecc: 00098513 mv a0,s3 + 80000ed0: 01813983 ld s3,24(sp) + 80000ed4: 04010113 addi sp,sp,64 + 80000ed8: 00008067 ret + 80000edc: 00000a13 li s4,0 + 80000ee0: eedff06f j 80000dcc + +0000000080000ee4 <__am_timer_rtc>: + 80000ee4: f9010113 addi sp,sp,-112 + 80000ee8: 06813023 sd s0,96(sp) + 80000eec: 06113423 sd ra,104(sp) + 80000ef0: 04913c23 sd s1,88(sp) + 80000ef4: 05213823 sd s2,80(sp) + 80000ef8: 00050413 mv s0,a0 + 80000efc: b00024f3 csrr s1,mcycle + 80000f00: 000f4937 lui s2,0xf4 + 80000f04: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80000f08: 00048513 mv a0,s1 + 80000f0c: b7dff0ef jal ra,80000a88 <__udivdi3> + 80000f10: 00050793 mv a5,a0 + 80000f14: 24090593 addi a1,s2,576 + 80000f18: 00048513 mv a0,s1 + 80000f1c: 00f13423 sd a5,8(sp) + 80000f20: bb1ff0ef jal ra,80000ad0 <__umoddi3> + 80000f24: 00050793 mv a5,a0 + 80000f28: 01810593 addi a1,sp,24 + 80000f2c: 00810513 addi a0,sp,8 + 80000f30: 00f13823 sd a5,16(sp) + 80000f34: d81ff0ef jal ra,80000cb4 + 80000f38: 01812703 lw a4,24(sp) + 80000f3c: 02812783 lw a5,40(sp) + 80000f40: 06813083 ld ra,104(sp) + 80000f44: 00e42a23 sw a4,20(s0) + 80000f48: 01c12703 lw a4,28(sp) + 80000f4c: 0017879b addiw a5,a5,1 + 80000f50: 00f42223 sw a5,4(s0) + 80000f54: 00e42823 sw a4,16(s0) + 80000f58: 02012703 lw a4,32(sp) + 80000f5c: 02c12783 lw a5,44(sp) + 80000f60: 05813483 ld s1,88(sp) + 80000f64: 00e42623 sw a4,12(s0) + 80000f68: 02412703 lw a4,36(sp) + 80000f6c: 00f42023 sw a5,0(s0) + 80000f70: 05013903 ld s2,80(sp) + 80000f74: 00e42423 sw a4,8(s0) + 80000f78: 06013403 ld s0,96(sp) + 80000f7c: 07010113 addi sp,sp,112 + 80000f80: 00008067 ret + +0000000080000f84 <__am_input_keybrd>: + 80000f84: 00050023 sb zero,0(a0) + 80000f88: 00052223 sw zero,4(a0) + 80000f8c: 00008067 ret + +0000000080000f90 : + 80000f90: 00054783 lbu a5,0(a0) + 80000f94: 00158593 addi a1,a1,1 + 80000f98: 00150513 addi a0,a0,1 + 80000f9c: fff5c703 lbu a4,-1(a1) + 80000fa0: 00078863 beqz a5,80000fb0 + 80000fa4: fee786e3 beq a5,a4,80000f90 + 80000fa8: 40e7853b subw a0,a5,a4 + 80000fac: 00008067 ret + 80000fb0: 40e0053b negw a0,a4 + 80000fb4: 00008067 ret + +0000000080000fb8 : + 80000fb8: 00002797 auipc a5,0x2 + 80000fbc: c3078793 addi a5,a5,-976 # 80002be8 + 80000fc0: 0007b503 ld a0,0(a5) + 80000fc4: 0087b583 ld a1,8(a5) + 80000fc8: ff010113 addi sp,sp,-16 + 80000fcc: 00000693 li a3,0 + 80000fd0: 00000613 li a2,0 + 80000fd4: 40a585b3 sub a1,a1,a0 + 80000fd8: 00113423 sd ra,8(sp) + 80000fdc: 1e0010ef jal ra,800021bc + 80000fe0: 00813083 ld ra,8(sp) + 80000fe4: 00002797 auipc a5,0x2 + 80000fe8: 40a7ba23 sd a0,1044(a5) # 800033f8 + 80000fec: 01010113 addi sp,sp,16 + 80000ff0: 00008067 ret + +0000000080000ff4 <_out_null>: + 80000ff4: 00008067 ret + +0000000080000ff8 <_ntoa_format>: + 80000ff8: fa010113 addi sp,sp,-96 + 80000ffc: 07012303 lw t1,112(sp) + 80001000: 03313c23 sd s3,56(sp) + 80001004: 03413823 sd s4,48(sp) + 80001008: 03513423 sd s5,40(sp) + 8000100c: 03613023 sd s6,32(sp) + 80001010: 01713c23 sd s7,24(sp) + 80001014: 01813823 sd s8,16(sp) + 80001018: 01913423 sd s9,8(sp) + 8000101c: 00237e13 andi t3,t1,2 + 80001020: 01037e93 andi t4,t1,16 + 80001024: 04113c23 sd ra,88(sp) + 80001028: 04813823 sd s0,80(sp) + 8000102c: 04913423 sd s1,72(sp) + 80001030: 05213023 sd s2,64(sp) + 80001034: 06012f03 lw t5,96(sp) + 80001038: 06812c03 lw s8,104(sp) + 8000103c: 00050a13 mv s4,a0 + 80001040: 00058a93 mv s5,a1 + 80001044: 00060b93 mv s7,a2 + 80001048: 00068b13 mv s6,a3 + 8000104c: 00070993 mv s3,a4 + 80001050: 000e0c9b sext.w s9,t3 + 80001054: 000e8e9b sext.w t4,t4 + 80001058: 060e1c63 bnez t3,800010d0 <_ntoa_format+0xd8> + 8000105c: 020f1693 slli a3,t5,0x20 + 80001060: 00137513 andi a0,t1,1 + 80001064: 0206d693 srli a3,a3,0x20 + 80001068: 180c1e63 bnez s8,80001204 <_ntoa_format+0x20c> + 8000106c: 02d7f663 bgeu a5,a3,80001098 <_ntoa_format+0xa0> + 80001070: 02000713 li a4,32 + 80001074: 36e78e63 beq a5,a4,800013f0 <_ntoa_format+0x3f8> + 80001078: 03000613 li a2,48 + 8000107c: 02000593 li a1,32 + 80001080: 0080006f j 80001088 <_ntoa_format+0x90> + 80001084: 00b78a63 beq a5,a1,80001098 <_ntoa_format+0xa0> + 80001088: 00178793 addi a5,a5,1 + 8000108c: 00f98733 add a4,s3,a5 + 80001090: fec70fa3 sb a2,-1(a4) + 80001094: fed7e8e3 bltu a5,a3,80001084 <_ntoa_format+0x8c> + 80001098: 02050c63 beqz a0,800010d0 <_ntoa_format+0xd8> + 8000109c: 020c1913 slli s2,s8,0x20 + 800010a0: 02095913 srli s2,s2,0x20 + 800010a4: 0327f663 bgeu a5,s2,800010d0 <_ntoa_format+0xd8> + 800010a8: 02000713 li a4,32 + 800010ac: 36e78863 beq a5,a4,8000141c <_ntoa_format+0x424> + 800010b0: 03000693 li a3,48 + 800010b4: 02000613 li a2,32 + 800010b8: 0080006f j 800010c0 <_ntoa_format+0xc8> + 800010bc: 16c78463 beq a5,a2,80001224 <_ntoa_format+0x22c> + 800010c0: 00178793 addi a5,a5,1 + 800010c4: 00f98733 add a4,s3,a5 + 800010c8: fed70fa3 sb a3,-1(a4) + 800010cc: ff2798e3 bne a5,s2,800010bc <_ntoa_format+0xc4> + 800010d0: 1a0e8663 beqz t4,8000127c <_ntoa_format+0x284> + 800010d4: 40037713 andi a4,t1,1024 + 800010d8: 20071463 bnez a4,800012e0 <_ntoa_format+0x2e8> + 800010dc: 1e079663 bnez a5,800012c8 <_ntoa_format+0x2d0> + 800010e0: 01000793 li a5,16 + 800010e4: 2af88863 beq a7,a5,80001394 <_ntoa_format+0x39c> + 800010e8: 00200793 li a5,2 + 800010ec: 2ef88a63 beq a7,a5,800013e0 <_ntoa_format+0x3e8> + 800010f0: 03000793 li a5,48 + 800010f4: 00f98023 sb a5,0(s3) + 800010f8: 00100793 li a5,1 + 800010fc: 1a080463 beqz a6,800012a4 <_ntoa_format+0x2ac> + 80001100: 00f98733 add a4,s3,a5 + 80001104: 00178413 addi s0,a5,1 + 80001108: 02d00793 li a5,45 + 8000110c: 00f70023 sb a5,0(a4) + 80001110: 00337313 andi t1,t1,3 + 80001114: 20031263 bnez t1,80001318 <_ntoa_format+0x320> + 80001118: 020c1913 slli s2,s8,0x20 + 8000111c: 02095913 srli s2,s2,0x20 + 80001120: 1f247c63 bgeu s0,s2,80001318 <_ntoa_format+0x320> + 80001124: 40890933 sub s2,s2,s0 + 80001128: 01790933 add s2,s2,s7 + 8000112c: 000b8493 mv s1,s7 + 80001130: 00048613 mv a2,s1 + 80001134: 000b0693 mv a3,s6 + 80001138: 00148493 addi s1,s1,1 + 8000113c: 000a8593 mv a1,s5 + 80001140: 02000513 li a0,32 + 80001144: 000a00e7 jalr s4 + 80001148: ff2494e3 bne s1,s2,80001130 <_ntoa_format+0x138> + 8000114c: 02040663 beqz s0,80001178 <_ntoa_format+0x180> + 80001150: 01240933 add s2,s0,s2 + 80001154: 012984b3 add s1,s3,s2 + 80001158: 00898433 add s0,s3,s0 + 8000115c: fff44503 lbu a0,-1(s0) + 80001160: 40848633 sub a2,s1,s0 + 80001164: 000b0693 mv a3,s6 + 80001168: fff40413 addi s0,s0,-1 + 8000116c: 000a8593 mv a1,s5 + 80001170: 000a00e7 jalr s4 + 80001174: fe8994e3 bne s3,s0,8000115c <_ntoa_format+0x164> + 80001178: 040c8a63 beqz s9,800011cc <_ntoa_format+0x1d4> + 8000117c: 020c1c13 slli s8,s8,0x20 + 80001180: 41790433 sub s0,s2,s7 + 80001184: 020c5c13 srli s8,s8,0x20 + 80001188: 05847263 bgeu s0,s8,800011cc <_ntoa_format+0x1d4> + 8000118c: 01740633 add a2,s0,s7 + 80001190: 000b0693 mv a3,s6 + 80001194: 00140413 addi s0,s0,1 + 80001198: 000a8593 mv a1,s5 + 8000119c: 02000513 li a0,32 + 800011a0: 000a00e7 jalr s4 + 800011a4: ff8464e3 bltu s0,s8,8000118c <_ntoa_format+0x194> + 800011a8: 41790733 sub a4,s2,s7 + 800011ac: 00170713 addi a4,a4,1 + 800011b0: 00000793 li a5,0 + 800011b4: 00ec6863 bltu s8,a4,800011c4 <_ntoa_format+0x1cc> + 800011b8: fffb8793 addi a5,s7,-1 + 800011bc: 01878c33 add s8,a5,s8 + 800011c0: 412c07b3 sub a5,s8,s2 + 800011c4: 00190913 addi s2,s2,1 + 800011c8: 00f90933 add s2,s2,a5 + 800011cc: 05813083 ld ra,88(sp) + 800011d0: 05013403 ld s0,80(sp) + 800011d4: 04813483 ld s1,72(sp) + 800011d8: 03813983 ld s3,56(sp) + 800011dc: 03013a03 ld s4,48(sp) + 800011e0: 02813a83 ld s5,40(sp) + 800011e4: 02013b03 ld s6,32(sp) + 800011e8: 01813b83 ld s7,24(sp) + 800011ec: 01013c03 ld s8,16(sp) + 800011f0: 00813c83 ld s9,8(sp) + 800011f4: 00090513 mv a0,s2 + 800011f8: 04013903 ld s2,64(sp) + 800011fc: 06010113 addi sp,sp,96 + 80001200: 00008067 ret + 80001204: 12050863 beqz a0,80001334 <_ntoa_format+0x33c> + 80001208: 00081663 bnez a6,80001214 <_ntoa_format+0x21c> + 8000120c: 00c37713 andi a4,t1,12 + 80001210: 00070463 beqz a4,80001218 <_ntoa_format+0x220> + 80001214: fffc0c1b addiw s8,s8,-1 + 80001218: e8d7f2e3 bgeu a5,a3,8000109c <_ntoa_format+0xa4> + 8000121c: 02000713 li a4,32 + 80001220: e4e79ce3 bne a5,a4,80001078 <_ntoa_format+0x80> + 80001224: 0e0e8063 beqz t4,80001304 <_ntoa_format+0x30c> + 80001228: 40037793 andi a5,t1,1024 + 8000122c: 04079c63 bnez a5,80001284 <_ntoa_format+0x28c> + 80001230: 02000793 li a5,32 + 80001234: 0aff1063 bne t5,a5,800012d4 <_ntoa_format+0x2dc> + 80001238: 02000793 li a5,32 + 8000123c: 01f00713 li a4,31 + 80001240: 01000693 li a3,16 + 80001244: 1ad88c63 beq a7,a3,800013fc <_ntoa_format+0x404> + 80001248: 00200693 li a3,2 + 8000124c: 00070793 mv a5,a4 + 80001250: 00d89e63 bne a7,a3,8000126c <_ntoa_format+0x274> + 80001254: 00e986b3 add a3,s3,a4 + 80001258: 00170793 addi a5,a4,1 + 8000125c: 06200713 li a4,98 + 80001260: 00e68023 sb a4,0(a3) + 80001264: 02000713 li a4,32 + 80001268: 00e78e63 beq a5,a4,80001284 <_ntoa_format+0x28c> + 8000126c: 00f98733 add a4,s3,a5 + 80001270: 03000693 li a3,48 + 80001274: 00d70023 sb a3,0(a4) + 80001278: 00178793 addi a5,a5,1 + 8000127c: 02000713 li a4,32 + 80001280: e6e79ee3 bne a5,a4,800010fc <_ntoa_format+0x104> + 80001284: 00337313 andi t1,t1,3 + 80001288: 08031263 bnez t1,8000130c <_ntoa_format+0x314> + 8000128c: 02000413 li s0,32 + 80001290: 020c1913 slli s2,s8,0x20 + 80001294: 02095913 srli s2,s2,0x20 + 80001298: e92466e3 bltu s0,s2,80001124 <_ntoa_format+0x12c> + 8000129c: 000b8913 mv s2,s7 + 800012a0: eadff06f j 8000114c <_ntoa_format+0x154> + 800012a4: 00437713 andi a4,t1,4 + 800012a8: 06071c63 bnez a4,80001320 <_ntoa_format+0x328> + 800012ac: 00837713 andi a4,t1,8 + 800012b0: 0c071863 bnez a4,80001380 <_ntoa_format+0x388> + 800012b4: 00337313 andi t1,t1,3 + 800012b8: 00078413 mv s0,a5 + 800012bc: fc030ae3 beqz t1,80001290 <_ntoa_format+0x298> + 800012c0: 000b8913 mv s2,s7 + 800012c4: e89ff06f j 8000114c <_ntoa_format+0x154> + 800012c8: 020f1f13 slli t5,t5,0x20 + 800012cc: 020f5f13 srli t5,t5,0x20 + 800012d0: 0aff0263 beq t5,a5,80001374 <_ntoa_format+0x37c> + 800012d4: 020c1913 slli s2,s8,0x20 + 800012d8: 02095913 srli s2,s2,0x20 + 800012dc: 08f90c63 beq s2,a5,80001374 <_ntoa_format+0x37c> + 800012e0: 01000713 li a4,16 + 800012e4: 06e88663 beq a7,a4,80001350 <_ntoa_format+0x358> + 800012e8: 00200713 li a4,2 + 800012ec: f6e89ce3 bne a7,a4,80001264 <_ntoa_format+0x26c> + 800012f0: 02000713 li a4,32 + 800012f4: f8e788e3 beq a5,a4,80001284 <_ntoa_format+0x28c> + 800012f8: 00f986b3 add a3,s3,a5 + 800012fc: 00178793 addi a5,a5,1 + 80001300: f5dff06f j 8000125c <_ntoa_format+0x264> + 80001304: 00337313 andi t1,t1,3 + 80001308: 0c030063 beqz t1,800013c8 <_ntoa_format+0x3d0> + 8000130c: 000b8913 mv s2,s7 + 80001310: 02000413 li s0,32 + 80001314: e3dff06f j 80001150 <_ntoa_format+0x158> + 80001318: 000b8913 mv s2,s7 + 8000131c: e35ff06f j 80001150 <_ntoa_format+0x158> + 80001320: 00f98733 add a4,s3,a5 + 80001324: 00178413 addi s0,a5,1 + 80001328: 02b00793 li a5,43 + 8000132c: 00f70023 sb a5,0(a4) + 80001330: de1ff06f j 80001110 <_ntoa_format+0x118> + 80001334: d8d7fee3 bgeu a5,a3,800010d0 <_ntoa_format+0xd8> + 80001338: 02000713 li a4,32 + 8000133c: d2e79ee3 bne a5,a4,80001078 <_ntoa_format+0x80> + 80001340: 0e0e8c63 beqz t4,80001438 <_ntoa_format+0x440> + 80001344: 40037793 andi a5,t1,1024 + 80001348: f2079ee3 bnez a5,80001284 <_ntoa_format+0x28c> + 8000134c: ee5ff06f j 80001230 <_ntoa_format+0x238> + 80001350: 02037713 andi a4,t1,32 + 80001354: 04071c63 bnez a4,800013ac <_ntoa_format+0x3b4> + 80001358: 02000713 li a4,32 + 8000135c: f2e784e3 beq a5,a4,80001284 <_ntoa_format+0x28c> + 80001360: 00f98733 add a4,s3,a5 + 80001364: 00178793 addi a5,a5,1 + 80001368: 07800693 li a3,120 + 8000136c: 00d70023 sb a3,0(a4) + 80001370: ef5ff06f j 80001264 <_ntoa_format+0x26c> + 80001374: fff78713 addi a4,a5,-1 + 80001378: d60704e3 beqz a4,800010e0 <_ntoa_format+0xe8> + 8000137c: ec5ff06f j 80001240 <_ntoa_format+0x248> + 80001380: 00f98733 add a4,s3,a5 + 80001384: 00178413 addi s0,a5,1 + 80001388: 02000793 li a5,32 + 8000138c: 00f70023 sb a5,0(a4) + 80001390: d81ff06f j 80001110 <_ntoa_format+0x118> + 80001394: 02037793 andi a5,t1,32 + 80001398: 02079c63 bnez a5,800013d0 <_ntoa_format+0x3d8> + 8000139c: 07800793 li a5,120 + 800013a0: 00f98023 sb a5,0(s3) + 800013a4: 00100793 li a5,1 + 800013a8: ec5ff06f j 8000126c <_ntoa_format+0x274> + 800013ac: 02000713 li a4,32 + 800013b0: ece78ae3 beq a5,a4,80001284 <_ntoa_format+0x28c> + 800013b4: 00f98733 add a4,s3,a5 + 800013b8: 05800693 li a3,88 + 800013bc: 00d70023 sb a3,0(a4) + 800013c0: 00178793 addi a5,a5,1 + 800013c4: ea1ff06f j 80001264 <_ntoa_format+0x26c> + 800013c8: 02000413 li s0,32 + 800013cc: d4dff06f j 80001118 <_ntoa_format+0x120> + 800013d0: 05800793 li a5,88 + 800013d4: 00f98023 sb a5,0(s3) + 800013d8: 00100793 li a5,1 + 800013dc: e91ff06f j 8000126c <_ntoa_format+0x274> + 800013e0: 06200793 li a5,98 + 800013e4: 00f98023 sb a5,0(s3) + 800013e8: 00100793 li a5,1 + 800013ec: e81ff06f j 8000126c <_ntoa_format+0x274> + 800013f0: e2051ae3 bnez a0,80001224 <_ntoa_format+0x22c> + 800013f4: 000c8c13 mv s8,s9 + 800013f8: f49ff06f j 80001340 <_ntoa_format+0x348> + 800013fc: 02037693 andi a3,t1,32 + 80001400: ffe78713 addi a4,a5,-2 + 80001404: 00069863 bnez a3,80001414 <_ntoa_format+0x41c> + 80001408: 00e98733 add a4,s3,a4 + 8000140c: fff78793 addi a5,a5,-1 + 80001410: f59ff06f j 80001368 <_ntoa_format+0x370> + 80001414: 00070793 mv a5,a4 + 80001418: f9dff06f j 800013b4 <_ntoa_format+0x3bc> + 8000141c: 000e8e63 beqz t4,80001438 <_ntoa_format+0x440> + 80001420: 40037713 andi a4,t1,1024 + 80001424: 00071e63 bnez a4,80001440 <_ntoa_format+0x448> + 80001428: 02ff0e63 beq t5,a5,80001464 <_ntoa_format+0x46c> + 8000142c: e4fc1ce3 bne s8,a5,80001284 <_ntoa_format+0x28c> + 80001430: 01f00713 li a4,31 + 80001434: e0dff06f j 80001240 <_ntoa_format+0x248> + 80001438: 02000413 li s0,32 + 8000143c: cd5ff06f j 80001110 <_ntoa_format+0x118> + 80001440: 01000793 li a5,16 + 80001444: 02f88c63 beq a7,a5,8000147c <_ntoa_format+0x484> + 80001448: 00200793 li a5,2 + 8000144c: e2f88ce3 beq a7,a5,80001284 <_ntoa_format+0x28c> + 80001450: 00337313 andi t1,t1,3 + 80001454: ea031ce3 bnez t1,8000130c <_ntoa_format+0x314> + 80001458: 02000413 li s0,32 + 8000145c: cd2464e3 bltu s0,s2,80001124 <_ntoa_format+0x12c> + 80001460: e3dff06f j 8000129c <_ntoa_format+0x2a4> + 80001464: 01000713 li a4,16 + 80001468: f8e88ae3 beq a7,a4,800013fc <_ntoa_format+0x404> + 8000146c: 00200793 li a5,2 + 80001470: 00f88c63 beq a7,a5,80001488 <_ntoa_format+0x490> + 80001474: 01f00793 li a5,31 + 80001478: df5ff06f j 8000126c <_ntoa_format+0x274> + 8000147c: 02037793 andi a5,t1,32 + 80001480: fc0788e3 beqz a5,80001450 <_ntoa_format+0x458> + 80001484: e01ff06f j 80001284 <_ntoa_format+0x28c> + 80001488: 01f00713 li a4,31 + 8000148c: dc9ff06f j 80001254 <_ntoa_format+0x25c> + +0000000080001490 <_ntoa_long>: + 80001490: f4010113 addi sp,sp,-192 + 80001494: 09413823 sd s4,144(sp) + 80001498: 09513423 sd s5,136(sp) + 8000149c: 09613023 sd s6,128(sp) + 800014a0: 07713c23 sd s7,120(sp) + 800014a4: 07813823 sd s8,112(sp) + 800014a8: 07913423 sd s9,104(sp) + 800014ac: 05b13c23 sd s11,88(sp) + 800014b0: 0a113c23 sd ra,184(sp) + 800014b4: 0a813823 sd s0,176(sp) + 800014b8: 0a913423 sd s1,168(sp) + 800014bc: 0b213023 sd s2,160(sp) + 800014c0: 09313c23 sd s3,152(sp) + 800014c4: 07a13023 sd s10,96(sp) + 800014c8: 02a13023 sd a0,32(sp) + 800014cc: 03113423 sd a7,40(sp) + 800014d0: 0c812a03 lw s4,200(sp) + 800014d4: 00070d93 mv s11,a4 + 800014d8: 00058b13 mv s6,a1 + 800014dc: 00060b93 mv s7,a2 + 800014e0: 00068c13 mv s8,a3 + 800014e4: 00078c93 mv s9,a5 + 800014e8: 00080a93 mv s5,a6 + 800014ec: 00071863 bnez a4,800014fc <_ntoa_long+0x6c> + 800014f0: 400a7793 andi a5,s4,1024 + 800014f4: fefa7a13 andi s4,s4,-17 + 800014f8: 0e079663 bnez a5,800015e4 <_ntoa_long+0x154> + 800014fc: 020a7793 andi a5,s4,32 + 80001500: 06100413 li s0,97 + 80001504: 0c079c63 bnez a5,800015dc <_ntoa_long+0x14c> + 80001508: 00000d13 li s10,0 + 8000150c: 03010493 addi s1,sp,48 + 80001510: 00900913 li s2,9 + 80001514: ff64041b addiw s0,s0,-10 + 80001518: 02000993 li s3,32 + 8000151c: 00c0006f j 80001528 <_ntoa_long+0x98> + 80001520: 053d0463 beq s10,s3,80001568 <_ntoa_long+0xd8> + 80001524: 00050d93 mv s11,a0 + 80001528: 000a8593 mv a1,s5 + 8000152c: 000d8513 mv a0,s11 + 80001530: da0ff0ef jal ra,80000ad0 <__umoddi3> + 80001534: 0ff57313 andi t1,a0,255 + 80001538: 0303071b addiw a4,t1,48 + 8000153c: 0064033b addw t1,s0,t1 + 80001540: 0ff37313 andi t1,t1,255 + 80001544: 00a96463 bltu s2,a0,8000154c <_ntoa_long+0xbc> + 80001548: 0ff77313 andi t1,a4,255 + 8000154c: 001d0d13 addi s10,s10,1 + 80001550: 01a48733 add a4,s1,s10 + 80001554: 000d8513 mv a0,s11 + 80001558: 000a8593 mv a1,s5 + 8000155c: fe670fa3 sb t1,-1(a4) + 80001560: d28ff0ef jal ra,80000a88 <__udivdi3> + 80001564: fb5dfee3 bgeu s11,s5,80001520 <_ntoa_long+0x90> + 80001568: 0c012703 lw a4,192(sp) + 8000156c: 02813783 ld a5,40(sp) + 80001570: 02013503 ld a0,32(sp) + 80001574: 01413823 sd s4,16(sp) + 80001578: 00e13423 sd a4,8(sp) + 8000157c: 00f13023 sd a5,0(sp) + 80001580: 000a889b sext.w a7,s5 + 80001584: 000c8813 mv a6,s9 + 80001588: 000d0793 mv a5,s10 + 8000158c: 00048713 mv a4,s1 + 80001590: 000c0693 mv a3,s8 + 80001594: 000b8613 mv a2,s7 + 80001598: 000b0593 mv a1,s6 + 8000159c: a5dff0ef jal ra,80000ff8 <_ntoa_format> + 800015a0: 0b813083 ld ra,184(sp) + 800015a4: 0b013403 ld s0,176(sp) + 800015a8: 0a813483 ld s1,168(sp) + 800015ac: 0a013903 ld s2,160(sp) + 800015b0: 09813983 ld s3,152(sp) + 800015b4: 09013a03 ld s4,144(sp) + 800015b8: 08813a83 ld s5,136(sp) + 800015bc: 08013b03 ld s6,128(sp) + 800015c0: 07813b83 ld s7,120(sp) + 800015c4: 07013c03 ld s8,112(sp) + 800015c8: 06813c83 ld s9,104(sp) + 800015cc: 06013d03 ld s10,96(sp) + 800015d0: 05813d83 ld s11,88(sp) + 800015d4: 0c010113 addi sp,sp,192 + 800015d8: 00008067 ret + 800015dc: 04100413 li s0,65 + 800015e0: f29ff06f j 80001508 <_ntoa_long+0x78> + 800015e4: 00000d13 li s10,0 + 800015e8: 03010493 addi s1,sp,48 + 800015ec: f7dff06f j 80001568 <_ntoa_long+0xd8> + +00000000800015f0 <_ntoa_long_long>: + 800015f0: f4010113 addi sp,sp,-192 + 800015f4: 09413823 sd s4,144(sp) + 800015f8: 09513423 sd s5,136(sp) + 800015fc: 09613023 sd s6,128(sp) + 80001600: 07713c23 sd s7,120(sp) + 80001604: 07813823 sd s8,112(sp) + 80001608: 07913423 sd s9,104(sp) + 8000160c: 05b13c23 sd s11,88(sp) + 80001610: 0a113c23 sd ra,184(sp) + 80001614: 0a813823 sd s0,176(sp) + 80001618: 0a913423 sd s1,168(sp) + 8000161c: 0b213023 sd s2,160(sp) + 80001620: 09313c23 sd s3,152(sp) + 80001624: 07a13023 sd s10,96(sp) + 80001628: 02a13023 sd a0,32(sp) + 8000162c: 03113423 sd a7,40(sp) + 80001630: 0c812a03 lw s4,200(sp) + 80001634: 00070d93 mv s11,a4 + 80001638: 00058b13 mv s6,a1 + 8000163c: 00060b93 mv s7,a2 + 80001640: 00068c13 mv s8,a3 + 80001644: 00078c93 mv s9,a5 + 80001648: 00080a93 mv s5,a6 + 8000164c: 00071863 bnez a4,8000165c <_ntoa_long_long+0x6c> + 80001650: 400a7793 andi a5,s4,1024 + 80001654: fefa7a13 andi s4,s4,-17 + 80001658: 0e079663 bnez a5,80001744 <_ntoa_long_long+0x154> + 8000165c: 020a7793 andi a5,s4,32 + 80001660: 06100413 li s0,97 + 80001664: 0c079c63 bnez a5,8000173c <_ntoa_long_long+0x14c> + 80001668: 00000d13 li s10,0 + 8000166c: 03010493 addi s1,sp,48 + 80001670: 00900913 li s2,9 + 80001674: ff64041b addiw s0,s0,-10 + 80001678: 02000993 li s3,32 + 8000167c: 00c0006f j 80001688 <_ntoa_long_long+0x98> + 80001680: 053d0463 beq s10,s3,800016c8 <_ntoa_long_long+0xd8> + 80001684: 00050d93 mv s11,a0 + 80001688: 000a8593 mv a1,s5 + 8000168c: 000d8513 mv a0,s11 + 80001690: c40ff0ef jal ra,80000ad0 <__umoddi3> + 80001694: 0ff57313 andi t1,a0,255 + 80001698: 0303071b addiw a4,t1,48 + 8000169c: 0064033b addw t1,s0,t1 + 800016a0: 0ff37313 andi t1,t1,255 + 800016a4: 00a96463 bltu s2,a0,800016ac <_ntoa_long_long+0xbc> + 800016a8: 0ff77313 andi t1,a4,255 + 800016ac: 001d0d13 addi s10,s10,1 + 800016b0: 01a48733 add a4,s1,s10 + 800016b4: 000d8513 mv a0,s11 + 800016b8: 000a8593 mv a1,s5 + 800016bc: fe670fa3 sb t1,-1(a4) + 800016c0: bc8ff0ef jal ra,80000a88 <__udivdi3> + 800016c4: fb5dfee3 bgeu s11,s5,80001680 <_ntoa_long_long+0x90> + 800016c8: 0c012703 lw a4,192(sp) + 800016cc: 02813783 ld a5,40(sp) + 800016d0: 02013503 ld a0,32(sp) + 800016d4: 01413823 sd s4,16(sp) + 800016d8: 00e13423 sd a4,8(sp) + 800016dc: 00f13023 sd a5,0(sp) + 800016e0: 000a889b sext.w a7,s5 + 800016e4: 000c8813 mv a6,s9 + 800016e8: 000d0793 mv a5,s10 + 800016ec: 00048713 mv a4,s1 + 800016f0: 000c0693 mv a3,s8 + 800016f4: 000b8613 mv a2,s7 + 800016f8: 000b0593 mv a1,s6 + 800016fc: 8fdff0ef jal ra,80000ff8 <_ntoa_format> + 80001700: 0b813083 ld ra,184(sp) + 80001704: 0b013403 ld s0,176(sp) + 80001708: 0a813483 ld s1,168(sp) + 8000170c: 0a013903 ld s2,160(sp) + 80001710: 09813983 ld s3,152(sp) + 80001714: 09013a03 ld s4,144(sp) + 80001718: 08813a83 ld s5,136(sp) + 8000171c: 08013b03 ld s6,128(sp) + 80001720: 07813b83 ld s7,120(sp) + 80001724: 07013c03 ld s8,112(sp) + 80001728: 06813c83 ld s9,104(sp) + 8000172c: 06013d03 ld s10,96(sp) + 80001730: 05813d83 ld s11,88(sp) + 80001734: 0c010113 addi sp,sp,192 + 80001738: 00008067 ret + 8000173c: 04100413 li s0,65 + 80001740: f29ff06f j 80001668 <_ntoa_long_long+0x78> + 80001744: 00000d13 li s10,0 + 80001748: 03010493 addi s1,sp,48 + 8000174c: f7dff06f j 800016c8 <_ntoa_long_long+0xd8> + +0000000080001750 <_vsnprintf>: + 80001750: f6010113 addi sp,sp,-160 + 80001754: 08913423 sd s1,136(sp) + 80001758: 09213023 sd s2,128(sp) + 8000175c: 07313c23 sd s3,120(sp) + 80001760: 05713c23 sd s7,88(sp) + 80001764: 05813823 sd s8,80(sp) + 80001768: 08113c23 sd ra,152(sp) + 8000176c: 08813823 sd s0,144(sp) + 80001770: 07413823 sd s4,112(sp) + 80001774: 07513423 sd s5,104(sp) + 80001778: 07613023 sd s6,96(sp) + 8000177c: 05913423 sd s9,72(sp) + 80001780: 05a13023 sd s10,64(sp) + 80001784: 03b13c23 sd s11,56(sp) + 80001788: 00058493 mv s1,a1 + 8000178c: 00060c13 mv s8,a2 + 80001790: 00068b93 mv s7,a3 + 80001794: 00070993 mv s3,a4 + 80001798: 00000917 auipc s2,0x0 + 8000179c: 85c90913 addi s2,s2,-1956 # 80000ff4 <_out_null> + 800017a0: 00058463 beqz a1,800017a8 <_vsnprintf+0x58> + 800017a4: 00050913 mv s2,a0 + 800017a8: 000bc503 lbu a0,0(s7) + 800017ac: 00000d13 li s10,0 + 800017b0: 66050663 beqz a0,80001e1c <_vsnprintf+0x6cc> + 800017b4: 000107b7 lui a5,0x10 + 800017b8: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800017bc: 02500a13 li s4,37 + 800017c0: 00001417 auipc s0,0x1 + 800017c4: 22840413 addi s0,s0,552 # 800029e8 + 800017c8: 00f13c23 sd a5,24(sp) + 800017cc: 0200006f j 800017ec <_vsnprintf+0x9c> + 800017d0: 000d0613 mv a2,s10 + 800017d4: 000c0693 mv a3,s8 + 800017d8: 00048593 mv a1,s1 + 800017dc: 001d0d13 addi s10,s10,1 + 800017e0: 000900e7 jalr s2 + 800017e4: 000bc503 lbu a0,0(s7) + 800017e8: 1c050263 beqz a0,800019ac <_vsnprintf+0x25c> + 800017ec: 001b8b93 addi s7,s7,1 + 800017f0: ff4510e3 bne a0,s4,800017d0 <_vsnprintf+0x80> + 800017f4: 00000593 li a1,0 + 800017f8: 01000813 li a6,16 + 800017fc: 000bc503 lbu a0,0(s7) + 80001800: 001b8713 addi a4,s7,1 + 80001804: 00070613 mv a2,a4 + 80001808: fe05079b addiw a5,a0,-32 + 8000180c: 0ff7f793 andi a5,a5,255 + 80001810: 00f86c63 bltu a6,a5,80001828 <_vsnprintf+0xd8> + 80001814: 00279793 slli a5,a5,0x2 + 80001818: 008787b3 add a5,a5,s0 + 8000181c: 0007a783 lw a5,0(a5) + 80001820: 008787b3 add a5,a5,s0 + 80001824: 00078067 jr a5 + 80001828: fd05079b addiw a5,a0,-48 + 8000182c: 0ff7f793 andi a5,a5,255 + 80001830: 00900813 li a6,9 + 80001834: 0ef87c63 bgeu a6,a5,8000192c <_vsnprintf+0x1dc> + 80001838: 02a00793 li a5,42 + 8000183c: 20f50463 beq a0,a5,80001a44 <_vsnprintf+0x2f4> + 80001840: 000b8613 mv a2,s7 + 80001844: 00000d93 li s11,0 + 80001848: 00070b93 mv s7,a4 + 8000184c: 02e00793 li a5,46 + 80001850: 00000c93 li s9,0 + 80001854: 12f50463 beq a0,a5,8000197c <_vsnprintf+0x22c> + 80001858: f985079b addiw a5,a0,-104 + 8000185c: 0ff7f793 andi a5,a5,255 + 80001860: 01200713 li a4,18 + 80001864: 08f76463 bltu a4,a5,800018ec <_vsnprintf+0x19c> + 80001868: 00001717 auipc a4,0x1 + 8000186c: 1c470713 addi a4,a4,452 # 80002a2c + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00e787b3 add a5,a5,a4 + 80001878: 0007a783 lw a5,0(a5) + 8000187c: 00e787b3 add a5,a5,a4 + 80001880: 00078067 jr a5 + 80001884: 0015e593 ori a1,a1,1 + 80001888: 0005859b sext.w a1,a1 + 8000188c: 00070b93 mv s7,a4 + 80001890: f6dff06f j 800017fc <_vsnprintf+0xac> + 80001894: 0025e593 ori a1,a1,2 + 80001898: 0005859b sext.w a1,a1 + 8000189c: 00070b93 mv s7,a4 + 800018a0: f5dff06f j 800017fc <_vsnprintf+0xac> + 800018a4: 0045e593 ori a1,a1,4 + 800018a8: 0005859b sext.w a1,a1 + 800018ac: 00070b93 mv s7,a4 + 800018b0: f4dff06f j 800017fc <_vsnprintf+0xac> + 800018b4: 0105e593 ori a1,a1,16 + 800018b8: 0005859b sext.w a1,a1 + 800018bc: 00070b93 mv s7,a4 + 800018c0: f3dff06f j 800017fc <_vsnprintf+0xac> + 800018c4: 0085e593 ori a1,a1,8 + 800018c8: 0005859b sext.w a1,a1 + 800018cc: 00070b93 mv s7,a4 + 800018d0: f2dff06f j 800017fc <_vsnprintf+0xac> + 800018d4: 00164503 lbu a0,1(a2) + 800018d8: 06800793 li a5,104 + 800018dc: 52f50663 beq a0,a5,80001e08 <_vsnprintf+0x6b8> + 800018e0: 0805e593 ori a1,a1,128 + 800018e4: 0005859b sext.w a1,a1 + 800018e8: 001b8b93 addi s7,s7,1 + 800018ec: fdb5079b addiw a5,a0,-37 + 800018f0: 0ff7f793 andi a5,a5,255 + 800018f4: 05300713 li a4,83 + 800018f8: ecf76ce3 bltu a4,a5,800017d0 <_vsnprintf+0x80> + 800018fc: 00001717 auipc a4,0x1 + 80001900: 17c70713 addi a4,a4,380 # 80002a78 + 80001904: 00279793 slli a5,a5,0x2 + 80001908: 00e787b3 add a5,a5,a4 + 8000190c: 0007a783 lw a5,0(a5) + 80001910: 00e787b3 add a5,a5,a4 + 80001914: 00078067 jr a5 + 80001918: 00164503 lbu a0,1(a2) + 8000191c: 1005e593 ori a1,a1,256 + 80001920: 0005859b sext.w a1,a1 + 80001924: 001b8b93 addi s7,s7,1 + 80001928: fc5ff06f j 800018ec <_vsnprintf+0x19c> + 8000192c: 00000d93 li s11,0 + 80001930: 00900813 li a6,9 + 80001934: 0080006f j 8000193c <_vsnprintf+0x1ec> + 80001938: 00170713 addi a4,a4,1 + 8000193c: 002d979b slliw a5,s11,0x2 + 80001940: 01b787bb addw a5,a5,s11 + 80001944: 0017979b slliw a5,a5,0x1 + 80001948: 00a787bb addw a5,a5,a0 + 8000194c: 00074503 lbu a0,0(a4) + 80001950: 000b8893 mv a7,s7 + 80001954: fd078d9b addiw s11,a5,-48 + 80001958: fd05061b addiw a2,a0,-48 + 8000195c: 0ff67613 andi a2,a2,255 + 80001960: 00070b93 mv s7,a4 + 80001964: fcc87ae3 bgeu a6,a2,80001938 <_vsnprintf+0x1e8> + 80001968: 02e00793 li a5,46 + 8000196c: 00070613 mv a2,a4 + 80001970: 00288b93 addi s7,a7,2 + 80001974: 00000c93 li s9,0 + 80001978: eef510e3 bne a0,a5,80001858 <_vsnprintf+0x108> + 8000197c: 00164503 lbu a0,1(a2) + 80001980: 4005e593 ori a1,a1,1024 + 80001984: 00900713 li a4,9 + 80001988: fd05079b addiw a5,a0,-48 + 8000198c: 0ff7f793 andi a5,a5,255 + 80001990: 0005859b sext.w a1,a1 + 80001994: 06f77c63 bgeu a4,a5,80001a0c <_vsnprintf+0x2bc> + 80001998: 02a00793 li a5,42 + 8000199c: 32f50e63 beq a0,a5,80001cd8 <_vsnprintf+0x588> + 800019a0: 000b8613 mv a2,s7 + 800019a4: 001b8b93 addi s7,s7,1 + 800019a8: eb1ff06f j 80001858 <_vsnprintf+0x108> + 800019ac: 000d041b sext.w s0,s10 + 800019b0: 018d6463 bltu s10,s8,800019b8 <_vsnprintf+0x268> + 800019b4: fffc0d13 addi s10,s8,-1 + 800019b8: 000c0693 mv a3,s8 + 800019bc: 000d0613 mv a2,s10 + 800019c0: 00048593 mv a1,s1 + 800019c4: 00000513 li a0,0 + 800019c8: 000900e7 jalr s2 + 800019cc: 09813083 ld ra,152(sp) + 800019d0: 00040513 mv a0,s0 + 800019d4: 09013403 ld s0,144(sp) + 800019d8: 08813483 ld s1,136(sp) + 800019dc: 08013903 ld s2,128(sp) + 800019e0: 07813983 ld s3,120(sp) + 800019e4: 07013a03 ld s4,112(sp) + 800019e8: 06813a83 ld s5,104(sp) + 800019ec: 06013b03 ld s6,96(sp) + 800019f0: 05813b83 ld s7,88(sp) + 800019f4: 05013c03 ld s8,80(sp) + 800019f8: 04813c83 ld s9,72(sp) + 800019fc: 04013d03 ld s10,64(sp) + 80001a00: 03813d83 ld s11,56(sp) + 80001a04: 0a010113 addi sp,sp,160 + 80001a08: 00008067 ret + 80001a0c: 002c979b slliw a5,s9,0x2 + 80001a10: 019788bb addw a7,a5,s9 + 80001a14: 000b8813 mv a6,s7 + 80001a18: 0018989b slliw a7,a7,0x1 + 80001a1c: 001b8b93 addi s7,s7,1 + 80001a20: 00a888bb addw a7,a7,a0 + 80001a24: 000bc503 lbu a0,0(s7) + 80001a28: fd088c9b addiw s9,a7,-48 + 80001a2c: fd05079b addiw a5,a0,-48 + 80001a30: 0ff7f793 andi a5,a5,255 + 80001a34: fcf77ce3 bgeu a4,a5,80001a0c <_vsnprintf+0x2bc> + 80001a38: 000b8613 mv a2,s7 + 80001a3c: 00280b93 addi s7,a6,2 + 80001a40: e19ff06f j 80001858 <_vsnprintf+0x108> + 80001a44: 0009a783 lw a5,0(s3) + 80001a48: 00898993 addi s3,s3,8 + 80001a4c: 00078d9b sext.w s11,a5 + 80001a50: 0007c863 bltz a5,80001a60 <_vsnprintf+0x310> + 80001a54: 001bc503 lbu a0,1(s7) + 80001a58: 002b8b93 addi s7,s7,2 + 80001a5c: df1ff06f j 8000184c <_vsnprintf+0xfc> + 80001a60: 0025e593 ori a1,a1,2 + 80001a64: 001bc503 lbu a0,1(s7) + 80001a68: 0005859b sext.w a1,a1 + 80001a6c: 40f00dbb negw s11,a5 + 80001a70: 002b8b93 addi s7,s7,2 + 80001a74: dd9ff06f j 8000184c <_vsnprintf+0xfc> + 80001a78: 07800793 li a5,120 + 80001a7c: 00898a93 addi s5,s3,8 + 80001a80: 56f50063 beq a0,a5,80001fe0 <_vsnprintf+0x890> + 80001a84: 05800793 li a5,88 + 80001a88: 28f50463 beq a0,a5,80001d10 <_vsnprintf+0x5c0> + 80001a8c: 06f00793 li a5,111 + 80001a90: 4af50c63 beq a0,a5,80001f48 <_vsnprintf+0x7f8> + 80001a94: 06200793 li a5,98 + 80001a98: 54f50063 beq a0,a5,80001fd8 <_vsnprintf+0x888> + 80001a9c: fef5ff13 andi t5,a1,-17 + 80001aa0: 4005f793 andi a5,a1,1024 + 80001aa4: 06900713 li a4,105 + 80001aa8: 000f0f1b sext.w t5,t5 + 80001aac: 0007879b sext.w a5,a5 + 80001ab0: 5ae51063 bne a0,a4,80002050 <_vsnprintf+0x900> + 80001ab4: 48079663 bnez a5,80001f40 <_vsnprintf+0x7f0> + 80001ab8: 2005f593 andi a1,a1,512 + 80001abc: 0005879b sext.w a5,a1 + 80001ac0: 00a00813 li a6,10 + 80001ac4: 42079663 bnez a5,80001ef0 <_vsnprintf+0x7a0> + 80001ac8: 100f7793 andi a5,t5,256 + 80001acc: 000f0613 mv a2,t5 + 80001ad0: 4e079063 bnez a5,80001fb0 <_vsnprintf+0x860> + 80001ad4: 040f7713 andi a4,t5,64 + 80001ad8: 0009a783 lw a5,0(s3) + 80001adc: 4a071a63 bnez a4,80001f90 <_vsnprintf+0x840> + 80001ae0: 08067613 andi a2,a2,128 + 80001ae4: 52060a63 beqz a2,80002018 <_vsnprintf+0x8c8> + 80001ae8: 0107979b slliw a5,a5,0x10 + 80001aec: 4107d79b sraiw a5,a5,0x10 + 80001af0: 40f7d61b sraiw a2,a5,0xf + 80001af4: 00c7c733 xor a4,a5,a2 + 80001af8: 40c7073b subw a4,a4,a2 + 80001afc: 03071713 slli a4,a4,0x30 + 80001b00: 03075713 srli a4,a4,0x30 + 80001b04: 000d0613 mv a2,s10 + 80001b08: 01e13423 sd t5,8(sp) + 80001b0c: 01b13023 sd s11,0(sp) + 80001b10: 000c8893 mv a7,s9 + 80001b14: 01f7d79b srliw a5,a5,0x1f + 80001b18: 000c0693 mv a3,s8 + 80001b1c: 00048593 mv a1,s1 + 80001b20: 00090513 mv a0,s2 + 80001b24: 96dff0ef jal ra,80001490 <_ntoa_long> + 80001b28: 00050d13 mv s10,a0 + 80001b2c: 000a8993 mv s3,s5 + 80001b30: cb5ff06f j 800017e4 <_vsnprintf+0x94> + 80001b34: 00164503 lbu a0,1(a2) + 80001b38: 06c00793 li a5,108 + 80001b3c: def510e3 bne a0,a5,8000191c <_vsnprintf+0x1cc> + 80001b40: 3005e593 ori a1,a1,768 + 80001b44: 00264503 lbu a0,2(a2) + 80001b48: 0005859b sext.w a1,a1 + 80001b4c: 00360b93 addi s7,a2,3 + 80001b50: d9dff06f j 800018ec <_vsnprintf+0x19c> + 80001b54: 00898793 addi a5,s3,8 + 80001b58: 001d0813 addi a6,s10,1 + 80001b5c: 0025f593 andi a1,a1,2 + 80001b60: 02f13023 sd a5,32(sp) + 80001b64: 00080b13 mv s6,a6 + 80001b68: 32058263 beqz a1,80001e8c <_vsnprintf+0x73c> + 80001b6c: 0009c503 lbu a0,0(s3) + 80001b70: 000c0693 mv a3,s8 + 80001b74: 000d0613 mv a2,s10 + 80001b78: 00048593 mv a1,s1 + 80001b7c: 000900e7 jalr s2 + 80001b80: 00100793 li a5,1 + 80001b84: 43b7f063 bgeu a5,s11,80001fa4 <_vsnprintf+0x854> + 80001b88: ffed879b addiw a5,s11,-2 + 80001b8c: 02079a93 slli s5,a5,0x20 + 80001b90: 020ada93 srli s5,s5,0x20 + 80001b94: 002d0d13 addi s10,s10,2 + 80001b98: 015d0cb3 add s9,s10,s5 + 80001b9c: 000b0613 mv a2,s6 + 80001ba0: 000c0693 mv a3,s8 + 80001ba4: 001b0b13 addi s6,s6,1 + 80001ba8: 00048593 mv a1,s1 + 80001bac: 02000513 li a0,32 + 80001bb0: 000900e7 jalr s2 + 80001bb4: ff6c94e3 bne s9,s6,80001b9c <_vsnprintf+0x44c> + 80001bb8: 02013983 ld s3,32(sp) + 80001bbc: 015d0d33 add s10,s10,s5 + 80001bc0: c25ff06f j 800017e4 <_vsnprintf+0x94> + 80001bc4: 000d0613 mv a2,s10 + 80001bc8: 000c0693 mv a3,s8 + 80001bcc: 00048593 mv a1,s1 + 80001bd0: 02500513 li a0,37 + 80001bd4: 001d0d13 addi s10,s10,1 + 80001bd8: 000900e7 jalr s2 + 80001bdc: c09ff06f j 800017e4 <_vsnprintf+0x94> + 80001be0: 0009ba83 ld s5,0(s3) + 80001be4: 00898793 addi a5,s3,8 + 80001be8: 02f13023 sd a5,32(sp) + 80001bec: 000ac503 lbu a0,0(s5) + 80001bf0: 100c9663 bnez s9,80001cfc <_vsnprintf+0x5ac> + 80001bf4: ffe00793 li a5,-2 + 80001bf8: 42050863 beqz a0,80002028 <_vsnprintf+0x8d8> + 80001bfc: 00178693 addi a3,a5,1 + 80001c00: 00da8633 add a2,s5,a3 + 80001c04: 000a8793 mv a5,s5 + 80001c08: 0080006f j 80001c10 <_vsnprintf+0x4c0> + 80001c0c: 1ec78863 beq a5,a2,80001dfc <_vsnprintf+0x6ac> + 80001c10: 0017c703 lbu a4,1(a5) + 80001c14: 00178793 addi a5,a5,1 + 80001c18: fe071ae3 bnez a4,80001c0c <_vsnprintf+0x4bc> + 80001c1c: 415787bb subw a5,a5,s5 + 80001c20: 00f13823 sd a5,16(sp) + 80001c24: 4005f793 andi a5,a1,1024 + 80001c28: 0007899b sext.w s3,a5 + 80001c2c: 00078c63 beqz a5,80001c44 <_vsnprintf+0x4f4> + 80001c30: 01013703 ld a4,16(sp) + 80001c34: 000c879b sext.w a5,s9 + 80001c38: 01977463 bgeu a4,s9,80001c40 <_vsnprintf+0x4f0> + 80001c3c: 0007079b sext.w a5,a4 + 80001c40: 00f13823 sd a5,16(sp) + 80001c44: 0025f593 andi a1,a1,2 + 80001c48: 0005879b sext.w a5,a1 + 80001c4c: 02f13423 sd a5,40(sp) + 80001c50: 1c058a63 beqz a1,80001e24 <_vsnprintf+0x6d4> + 80001c54: 14050863 beqz a0,80001da4 <_vsnprintf+0x654> + 80001c58: 000d0613 mv a2,s10 + 80001c5c: 00098863 beqz s3,80001c6c <_vsnprintf+0x51c> + 80001c60: fffc879b addiw a5,s9,-1 + 80001c64: 120c8863 beqz s9,80001d94 <_vsnprintf+0x644> + 80001c68: 00078c93 mv s9,a5 + 80001c6c: 000c0693 mv a3,s8 + 80001c70: 00048593 mv a1,s1 + 80001c74: 00160b13 addi s6,a2,1 + 80001c78: 000900e7 jalr s2 + 80001c7c: 41ab07b3 sub a5,s6,s10 + 80001c80: 00fa87b3 add a5,s5,a5 + 80001c84: 0007c503 lbu a0,0(a5) + 80001c88: 10050863 beqz a0,80001d98 <_vsnprintf+0x648> + 80001c8c: 000b0613 mv a2,s6 + 80001c90: fcdff06f j 80001c5c <_vsnprintf+0x50c> + 80001c94: 0009b703 ld a4,0(s3) + 80001c98: 0215e593 ori a1,a1,33 + 80001c9c: 0005859b sext.w a1,a1 + 80001ca0: 01000793 li a5,16 + 80001ca4: 000d0613 mv a2,s10 + 80001ca8: 00b13423 sd a1,8(sp) + 80001cac: 00f13023 sd a5,0(sp) + 80001cb0: 000c8893 mv a7,s9 + 80001cb4: 01000813 li a6,16 + 80001cb8: 00000793 li a5,0 + 80001cbc: 000c0693 mv a3,s8 + 80001cc0: 00048593 mv a1,s1 + 80001cc4: 00090513 mv a0,s2 + 80001cc8: 929ff0ef jal ra,800015f0 <_ntoa_long_long> + 80001ccc: 00898993 addi s3,s3,8 + 80001cd0: 00050d13 mv s10,a0 + 80001cd4: b11ff06f j 800017e4 <_vsnprintf+0x94> + 80001cd8: 0009a883 lw a7,0(s3) + 80001cdc: 00264503 lbu a0,2(a2) + 80001ce0: 00360b93 addi s7,a2,3 + 80001ce4: fff8c793 not a5,a7 + 80001ce8: 43f7d793 srai a5,a5,0x3f + 80001cec: 00f8fcb3 and s9,a7,a5 + 80001cf0: 00898993 addi s3,s3,8 + 80001cf4: 00260613 addi a2,a2,2 + 80001cf8: b61ff06f j 80001858 <_vsnprintf+0x108> + 80001cfc: 020c9793 slli a5,s9,0x20 + 80001d00: 0207d793 srli a5,a5,0x20 + 80001d04: 32050263 beqz a0,80002028 <_vsnprintf+0x8d8> + 80001d08: fff78793 addi a5,a5,-1 + 80001d0c: ef1ff06f j 80001bfc <_vsnprintf+0x4ac> + 80001d10: ff35ff13 andi t5,a1,-13 + 80001d14: 000f0f1b sext.w t5,t5 + 80001d18: 4005f793 andi a5,a1,1024 + 80001d1c: 020f6f13 ori t5,t5,32 + 80001d20: 20078863 beqz a5,80001f30 <_vsnprintf+0x7e0> + 80001d24: 01000813 li a6,16 + 80001d28: ffef7f13 andi t5,t5,-2 + 80001d2c: 000f0f1b sext.w t5,t5 + 80001d30: 200f7793 andi a5,t5,512 + 80001d34: 06900713 li a4,105 + 80001d38: 0007879b sext.w a5,a5 + 80001d3c: d8e504e3 beq a0,a4,80001ac4 <_vsnprintf+0x374> + 80001d40: 06400713 li a4,100 + 80001d44: d8e500e3 beq a0,a4,80001ac4 <_vsnprintf+0x374> + 80001d48: 22079663 bnez a5,80001f74 <_vsnprintf+0x824> + 80001d4c: 100f7793 andi a5,t5,256 + 80001d50: 000f0713 mv a4,t5 + 80001d54: 2a079463 bnez a5,80001ffc <_vsnprintf+0x8ac> + 80001d58: 040f7793 andi a5,t5,64 + 80001d5c: 24079063 bnez a5,80001f9c <_vsnprintf+0x84c> + 80001d60: 08077713 andi a4,a4,128 + 80001d64: 2c070663 beqz a4,80002030 <_vsnprintf+0x8e0> + 80001d68: 0009a703 lw a4,0(s3) + 80001d6c: 01813783 ld a5,24(sp) + 80001d70: 00e7f733 and a4,a5,a4 + 80001d74: 02071713 slli a4,a4,0x20 + 80001d78: 000d0613 mv a2,s10 + 80001d7c: 01e13423 sd t5,8(sp) + 80001d80: 01b13023 sd s11,0(sp) + 80001d84: 000c8893 mv a7,s9 + 80001d88: 00000793 li a5,0 + 80001d8c: 02075713 srli a4,a4,0x20 + 80001d90: d89ff06f j 80001b18 <_vsnprintf+0x3c8> + 80001d94: 00060b13 mv s6,a2 + 80001d98: 02813783 ld a5,40(sp) + 80001d9c: 04078a63 beqz a5,80001df0 <_vsnprintf+0x6a0> + 80001da0: 000b0d13 mv s10,s6 + 80001da4: 01013703 ld a4,16(sp) + 80001da8: 0db77e63 bgeu a4,s11,80001e84 <_vsnprintf+0x734> + 80001dac: fffd879b addiw a5,s11,-1 + 80001db0: 40e7873b subw a4,a5,a4 + 80001db4: 02071713 slli a4,a4,0x20 + 80001db8: 02075713 srli a4,a4,0x20 + 80001dbc: 001d0813 addi a6,s10,1 + 80001dc0: 01070b33 add s6,a4,a6 + 80001dc4: 0080006f j 80001dcc <_vsnprintf+0x67c> + 80001dc8: 00180813 addi a6,a6,1 + 80001dcc: 000d0613 mv a2,s10 + 80001dd0: 01013823 sd a6,16(sp) + 80001dd4: 000c0693 mv a3,s8 + 80001dd8: 00048593 mv a1,s1 + 80001ddc: 02000513 li a0,32 + 80001de0: 00080d13 mv s10,a6 + 80001de4: 000900e7 jalr s2 + 80001de8: 01013803 ld a6,16(sp) + 80001dec: fd0b1ee3 bne s6,a6,80001dc8 <_vsnprintf+0x678> + 80001df0: 02013983 ld s3,32(sp) + 80001df4: 000b0d13 mv s10,s6 + 80001df8: 9edff06f j 800017e4 <_vsnprintf+0x94> + 80001dfc: 0006879b sext.w a5,a3 + 80001e00: 00f13823 sd a5,16(sp) + 80001e04: e21ff06f j 80001c24 <_vsnprintf+0x4d4> + 80001e08: 0c05e593 ori a1,a1,192 + 80001e0c: 00264503 lbu a0,2(a2) + 80001e10: 0005859b sext.w a1,a1 + 80001e14: 00360b93 addi s7,a2,3 + 80001e18: ad5ff06f j 800018ec <_vsnprintf+0x19c> + 80001e1c: 00000413 li s0,0 + 80001e20: b91ff06f j 800019b0 <_vsnprintf+0x260> + 80001e24: 01013703 ld a4,16(sp) + 80001e28: 0017079b addiw a5,a4,1 + 80001e2c: 21b77c63 bgeu a4,s11,80002044 <_vsnprintf+0x8f4> + 80001e30: fffd879b addiw a5,s11,-1 + 80001e34: 40e787bb subw a5,a5,a4 + 80001e38: 02079793 slli a5,a5,0x20 + 80001e3c: 0207d793 srli a5,a5,0x20 + 80001e40: 001d0813 addi a6,s10,1 + 80001e44: 01078b33 add s6,a5,a6 + 80001e48: 00c0006f j 80001e54 <_vsnprintf+0x704> + 80001e4c: 01013803 ld a6,16(sp) + 80001e50: 00180813 addi a6,a6,1 + 80001e54: 000d0613 mv a2,s10 + 80001e58: 000c0693 mv a3,s8 + 80001e5c: 00080d13 mv s10,a6 + 80001e60: 01013823 sd a6,16(sp) + 80001e64: 00048593 mv a1,s1 + 80001e68: 02000513 li a0,32 + 80001e6c: 000900e7 jalr s2 + 80001e70: fd6d1ee3 bne s10,s6,80001e4c <_vsnprintf+0x6fc> + 80001e74: 000ac503 lbu a0,0(s5) + 80001e78: 001d879b addiw a5,s11,1 + 80001e7c: 00f13823 sd a5,16(sp) + 80001e80: dc051ce3 bnez a0,80001c58 <_vsnprintf+0x508> + 80001e84: 000d0b13 mv s6,s10 + 80001e88: f69ff06f j 80001df0 <_vsnprintf+0x6a0> + 80001e8c: 00100793 li a5,1 + 80001e90: 1bb7f463 bgeu a5,s11,80002038 <_vsnprintf+0x8e8> + 80001e94: ffed879b addiw a5,s11,-2 + 80001e98: 02079a93 slli s5,a5,0x20 + 80001e9c: 020ada93 srli s5,s5,0x20 + 80001ea0: 010a8ab3 add s5,s5,a6 + 80001ea4: 00c0006f j 80001eb0 <_vsnprintf+0x760> + 80001ea8: 01013803 ld a6,16(sp) + 80001eac: 00180813 addi a6,a6,1 + 80001eb0: 000d0613 mv a2,s10 + 80001eb4: 000c0693 mv a3,s8 + 80001eb8: 00080d13 mv s10,a6 + 80001ebc: 01013823 sd a6,16(sp) + 80001ec0: 00048593 mv a1,s1 + 80001ec4: 02000513 li a0,32 + 80001ec8: 000900e7 jalr s2 + 80001ecc: fdaa9ee3 bne s5,s10,80001ea8 <_vsnprintf+0x758> + 80001ed0: 001a8d13 addi s10,s5,1 + 80001ed4: 0009c503 lbu a0,0(s3) + 80001ed8: 000c0693 mv a3,s8 + 80001edc: 000a8613 mv a2,s5 + 80001ee0: 00048593 mv a1,s1 + 80001ee4: 000900e7 jalr s2 + 80001ee8: 02013983 ld s3,32(sp) + 80001eec: 8f9ff06f j 800017e4 <_vsnprintf+0x94> + 80001ef0: 0009b783 ld a5,0(s3) + 80001ef4: 000d0613 mv a2,s10 + 80001ef8: 01e13423 sd t5,8(sp) + 80001efc: 43f7d713 srai a4,a5,0x3f + 80001f00: 00f745b3 xor a1,a4,a5 + 80001f04: 01b13023 sd s11,0(sp) + 80001f08: 000c8893 mv a7,s9 + 80001f0c: 03f7d793 srli a5,a5,0x3f + 80001f10: 40e58733 sub a4,a1,a4 + 80001f14: 000c0693 mv a3,s8 + 80001f18: 00048593 mv a1,s1 + 80001f1c: 00090513 mv a0,s2 + 80001f20: ed0ff0ef jal ra,800015f0 <_ntoa_long_long> + 80001f24: 00050d13 mv s10,a0 + 80001f28: 000a8993 mv s3,s5 + 80001f2c: 8b9ff06f j 800017e4 <_vsnprintf+0x94> + 80001f30: 2005f793 andi a5,a1,512 + 80001f34: 0007879b sext.w a5,a5 + 80001f38: 01000813 li a6,16 + 80001f3c: e0dff06f j 80001d48 <_vsnprintf+0x5f8> + 80001f40: 00a00813 li a6,10 + 80001f44: de5ff06f j 80001d28 <_vsnprintf+0x5d8> + 80001f48: 00800813 li a6,8 + 80001f4c: 00058f13 mv t5,a1 + 80001f50: 400f7713 andi a4,t5,1024 + 80001f54: 06400613 li a2,100 + 80001f58: 000f0793 mv a5,t5 + 80001f5c: 0007071b sext.w a4,a4 + 80001f60: 0ec51c63 bne a0,a2,80002058 <_vsnprintf+0x908> + 80001f64: dc0712e3 bnez a4,80001d28 <_vsnprintf+0x5d8> + 80001f68: 2007f793 andi a5,a5,512 + 80001f6c: 0007879b sext.w a5,a5 + 80001f70: b55ff06f j 80001ac4 <_vsnprintf+0x374> + 80001f74: 0009b703 ld a4,0(s3) + 80001f78: 000d0613 mv a2,s10 + 80001f7c: 01e13423 sd t5,8(sp) + 80001f80: 01b13023 sd s11,0(sp) + 80001f84: 000c8893 mv a7,s9 + 80001f88: 00000793 li a5,0 + 80001f8c: f89ff06f j 80001f14 <_vsnprintf+0x7c4> + 80001f90: 0ff7f793 andi a5,a5,255 + 80001f94: 00078713 mv a4,a5 + 80001f98: b6dff06f j 80001b04 <_vsnprintf+0x3b4> + 80001f9c: 0009c703 lbu a4,0(s3) + 80001fa0: dd5ff06f j 80001d74 <_vsnprintf+0x624> + 80001fa4: 02013983 ld s3,32(sp) + 80001fa8: 000b0d13 mv s10,s6 + 80001fac: 839ff06f j 800017e4 <_vsnprintf+0x94> + 80001fb0: 0009b783 ld a5,0(s3) + 80001fb4: 000d0613 mv a2,s10 + 80001fb8: 01e13423 sd t5,8(sp) + 80001fbc: 43f7d713 srai a4,a5,0x3f + 80001fc0: 00f745b3 xor a1,a4,a5 + 80001fc4: 01b13023 sd s11,0(sp) + 80001fc8: 000c8893 mv a7,s9 + 80001fcc: 03f7d793 srli a5,a5,0x3f + 80001fd0: 40e58733 sub a4,a1,a4 + 80001fd4: b45ff06f j 80001b18 <_vsnprintf+0x3c8> + 80001fd8: 00200813 li a6,2 + 80001fdc: f71ff06f j 80001f4c <_vsnprintf+0x7fc> + 80001fe0: 4005f713 andi a4,a1,1024 + 80001fe4: 0007071b sext.w a4,a4 + 80001fe8: 01000813 li a6,16 + 80001fec: ff35f593 andi a1,a1,-13 + 80001ff0: 00058f1b sext.w t5,a1 + 80001ff4: d2071ae3 bnez a4,80001d28 <_vsnprintf+0x5d8> + 80001ff8: d39ff06f j 80001d30 <_vsnprintf+0x5e0> + 80001ffc: 0009b703 ld a4,0(s3) + 80002000: 000d0613 mv a2,s10 + 80002004: 01e13423 sd t5,8(sp) + 80002008: 01b13023 sd s11,0(sp) + 8000200c: 000c8893 mv a7,s9 + 80002010: 00000793 li a5,0 + 80002014: b05ff06f j 80001b18 <_vsnprintf+0x3c8> + 80002018: 41f7d61b sraiw a2,a5,0x1f + 8000201c: 00c7c733 xor a4,a5,a2 + 80002020: 40c7073b subw a4,a4,a2 + 80002024: ae1ff06f j 80001b04 <_vsnprintf+0x3b4> + 80002028: 00013823 sd zero,16(sp) + 8000202c: bf9ff06f j 80001c24 <_vsnprintf+0x4d4> + 80002030: 0009a703 lw a4,0(s3) + 80002034: d41ff06f j 80001d74 <_vsnprintf+0x624> + 80002038: 000d0a93 mv s5,s10 + 8000203c: 00080d13 mv s10,a6 + 80002040: e95ff06f j 80001ed4 <_vsnprintf+0x784> + 80002044: 00f13823 sd a5,16(sp) + 80002048: c00518e3 bnez a0,80001c58 <_vsnprintf+0x508> + 8000204c: e39ff06f j 80001e84 <_vsnprintf+0x734> + 80002050: 00a00813 li a6,10 + 80002054: efdff06f j 80001f50 <_vsnprintf+0x800> + 80002058: 000f0593 mv a1,t5 + 8000205c: f91ff06f j 80001fec <_vsnprintf+0x89c> + +0000000080002060 <_out_char>: + 80002060: 00051463 bnez a0,80002068 <_out_char+0x8> + 80002064: 00008067 ret + 80002068: 985fe06f j 800009ec + +000000008000206c : + 8000206c: fa010113 addi sp,sp,-96 + 80002070: 02810313 addi t1,sp,40 + 80002074: 02b13423 sd a1,40(sp) + 80002078: 02c13823 sd a2,48(sp) + 8000207c: 02d13c23 sd a3,56(sp) + 80002080: 04e13023 sd a4,64(sp) + 80002084: 00050693 mv a3,a0 + 80002088: 00010593 mv a1,sp + 8000208c: 00030713 mv a4,t1 + 80002090: fff00613 li a2,-1 + 80002094: 00000517 auipc a0,0x0 + 80002098: fcc50513 addi a0,a0,-52 # 80002060 <_out_char> + 8000209c: 00113c23 sd ra,24(sp) + 800020a0: 04f13423 sd a5,72(sp) + 800020a4: 05013823 sd a6,80(sp) + 800020a8: 05113c23 sd a7,88(sp) + 800020ac: 00613423 sd t1,8(sp) + 800020b0: ea0ff0ef jal ra,80001750 <_vsnprintf> + 800020b4: 01813083 ld ra,24(sp) + 800020b8: 06010113 addi sp,sp,96 + 800020bc: 00008067 ret + +00000000800020c0 : + 800020c0: 00700713 li a4,7 + 800020c4: 00050793 mv a5,a0 + 800020c8: 0ac77a63 bgeu a4,a2,8000217c + 800020cc: 0ff5f893 andi a7,a1,255 + 800020d0: 00889693 slli a3,a7,0x8 + 800020d4: 0116e6b3 or a3,a3,a7 + 800020d8: 01069713 slli a4,a3,0x10 + 800020dc: 00d766b3 or a3,a4,a3 + 800020e0: 02069713 slli a4,a3,0x20 + 800020e4: 00757813 andi a6,a0,7 + 800020e8: 00d76733 or a4,a4,a3 + 800020ec: 0a080663 beqz a6,80002198 + 800020f0: 00c50633 add a2,a0,a2 + 800020f4: 01178023 sb a7,0(a5) + 800020f8: 00178793 addi a5,a5,1 + 800020fc: 0077f813 andi a6,a5,7 + 80002100: 40f606b3 sub a3,a2,a5 + 80002104: fe0818e3 bnez a6,800020f4 + 80002108: 0066d813 srli a6,a3,0x6 + 8000210c: 04080063 beqz a6,8000214c + 80002110: 00681613 slli a2,a6,0x6 + 80002114: 00078893 mv a7,a5 + 80002118: 00f60633 add a2,a2,a5 + 8000211c: 00e7b023 sd a4,0(a5) + 80002120: 00e7b423 sd a4,8(a5) + 80002124: 00e7b823 sd a4,16(a5) + 80002128: 00e7bc23 sd a4,24(a5) + 8000212c: 02e7b023 sd a4,32(a5) + 80002130: 02e7b423 sd a4,40(a5) + 80002134: 02e7b823 sd a4,48(a5) + 80002138: 02e7bc23 sd a4,56(a5) + 8000213c: 04078793 addi a5,a5,64 + 80002140: fcf61ee3 bne a2,a5,8000211c + 80002144: 00681793 slli a5,a6,0x6 + 80002148: 011787b3 add a5,a5,a7 + 8000214c: 0036d813 srli a6,a3,0x3 + 80002150: 00787813 andi a6,a6,7 + 80002154: 02080263 beqz a6,80002178 + 80002158: 00381613 slli a2,a6,0x3 + 8000215c: 00078893 mv a7,a5 + 80002160: 00f60633 add a2,a2,a5 + 80002164: 00e7b023 sd a4,0(a5) + 80002168: 00878793 addi a5,a5,8 + 8000216c: fef61ce3 bne a2,a5,80002164 + 80002170: 00381793 slli a5,a6,0x3 + 80002174: 011787b3 add a5,a5,a7 + 80002178: 0076f613 andi a2,a3,7 + 8000217c: 0ff5f593 andi a1,a1,255 + 80002180: 00c78733 add a4,a5,a2 + 80002184: 00060863 beqz a2,80002194 + 80002188: 00b78023 sb a1,0(a5) + 8000218c: 00178793 addi a5,a5,1 + 80002190: fef71ce3 bne a4,a5,80002188 + 80002194: 00008067 ret + 80002198: 00060693 mv a3,a2 + 8000219c: f6dff06f j 80002108 + +00000000800021a0 : + 800021a0: 40b506b3 sub a3,a0,a1 + 800021a4: 0005c703 lbu a4,0(a1) + 800021a8: 00d587b3 add a5,a1,a3 + 800021ac: 00158593 addi a1,a1,1 + 800021b0: 00e78023 sb a4,0(a5) + 800021b4: fe0718e3 bnez a4,800021a4 + 800021b8: 00008067 ret + +00000000800021bc : + 800021bc: 1a050e63 beqz a0,80002378 + 800021c0: fd010113 addi sp,sp,-48 + 800021c4: 02813023 sd s0,32(sp) + 800021c8: 00913c23 sd s1,24(sp) + 800021cc: 01f57793 andi a5,a0,31 + 800021d0: 02113423 sd ra,40(sp) + 800021d4: 01213823 sd s2,16(sp) + 800021d8: 01313423 sd s3,8(sp) + 800021dc: 01413023 sd s4,0(sp) + 800021e0: 00050493 mv s1,a0 + 800021e4: 00050413 mv s0,a0 + 800021e8: 00000513 li a0,0 + 800021ec: 14079a63 bnez a5,80002340 + 800021f0: 27f00713 li a4,639 + 800021f4: 00058913 mv s2,a1 + 800021f8: 00078513 mv a0,a5 + 800021fc: 14b77263 bgeu a4,a1,80002340 + 80002200: 0074f513 andi a0,s1,7 + 80002204: 00153513 seqz a0,a0 + 80002208: 00060a13 mv s4,a2 + 8000220c: 00068993 mv s3,a3 + 80002210: ff4fe0ef jal ra,80000a04 <_assert> + 80002214: 20048793 addi a5,s1,512 + 80002218: 2004b023 sd zero,512(s1) + 8000221c: 2144b423 sd s4,520(s1) + 80002220: 2134b823 sd s3,528(s1) + 80002224: 00043023 sd zero,0(s0) + 80002228: 00840413 addi s0,s0,8 + 8000222c: fe879ce3 bne a5,s0,80002224 + 80002230: fff00793 li a5,-1 + 80002234: dc090413 addi s0,s2,-576 + 80002238: 03f79793 slli a5,a5,0x3f + 8000223c: 1287f863 bgeu a5,s0,8000236c + 80002240: 00078413 mv s0,a5 + 80002244: 00100513 li a0,1 + 80002248: fbcfe0ef jal ra,80000a04 <_assert> + 8000224c: f8300793 li a5,-125 + 80002250: 0017d793 srli a5,a5,0x1 + 80002254: fc040513 addi a0,s0,-64 + 80002258: 00f53533 sltu a0,a0,a5 + 8000225c: fa8fe0ef jal ra,80000a04 <_assert> + 80002260: 01f4f513 andi a0,s1,31 + 80002264: 00153513 seqz a0,a0 + 80002268: f9cfe0ef jal ra,80000a04 <_assert> + 8000226c: 00100513 li a0,1 + 80002270: 2404b023 sd zero,576(s1) + 80002274: 2404b423 sd zero,584(s1) + 80002278: 2484b823 sd s0,592(s1) + 8000227c: 24048c23 sb zero,600(s1) + 80002280: 2604b023 sd zero,608(s1) + 80002284: 2604b423 sd zero,616(s1) + 80002288: f7cfe0ef jal ra,80000a04 <_assert> + 8000228c: 00100513 li a0,1 + 80002290: f74fe0ef jal ra,80000a04 <_assert> + 80002294: 2504b503 ld a0,592(s1) + 80002298: 24048a13 addi s4,s1,576 + 8000229c: 04053513 sltiu a0,a0,64 + 800022a0: 00154513 xori a0,a0,1 + 800022a4: 00157513 andi a0,a0,1 + 800022a8: f5cfe0ef jal ra,80000a04 <_assert> + 800022ac: 2504b503 ld a0,592(s1) + 800022b0: 03f57513 andi a0,a0,63 + 800022b4: 00153513 seqz a0,a0 + 800022b8: f4cfe0ef jal ra,80000a04 <_assert> + 800022bc: 2504b703 ld a4,592(s1) + 800022c0: 00100793 li a5,1 + 800022c4: 00675713 srli a4,a4,0x6 + 800022c8: 0ae7fc63 bgeu a5,a4,80002380 + 800022cc: 00000793 li a5,0 + 800022d0: 00100693 li a3,1 + 800022d4: 0017879b addiw a5,a5,1 + 800022d8: 00175713 srli a4,a4,0x1 + 800022dc: 0ff7f793 andi a5,a5,255 + 800022e0: fed71ae3 bne a4,a3,800022d4 + 800022e4: 00078993 mv s3,a5 + 800022e8: 0407b513 sltiu a0,a5,64 + 800022ec: 00f71933 sll s2,a4,a5 + 800022f0: f14fe0ef jal ra,80000a04 <_assert> + 800022f4: 00399793 slli a5,s3,0x3 + 800022f8: 00f487b3 add a5,s1,a5 + 800022fc: 0007b703 ld a4,0(a5) + 80002300: 2604b423 sd zero,616(s1) + 80002304: 26e4b023 sd a4,608(s1) + 80002308: 00070463 beqz a4,80002310 + 8000230c: 03473423 sd s4,40(a4) + 80002310: 0147b023 sd s4,0(a5) + 80002314: 2004b503 ld a0,512(s1) + 80002318: 00a96533 or a0,s2,a0 + 8000231c: 20a4b023 sd a0,512(s1) + 80002320: 00a03533 snez a0,a0 + 80002324: ee0fe0ef jal ra,80000a04 <_assert> + 80002328: 00048513 mv a0,s1 + 8000232c: 2084bc23 sd s0,536(s1) + 80002330: 2204b023 sd zero,544(s1) + 80002334: 2204b423 sd zero,552(s1) + 80002338: 2204b823 sd zero,560(s1) + 8000233c: 2204bc23 sd zero,568(s1) + 80002340: 02813083 ld ra,40(sp) + 80002344: 02013403 ld s0,32(sp) + 80002348: 01813483 ld s1,24(sp) + 8000234c: 01013903 ld s2,16(sp) + 80002350: 00813983 ld s3,8(sp) + 80002354: 00013a03 ld s4,0(sp) + 80002358: 03010113 addi sp,sp,48 + 8000235c: 00008067 ret + 80002360: 00100513 li a0,1 + 80002364: fff40413 addi s0,s0,-1 + 80002368: e9cfe0ef jal ra,80000a04 <_assert> + 8000236c: 03f47793 andi a5,s0,63 + 80002370: fe0798e3 bnez a5,80002360 + 80002374: ed1ff06f j 80002244 + 80002378: 00000513 li a0,0 + 8000237c: 00008067 ret + 80002380: 00100913 li s2,1 + 80002384: 00000993 li s3,0 + 80002388: 00100513 li a0,1 + 8000238c: f65ff06f j 800022f0 diff --git a/bin/custom-output/benchmark/microbench/microbench-huge.bin b/bin/custom-output/benchmark/microbench/microbench-huge.bin new file mode 100755 index 0000000..d8feab2 Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-huge.bin differ diff --git a/bin/custom-output/benchmark/microbench/microbench-huge.elf b/bin/custom-output/benchmark/microbench/microbench-huge.elf new file mode 100755 index 0000000..4c28f5c Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-huge.elf differ diff --git a/bin/custom-output/benchmark/microbench/microbench-huge.txt b/bin/custom-output/benchmark/microbench/microbench-huge.txt new file mode 100755 index 0000000..4f82cea --- /dev/null +++ b/bin/custom-output/benchmark/microbench/microbench-huge.txt @@ -0,0 +1,6486 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0ad040ef jal ra,800048b8 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 119040ef jal ra,80004948 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 93c48493 addi s1,s1,-1732 # 80007998 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 29058593 addi a1,a1,656 # 800062f8 <_etext+0x64> + 80000070: 00048513 mv a0,s1 + 80000074: 71d050ef jal ra,80005f90 + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 101040ef jal ra,80004990 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 0a1040ef jal ra,80004948 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 8cc50513 addi a0,a0,-1844 # 80007998 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 1b858593 addi a1,a1,440 # 80006298 <_etext+0x4> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 1f050513 addi a0,a0,496 # 800062d8 <_etext+0x44> + 800000f0: 64d050ef jal ra,80005f3c + 800000f4: 00100513 li a0,1 + 800000f8: 79c040ef jal ra,80004894 + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 29058593 addi a1,a1,656 # 800063c8 <_etext+0x134> + 80000140: 00050413 mv s0,a0 + 80000144: 50d040ef jal ra,80004e50 + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 1f458593 addi a1,a1,500 # 80006340 <_etext+0xac> + 80000154: 00040513 mv a0,s0 + 80000158: 4f9040ef jal ra,80004e50 + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 1e458593 addi a1,a1,484 # 80006348 <_etext+0xb4> + 8000016c: 00040513 mv a0,s0 + 80000170: 4e1040ef jal ra,80004e50 + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 105040ef jal ra,80004a84 + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 21050513 addi a0,a0,528 # 80006398 <_etext+0x104> + 80000190: 5ad050ef jal ra,80005f3c + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 13d040ef jal ra,80004ad8 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: c4cc0c13 addi s8,s8,-948 # 80006df0 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 2d4b8b93 addi s7,s7,724 # 80007480 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 8f048493 addi s1,s1,-1808 # 80007ac8 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 8f0a8a93 addi s5,s5,-1808 # 80007ad0 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 39c40413 addi s0,s0,924 # 80007588 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 1dca0a13 addi s4,s4,476 # 800063d0 <_etext+0x13c> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 1e4d0d13 addi s10,s10,484 # 800063e0 <_etext+0x14c> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 1f4c8c93 addi s9,s9,500 # 800063f8 <_etext+0x164> + 8000020c: 01c0006f j 80000228 + 80000210: 52d050ef jal ra,80005f3c + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 51d050ef jal ra,80005f3c + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 4e5050ef jal ra,80005f3c + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 75478793 addi a5,a5,1876 # 800079b8 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 051040ef jal ra,80004ad8 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 035040ef jal ra,80004ad8 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 14450513 addi a0,a0,324 # 80006408 <_etext+0x174> + 800002cc: 471050ef jal ra,80005f3c + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 13450513 addi a0,a0,308 # 80006410 <_etext+0x17c> + 800002e4: 459050ef jal ra,80005f3c + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 628040ef jal ra,80004948 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 16850513 addi a0,a0,360 # 800064a0 <_etext+0x20c> + 80000340: 3fd050ef jal ra,80005f3c + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 778040ef jal ra,80004ad8 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 0dc50513 addi a0,a0,220 # 80006448 <_etext+0x1b4> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 3c5050ef jal ra,80005f3c + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: f8858593 addi a1,a1,-120 # 80006308 <_etext+0x74> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 0f450513 addi a0,a0,244 # 80006480 <_etext+0x1ec> + 80000394: 3a9050ef jal ra,80005f3c + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 59c040ef jal ra,80004948 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 0dc50513 addi a0,a0,220 # 80006490 <_etext+0x1fc> + 800003bc: 381050ef jal ra,80005f3c + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 0e460613 addi a2,a2,228 # 800064a8 <_etext+0x214> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 0f050513 addi a0,a0,240 # 800064c0 <_etext+0x22c> + 800003d8: 365050ef jal ra,80005f3c + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 10050513 addi a0,a0,256 # 800064e8 <_etext+0x254> + 800003f0: 34d050ef jal ra,80005f3c + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 10050513 addi a0,a0,256 # 80006500 <_etext+0x26c> + 80000408: 335050ef jal ra,80005f3c + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: ecc50513 addi a0,a0,-308 # 80006318 <_etext+0x84> + 80000454: 2e9050ef jal ra,80005f3c + 80000458: 00006417 auipc s0,0x6 + 8000045c: ea840413 addi s0,s0,-344 # 80006300 <_etext+0x6c> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: fbc50513 addi a0,a0,-68 # 80006430 <_etext+0x19c> + 8000047c: 2c1050ef jal ra,80005f3c + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: 09050513 addi a0,a0,144 # 80006518 <_etext+0x284> + 80000490: 2ad050ef jal ra,80005f3c + 80000494: 00006517 auipc a0,0x6 + 80000498: f8c50513 addi a0,a0,-116 # 80006420 <_etext+0x18c> + 8000049c: 2a1050ef jal ra,80005f3c + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: e6458593 addi a1,a1,-412 # 80006310 <_etext+0x7c> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: fe850513 addi a0,a0,-24 # 800064a0 <_etext+0x20c> + 800004c0: 27d050ef jal ra,80005f3c + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: e3858593 addi a1,a1,-456 # 80006300 <_etext+0x6c> + 800004d0: 00040513 mv a0,s0 + 800004d4: 17d040ef jal ra,80004e50 + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: e6c58593 addi a1,a1,-404 # 80006350 <_etext+0xbc> + 800004ec: 00040513 mv a0,s0 + 800004f0: 161040ef jal ra,80004e50 + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: e5450513 addi a0,a0,-428 # 80006358 <_etext+0xc4> + 8000050c: 231050ef jal ra,80005f3c + 80000510: 00100513 li a0,1 + 80000514: 380040ef jal ra,80004894 + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 4a058593 addi a1,a1,1184 # 800079b8 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: 06078793 addi a5,a5,96 # 80007588 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 5687b783 ld a5,1384(a5) # 80007ad0 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: d0858593 addi a1,a1,-760 # 80006298 <_etext+0x4> + 80000598: 00006517 auipc a0,0x6 + 8000059c: d4050513 addi a0,a0,-704 # 800062d8 <_etext+0x44> + 800005a0: 19d050ef jal ra,80005f3c + 800005a4: 00100513 li a0,1 + 800005a8: 2ec040ef jal ra,80004894 + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: eca7a223 sw a0,-316(a5) # 80007480 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: eb868693 addi a3,a3,-328 # 80007480 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 3007b783 ld a5,768(a5) # 80007ad0 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 1e090913 addi s2,s2,480 # 800079c8 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 18c98993 addi s3,s3,396 # 800079c0 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 080040ef jal ra,800048dc <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 05c040ef jal ra,80004990 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 779030ef jal ra,80004990 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6c1030ef jal ra,80004990 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: e0872703 lw a4,-504(a4) # 800079c8 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: df843403 ld s0,-520(s0) # 800079c0 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 9107ac23 sw a6,-1768(a5) # 800079cc <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 98c7b783 ld a5,-1652(a5) # 80007ad0 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00007797 auipc a5,0x7 + 80001154: 87c7a783 lw a5,-1924(a5) # 800079cc <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 648030ef jal ra,80004940 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 638030ef jal ra,80004940 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 59c030ef jal ra,80004940 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5f0030ef jal ra,800049c4 <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a8030ef jal ra,80004940 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 510030ef jal ra,800049c4 <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 32c030ef jal ra,80004940 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 2447b783 ld a5,580(a5) # 80007ad0 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 12c90913 addi s2,s2,300 # 800079d0 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 11098993 addi s3,s3,272 # 800079d8 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 0ea73623 sd a0,236(a4) # 800079e0 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 070030ef jal ra,80004990 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: 07862603 lw a2,120(a2) # 800079d0 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: 0805b583 ld a1,128(a1) # 800079e0 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: 07053503 ld a0,112(a0) # 800079d8 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: 05c5a583 lw a1,92(a1) # 800079d0 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: 06453503 ld a0,100(a0) # 800079e0 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 1387b783 ld a5,312(a5) # 80007ad0 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 1107b783 ld a5,272(a5) # 80007ad0 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: 01c7a783 lw a5,28(a5) # 800079e8 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 719020ef jal ra,80004990 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 5e458593 addi a1,a1,1508 # 80006648 <_etext+0x3b4> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 26c50513 addi a0,a0,620 # 800062d8 <_etext+0x44> + 80002074: 6c9030ef jal ra,80005f3c + 80002078: 00100513 li a0,1 + 8000207c: 019020ef jal ra,80004894 + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 9147b783 ld a5,-1772(a5) # 80007ad0 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 32458593 addi a1,a1,804 # 80007508 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 19058593 addi a1,a1,400 # 80007488 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 32458593 addi a1,a1,804 # 80006688 <_etext+0x3f4> + 8000236c: 00004517 auipc a0,0x4 + 80002370: f6c50513 addi a0,a0,-148 # 800062d8 <_etext+0x44> + 80002374: 3c9030ef jal ra,80005f3c + 80002378: 00100513 li a0,1 + 8000237c: 518020ef jal ra,80004894 + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 5c470713 addi a4,a4,1476 # 800079e8 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 93058593 addi a1,a1,-1744 # 80007548 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00005597 auipc a1,0x5 + 80002c88: 84458593 addi a1,a1,-1980 # 800074c8 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3d5010ef jal ra,800048dc <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: cdc78793 addi a5,a5,-804 # 800079e8 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 91458593 addi a1,a1,-1772 # 80006648 <_etext+0x3b4> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 59c50513 addi a0,a0,1436 # 800062d8 <_etext+0x44> + 80002d44: 1f8030ef jal ra,80005f3c + 80002d48: 00100513 li a0,1 + 80002d4c: 349010ef jal ra,80004894 + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: d807b783 ld a5,-640(a5) # 80007ad0 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: c8490913 addi s2,s2,-892 # 800079ec + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: c6f73623 sd a5,-916(a4) # 80007a08 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: c4c98993 addi s3,s3,-948 # 800079f0 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: c2a73a23 sd a0,-972(a4) # 800079f8 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a9010ef jal ra,80004990 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: be06b683 ld a3,-1056(a3) # 80007a08 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: bbc62603 lw a2,-1092(a2) # 800079ec + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: bc05b583 ld a1,-1088(a1) # 800079f8 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: bb053503 ld a0,-1104(a0) # 800079f0 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: baa7a623 sw a0,-1108(a5) # 80007a00 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: b9453503 ld a0,-1132(a0) # 800079f8 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: b945a583 lw a1,-1132(a1) # 80007a00 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: c4c7b783 ld a5,-948(a5) # 80007ad0 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00004597 auipc a1,0x4 + 80002eb4: 82058593 addi a1,a1,-2016 # 800066d0 <_etext+0x43c> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 42050513 addi a0,a0,1056 # 800062d8 <_etext+0x44> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 078030ef jal ra,80005f3c + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c9010ef jal ra,80004894 + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 5487b783 ld a5,1352(a5) # 80007ad0 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 47090913 addi s2,s2,1136 # 80007a10 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 4607a623 sw zero,1132(a5) # 80007a20 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 44f73223 sd a5,1092(a4) # 80007a18 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 44f73023 sd a5,1088(a4) # 80007a28 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 44a73023 sd a0,1088(a4) # 80007a38 + 80003600: 00004997 auipc s3,0x4 + 80003604: 44098993 addi s3,s3,1088 # 80007a40 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 10c78793 addi a5,a5,268 # 80006718 <_etext+0x484> + 80003614: 00004717 auipc a4,0x4 + 80003618: 40f73e23 sd a5,1052(a4) # 80007a30 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 40f73c23 sd a5,1048(a4) # 80007a50 + 80003640: 00004797 auipc a5,0x4 + 80003644: 4007a423 sw zero,1032(a5) # 80007a48 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 178a0a13 addi s4,s4,376 # 800067d0 <_etext+0x53c> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 320010ef jal ra,80004990 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 37030313 addi t1,t1,880 # 80007a30 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 32c50513 addi a0,a0,812 # 80007a20 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 3186b683 ld a3,792(a3) # 80007a18 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 31c8b883 ld a7,796(a7) # 80007a28 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 0e880813 addi a6,a6,232 # 80006810 <_etext+0x57c> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 24ce8e93 addi t4,t4,588 # 80007a40 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 24ce0e13 addi t3,t3,588 # 80007a48 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 22c5b583 ld a1,556(a1) # 80007a38 + 80003814: 00004397 auipc t2,0x4 + 80003818: 23c3b383 ld t2,572(t2) # 80007a50 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: 0a888893 addi a7,a7,168 # 800068dc <_etext+0x648> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: fa07a823 sw zero,-80(a5) # 80007a20 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: fa440413 addi s0,s0,-92 # 80007a48 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: fa053503 ld a0,-96(a0) # 80007a50 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: f4872703 lw a4,-184(a4) # 80007a10 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: fe873703 ld a4,-24(a4) # 80007ad0 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: fc07b783 ld a5,-64(a5) # 80007ad0 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: f2f72a23 sw a5,-204(a4) # 80007a60 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 5a5000ef jal ra,800048dc <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: f0f73423 sd a5,-248(a4) # 80007a58 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: f0f73223 sd a5,-252(a4) # 80007a68 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: eef73c23 sd a5,-264(a4) # 80007a70 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: eea7b623 sd a0,-276(a5) # 80007a78 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: ec87b783 ld a5,-312(a5) # 80007a68 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: ec47b783 ld a5,-316(a5) # 80007a70 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: e7842403 lw s0,-392(s0) # 80007a60 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: e5cf3f03 ld t5,-420(t5) # 80007a58 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: df86b683 ld a3,-520(a3) # 80007a78 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3cd000ef jal ra,800048dc <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2bd000ef jal ra,800048dc <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: bbc52503 lw a0,-1092(a0) # 80007a60 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 21d000ef jal ra,800048dc <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: ba453503 ld a0,-1116(a0) # 80007a70 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: bf87b783 ld a5,-1032(a5) # 80007ad0 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: b647a783 lw a5,-1180(a5) # 80007a80 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: b2c7b783 ld a5,-1236(a5) # 80007ad0 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: ac07aa23 sw zero,-1324(a5) # 80007a84 + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: aaf72e23 sw a5,-1348(a4) # 80007a80 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: a8a7ac23 sw a0,-1384(a5) # 80007a84 + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: ad47b783 ld a5,-1324(a5) # 80007ad0 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: a7c7a783 lw a5,-1412(a5) # 80007a84 + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 9947b783 ld a5,-1644(a5) # 80007ad0 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 94090913 addi s2,s2,-1728 # 80007a88 + 80004150: 00004997 auipc s3,0x4 + 80004154: 94098993 addi s3,s3,-1728 # 80007a90 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 8c462603 lw a2,-1852(a2) # 80007a88 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 8c053503 ld a0,-1856(a0) # 80007a90 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00004597 auipc a1,0x4 + 800041e0: 8ac5a583 lw a1,-1876(a1) # 80007a88 + 800041e4: 00004517 auipc a0,0x4 + 800041e8: 8ac53503 ld a0,-1876(a0) # 80007a90 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8d07b783 ld a5,-1840(a5) # 80007ad0 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00004797 auipc a5,0x4 + 80004228: 8ac7b783 ld a5,-1876(a5) # 80007ad0 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00004497 auipc s1,0x4 + 80004240: 85c48493 addi s1,s1,-1956 # 80007a98 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00004917 auipc s2,0x4 + 80004260: 84c90913 addi s2,s2,-1972 # 80007aa8 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 7ea7bc23 sd a0,2040(a5) # 80007aa0 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7d86a683 lw a3,2008(a3) # 80007a98 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 7d8fbf83 ld t6,2008(t6) # 80007aa8 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 79073703 ld a4,1936(a4) # 80007aa0 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 4d8a0a13 addi s4,s4,1240 # 80006904 + 80004434: 00002997 auipc s3,0x2 + 80004438: 5d098993 addi s3,s3,1488 # 80006a04 + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 48053503 ld a0,1152(a0) # 80007aa0 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 4987b783 ld a5,1176(a5) # 80007ad0 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 4747b783 ld a5,1140(a5) # 80007ad0 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 43040413 addi s0,s0,1072 # 80007ab0 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 40a73e23 sd a0,1052(a4) # 80007ab8 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 3b44a483 lw s1,948(s1) # 80007ab0 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 3b060613 addi a2,a2,944 # 80007ab8 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 16c000ef jal ra,800048dc <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 104000ef jal ra,800048dc <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2c07a823 sw zero,720(a5) # 80007ab4 + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 28d7a623 sw a3,652(a5) # 80007ab4 + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 2607a423 sw zero,616(a5) # 80007ab4 + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 25c93903 ld s2,604(s2) # 80007ab8 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 2687b783 ld a5,616(a5) # 80007ad0 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 2407a783 lw a5,576(a5) # 80007ab4 + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00050513 mv a0,a0 + 8000488c: 0000007b 0x7b + 80004890: 00008067 ret + +0000000080004894 : + 80004894: 00050513 mv a0,a0 + 80004898: 0000006b 0x6b + 8000489c: 0000006f j 8000489c + +00000000800048a0 <_assert>: + 800048a0: 00051a63 bnez a0,800048b4 <_assert+0x14> + 800048a4: 00100793 li a5,1 + 800048a8: 00078513 mv a0,a5 + 800048ac: 0000006b 0x6b + 800048b0: 0000006f j 800048b0 <_assert+0x10> + 800048b4: 00008067 ret + +00000000800048b8 <_trm_init>: + 800048b8: ff010113 addi sp,sp,-16 + 800048bc: 00113423 sd ra,8(sp) + 800048c0: 5b8000ef jal ra,80004e78 + 800048c4: 00002517 auipc a0,0x2 + 800048c8: 51c50513 addi a0,a0,1308 # 80006de0 + 800048cc: 831fb0ef jal ra,800000fc
+ 800048d0: 00050513 mv a0,a0 + 800048d4: 0000006b 0x6b + 800048d8: 0000006f j 800048d8 <_trm_init+0x20> + +00000000800048dc <__muldi3>: + 800048dc: 00050613 mv a2,a0 + 800048e0: 00000513 li a0,0 + 800048e4: 0015f693 andi a3,a1,1 + 800048e8: 00068463 beqz a3,800048f0 <__muldi3+0x14> + 800048ec: 00c50533 add a0,a0,a2 + 800048f0: 0015d593 srli a1,a1,0x1 + 800048f4: 00161613 slli a2,a2,0x1 + 800048f8: fe0596e3 bnez a1,800048e4 <__muldi3+0x8> + 800048fc: 00008067 ret + +0000000080004900 <__udivsi3>: + 80004900: 02051513 slli a0,a0,0x20 + 80004904: 02059593 slli a1,a1,0x20 + 80004908: 00008293 mv t0,ra + 8000490c: 03c000ef jal ra,80004948 <__udivdi3> + 80004910: 0005051b sext.w a0,a0 + 80004914: 00028067 jr t0 + +0000000080004918 <__umodsi3>: + 80004918: 02051513 slli a0,a0,0x20 + 8000491c: 02059593 slli a1,a1,0x20 + 80004920: 02055513 srli a0,a0,0x20 + 80004924: 0205d593 srli a1,a1,0x20 + 80004928: 00008293 mv t0,ra + 8000492c: 01c000ef jal ra,80004948 <__udivdi3> + 80004930: 0005851b sext.w a0,a1 + 80004934: 00028067 jr t0 + +0000000080004938 <__divsi3>: + 80004938: fff00293 li t0,-1 + 8000493c: 0a558c63 beq a1,t0,800049f4 <__moddi3+0x30> + +0000000080004940 <__divdi3>: + 80004940: 06054063 bltz a0,800049a0 <__umoddi3+0x10> + 80004944: 0605c663 bltz a1,800049b0 <__umoddi3+0x20> + +0000000080004948 <__udivdi3>: + 80004948: 00058613 mv a2,a1 + 8000494c: 00050593 mv a1,a0 + 80004950: fff00513 li a0,-1 + 80004954: 02060c63 beqz a2,8000498c <__udivdi3+0x44> + 80004958: 00100693 li a3,1 + 8000495c: 00b67a63 bgeu a2,a1,80004970 <__udivdi3+0x28> + 80004960: 00c05863 blez a2,80004970 <__udivdi3+0x28> + 80004964: 00161613 slli a2,a2,0x1 + 80004968: 00169693 slli a3,a3,0x1 + 8000496c: feb66ae3 bltu a2,a1,80004960 <__udivdi3+0x18> + 80004970: 00000513 li a0,0 + 80004974: 00c5e663 bltu a1,a2,80004980 <__udivdi3+0x38> + 80004978: 40c585b3 sub a1,a1,a2 + 8000497c: 00d56533 or a0,a0,a3 + 80004980: 0016d693 srli a3,a3,0x1 + 80004984: 00165613 srli a2,a2,0x1 + 80004988: fe0696e3 bnez a3,80004974 <__udivdi3+0x2c> + 8000498c: 00008067 ret + +0000000080004990 <__umoddi3>: + 80004990: 00008293 mv t0,ra + 80004994: fb5ff0ef jal ra,80004948 <__udivdi3> + 80004998: 00058513 mv a0,a1 + 8000499c: 00028067 jr t0 + 800049a0: 40a00533 neg a0,a0 + 800049a4: 00b04863 bgtz a1,800049b4 <__umoddi3+0x24> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: f9dff06f j 80004948 <__udivdi3> + 800049b0: 40b005b3 neg a1,a1 + 800049b4: 00008293 mv t0,ra + 800049b8: f91ff0ef jal ra,80004948 <__udivdi3> + 800049bc: 40a00533 neg a0,a0 + 800049c0: 00028067 jr t0 + +00000000800049c4 <__moddi3>: + 800049c4: 00008293 mv t0,ra + 800049c8: 0005ca63 bltz a1,800049dc <__moddi3+0x18> + 800049cc: 00054c63 bltz a0,800049e4 <__moddi3+0x20> + 800049d0: f79ff0ef jal ra,80004948 <__udivdi3> + 800049d4: 00058513 mv a0,a1 + 800049d8: 00028067 jr t0 + 800049dc: 40b005b3 neg a1,a1 + 800049e0: fe0558e3 bgez a0,800049d0 <__moddi3+0xc> + 800049e4: 40a00533 neg a0,a0 + 800049e8: f61ff0ef jal ra,80004948 <__udivdi3> + 800049ec: 40b00533 neg a0,a1 + 800049f0: 00028067 jr t0 + 800049f4: 01f29293 slli t0,t0,0x1f + 800049f8: f45514e3 bne a0,t0,80004940 <__divdi3> + 800049fc: 00008067 ret + +0000000080004a00 <__am_timer_config>: + 80004a00: 00100793 li a5,1 + 80004a04: 00f50023 sb a5,0(a0) + 80004a08: 00f500a3 sb a5,1(a0) + 80004a0c: 00008067 ret + +0000000080004a10 <__am_input_config>: + 80004a10: 00100793 li a5,1 + 80004a14: 00f50023 sb a5,0(a0) + 80004a18: 00008067 ret + +0000000080004a1c : + 80004a1c: ff010113 addi sp,sp,-16 + 80004a20: 00813023 sd s0,0(sp) + 80004a24: 00113423 sd ra,8(sp) + 80004a28: 00002417 auipc s0,0x2 + 80004a2c: 0d840413 addi s0,s0,216 # 80006b00 + 80004a30: 04100513 li a0,65 + 80004a34: 00140413 addi s0,s0,1 + 80004a38: e51ff0ef jal ra,80004888 + 80004a3c: 00044503 lbu a0,0(s0) + 80004a40: fe051ae3 bnez a0,80004a34 + 80004a44: 00002417 auipc s0,0x2 + 80004a48: 0cc40413 addi s0,s0,204 # 80006b10 + 80004a4c: 06100513 li a0,97 + 80004a50: 00140413 addi s0,s0,1 + 80004a54: e35ff0ef jal ra,80004888 + 80004a58: 00044503 lbu a0,0(s0) + 80004a5c: fe051ae3 bnez a0,80004a50 + 80004a60: 00002417 auipc s0,0x2 + 80004a64: 0d040413 addi s0,s0,208 # 80006b30 + 80004a68: 02000513 li a0,32 + 80004a6c: 00140413 addi s0,s0,1 + 80004a70: e19ff0ef jal ra,80004888 + 80004a74: 00044503 lbu a0,0(s0) + 80004a78: fe051ae3 bnez a0,80004a6c + 80004a7c: 00100513 li a0,1 + 80004a80: e15ff0ef jal ra,80004894 + +0000000080004a84 : + 80004a84: ff010113 addi sp,sp,-16 + 80004a88: 00113423 sd ra,8(sp) + 80004a8c: 00003797 auipc a5,0x3 + 80004a90: b0c78793 addi a5,a5,-1268 # 80007598 + 80004a94: 00003697 auipc a3,0x3 + 80004a98: f0468693 addi a3,a3,-252 # 80007998 + 80004a9c: 00000617 auipc a2,0x0 + 80004aa0: f8060613 addi a2,a2,-128 # 80004a1c + 80004aa4: 00c0006f j 80004ab0 + 80004aa8: 00878793 addi a5,a5,8 + 80004aac: 00d78c63 beq a5,a3,80004ac4 + 80004ab0: 0007b703 ld a4,0(a5) + 80004ab4: fe071ae3 bnez a4,80004aa8 + 80004ab8: 00c7b023 sd a2,0(a5) + 80004abc: 00878793 addi a5,a5,8 + 80004ac0: fed798e3 bne a5,a3,80004ab0 + 80004ac4: 030000ef jal ra,80004af4 <__am_timer_init> + 80004ac8: 00813083 ld ra,8(sp) + 80004acc: 00100513 li a0,1 + 80004ad0: 01010113 addi sp,sp,16 + 80004ad4: 00008067 ret + +0000000080004ad8 : + 80004ad8: 00351793 slli a5,a0,0x3 + 80004adc: 00003517 auipc a0,0x3 + 80004ae0: abc50513 addi a0,a0,-1348 # 80007598 + 80004ae4: 00f50533 add a0,a0,a5 + 80004ae8: 00053303 ld t1,0(a0) + 80004aec: 00058513 mv a0,a1 + 80004af0: 00030067 jr t1 + +0000000080004af4 <__am_timer_init>: + 80004af4: 00008067 ret + +0000000080004af8 <__am_timer_uptime>: + 80004af8: fd010113 addi sp,sp,-48 + 80004afc: 00913c23 sd s1,24(sp) + 80004b00: 02113423 sd ra,40(sp) + 80004b04: 02813023 sd s0,32(sp) + 80004b08: 01213823 sd s2,16(sp) + 80004b0c: 01313423 sd s3,8(sp) + 80004b10: 00050493 mv s1,a0 + 80004b14: b0002973 csrr s2,mcycle + 80004b18: 000f49b7 lui s3,0xf4 + 80004b1c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80004b20: 00090513 mv a0,s2 + 80004b24: e25ff0ef jal ra,80004948 <__udivdi3> + 80004b28: 00551413 slli s0,a0,0x5 + 80004b2c: 40a407b3 sub a5,s0,a0 + 80004b30: 00679413 slli s0,a5,0x6 + 80004b34: 40f40433 sub s0,s0,a5 + 80004b38: 00341413 slli s0,s0,0x3 + 80004b3c: 00a40433 add s0,s0,a0 + 80004b40: 24098593 addi a1,s3,576 + 80004b44: 00090513 mv a0,s2 + 80004b48: e49ff0ef jal ra,80004990 <__umoddi3> + 80004b4c: 00641413 slli s0,s0,0x6 + 80004b50: 00a40433 add s0,s0,a0 + 80004b54: 0084b023 sd s0,0(s1) + 80004b58: 02813083 ld ra,40(sp) + 80004b5c: 02013403 ld s0,32(sp) + 80004b60: 01813483 ld s1,24(sp) + 80004b64: 01013903 ld s2,16(sp) + 80004b68: 00813983 ld s3,8(sp) + 80004b6c: 03010113 addi sp,sp,48 + 80004b70: 00008067 ret + +0000000080004b74 : + 80004b74: fc010113 addi sp,sp,-64 + 80004b78: 01313c23 sd s3,24(sp) + 80004b7c: 00058993 mv s3,a1 + 80004b80: 00050793 mv a5,a0 + 80004b84: 03800613 li a2,56 + 80004b88: 00000593 li a1,0 + 80004b8c: 00098513 mv a0,s3 + 80004b90: 02813823 sd s0,48(sp) + 80004b94: 03213023 sd s2,32(sp) + 80004b98: 0007b403 ld s0,0(a5) + 80004b9c: 01413823 sd s4,16(sp) + 80004ba0: 02113c23 sd ra,56(sp) + 80004ba4: 02913423 sd s1,40(sp) + 80004ba8: 01513423 sd s5,8(sp) + 80004bac: 434010ef jal ra,80005fe0 + 80004bb0: 7b200793 li a5,1970 + 80004bb4: 00f9aa23 sw a5,20(s3) + 80004bb8: 7b200913 li s2,1970 + 80004bbc: 00002a17 auipc s4,0x2 + 80004bc0: 22ca0a13 addi s4,s4,556 # 80006de8 + 80004bc4: 0100006f j 80004bd4 + 80004bc8: 40e40433 sub s0,s0,a4 + 80004bcc: 00d9aa23 sw a3,20(s3) + 80004bd0: 00068913 mv s2,a3 + 80004bd4: 0009049b sext.w s1,s2 + 80004bd8: 06400593 li a1,100 + 80004bdc: 00048513 mv a0,s1 + 80004be0: de5ff0ef jal ra,800049c4 <__moddi3> + 80004be4: 00050793 mv a5,a0 + 80004be8: 0007879b sext.w a5,a5 + 80004bec: 00048513 mv a0,s1 + 80004bf0: 00397493 andi s1,s2,3 + 80004bf4: 19000593 li a1,400 + 80004bf8: 0014b493 seqz s1,s1 + 80004bfc: 00079863 bnez a5,80004c0c + 80004c00: dc5ff0ef jal ra,800049c4 <__moddi3> + 80004c04: 0005049b sext.w s1,a0 + 80004c08: 0014b493 seqz s1,s1 + 80004c0c: 00249793 slli a5,s1,0x2 + 80004c10: 00fa07b3 add a5,s4,a5 + 80004c14: 0007e703 lwu a4,0(a5) + 80004c18: 0019069b addiw a3,s2,1 + 80004c1c: fae456e3 bge s0,a4,80004bc8 + 80004c20: 000155b7 lui a1,0x15 + 80004c24: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c28: 00040513 mv a0,s0 + 80004c2c: d15ff0ef jal ra,80004940 <__divdi3> + 80004c30: 00149793 slli a5,s1,0x1 + 80004c34: 009784b3 add s1,a5,s1 + 80004c38: 00449793 slli a5,s1,0x4 + 80004c3c: 00002497 auipc s1,0x2 + 80004c40: f3448493 addi s1,s1,-204 # 80006b70 + 80004c44: 00f484b3 add s1,s1,a5 + 80004c48: 0004e783 lwu a5,0(s1) + 80004c4c: 0005051b sext.w a0,a0 + 80004c50: 00a9ae23 sw a0,28(s3) + 80004c54: 14f44463 blt s0,a5,80004d9c + 80004c58: 00100713 li a4,1 + 80004c5c: 40f40433 sub s0,s0,a5 + 80004c60: 0044e783 lwu a5,4(s1) + 80004c64: 00070a1b sext.w s4,a4 + 80004c68: 00448493 addi s1,s1,4 + 80004c6c: 00170713 addi a4,a4,1 + 80004c70: fef456e3 bge s0,a5,80004c5c + 80004c74: 000155b7 lui a1,0x15 + 80004c78: 0149a823 sw s4,16(s3) + 80004c7c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c80: 00040513 mv a0,s0 + 80004c84: cbdff0ef jal ra,80004940 <__divdi3> + 80004c88: 0005051b sext.w a0,a0 + 80004c8c: 0015079b addiw a5,a0,1 + 80004c90: 000155b7 lui a1,0x15 + 80004c94: 00f9a623 sw a5,12(s3) + 80004c98: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c9c: 00040513 mv a0,s0 + 80004ca0: 00001ab7 lui s5,0x1 + 80004ca4: 0007841b sext.w s0,a5 + 80004ca8: d1dff0ef jal ra,800049c4 <__moddi3> + 80004cac: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004cb0: 00050493 mv s1,a0 + 80004cb4: c8dff0ef jal ra,80004940 <__divdi3> + 80004cb8: e10a8593 addi a1,s5,-496 + 80004cbc: 00a9a423 sw a0,8(s3) + 80004cc0: 00048513 mv a0,s1 + 80004cc4: d01ff0ef jal ra,800049c4 <__moddi3> + 80004cc8: 03c00593 li a1,60 + 80004ccc: 00050493 mv s1,a0 + 80004cd0: c71ff0ef jal ra,80004940 <__divdi3> + 80004cd4: 00a9a223 sw a0,4(s3) + 80004cd8: 03c00593 li a1,60 + 80004cdc: 00048513 mv a0,s1 + 80004ce0: ce5ff0ef jal ra,800049c4 <__moddi3> + 80004ce4: 001a0a1b addiw s4,s4,1 + 80004ce8: 0ffa7793 andi a5,s4,255 + 80004cec: 0037b793 sltiu a5,a5,3 + 80004cf0: 40f9093b subw s2,s2,a5 + 80004cf4: 03091913 slli s2,s2,0x30 + 80004cf8: 03095913 srli s2,s2,0x30 + 80004cfc: 00a9a023 sw a0,0(s3) + 80004d00: 06400593 li a1,100 + 80004d04: 00090513 mv a0,s2 + 80004d08: c41ff0ef jal ra,80004948 <__udivdi3> + 80004d0c: 0029549b srliw s1,s2,0x2 + 80004d10: 0105179b slliw a5,a0,0x10 + 80004d14: 0107d79b srliw a5,a5,0x10 + 80004d18: 012484bb addw s1,s1,s2 + 80004d1c: 19000593 li a1,400 + 80004d20: 00090513 mv a0,s2 + 80004d24: 0ffa7a13 andi s4,s4,255 + 80004d28: 40f484bb subw s1,s1,a5 + 80004d2c: fffa0a1b addiw s4,s4,-1 + 80004d30: c19ff0ef jal ra,80004948 <__udivdi3> + 80004d34: 00002797 auipc a5,0x2 + 80004d38: e9c78793 addi a5,a5,-356 # 80006bd0 + 80004d3c: 002a1a13 slli s4,s4,0x2 + 80004d40: 01478a33 add s4,a5,s4 + 80004d44: 000a2783 lw a5,0(s4) + 80004d48: 0105151b slliw a0,a0,0x10 + 80004d4c: 0105551b srliw a0,a0,0x10 + 80004d50: 00a484bb addw s1,s1,a0 + 80004d54: 00f484bb addw s1,s1,a5 + 80004d58: 0ff47513 andi a0,s0,255 + 80004d5c: 00a4853b addw a0,s1,a0 + 80004d60: 00700593 li a1,7 + 80004d64: c61ff0ef jal ra,800049c4 <__moddi3> + 80004d68: 03813083 ld ra,56(sp) + 80004d6c: 03013403 ld s0,48(sp) + 80004d70: 0ff57513 andi a0,a0,255 + 80004d74: 00a9ac23 sw a0,24(s3) + 80004d78: 0209a023 sw zero,32(s3) + 80004d7c: 02813483 ld s1,40(sp) + 80004d80: 02013903 ld s2,32(sp) + 80004d84: 01013a03 ld s4,16(sp) + 80004d88: 00813a83 ld s5,8(sp) + 80004d8c: 00098513 mv a0,s3 + 80004d90: 01813983 ld s3,24(sp) + 80004d94: 04010113 addi sp,sp,64 + 80004d98: 00008067 ret + 80004d9c: 00000a13 li s4,0 + 80004da0: eedff06f j 80004c8c + +0000000080004da4 <__am_timer_rtc>: + 80004da4: f9010113 addi sp,sp,-112 + 80004da8: 06813023 sd s0,96(sp) + 80004dac: 06113423 sd ra,104(sp) + 80004db0: 04913c23 sd s1,88(sp) + 80004db4: 05213823 sd s2,80(sp) + 80004db8: 00050413 mv s0,a0 + 80004dbc: b00024f3 csrr s1,mcycle + 80004dc0: 000f4937 lui s2,0xf4 + 80004dc4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80004dc8: 00048513 mv a0,s1 + 80004dcc: b7dff0ef jal ra,80004948 <__udivdi3> + 80004dd0: 00050793 mv a5,a0 + 80004dd4: 24090593 addi a1,s2,576 + 80004dd8: 00048513 mv a0,s1 + 80004ddc: 00f13423 sd a5,8(sp) + 80004de0: bb1ff0ef jal ra,80004990 <__umoddi3> + 80004de4: 00050793 mv a5,a0 + 80004de8: 01810593 addi a1,sp,24 + 80004dec: 00810513 addi a0,sp,8 + 80004df0: 00f13823 sd a5,16(sp) + 80004df4: d81ff0ef jal ra,80004b74 + 80004df8: 01812703 lw a4,24(sp) + 80004dfc: 02812783 lw a5,40(sp) + 80004e00: 06813083 ld ra,104(sp) + 80004e04: 00e42a23 sw a4,20(s0) + 80004e08: 01c12703 lw a4,28(sp) + 80004e0c: 0017879b addiw a5,a5,1 + 80004e10: 00f42223 sw a5,4(s0) + 80004e14: 00e42823 sw a4,16(s0) + 80004e18: 02012703 lw a4,32(sp) + 80004e1c: 02c12783 lw a5,44(sp) + 80004e20: 05813483 ld s1,88(sp) + 80004e24: 00e42623 sw a4,12(s0) + 80004e28: 02412703 lw a4,36(sp) + 80004e2c: 00f42023 sw a5,0(s0) + 80004e30: 05013903 ld s2,80(sp) + 80004e34: 00e42423 sw a4,8(s0) + 80004e38: 06013403 ld s0,96(sp) + 80004e3c: 07010113 addi sp,sp,112 + 80004e40: 00008067 ret + +0000000080004e44 <__am_input_keybrd>: + 80004e44: 00050023 sb zero,0(a0) + 80004e48: 00052223 sw zero,4(a0) + 80004e4c: 00008067 ret + +0000000080004e50 : + 80004e50: 00054783 lbu a5,0(a0) + 80004e54: 00158593 addi a1,a1,1 + 80004e58: 00150513 addi a0,a0,1 + 80004e5c: fff5c703 lbu a4,-1(a1) + 80004e60: 00078863 beqz a5,80004e70 + 80004e64: fee786e3 beq a5,a4,80004e50 + 80004e68: 40e7853b subw a0,a5,a4 + 80004e6c: 00008067 ret + 80004e70: 40e0053b negw a0,a4 + 80004e74: 00008067 ret + +0000000080004e78 : + 80004e78: 00002797 auipc a5,0x2 + 80004e7c: 71078793 addi a5,a5,1808 # 80007588 + 80004e80: 0007b503 ld a0,0(a5) + 80004e84: 0087b583 ld a1,8(a5) + 80004e88: ff010113 addi sp,sp,-16 + 80004e8c: 00000693 li a3,0 + 80004e90: 00000613 li a2,0 + 80004e94: 40a585b3 sub a1,a1,a0 + 80004e98: 00113423 sd ra,8(sp) + 80004e9c: 224010ef jal ra,800060c0 + 80004ea0: 00813083 ld ra,8(sp) + 80004ea4: 00003797 auipc a5,0x3 + 80004ea8: c0a7be23 sd a0,-996(a5) # 80007ac0 + 80004eac: 01010113 addi sp,sp,16 + 80004eb0: 00008067 ret + +0000000080004eb4 <_out_buffer>: + 80004eb4: 00d67663 bgeu a2,a3,80004ec0 <_out_buffer+0xc> + 80004eb8: 00c585b3 add a1,a1,a2 + 80004ebc: 00a58023 sb a0,0(a1) + 80004ec0: 00008067 ret + +0000000080004ec4 <_out_null>: + 80004ec4: 00008067 ret + +0000000080004ec8 <_ntoa_format>: + 80004ec8: fa010113 addi sp,sp,-96 + 80004ecc: 07012303 lw t1,112(sp) + 80004ed0: 03313c23 sd s3,56(sp) + 80004ed4: 03413823 sd s4,48(sp) + 80004ed8: 03513423 sd s5,40(sp) + 80004edc: 03613023 sd s6,32(sp) + 80004ee0: 01713c23 sd s7,24(sp) + 80004ee4: 01813823 sd s8,16(sp) + 80004ee8: 01913423 sd s9,8(sp) + 80004eec: 00237e13 andi t3,t1,2 + 80004ef0: 01037e93 andi t4,t1,16 + 80004ef4: 04113c23 sd ra,88(sp) + 80004ef8: 04813823 sd s0,80(sp) + 80004efc: 04913423 sd s1,72(sp) + 80004f00: 05213023 sd s2,64(sp) + 80004f04: 06012f03 lw t5,96(sp) + 80004f08: 06812c03 lw s8,104(sp) + 80004f0c: 00050a13 mv s4,a0 + 80004f10: 00058a93 mv s5,a1 + 80004f14: 00060b93 mv s7,a2 + 80004f18: 00068b13 mv s6,a3 + 80004f1c: 00070993 mv s3,a4 + 80004f20: 000e0c9b sext.w s9,t3 + 80004f24: 000e8e9b sext.w t4,t4 + 80004f28: 060e1c63 bnez t3,80004fa0 <_ntoa_format+0xd8> + 80004f2c: 020f1693 slli a3,t5,0x20 + 80004f30: 00137513 andi a0,t1,1 + 80004f34: 0206d693 srli a3,a3,0x20 + 80004f38: 180c1e63 bnez s8,800050d4 <_ntoa_format+0x20c> + 80004f3c: 02d7f663 bgeu a5,a3,80004f68 <_ntoa_format+0xa0> + 80004f40: 02000713 li a4,32 + 80004f44: 36e78e63 beq a5,a4,800052c0 <_ntoa_format+0x3f8> + 80004f48: 03000613 li a2,48 + 80004f4c: 02000593 li a1,32 + 80004f50: 0080006f j 80004f58 <_ntoa_format+0x90> + 80004f54: 00b78a63 beq a5,a1,80004f68 <_ntoa_format+0xa0> + 80004f58: 00178793 addi a5,a5,1 + 80004f5c: 00f98733 add a4,s3,a5 + 80004f60: fec70fa3 sb a2,-1(a4) + 80004f64: fed7e8e3 bltu a5,a3,80004f54 <_ntoa_format+0x8c> + 80004f68: 02050c63 beqz a0,80004fa0 <_ntoa_format+0xd8> + 80004f6c: 020c1913 slli s2,s8,0x20 + 80004f70: 02095913 srli s2,s2,0x20 + 80004f74: 0327f663 bgeu a5,s2,80004fa0 <_ntoa_format+0xd8> + 80004f78: 02000713 li a4,32 + 80004f7c: 36e78863 beq a5,a4,800052ec <_ntoa_format+0x424> + 80004f80: 03000693 li a3,48 + 80004f84: 02000613 li a2,32 + 80004f88: 0080006f j 80004f90 <_ntoa_format+0xc8> + 80004f8c: 16c78463 beq a5,a2,800050f4 <_ntoa_format+0x22c> + 80004f90: 00178793 addi a5,a5,1 + 80004f94: 00f98733 add a4,s3,a5 + 80004f98: fed70fa3 sb a3,-1(a4) + 80004f9c: ff2798e3 bne a5,s2,80004f8c <_ntoa_format+0xc4> + 80004fa0: 1a0e8663 beqz t4,8000514c <_ntoa_format+0x284> + 80004fa4: 40037713 andi a4,t1,1024 + 80004fa8: 20071463 bnez a4,800051b0 <_ntoa_format+0x2e8> + 80004fac: 1e079663 bnez a5,80005198 <_ntoa_format+0x2d0> + 80004fb0: 01000793 li a5,16 + 80004fb4: 2af88863 beq a7,a5,80005264 <_ntoa_format+0x39c> + 80004fb8: 00200793 li a5,2 + 80004fbc: 2ef88a63 beq a7,a5,800052b0 <_ntoa_format+0x3e8> + 80004fc0: 03000793 li a5,48 + 80004fc4: 00f98023 sb a5,0(s3) + 80004fc8: 00100793 li a5,1 + 80004fcc: 1a080463 beqz a6,80005174 <_ntoa_format+0x2ac> + 80004fd0: 00f98733 add a4,s3,a5 + 80004fd4: 00178413 addi s0,a5,1 + 80004fd8: 02d00793 li a5,45 + 80004fdc: 00f70023 sb a5,0(a4) + 80004fe0: 00337313 andi t1,t1,3 + 80004fe4: 20031263 bnez t1,800051e8 <_ntoa_format+0x320> + 80004fe8: 020c1913 slli s2,s8,0x20 + 80004fec: 02095913 srli s2,s2,0x20 + 80004ff0: 1f247c63 bgeu s0,s2,800051e8 <_ntoa_format+0x320> + 80004ff4: 40890933 sub s2,s2,s0 + 80004ff8: 01790933 add s2,s2,s7 + 80004ffc: 000b8493 mv s1,s7 + 80005000: 00048613 mv a2,s1 + 80005004: 000b0693 mv a3,s6 + 80005008: 00148493 addi s1,s1,1 + 8000500c: 000a8593 mv a1,s5 + 80005010: 02000513 li a0,32 + 80005014: 000a00e7 jalr s4 + 80005018: ff2494e3 bne s1,s2,80005000 <_ntoa_format+0x138> + 8000501c: 02040663 beqz s0,80005048 <_ntoa_format+0x180> + 80005020: 01240933 add s2,s0,s2 + 80005024: 012984b3 add s1,s3,s2 + 80005028: 00898433 add s0,s3,s0 + 8000502c: fff44503 lbu a0,-1(s0) + 80005030: 40848633 sub a2,s1,s0 + 80005034: 000b0693 mv a3,s6 + 80005038: fff40413 addi s0,s0,-1 + 8000503c: 000a8593 mv a1,s5 + 80005040: 000a00e7 jalr s4 + 80005044: fe8994e3 bne s3,s0,8000502c <_ntoa_format+0x164> + 80005048: 040c8a63 beqz s9,8000509c <_ntoa_format+0x1d4> + 8000504c: 020c1c13 slli s8,s8,0x20 + 80005050: 41790433 sub s0,s2,s7 + 80005054: 020c5c13 srli s8,s8,0x20 + 80005058: 05847263 bgeu s0,s8,8000509c <_ntoa_format+0x1d4> + 8000505c: 01740633 add a2,s0,s7 + 80005060: 000b0693 mv a3,s6 + 80005064: 00140413 addi s0,s0,1 + 80005068: 000a8593 mv a1,s5 + 8000506c: 02000513 li a0,32 + 80005070: 000a00e7 jalr s4 + 80005074: ff8464e3 bltu s0,s8,8000505c <_ntoa_format+0x194> + 80005078: 41790733 sub a4,s2,s7 + 8000507c: 00170713 addi a4,a4,1 + 80005080: 00000793 li a5,0 + 80005084: 00ec6863 bltu s8,a4,80005094 <_ntoa_format+0x1cc> + 80005088: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 8000508c: 01878c33 add s8,a5,s8 + 80005090: 412c07b3 sub a5,s8,s2 + 80005094: 00190913 addi s2,s2,1 + 80005098: 00f90933 add s2,s2,a5 + 8000509c: 05813083 ld ra,88(sp) + 800050a0: 05013403 ld s0,80(sp) + 800050a4: 04813483 ld s1,72(sp) + 800050a8: 03813983 ld s3,56(sp) + 800050ac: 03013a03 ld s4,48(sp) + 800050b0: 02813a83 ld s5,40(sp) + 800050b4: 02013b03 ld s6,32(sp) + 800050b8: 01813b83 ld s7,24(sp) + 800050bc: 01013c03 ld s8,16(sp) + 800050c0: 00813c83 ld s9,8(sp) + 800050c4: 00090513 mv a0,s2 + 800050c8: 04013903 ld s2,64(sp) + 800050cc: 06010113 addi sp,sp,96 + 800050d0: 00008067 ret + 800050d4: 12050863 beqz a0,80005204 <_ntoa_format+0x33c> + 800050d8: 00081663 bnez a6,800050e4 <_ntoa_format+0x21c> + 800050dc: 00c37713 andi a4,t1,12 + 800050e0: 00070463 beqz a4,800050e8 <_ntoa_format+0x220> + 800050e4: fffc0c1b addiw s8,s8,-1 + 800050e8: e8d7f2e3 bgeu a5,a3,80004f6c <_ntoa_format+0xa4> + 800050ec: 02000713 li a4,32 + 800050f0: e4e79ce3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 800050f4: 0e0e8063 beqz t4,800051d4 <_ntoa_format+0x30c> + 800050f8: 40037793 andi a5,t1,1024 + 800050fc: 04079c63 bnez a5,80005154 <_ntoa_format+0x28c> + 80005100: 02000793 li a5,32 + 80005104: 0aff1063 bne t5,a5,800051a4 <_ntoa_format+0x2dc> + 80005108: 02000793 li a5,32 + 8000510c: 01f00713 li a4,31 + 80005110: 01000693 li a3,16 + 80005114: 1ad88c63 beq a7,a3,800052cc <_ntoa_format+0x404> + 80005118: 00200693 li a3,2 + 8000511c: 00070793 mv a5,a4 + 80005120: 00d89e63 bne a7,a3,8000513c <_ntoa_format+0x274> + 80005124: 00e986b3 add a3,s3,a4 + 80005128: 00170793 addi a5,a4,1 + 8000512c: 06200713 li a4,98 + 80005130: 00e68023 sb a4,0(a3) + 80005134: 02000713 li a4,32 + 80005138: 00e78e63 beq a5,a4,80005154 <_ntoa_format+0x28c> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 03000693 li a3,48 + 80005144: 00d70023 sb a3,0(a4) + 80005148: 00178793 addi a5,a5,1 + 8000514c: 02000713 li a4,32 + 80005150: e6e79ee3 bne a5,a4,80004fcc <_ntoa_format+0x104> + 80005154: 00337313 andi t1,t1,3 + 80005158: 08031263 bnez t1,800051dc <_ntoa_format+0x314> + 8000515c: 02000413 li s0,32 + 80005160: 020c1913 slli s2,s8,0x20 + 80005164: 02095913 srli s2,s2,0x20 + 80005168: e92466e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 8000516c: 000b8913 mv s2,s7 + 80005170: eadff06f j 8000501c <_ntoa_format+0x154> + 80005174: 00437713 andi a4,t1,4 + 80005178: 06071c63 bnez a4,800051f0 <_ntoa_format+0x328> + 8000517c: 00837713 andi a4,t1,8 + 80005180: 0c071863 bnez a4,80005250 <_ntoa_format+0x388> + 80005184: 00337313 andi t1,t1,3 + 80005188: 00078413 mv s0,a5 + 8000518c: fc030ae3 beqz t1,80005160 <_ntoa_format+0x298> + 80005190: 000b8913 mv s2,s7 + 80005194: e89ff06f j 8000501c <_ntoa_format+0x154> + 80005198: 020f1f13 slli t5,t5,0x20 + 8000519c: 020f5f13 srli t5,t5,0x20 + 800051a0: 0aff0263 beq t5,a5,80005244 <_ntoa_format+0x37c> + 800051a4: 020c1913 slli s2,s8,0x20 + 800051a8: 02095913 srli s2,s2,0x20 + 800051ac: 08f90c63 beq s2,a5,80005244 <_ntoa_format+0x37c> + 800051b0: 01000713 li a4,16 + 800051b4: 06e88663 beq a7,a4,80005220 <_ntoa_format+0x358> + 800051b8: 00200713 li a4,2 + 800051bc: f6e89ce3 bne a7,a4,80005134 <_ntoa_format+0x26c> + 800051c0: 02000713 li a4,32 + 800051c4: f8e788e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 800051c8: 00f986b3 add a3,s3,a5 + 800051cc: 00178793 addi a5,a5,1 + 800051d0: f5dff06f j 8000512c <_ntoa_format+0x264> + 800051d4: 00337313 andi t1,t1,3 + 800051d8: 0c030063 beqz t1,80005298 <_ntoa_format+0x3d0> + 800051dc: 000b8913 mv s2,s7 + 800051e0: 02000413 li s0,32 + 800051e4: e3dff06f j 80005020 <_ntoa_format+0x158> + 800051e8: 000b8913 mv s2,s7 + 800051ec: e35ff06f j 80005020 <_ntoa_format+0x158> + 800051f0: 00f98733 add a4,s3,a5 + 800051f4: 00178413 addi s0,a5,1 + 800051f8: 02b00793 li a5,43 + 800051fc: 00f70023 sb a5,0(a4) + 80005200: de1ff06f j 80004fe0 <_ntoa_format+0x118> + 80005204: d8d7fee3 bgeu a5,a3,80004fa0 <_ntoa_format+0xd8> + 80005208: 02000713 li a4,32 + 8000520c: d2e79ee3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 80005210: 0e0e8c63 beqz t4,80005308 <_ntoa_format+0x440> + 80005214: 40037793 andi a5,t1,1024 + 80005218: f2079ee3 bnez a5,80005154 <_ntoa_format+0x28c> + 8000521c: ee5ff06f j 80005100 <_ntoa_format+0x238> + 80005220: 02037713 andi a4,t1,32 + 80005224: 04071c63 bnez a4,8000527c <_ntoa_format+0x3b4> + 80005228: 02000713 li a4,32 + 8000522c: f2e784e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005230: 00f98733 add a4,s3,a5 + 80005234: 00178793 addi a5,a5,1 + 80005238: 07800693 li a3,120 + 8000523c: 00d70023 sb a3,0(a4) + 80005240: ef5ff06f j 80005134 <_ntoa_format+0x26c> + 80005244: fff78713 addi a4,a5,-1 + 80005248: d60704e3 beqz a4,80004fb0 <_ntoa_format+0xe8> + 8000524c: ec5ff06f j 80005110 <_ntoa_format+0x248> + 80005250: 00f98733 add a4,s3,a5 + 80005254: 00178413 addi s0,a5,1 + 80005258: 02000793 li a5,32 + 8000525c: 00f70023 sb a5,0(a4) + 80005260: d81ff06f j 80004fe0 <_ntoa_format+0x118> + 80005264: 02037793 andi a5,t1,32 + 80005268: 02079c63 bnez a5,800052a0 <_ntoa_format+0x3d8> + 8000526c: 07800793 li a5,120 + 80005270: 00f98023 sb a5,0(s3) + 80005274: 00100793 li a5,1 + 80005278: ec5ff06f j 8000513c <_ntoa_format+0x274> + 8000527c: 02000713 li a4,32 + 80005280: ece78ae3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005284: 00f98733 add a4,s3,a5 + 80005288: 05800693 li a3,88 + 8000528c: 00d70023 sb a3,0(a4) + 80005290: 00178793 addi a5,a5,1 + 80005294: ea1ff06f j 80005134 <_ntoa_format+0x26c> + 80005298: 02000413 li s0,32 + 8000529c: d4dff06f j 80004fe8 <_ntoa_format+0x120> + 800052a0: 05800793 li a5,88 + 800052a4: 00f98023 sb a5,0(s3) + 800052a8: 00100793 li a5,1 + 800052ac: e91ff06f j 8000513c <_ntoa_format+0x274> + 800052b0: 06200793 li a5,98 + 800052b4: 00f98023 sb a5,0(s3) + 800052b8: 00100793 li a5,1 + 800052bc: e81ff06f j 8000513c <_ntoa_format+0x274> + 800052c0: e2051ae3 bnez a0,800050f4 <_ntoa_format+0x22c> + 800052c4: 000c8c13 mv s8,s9 + 800052c8: f49ff06f j 80005210 <_ntoa_format+0x348> + 800052cc: 02037693 andi a3,t1,32 + 800052d0: ffe78713 addi a4,a5,-2 + 800052d4: 00069863 bnez a3,800052e4 <_ntoa_format+0x41c> + 800052d8: 00e98733 add a4,s3,a4 + 800052dc: fff78793 addi a5,a5,-1 + 800052e0: f59ff06f j 80005238 <_ntoa_format+0x370> + 800052e4: 00070793 mv a5,a4 + 800052e8: f9dff06f j 80005284 <_ntoa_format+0x3bc> + 800052ec: 000e8e63 beqz t4,80005308 <_ntoa_format+0x440> + 800052f0: 40037713 andi a4,t1,1024 + 800052f4: 00071e63 bnez a4,80005310 <_ntoa_format+0x448> + 800052f8: 02ff0e63 beq t5,a5,80005334 <_ntoa_format+0x46c> + 800052fc: e4fc1ce3 bne s8,a5,80005154 <_ntoa_format+0x28c> + 80005300: 01f00713 li a4,31 + 80005304: e0dff06f j 80005110 <_ntoa_format+0x248> + 80005308: 02000413 li s0,32 + 8000530c: cd5ff06f j 80004fe0 <_ntoa_format+0x118> + 80005310: 01000793 li a5,16 + 80005314: 02f88c63 beq a7,a5,8000534c <_ntoa_format+0x484> + 80005318: 00200793 li a5,2 + 8000531c: e2f88ce3 beq a7,a5,80005154 <_ntoa_format+0x28c> + 80005320: 00337313 andi t1,t1,3 + 80005324: ea031ce3 bnez t1,800051dc <_ntoa_format+0x314> + 80005328: 02000413 li s0,32 + 8000532c: cd2464e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 80005330: e3dff06f j 8000516c <_ntoa_format+0x2a4> + 80005334: 01000713 li a4,16 + 80005338: f8e88ae3 beq a7,a4,800052cc <_ntoa_format+0x404> + 8000533c: 00200793 li a5,2 + 80005340: 00f88c63 beq a7,a5,80005358 <_ntoa_format+0x490> + 80005344: 01f00793 li a5,31 + 80005348: df5ff06f j 8000513c <_ntoa_format+0x274> + 8000534c: 02037793 andi a5,t1,32 + 80005350: fc0788e3 beqz a5,80005320 <_ntoa_format+0x458> + 80005354: e01ff06f j 80005154 <_ntoa_format+0x28c> + 80005358: 01f00713 li a4,31 + 8000535c: dc9ff06f j 80005124 <_ntoa_format+0x25c> + +0000000080005360 <_ntoa_long>: + 80005360: f4010113 addi sp,sp,-192 + 80005364: 09413823 sd s4,144(sp) + 80005368: 09513423 sd s5,136(sp) + 8000536c: 09613023 sd s6,128(sp) + 80005370: 07713c23 sd s7,120(sp) + 80005374: 07813823 sd s8,112(sp) + 80005378: 07913423 sd s9,104(sp) + 8000537c: 05b13c23 sd s11,88(sp) + 80005380: 0a113c23 sd ra,184(sp) + 80005384: 0a813823 sd s0,176(sp) + 80005388: 0a913423 sd s1,168(sp) + 8000538c: 0b213023 sd s2,160(sp) + 80005390: 09313c23 sd s3,152(sp) + 80005394: 07a13023 sd s10,96(sp) + 80005398: 02a13023 sd a0,32(sp) + 8000539c: 03113423 sd a7,40(sp) + 800053a0: 0c812a03 lw s4,200(sp) + 800053a4: 00070d93 mv s11,a4 + 800053a8: 00058b13 mv s6,a1 + 800053ac: 00060b93 mv s7,a2 + 800053b0: 00068c13 mv s8,a3 + 800053b4: 00078c93 mv s9,a5 + 800053b8: 00080a93 mv s5,a6 + 800053bc: 00071863 bnez a4,800053cc <_ntoa_long+0x6c> + 800053c0: 400a7793 andi a5,s4,1024 + 800053c4: fefa7a13 andi s4,s4,-17 + 800053c8: 0e079663 bnez a5,800054b4 <_ntoa_long+0x154> + 800053cc: 020a7793 andi a5,s4,32 + 800053d0: 06100413 li s0,97 + 800053d4: 0c079c63 bnez a5,800054ac <_ntoa_long+0x14c> + 800053d8: 00000d13 li s10,0 + 800053dc: 03010493 addi s1,sp,48 + 800053e0: 00900913 li s2,9 + 800053e4: ff64041b addiw s0,s0,-10 + 800053e8: 02000993 li s3,32 + 800053ec: 00c0006f j 800053f8 <_ntoa_long+0x98> + 800053f0: 053d0463 beq s10,s3,80005438 <_ntoa_long+0xd8> + 800053f4: 00050d93 mv s11,a0 + 800053f8: 000a8593 mv a1,s5 + 800053fc: 000d8513 mv a0,s11 + 80005400: d90ff0ef jal ra,80004990 <__umoddi3> + 80005404: 0ff57313 andi t1,a0,255 + 80005408: 0303071b addiw a4,t1,48 + 8000540c: 0064033b addw t1,s0,t1 + 80005410: 0ff37313 andi t1,t1,255 + 80005414: 00a96463 bltu s2,a0,8000541c <_ntoa_long+0xbc> + 80005418: 0ff77313 andi t1,a4,255 + 8000541c: 001d0d13 addi s10,s10,1 + 80005420: 01a48733 add a4,s1,s10 + 80005424: 000d8513 mv a0,s11 + 80005428: 000a8593 mv a1,s5 + 8000542c: fe670fa3 sb t1,-1(a4) + 80005430: d18ff0ef jal ra,80004948 <__udivdi3> + 80005434: fb5dfee3 bgeu s11,s5,800053f0 <_ntoa_long+0x90> + 80005438: 0c012703 lw a4,192(sp) + 8000543c: 02813783 ld a5,40(sp) + 80005440: 02013503 ld a0,32(sp) + 80005444: 01413823 sd s4,16(sp) + 80005448: 00e13423 sd a4,8(sp) + 8000544c: 00f13023 sd a5,0(sp) + 80005450: 000a889b sext.w a7,s5 + 80005454: 000c8813 mv a6,s9 + 80005458: 000d0793 mv a5,s10 + 8000545c: 00048713 mv a4,s1 + 80005460: 000c0693 mv a3,s8 + 80005464: 000b8613 mv a2,s7 + 80005468: 000b0593 mv a1,s6 + 8000546c: a5dff0ef jal ra,80004ec8 <_ntoa_format> + 80005470: 0b813083 ld ra,184(sp) + 80005474: 0b013403 ld s0,176(sp) + 80005478: 0a813483 ld s1,168(sp) + 8000547c: 0a013903 ld s2,160(sp) + 80005480: 09813983 ld s3,152(sp) + 80005484: 09013a03 ld s4,144(sp) + 80005488: 08813a83 ld s5,136(sp) + 8000548c: 08013b03 ld s6,128(sp) + 80005490: 07813b83 ld s7,120(sp) + 80005494: 07013c03 ld s8,112(sp) + 80005498: 06813c83 ld s9,104(sp) + 8000549c: 06013d03 ld s10,96(sp) + 800054a0: 05813d83 ld s11,88(sp) + 800054a4: 0c010113 addi sp,sp,192 + 800054a8: 00008067 ret + 800054ac: 04100413 li s0,65 + 800054b0: f29ff06f j 800053d8 <_ntoa_long+0x78> + 800054b4: 00000d13 li s10,0 + 800054b8: 03010493 addi s1,sp,48 + 800054bc: f7dff06f j 80005438 <_ntoa_long+0xd8> + +00000000800054c0 <_ntoa_long_long>: + 800054c0: f4010113 addi sp,sp,-192 + 800054c4: 09413823 sd s4,144(sp) + 800054c8: 09513423 sd s5,136(sp) + 800054cc: 09613023 sd s6,128(sp) + 800054d0: 07713c23 sd s7,120(sp) + 800054d4: 07813823 sd s8,112(sp) + 800054d8: 07913423 sd s9,104(sp) + 800054dc: 05b13c23 sd s11,88(sp) + 800054e0: 0a113c23 sd ra,184(sp) + 800054e4: 0a813823 sd s0,176(sp) + 800054e8: 0a913423 sd s1,168(sp) + 800054ec: 0b213023 sd s2,160(sp) + 800054f0: 09313c23 sd s3,152(sp) + 800054f4: 07a13023 sd s10,96(sp) + 800054f8: 02a13023 sd a0,32(sp) + 800054fc: 03113423 sd a7,40(sp) + 80005500: 0c812a03 lw s4,200(sp) + 80005504: 00070d93 mv s11,a4 + 80005508: 00058b13 mv s6,a1 + 8000550c: 00060b93 mv s7,a2 + 80005510: 00068c13 mv s8,a3 + 80005514: 00078c93 mv s9,a5 + 80005518: 00080a93 mv s5,a6 + 8000551c: 00071863 bnez a4,8000552c <_ntoa_long_long+0x6c> + 80005520: 400a7793 andi a5,s4,1024 + 80005524: fefa7a13 andi s4,s4,-17 + 80005528: 0e079663 bnez a5,80005614 <_ntoa_long_long+0x154> + 8000552c: 020a7793 andi a5,s4,32 + 80005530: 06100413 li s0,97 + 80005534: 0c079c63 bnez a5,8000560c <_ntoa_long_long+0x14c> + 80005538: 00000d13 li s10,0 + 8000553c: 03010493 addi s1,sp,48 + 80005540: 00900913 li s2,9 + 80005544: ff64041b addiw s0,s0,-10 + 80005548: 02000993 li s3,32 + 8000554c: 00c0006f j 80005558 <_ntoa_long_long+0x98> + 80005550: 053d0463 beq s10,s3,80005598 <_ntoa_long_long+0xd8> + 80005554: 00050d93 mv s11,a0 + 80005558: 000a8593 mv a1,s5 + 8000555c: 000d8513 mv a0,s11 + 80005560: c30ff0ef jal ra,80004990 <__umoddi3> + 80005564: 0ff57313 andi t1,a0,255 + 80005568: 0303071b addiw a4,t1,48 + 8000556c: 0064033b addw t1,s0,t1 + 80005570: 0ff37313 andi t1,t1,255 + 80005574: 00a96463 bltu s2,a0,8000557c <_ntoa_long_long+0xbc> + 80005578: 0ff77313 andi t1,a4,255 + 8000557c: 001d0d13 addi s10,s10,1 + 80005580: 01a48733 add a4,s1,s10 + 80005584: 000d8513 mv a0,s11 + 80005588: 000a8593 mv a1,s5 + 8000558c: fe670fa3 sb t1,-1(a4) + 80005590: bb8ff0ef jal ra,80004948 <__udivdi3> + 80005594: fb5dfee3 bgeu s11,s5,80005550 <_ntoa_long_long+0x90> + 80005598: 0c012703 lw a4,192(sp) + 8000559c: 02813783 ld a5,40(sp) + 800055a0: 02013503 ld a0,32(sp) + 800055a4: 01413823 sd s4,16(sp) + 800055a8: 00e13423 sd a4,8(sp) + 800055ac: 00f13023 sd a5,0(sp) + 800055b0: 000a889b sext.w a7,s5 + 800055b4: 000c8813 mv a6,s9 + 800055b8: 000d0793 mv a5,s10 + 800055bc: 00048713 mv a4,s1 + 800055c0: 000c0693 mv a3,s8 + 800055c4: 000b8613 mv a2,s7 + 800055c8: 000b0593 mv a1,s6 + 800055cc: 8fdff0ef jal ra,80004ec8 <_ntoa_format> + 800055d0: 0b813083 ld ra,184(sp) + 800055d4: 0b013403 ld s0,176(sp) + 800055d8: 0a813483 ld s1,168(sp) + 800055dc: 0a013903 ld s2,160(sp) + 800055e0: 09813983 ld s3,152(sp) + 800055e4: 09013a03 ld s4,144(sp) + 800055e8: 08813a83 ld s5,136(sp) + 800055ec: 08013b03 ld s6,128(sp) + 800055f0: 07813b83 ld s7,120(sp) + 800055f4: 07013c03 ld s8,112(sp) + 800055f8: 06813c83 ld s9,104(sp) + 800055fc: 06013d03 ld s10,96(sp) + 80005600: 05813d83 ld s11,88(sp) + 80005604: 0c010113 addi sp,sp,192 + 80005608: 00008067 ret + 8000560c: 04100413 li s0,65 + 80005610: f29ff06f j 80005538 <_ntoa_long_long+0x78> + 80005614: 00000d13 li s10,0 + 80005618: 03010493 addi s1,sp,48 + 8000561c: f7dff06f j 80005598 <_ntoa_long_long+0xd8> + +0000000080005620 <_vsnprintf>: + 80005620: f6010113 addi sp,sp,-160 + 80005624: 08913423 sd s1,136(sp) + 80005628: 09213023 sd s2,128(sp) + 8000562c: 07313c23 sd s3,120(sp) + 80005630: 05713c23 sd s7,88(sp) + 80005634: 05813823 sd s8,80(sp) + 80005638: 08113c23 sd ra,152(sp) + 8000563c: 08813823 sd s0,144(sp) + 80005640: 07413823 sd s4,112(sp) + 80005644: 07513423 sd s5,104(sp) + 80005648: 07613023 sd s6,96(sp) + 8000564c: 05913423 sd s9,72(sp) + 80005650: 05a13023 sd s10,64(sp) + 80005654: 03b13c23 sd s11,56(sp) + 80005658: 00058493 mv s1,a1 + 8000565c: 00060c13 mv s8,a2 + 80005660: 00068b93 mv s7,a3 + 80005664: 00070993 mv s3,a4 + 80005668: 00000917 auipc s2,0x0 + 8000566c: 85c90913 addi s2,s2,-1956 # 80004ec4 <_out_null> + 80005670: 00058463 beqz a1,80005678 <_vsnprintf+0x58> + 80005674: 00050913 mv s2,a0 + 80005678: 000bc503 lbu a0,0(s7) + 8000567c: 00000d13 li s10,0 + 80005680: 66050663 beqz a0,80005cec <_vsnprintf+0x6cc> + 80005684: 000107b7 lui a5,0x10 + 80005688: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000568c: 02500a13 li s4,37 + 80005690: 00001417 auipc s0,0x1 + 80005694: 57040413 addi s0,s0,1392 # 80006c00 + 80005698: 00f13c23 sd a5,24(sp) + 8000569c: 0200006f j 800056bc <_vsnprintf+0x9c> + 800056a0: 000d0613 mv a2,s10 + 800056a4: 000c0693 mv a3,s8 + 800056a8: 00048593 mv a1,s1 + 800056ac: 001d0d13 addi s10,s10,1 + 800056b0: 000900e7 jalr s2 + 800056b4: 000bc503 lbu a0,0(s7) + 800056b8: 1c050263 beqz a0,8000587c <_vsnprintf+0x25c> + 800056bc: 001b8b93 addi s7,s7,1 + 800056c0: ff4510e3 bne a0,s4,800056a0 <_vsnprintf+0x80> + 800056c4: 00000593 li a1,0 + 800056c8: 01000813 li a6,16 + 800056cc: 000bc503 lbu a0,0(s7) + 800056d0: 001b8713 addi a4,s7,1 + 800056d4: 00070613 mv a2,a4 + 800056d8: fe05079b addiw a5,a0,-32 + 800056dc: 0ff7f793 andi a5,a5,255 + 800056e0: 00f86c63 bltu a6,a5,800056f8 <_vsnprintf+0xd8> + 800056e4: 00279793 slli a5,a5,0x2 + 800056e8: 008787b3 add a5,a5,s0 + 800056ec: 0007a783 lw a5,0(a5) + 800056f0: 008787b3 add a5,a5,s0 + 800056f4: 00078067 jr a5 + 800056f8: fd05079b addiw a5,a0,-48 + 800056fc: 0ff7f793 andi a5,a5,255 + 80005700: 00900813 li a6,9 + 80005704: 0ef87c63 bgeu a6,a5,800057fc <_vsnprintf+0x1dc> + 80005708: 02a00793 li a5,42 + 8000570c: 20f50463 beq a0,a5,80005914 <_vsnprintf+0x2f4> + 80005710: 000b8613 mv a2,s7 + 80005714: 00000d93 li s11,0 + 80005718: 00070b93 mv s7,a4 + 8000571c: 02e00793 li a5,46 + 80005720: 00000c93 li s9,0 + 80005724: 12f50463 beq a0,a5,8000584c <_vsnprintf+0x22c> + 80005728: f985079b addiw a5,a0,-104 + 8000572c: 0ff7f793 andi a5,a5,255 + 80005730: 01200713 li a4,18 + 80005734: 08f76463 bltu a4,a5,800057bc <_vsnprintf+0x19c> + 80005738: 00001717 auipc a4,0x1 + 8000573c: 50c70713 addi a4,a4,1292 # 80006c44 + 80005740: 00279793 slli a5,a5,0x2 + 80005744: 00e787b3 add a5,a5,a4 + 80005748: 0007a783 lw a5,0(a5) + 8000574c: 00e787b3 add a5,a5,a4 + 80005750: 00078067 jr a5 + 80005754: 0015e593 ori a1,a1,1 + 80005758: 0005859b sext.w a1,a1 + 8000575c: 00070b93 mv s7,a4 + 80005760: f6dff06f j 800056cc <_vsnprintf+0xac> + 80005764: 0025e593 ori a1,a1,2 + 80005768: 0005859b sext.w a1,a1 + 8000576c: 00070b93 mv s7,a4 + 80005770: f5dff06f j 800056cc <_vsnprintf+0xac> + 80005774: 0045e593 ori a1,a1,4 + 80005778: 0005859b sext.w a1,a1 + 8000577c: 00070b93 mv s7,a4 + 80005780: f4dff06f j 800056cc <_vsnprintf+0xac> + 80005784: 0105e593 ori a1,a1,16 + 80005788: 0005859b sext.w a1,a1 + 8000578c: 00070b93 mv s7,a4 + 80005790: f3dff06f j 800056cc <_vsnprintf+0xac> + 80005794: 0085e593 ori a1,a1,8 + 80005798: 0005859b sext.w a1,a1 + 8000579c: 00070b93 mv s7,a4 + 800057a0: f2dff06f j 800056cc <_vsnprintf+0xac> + 800057a4: 00164503 lbu a0,1(a2) + 800057a8: 06800793 li a5,104 + 800057ac: 52f50663 beq a0,a5,80005cd8 <_vsnprintf+0x6b8> + 800057b0: 0805e593 ori a1,a1,128 + 800057b4: 0005859b sext.w a1,a1 + 800057b8: 001b8b93 addi s7,s7,1 + 800057bc: fdb5079b addiw a5,a0,-37 + 800057c0: 0ff7f793 andi a5,a5,255 + 800057c4: 05300713 li a4,83 + 800057c8: ecf76ce3 bltu a4,a5,800056a0 <_vsnprintf+0x80> + 800057cc: 00001717 auipc a4,0x1 + 800057d0: 4c470713 addi a4,a4,1220 # 80006c90 + 800057d4: 00279793 slli a5,a5,0x2 + 800057d8: 00e787b3 add a5,a5,a4 + 800057dc: 0007a783 lw a5,0(a5) + 800057e0: 00e787b3 add a5,a5,a4 + 800057e4: 00078067 jr a5 + 800057e8: 00164503 lbu a0,1(a2) + 800057ec: 1005e593 ori a1,a1,256 + 800057f0: 0005859b sext.w a1,a1 + 800057f4: 001b8b93 addi s7,s7,1 + 800057f8: fc5ff06f j 800057bc <_vsnprintf+0x19c> + 800057fc: 00000d93 li s11,0 + 80005800: 00900813 li a6,9 + 80005804: 0080006f j 8000580c <_vsnprintf+0x1ec> + 80005808: 00170713 addi a4,a4,1 + 8000580c: 002d979b slliw a5,s11,0x2 + 80005810: 01b787bb addw a5,a5,s11 + 80005814: 0017979b slliw a5,a5,0x1 + 80005818: 00a787bb addw a5,a5,a0 + 8000581c: 00074503 lbu a0,0(a4) + 80005820: 000b8893 mv a7,s7 + 80005824: fd078d9b addiw s11,a5,-48 + 80005828: fd05061b addiw a2,a0,-48 + 8000582c: 0ff67613 andi a2,a2,255 + 80005830: 00070b93 mv s7,a4 + 80005834: fcc87ae3 bgeu a6,a2,80005808 <_vsnprintf+0x1e8> + 80005838: 02e00793 li a5,46 + 8000583c: 00070613 mv a2,a4 + 80005840: 00288b93 addi s7,a7,2 + 80005844: 00000c93 li s9,0 + 80005848: eef510e3 bne a0,a5,80005728 <_vsnprintf+0x108> + 8000584c: 00164503 lbu a0,1(a2) + 80005850: 4005e593 ori a1,a1,1024 + 80005854: 00900713 li a4,9 + 80005858: fd05079b addiw a5,a0,-48 + 8000585c: 0ff7f793 andi a5,a5,255 + 80005860: 0005859b sext.w a1,a1 + 80005864: 06f77c63 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005868: 02a00793 li a5,42 + 8000586c: 32f50e63 beq a0,a5,80005ba8 <_vsnprintf+0x588> + 80005870: 000b8613 mv a2,s7 + 80005874: 001b8b93 addi s7,s7,1 + 80005878: eb1ff06f j 80005728 <_vsnprintf+0x108> + 8000587c: 000d041b sext.w s0,s10 + 80005880: 018d6463 bltu s10,s8,80005888 <_vsnprintf+0x268> + 80005884: fffc0d13 addi s10,s8,-1 + 80005888: 000c0693 mv a3,s8 + 8000588c: 000d0613 mv a2,s10 + 80005890: 00048593 mv a1,s1 + 80005894: 00000513 li a0,0 + 80005898: 000900e7 jalr s2 + 8000589c: 09813083 ld ra,152(sp) + 800058a0: 00040513 mv a0,s0 + 800058a4: 09013403 ld s0,144(sp) + 800058a8: 08813483 ld s1,136(sp) + 800058ac: 08013903 ld s2,128(sp) + 800058b0: 07813983 ld s3,120(sp) + 800058b4: 07013a03 ld s4,112(sp) + 800058b8: 06813a83 ld s5,104(sp) + 800058bc: 06013b03 ld s6,96(sp) + 800058c0: 05813b83 ld s7,88(sp) + 800058c4: 05013c03 ld s8,80(sp) + 800058c8: 04813c83 ld s9,72(sp) + 800058cc: 04013d03 ld s10,64(sp) + 800058d0: 03813d83 ld s11,56(sp) + 800058d4: 0a010113 addi sp,sp,160 + 800058d8: 00008067 ret + 800058dc: 002c979b slliw a5,s9,0x2 + 800058e0: 019788bb addw a7,a5,s9 + 800058e4: 000b8813 mv a6,s7 + 800058e8: 0018989b slliw a7,a7,0x1 + 800058ec: 001b8b93 addi s7,s7,1 + 800058f0: 00a888bb addw a7,a7,a0 + 800058f4: 000bc503 lbu a0,0(s7) + 800058f8: fd088c9b addiw s9,a7,-48 + 800058fc: fd05079b addiw a5,a0,-48 + 80005900: 0ff7f793 andi a5,a5,255 + 80005904: fcf77ce3 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005908: 000b8613 mv a2,s7 + 8000590c: 00280b93 addi s7,a6,2 + 80005910: e19ff06f j 80005728 <_vsnprintf+0x108> + 80005914: 0009a783 lw a5,0(s3) + 80005918: 00898993 addi s3,s3,8 + 8000591c: 00078d9b sext.w s11,a5 + 80005920: 0007c863 bltz a5,80005930 <_vsnprintf+0x310> + 80005924: 001bc503 lbu a0,1(s7) + 80005928: 002b8b93 addi s7,s7,2 + 8000592c: df1ff06f j 8000571c <_vsnprintf+0xfc> + 80005930: 0025e593 ori a1,a1,2 + 80005934: 001bc503 lbu a0,1(s7) + 80005938: 0005859b sext.w a1,a1 + 8000593c: 40f00dbb negw s11,a5 + 80005940: 002b8b93 addi s7,s7,2 + 80005944: dd9ff06f j 8000571c <_vsnprintf+0xfc> + 80005948: 07800793 li a5,120 + 8000594c: 00898a93 addi s5,s3,8 + 80005950: 56f50063 beq a0,a5,80005eb0 <_vsnprintf+0x890> + 80005954: 05800793 li a5,88 + 80005958: 28f50463 beq a0,a5,80005be0 <_vsnprintf+0x5c0> + 8000595c: 06f00793 li a5,111 + 80005960: 4af50c63 beq a0,a5,80005e18 <_vsnprintf+0x7f8> + 80005964: 06200793 li a5,98 + 80005968: 54f50063 beq a0,a5,80005ea8 <_vsnprintf+0x888> + 8000596c: fef5ff13 andi t5,a1,-17 + 80005970: 4005f793 andi a5,a1,1024 + 80005974: 06900713 li a4,105 + 80005978: 000f0f1b sext.w t5,t5 + 8000597c: 0007879b sext.w a5,a5 + 80005980: 5ae51063 bne a0,a4,80005f20 <_vsnprintf+0x900> + 80005984: 48079663 bnez a5,80005e10 <_vsnprintf+0x7f0> + 80005988: 2005f593 andi a1,a1,512 + 8000598c: 0005879b sext.w a5,a1 + 80005990: 00a00813 li a6,10 + 80005994: 42079663 bnez a5,80005dc0 <_vsnprintf+0x7a0> + 80005998: 100f7793 andi a5,t5,256 + 8000599c: 000f0613 mv a2,t5 + 800059a0: 4e079063 bnez a5,80005e80 <_vsnprintf+0x860> + 800059a4: 040f7713 andi a4,t5,64 + 800059a8: 0009a783 lw a5,0(s3) + 800059ac: 4a071a63 bnez a4,80005e60 <_vsnprintf+0x840> + 800059b0: 08067613 andi a2,a2,128 + 800059b4: 52060a63 beqz a2,80005ee8 <_vsnprintf+0x8c8> + 800059b8: 0107979b slliw a5,a5,0x10 + 800059bc: 4107d79b sraiw a5,a5,0x10 + 800059c0: 40f7d61b sraiw a2,a5,0xf + 800059c4: 00c7c733 xor a4,a5,a2 + 800059c8: 40c7073b subw a4,a4,a2 + 800059cc: 03071713 slli a4,a4,0x30 + 800059d0: 03075713 srli a4,a4,0x30 + 800059d4: 000d0613 mv a2,s10 + 800059d8: 01e13423 sd t5,8(sp) + 800059dc: 01b13023 sd s11,0(sp) + 800059e0: 000c8893 mv a7,s9 + 800059e4: 01f7d79b srliw a5,a5,0x1f + 800059e8: 000c0693 mv a3,s8 + 800059ec: 00048593 mv a1,s1 + 800059f0: 00090513 mv a0,s2 + 800059f4: 96dff0ef jal ra,80005360 <_ntoa_long> + 800059f8: 00050d13 mv s10,a0 + 800059fc: 000a8993 mv s3,s5 + 80005a00: cb5ff06f j 800056b4 <_vsnprintf+0x94> + 80005a04: 00164503 lbu a0,1(a2) + 80005a08: 06c00793 li a5,108 + 80005a0c: def510e3 bne a0,a5,800057ec <_vsnprintf+0x1cc> + 80005a10: 3005e593 ori a1,a1,768 + 80005a14: 00264503 lbu a0,2(a2) + 80005a18: 0005859b sext.w a1,a1 + 80005a1c: 00360b93 addi s7,a2,3 + 80005a20: d9dff06f j 800057bc <_vsnprintf+0x19c> + 80005a24: 00898793 addi a5,s3,8 + 80005a28: 001d0813 addi a6,s10,1 + 80005a2c: 0025f593 andi a1,a1,2 + 80005a30: 02f13023 sd a5,32(sp) + 80005a34: 00080b13 mv s6,a6 + 80005a38: 32058263 beqz a1,80005d5c <_vsnprintf+0x73c> + 80005a3c: 0009c503 lbu a0,0(s3) + 80005a40: 000c0693 mv a3,s8 + 80005a44: 000d0613 mv a2,s10 + 80005a48: 00048593 mv a1,s1 + 80005a4c: 000900e7 jalr s2 + 80005a50: 00100793 li a5,1 + 80005a54: 43b7f063 bgeu a5,s11,80005e74 <_vsnprintf+0x854> + 80005a58: ffed879b addiw a5,s11,-2 + 80005a5c: 02079a93 slli s5,a5,0x20 + 80005a60: 020ada93 srli s5,s5,0x20 + 80005a64: 002d0d13 addi s10,s10,2 + 80005a68: 015d0cb3 add s9,s10,s5 + 80005a6c: 000b0613 mv a2,s6 + 80005a70: 000c0693 mv a3,s8 + 80005a74: 001b0b13 addi s6,s6,1 + 80005a78: 00048593 mv a1,s1 + 80005a7c: 02000513 li a0,32 + 80005a80: 000900e7 jalr s2 + 80005a84: ff6c94e3 bne s9,s6,80005a6c <_vsnprintf+0x44c> + 80005a88: 02013983 ld s3,32(sp) + 80005a8c: 015d0d33 add s10,s10,s5 + 80005a90: c25ff06f j 800056b4 <_vsnprintf+0x94> + 80005a94: 000d0613 mv a2,s10 + 80005a98: 000c0693 mv a3,s8 + 80005a9c: 00048593 mv a1,s1 + 80005aa0: 02500513 li a0,37 + 80005aa4: 001d0d13 addi s10,s10,1 + 80005aa8: 000900e7 jalr s2 + 80005aac: c09ff06f j 800056b4 <_vsnprintf+0x94> + 80005ab0: 0009ba83 ld s5,0(s3) + 80005ab4: 00898793 addi a5,s3,8 + 80005ab8: 02f13023 sd a5,32(sp) + 80005abc: 000ac503 lbu a0,0(s5) + 80005ac0: 100c9663 bnez s9,80005bcc <_vsnprintf+0x5ac> + 80005ac4: ffe00793 li a5,-2 + 80005ac8: 42050863 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005acc: 00178693 addi a3,a5,1 + 80005ad0: 00da8633 add a2,s5,a3 + 80005ad4: 000a8793 mv a5,s5 + 80005ad8: 0080006f j 80005ae0 <_vsnprintf+0x4c0> + 80005adc: 1ec78863 beq a5,a2,80005ccc <_vsnprintf+0x6ac> + 80005ae0: 0017c703 lbu a4,1(a5) + 80005ae4: 00178793 addi a5,a5,1 + 80005ae8: fe071ae3 bnez a4,80005adc <_vsnprintf+0x4bc> + 80005aec: 415787bb subw a5,a5,s5 + 80005af0: 00f13823 sd a5,16(sp) + 80005af4: 4005f793 andi a5,a1,1024 + 80005af8: 0007899b sext.w s3,a5 + 80005afc: 00078c63 beqz a5,80005b14 <_vsnprintf+0x4f4> + 80005b00: 01013703 ld a4,16(sp) + 80005b04: 000c879b sext.w a5,s9 + 80005b08: 01977463 bgeu a4,s9,80005b10 <_vsnprintf+0x4f0> + 80005b0c: 0007079b sext.w a5,a4 + 80005b10: 00f13823 sd a5,16(sp) + 80005b14: 0025f593 andi a1,a1,2 + 80005b18: 0005879b sext.w a5,a1 + 80005b1c: 02f13423 sd a5,40(sp) + 80005b20: 1c058a63 beqz a1,80005cf4 <_vsnprintf+0x6d4> + 80005b24: 14050863 beqz a0,80005c74 <_vsnprintf+0x654> + 80005b28: 000d0613 mv a2,s10 + 80005b2c: 00098863 beqz s3,80005b3c <_vsnprintf+0x51c> + 80005b30: fffc879b addiw a5,s9,-1 + 80005b34: 120c8863 beqz s9,80005c64 <_vsnprintf+0x644> + 80005b38: 00078c93 mv s9,a5 + 80005b3c: 000c0693 mv a3,s8 + 80005b40: 00048593 mv a1,s1 + 80005b44: 00160b13 addi s6,a2,1 + 80005b48: 000900e7 jalr s2 + 80005b4c: 41ab07b3 sub a5,s6,s10 + 80005b50: 00fa87b3 add a5,s5,a5 + 80005b54: 0007c503 lbu a0,0(a5) + 80005b58: 10050863 beqz a0,80005c68 <_vsnprintf+0x648> + 80005b5c: 000b0613 mv a2,s6 + 80005b60: fcdff06f j 80005b2c <_vsnprintf+0x50c> + 80005b64: 0009b703 ld a4,0(s3) + 80005b68: 0215e593 ori a1,a1,33 + 80005b6c: 0005859b sext.w a1,a1 + 80005b70: 01000793 li a5,16 + 80005b74: 000d0613 mv a2,s10 + 80005b78: 00b13423 sd a1,8(sp) + 80005b7c: 00f13023 sd a5,0(sp) + 80005b80: 000c8893 mv a7,s9 + 80005b84: 01000813 li a6,16 + 80005b88: 00000793 li a5,0 + 80005b8c: 000c0693 mv a3,s8 + 80005b90: 00048593 mv a1,s1 + 80005b94: 00090513 mv a0,s2 + 80005b98: 929ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005b9c: 00898993 addi s3,s3,8 + 80005ba0: 00050d13 mv s10,a0 + 80005ba4: b11ff06f j 800056b4 <_vsnprintf+0x94> + 80005ba8: 0009a883 lw a7,0(s3) + 80005bac: 00264503 lbu a0,2(a2) + 80005bb0: 00360b93 addi s7,a2,3 + 80005bb4: fff8c793 not a5,a7 + 80005bb8: 43f7d793 srai a5,a5,0x3f + 80005bbc: 00f8fcb3 and s9,a7,a5 + 80005bc0: 00898993 addi s3,s3,8 + 80005bc4: 00260613 addi a2,a2,2 + 80005bc8: b61ff06f j 80005728 <_vsnprintf+0x108> + 80005bcc: 020c9793 slli a5,s9,0x20 + 80005bd0: 0207d793 srli a5,a5,0x20 + 80005bd4: 32050263 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005bd8: fff78793 addi a5,a5,-1 + 80005bdc: ef1ff06f j 80005acc <_vsnprintf+0x4ac> + 80005be0: ff35ff13 andi t5,a1,-13 + 80005be4: 000f0f1b sext.w t5,t5 + 80005be8: 4005f793 andi a5,a1,1024 + 80005bec: 020f6f13 ori t5,t5,32 + 80005bf0: 20078863 beqz a5,80005e00 <_vsnprintf+0x7e0> + 80005bf4: 01000813 li a6,16 + 80005bf8: ffef7f13 andi t5,t5,-2 + 80005bfc: 000f0f1b sext.w t5,t5 + 80005c00: 200f7793 andi a5,t5,512 + 80005c04: 06900713 li a4,105 + 80005c08: 0007879b sext.w a5,a5 + 80005c0c: d8e504e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c10: 06400713 li a4,100 + 80005c14: d8e500e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c18: 22079663 bnez a5,80005e44 <_vsnprintf+0x824> + 80005c1c: 100f7793 andi a5,t5,256 + 80005c20: 000f0713 mv a4,t5 + 80005c24: 2a079463 bnez a5,80005ecc <_vsnprintf+0x8ac> + 80005c28: 040f7793 andi a5,t5,64 + 80005c2c: 24079063 bnez a5,80005e6c <_vsnprintf+0x84c> + 80005c30: 08077713 andi a4,a4,128 + 80005c34: 2c070663 beqz a4,80005f00 <_vsnprintf+0x8e0> + 80005c38: 0009a703 lw a4,0(s3) + 80005c3c: 01813783 ld a5,24(sp) + 80005c40: 00e7f733 and a4,a5,a4 + 80005c44: 02071713 slli a4,a4,0x20 + 80005c48: 000d0613 mv a2,s10 + 80005c4c: 01e13423 sd t5,8(sp) + 80005c50: 01b13023 sd s11,0(sp) + 80005c54: 000c8893 mv a7,s9 + 80005c58: 00000793 li a5,0 + 80005c5c: 02075713 srli a4,a4,0x20 + 80005c60: d89ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005c64: 00060b13 mv s6,a2 + 80005c68: 02813783 ld a5,40(sp) + 80005c6c: 04078a63 beqz a5,80005cc0 <_vsnprintf+0x6a0> + 80005c70: 000b0d13 mv s10,s6 + 80005c74: 01013703 ld a4,16(sp) + 80005c78: 0db77e63 bgeu a4,s11,80005d54 <_vsnprintf+0x734> + 80005c7c: fffd879b addiw a5,s11,-1 + 80005c80: 40e7873b subw a4,a5,a4 + 80005c84: 02071713 slli a4,a4,0x20 + 80005c88: 02075713 srli a4,a4,0x20 + 80005c8c: 001d0813 addi a6,s10,1 + 80005c90: 01070b33 add s6,a4,a6 + 80005c94: 0080006f j 80005c9c <_vsnprintf+0x67c> + 80005c98: 00180813 addi a6,a6,1 + 80005c9c: 000d0613 mv a2,s10 + 80005ca0: 01013823 sd a6,16(sp) + 80005ca4: 000c0693 mv a3,s8 + 80005ca8: 00048593 mv a1,s1 + 80005cac: 02000513 li a0,32 + 80005cb0: 00080d13 mv s10,a6 + 80005cb4: 000900e7 jalr s2 + 80005cb8: 01013803 ld a6,16(sp) + 80005cbc: fd0b1ee3 bne s6,a6,80005c98 <_vsnprintf+0x678> + 80005cc0: 02013983 ld s3,32(sp) + 80005cc4: 000b0d13 mv s10,s6 + 80005cc8: 9edff06f j 800056b4 <_vsnprintf+0x94> + 80005ccc: 0006879b sext.w a5,a3 + 80005cd0: 00f13823 sd a5,16(sp) + 80005cd4: e21ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005cd8: 0c05e593 ori a1,a1,192 + 80005cdc: 00264503 lbu a0,2(a2) + 80005ce0: 0005859b sext.w a1,a1 + 80005ce4: 00360b93 addi s7,a2,3 + 80005ce8: ad5ff06f j 800057bc <_vsnprintf+0x19c> + 80005cec: 00000413 li s0,0 + 80005cf0: b91ff06f j 80005880 <_vsnprintf+0x260> + 80005cf4: 01013703 ld a4,16(sp) + 80005cf8: 0017079b addiw a5,a4,1 + 80005cfc: 21b77c63 bgeu a4,s11,80005f14 <_vsnprintf+0x8f4> + 80005d00: fffd879b addiw a5,s11,-1 + 80005d04: 40e787bb subw a5,a5,a4 + 80005d08: 02079793 slli a5,a5,0x20 + 80005d0c: 0207d793 srli a5,a5,0x20 + 80005d10: 001d0813 addi a6,s10,1 + 80005d14: 01078b33 add s6,a5,a6 + 80005d18: 00c0006f j 80005d24 <_vsnprintf+0x704> + 80005d1c: 01013803 ld a6,16(sp) + 80005d20: 00180813 addi a6,a6,1 + 80005d24: 000d0613 mv a2,s10 + 80005d28: 000c0693 mv a3,s8 + 80005d2c: 00080d13 mv s10,a6 + 80005d30: 01013823 sd a6,16(sp) + 80005d34: 00048593 mv a1,s1 + 80005d38: 02000513 li a0,32 + 80005d3c: 000900e7 jalr s2 + 80005d40: fd6d1ee3 bne s10,s6,80005d1c <_vsnprintf+0x6fc> + 80005d44: 000ac503 lbu a0,0(s5) + 80005d48: 001d879b addiw a5,s11,1 + 80005d4c: 00f13823 sd a5,16(sp) + 80005d50: dc051ce3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005d54: 000d0b13 mv s6,s10 + 80005d58: f69ff06f j 80005cc0 <_vsnprintf+0x6a0> + 80005d5c: 00100793 li a5,1 + 80005d60: 1bb7f463 bgeu a5,s11,80005f08 <_vsnprintf+0x8e8> + 80005d64: ffed879b addiw a5,s11,-2 + 80005d68: 02079a93 slli s5,a5,0x20 + 80005d6c: 020ada93 srli s5,s5,0x20 + 80005d70: 010a8ab3 add s5,s5,a6 + 80005d74: 00c0006f j 80005d80 <_vsnprintf+0x760> + 80005d78: 01013803 ld a6,16(sp) + 80005d7c: 00180813 addi a6,a6,1 + 80005d80: 000d0613 mv a2,s10 + 80005d84: 000c0693 mv a3,s8 + 80005d88: 00080d13 mv s10,a6 + 80005d8c: 01013823 sd a6,16(sp) + 80005d90: 00048593 mv a1,s1 + 80005d94: 02000513 li a0,32 + 80005d98: 000900e7 jalr s2 + 80005d9c: fdaa9ee3 bne s5,s10,80005d78 <_vsnprintf+0x758> + 80005da0: 001a8d13 addi s10,s5,1 + 80005da4: 0009c503 lbu a0,0(s3) + 80005da8: 000c0693 mv a3,s8 + 80005dac: 000a8613 mv a2,s5 + 80005db0: 00048593 mv a1,s1 + 80005db4: 000900e7 jalr s2 + 80005db8: 02013983 ld s3,32(sp) + 80005dbc: 8f9ff06f j 800056b4 <_vsnprintf+0x94> + 80005dc0: 0009b783 ld a5,0(s3) + 80005dc4: 000d0613 mv a2,s10 + 80005dc8: 01e13423 sd t5,8(sp) + 80005dcc: 43f7d713 srai a4,a5,0x3f + 80005dd0: 00f745b3 xor a1,a4,a5 + 80005dd4: 01b13023 sd s11,0(sp) + 80005dd8: 000c8893 mv a7,s9 + 80005ddc: 03f7d793 srli a5,a5,0x3f + 80005de0: 40e58733 sub a4,a1,a4 + 80005de4: 000c0693 mv a3,s8 + 80005de8: 00048593 mv a1,s1 + 80005dec: 00090513 mv a0,s2 + 80005df0: ed0ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005df4: 00050d13 mv s10,a0 + 80005df8: 000a8993 mv s3,s5 + 80005dfc: 8b9ff06f j 800056b4 <_vsnprintf+0x94> + 80005e00: 2005f793 andi a5,a1,512 + 80005e04: 0007879b sext.w a5,a5 + 80005e08: 01000813 li a6,16 + 80005e0c: e0dff06f j 80005c18 <_vsnprintf+0x5f8> + 80005e10: 00a00813 li a6,10 + 80005e14: de5ff06f j 80005bf8 <_vsnprintf+0x5d8> + 80005e18: 00800813 li a6,8 + 80005e1c: 00058f13 mv t5,a1 + 80005e20: 400f7713 andi a4,t5,1024 + 80005e24: 06400613 li a2,100 + 80005e28: 000f0793 mv a5,t5 + 80005e2c: 0007071b sext.w a4,a4 + 80005e30: 0ec51c63 bne a0,a2,80005f28 <_vsnprintf+0x908> + 80005e34: dc0712e3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005e38: 2007f793 andi a5,a5,512 + 80005e3c: 0007879b sext.w a5,a5 + 80005e40: b55ff06f j 80005994 <_vsnprintf+0x374> + 80005e44: 0009b703 ld a4,0(s3) + 80005e48: 000d0613 mv a2,s10 + 80005e4c: 01e13423 sd t5,8(sp) + 80005e50: 01b13023 sd s11,0(sp) + 80005e54: 000c8893 mv a7,s9 + 80005e58: 00000793 li a5,0 + 80005e5c: f89ff06f j 80005de4 <_vsnprintf+0x7c4> + 80005e60: 0ff7f793 andi a5,a5,255 + 80005e64: 00078713 mv a4,a5 + 80005e68: b6dff06f j 800059d4 <_vsnprintf+0x3b4> + 80005e6c: 0009c703 lbu a4,0(s3) + 80005e70: dd5ff06f j 80005c44 <_vsnprintf+0x624> + 80005e74: 02013983 ld s3,32(sp) + 80005e78: 000b0d13 mv s10,s6 + 80005e7c: 839ff06f j 800056b4 <_vsnprintf+0x94> + 80005e80: 0009b783 ld a5,0(s3) + 80005e84: 000d0613 mv a2,s10 + 80005e88: 01e13423 sd t5,8(sp) + 80005e8c: 43f7d713 srai a4,a5,0x3f + 80005e90: 00f745b3 xor a1,a4,a5 + 80005e94: 01b13023 sd s11,0(sp) + 80005e98: 000c8893 mv a7,s9 + 80005e9c: 03f7d793 srli a5,a5,0x3f + 80005ea0: 40e58733 sub a4,a1,a4 + 80005ea4: b45ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ea8: 00200813 li a6,2 + 80005eac: f71ff06f j 80005e1c <_vsnprintf+0x7fc> + 80005eb0: 4005f713 andi a4,a1,1024 + 80005eb4: 0007071b sext.w a4,a4 + 80005eb8: 01000813 li a6,16 + 80005ebc: ff35f593 andi a1,a1,-13 + 80005ec0: 00058f1b sext.w t5,a1 + 80005ec4: d2071ae3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005ec8: d39ff06f j 80005c00 <_vsnprintf+0x5e0> + 80005ecc: 0009b703 ld a4,0(s3) + 80005ed0: 000d0613 mv a2,s10 + 80005ed4: 01e13423 sd t5,8(sp) + 80005ed8: 01b13023 sd s11,0(sp) + 80005edc: 000c8893 mv a7,s9 + 80005ee0: 00000793 li a5,0 + 80005ee4: b05ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ee8: 41f7d61b sraiw a2,a5,0x1f + 80005eec: 00c7c733 xor a4,a5,a2 + 80005ef0: 40c7073b subw a4,a4,a2 + 80005ef4: ae1ff06f j 800059d4 <_vsnprintf+0x3b4> + 80005ef8: 00013823 sd zero,16(sp) + 80005efc: bf9ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005f00: 0009a703 lw a4,0(s3) + 80005f04: d41ff06f j 80005c44 <_vsnprintf+0x624> + 80005f08: 000d0a93 mv s5,s10 + 80005f0c: 00080d13 mv s10,a6 + 80005f10: e95ff06f j 80005da4 <_vsnprintf+0x784> + 80005f14: 00f13823 sd a5,16(sp) + 80005f18: c00518e3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005f1c: e39ff06f j 80005d54 <_vsnprintf+0x734> + 80005f20: 00a00813 li a6,10 + 80005f24: efdff06f j 80005e20 <_vsnprintf+0x800> + 80005f28: 000f0593 mv a1,t5 + 80005f2c: f91ff06f j 80005ebc <_vsnprintf+0x89c> + +0000000080005f30 <_out_char>: + 80005f30: 00051463 bnez a0,80005f38 <_out_char+0x8> + 80005f34: 00008067 ret + 80005f38: 951fe06f j 80004888 + +0000000080005f3c : + 80005f3c: fa010113 addi sp,sp,-96 + 80005f40: 02810313 addi t1,sp,40 + 80005f44: 02b13423 sd a1,40(sp) + 80005f48: 02c13823 sd a2,48(sp) + 80005f4c: 02d13c23 sd a3,56(sp) + 80005f50: 04e13023 sd a4,64(sp) + 80005f54: 00050693 mv a3,a0 + 80005f58: 00010593 mv a1,sp + 80005f5c: 00030713 mv a4,t1 + 80005f60: fff00613 li a2,-1 + 80005f64: 00000517 auipc a0,0x0 + 80005f68: fcc50513 addi a0,a0,-52 # 80005f30 <_out_char> + 80005f6c: 00113c23 sd ra,24(sp) + 80005f70: 04f13423 sd a5,72(sp) + 80005f74: 05013823 sd a6,80(sp) + 80005f78: 05113c23 sd a7,88(sp) + 80005f7c: 00613423 sd t1,8(sp) + 80005f80: ea0ff0ef jal ra,80005620 <_vsnprintf> + 80005f84: 01813083 ld ra,24(sp) + 80005f88: 06010113 addi sp,sp,96 + 80005f8c: 00008067 ret + +0000000080005f90 : + 80005f90: fb010113 addi sp,sp,-80 + 80005f94: 02010313 addi t1,sp,32 + 80005f98: 02c13023 sd a2,32(sp) + 80005f9c: 02d13423 sd a3,40(sp) + 80005fa0: 02e13823 sd a4,48(sp) + 80005fa4: 00058693 mv a3,a1 + 80005fa8: 00030713 mv a4,t1 + 80005fac: 00050593 mv a1,a0 + 80005fb0: fff00613 li a2,-1 + 80005fb4: fffff517 auipc a0,0xfffff + 80005fb8: f0050513 addi a0,a0,-256 # 80004eb4 <_out_buffer> + 80005fbc: 00113c23 sd ra,24(sp) + 80005fc0: 02f13c23 sd a5,56(sp) + 80005fc4: 05013023 sd a6,64(sp) + 80005fc8: 05113423 sd a7,72(sp) + 80005fcc: 00613423 sd t1,8(sp) + 80005fd0: e50ff0ef jal ra,80005620 <_vsnprintf> + 80005fd4: 01813083 ld ra,24(sp) + 80005fd8: 05010113 addi sp,sp,80 + 80005fdc: 00008067 ret + +0000000080005fe0 : + 80005fe0: 00700713 li a4,7 + 80005fe4: 00050793 mv a5,a0 + 80005fe8: 0ac77a63 bgeu a4,a2,8000609c + 80005fec: 0ff5f893 andi a7,a1,255 + 80005ff0: 00889693 slli a3,a7,0x8 + 80005ff4: 0116e6b3 or a3,a3,a7 + 80005ff8: 01069713 slli a4,a3,0x10 + 80005ffc: 00d766b3 or a3,a4,a3 + 80006000: 02069713 slli a4,a3,0x20 + 80006004: 00757813 andi a6,a0,7 + 80006008: 00d76733 or a4,a4,a3 + 8000600c: 0a080663 beqz a6,800060b8 + 80006010: 00c50633 add a2,a0,a2 + 80006014: 01178023 sb a7,0(a5) + 80006018: 00178793 addi a5,a5,1 + 8000601c: 0077f813 andi a6,a5,7 + 80006020: 40f606b3 sub a3,a2,a5 + 80006024: fe0818e3 bnez a6,80006014 + 80006028: 0066d813 srli a6,a3,0x6 + 8000602c: 04080063 beqz a6,8000606c + 80006030: 00681613 slli a2,a6,0x6 + 80006034: 00078893 mv a7,a5 + 80006038: 00f60633 add a2,a2,a5 + 8000603c: 00e7b023 sd a4,0(a5) + 80006040: 00e7b423 sd a4,8(a5) + 80006044: 00e7b823 sd a4,16(a5) + 80006048: 00e7bc23 sd a4,24(a5) + 8000604c: 02e7b023 sd a4,32(a5) + 80006050: 02e7b423 sd a4,40(a5) + 80006054: 02e7b823 sd a4,48(a5) + 80006058: 02e7bc23 sd a4,56(a5) + 8000605c: 04078793 addi a5,a5,64 + 80006060: fcf61ee3 bne a2,a5,8000603c + 80006064: 00681793 slli a5,a6,0x6 + 80006068: 011787b3 add a5,a5,a7 + 8000606c: 0036d813 srli a6,a3,0x3 + 80006070: 00787813 andi a6,a6,7 + 80006074: 02080263 beqz a6,80006098 + 80006078: 00381613 slli a2,a6,0x3 + 8000607c: 00078893 mv a7,a5 + 80006080: 00f60633 add a2,a2,a5 + 80006084: 00e7b023 sd a4,0(a5) + 80006088: 00878793 addi a5,a5,8 + 8000608c: fef61ce3 bne a2,a5,80006084 + 80006090: 00381793 slli a5,a6,0x3 + 80006094: 011787b3 add a5,a5,a7 + 80006098: 0076f613 andi a2,a3,7 + 8000609c: 0ff5f593 andi a1,a1,255 + 800060a0: 00c78733 add a4,a5,a2 + 800060a4: 00060863 beqz a2,800060b4 + 800060a8: 00b78023 sb a1,0(a5) + 800060ac: 00178793 addi a5,a5,1 + 800060b0: fef71ce3 bne a4,a5,800060a8 + 800060b4: 00008067 ret + 800060b8: 00060693 mv a3,a2 + 800060bc: f6dff06f j 80006028 + +00000000800060c0 : + 800060c0: 1a050e63 beqz a0,8000627c + 800060c4: fd010113 addi sp,sp,-48 + 800060c8: 02813023 sd s0,32(sp) + 800060cc: 00913c23 sd s1,24(sp) + 800060d0: 01f57793 andi a5,a0,31 + 800060d4: 02113423 sd ra,40(sp) + 800060d8: 01213823 sd s2,16(sp) + 800060dc: 01313423 sd s3,8(sp) + 800060e0: 01413023 sd s4,0(sp) + 800060e4: 00050493 mv s1,a0 + 800060e8: 00050413 mv s0,a0 + 800060ec: 00000513 li a0,0 + 800060f0: 14079a63 bnez a5,80006244 + 800060f4: 27f00713 li a4,639 + 800060f8: 00058913 mv s2,a1 + 800060fc: 00078513 mv a0,a5 + 80006100: 14b77263 bgeu a4,a1,80006244 + 80006104: 0074f513 andi a0,s1,7 + 80006108: 00153513 seqz a0,a0 + 8000610c: 00060a13 mv s4,a2 + 80006110: 00068993 mv s3,a3 + 80006114: f8cfe0ef jal ra,800048a0 <_assert> + 80006118: 20048793 addi a5,s1,512 + 8000611c: 2004b023 sd zero,512(s1) + 80006120: 2144b423 sd s4,520(s1) + 80006124: 2134b823 sd s3,528(s1) + 80006128: 00043023 sd zero,0(s0) + 8000612c: 00840413 addi s0,s0,8 + 80006130: fe879ce3 bne a5,s0,80006128 + 80006134: fff00793 li a5,-1 + 80006138: dc090413 addi s0,s2,-576 + 8000613c: 03f79793 slli a5,a5,0x3f + 80006140: 1287f863 bgeu a5,s0,80006270 + 80006144: 00078413 mv s0,a5 + 80006148: 00100513 li a0,1 + 8000614c: f54fe0ef jal ra,800048a0 <_assert> + 80006150: f8300793 li a5,-125 + 80006154: 0017d793 srli a5,a5,0x1 + 80006158: fc040513 addi a0,s0,-64 + 8000615c: 00f53533 sltu a0,a0,a5 + 80006160: f40fe0ef jal ra,800048a0 <_assert> + 80006164: 01f4f513 andi a0,s1,31 + 80006168: 00153513 seqz a0,a0 + 8000616c: f34fe0ef jal ra,800048a0 <_assert> + 80006170: 00100513 li a0,1 + 80006174: 2404b023 sd zero,576(s1) + 80006178: 2404b423 sd zero,584(s1) + 8000617c: 2484b823 sd s0,592(s1) + 80006180: 24048c23 sb zero,600(s1) + 80006184: 2604b023 sd zero,608(s1) + 80006188: 2604b423 sd zero,616(s1) + 8000618c: f14fe0ef jal ra,800048a0 <_assert> + 80006190: 00100513 li a0,1 + 80006194: f0cfe0ef jal ra,800048a0 <_assert> + 80006198: 2504b503 ld a0,592(s1) + 8000619c: 24048a13 addi s4,s1,576 + 800061a0: 04053513 sltiu a0,a0,64 + 800061a4: 00154513 xori a0,a0,1 + 800061a8: 00157513 andi a0,a0,1 + 800061ac: ef4fe0ef jal ra,800048a0 <_assert> + 800061b0: 2504b503 ld a0,592(s1) + 800061b4: 03f57513 andi a0,a0,63 + 800061b8: 00153513 seqz a0,a0 + 800061bc: ee4fe0ef jal ra,800048a0 <_assert> + 800061c0: 2504b703 ld a4,592(s1) + 800061c4: 00100793 li a5,1 + 800061c8: 00675713 srli a4,a4,0x6 + 800061cc: 0ae7fc63 bgeu a5,a4,80006284 + 800061d0: 00000793 li a5,0 + 800061d4: 00100693 li a3,1 + 800061d8: 0017879b addiw a5,a5,1 + 800061dc: 00175713 srli a4,a4,0x1 + 800061e0: 0ff7f793 andi a5,a5,255 + 800061e4: fed71ae3 bne a4,a3,800061d8 + 800061e8: 00078993 mv s3,a5 + 800061ec: 0407b513 sltiu a0,a5,64 + 800061f0: 00f71933 sll s2,a4,a5 + 800061f4: eacfe0ef jal ra,800048a0 <_assert> + 800061f8: 00399793 slli a5,s3,0x3 + 800061fc: 00f487b3 add a5,s1,a5 + 80006200: 0007b703 ld a4,0(a5) + 80006204: 2604b423 sd zero,616(s1) + 80006208: 26e4b023 sd a4,608(s1) + 8000620c: 00070463 beqz a4,80006214 + 80006210: 03473423 sd s4,40(a4) + 80006214: 0147b023 sd s4,0(a5) + 80006218: 2004b503 ld a0,512(s1) + 8000621c: 00a96533 or a0,s2,a0 + 80006220: 20a4b023 sd a0,512(s1) + 80006224: 00a03533 snez a0,a0 + 80006228: e78fe0ef jal ra,800048a0 <_assert> + 8000622c: 00048513 mv a0,s1 + 80006230: 2084bc23 sd s0,536(s1) + 80006234: 2204b023 sd zero,544(s1) + 80006238: 2204b423 sd zero,552(s1) + 8000623c: 2204b823 sd zero,560(s1) + 80006240: 2204bc23 sd zero,568(s1) + 80006244: 02813083 ld ra,40(sp) + 80006248: 02013403 ld s0,32(sp) + 8000624c: 01813483 ld s1,24(sp) + 80006250: 01013903 ld s2,16(sp) + 80006254: 00813983 ld s3,8(sp) + 80006258: 00013a03 ld s4,0(sp) + 8000625c: 03010113 addi sp,sp,48 + 80006260: 00008067 ret + 80006264: 00100513 li a0,1 + 80006268: fff40413 addi s0,s0,-1 + 8000626c: e34fe0ef jal ra,800048a0 <_assert> + 80006270: 03f47793 andi a5,s0,63 + 80006274: fe0798e3 bnez a5,80006264 + 80006278: ed1ff06f j 80006148 + 8000627c: 00000513 li a0,0 + 80006280: 00008067 ret + 80006284: 00100913 li s2,1 + 80006288: 00000993 li s3,0 + 8000628c: 00100513 li a0,1 + 80006290: f65ff06f j 800061f4 diff --git a/bin/custom-output/benchmark/microbench/microbench-ref.bin b/bin/custom-output/benchmark/microbench/microbench-ref.bin new file mode 100755 index 0000000..ec8842b Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-ref.bin differ diff --git a/bin/custom-output/benchmark/microbench/microbench-ref.elf b/bin/custom-output/benchmark/microbench/microbench-ref.elf new file mode 100755 index 0000000..d4449a6 Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-ref.elf differ diff --git a/bin/custom-output/benchmark/microbench/microbench-ref.txt b/bin/custom-output/benchmark/microbench/microbench-ref.txt new file mode 100755 index 0000000..4f82cea --- /dev/null +++ b/bin/custom-output/benchmark/microbench/microbench-ref.txt @@ -0,0 +1,6486 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0ad040ef jal ra,800048b8 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 119040ef jal ra,80004948 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 93c48493 addi s1,s1,-1732 # 80007998 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 29058593 addi a1,a1,656 # 800062f8 <_etext+0x64> + 80000070: 00048513 mv a0,s1 + 80000074: 71d050ef jal ra,80005f90 + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 101040ef jal ra,80004990 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 0a1040ef jal ra,80004948 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 8cc50513 addi a0,a0,-1844 # 80007998 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 1b858593 addi a1,a1,440 # 80006298 <_etext+0x4> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 1f050513 addi a0,a0,496 # 800062d8 <_etext+0x44> + 800000f0: 64d050ef jal ra,80005f3c + 800000f4: 00100513 li a0,1 + 800000f8: 79c040ef jal ra,80004894 + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 29058593 addi a1,a1,656 # 800063c8 <_etext+0x134> + 80000140: 00050413 mv s0,a0 + 80000144: 50d040ef jal ra,80004e50 + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 1f458593 addi a1,a1,500 # 80006340 <_etext+0xac> + 80000154: 00040513 mv a0,s0 + 80000158: 4f9040ef jal ra,80004e50 + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 1e458593 addi a1,a1,484 # 80006348 <_etext+0xb4> + 8000016c: 00040513 mv a0,s0 + 80000170: 4e1040ef jal ra,80004e50 + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 105040ef jal ra,80004a84 + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 21050513 addi a0,a0,528 # 80006398 <_etext+0x104> + 80000190: 5ad050ef jal ra,80005f3c + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 13d040ef jal ra,80004ad8 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: c4cc0c13 addi s8,s8,-948 # 80006df0 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 2d4b8b93 addi s7,s7,724 # 80007480 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 8f048493 addi s1,s1,-1808 # 80007ac8 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 8f0a8a93 addi s5,s5,-1808 # 80007ad0 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 39c40413 addi s0,s0,924 # 80007588 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 1dca0a13 addi s4,s4,476 # 800063d0 <_etext+0x13c> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 1e4d0d13 addi s10,s10,484 # 800063e0 <_etext+0x14c> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 1f4c8c93 addi s9,s9,500 # 800063f8 <_etext+0x164> + 8000020c: 01c0006f j 80000228 + 80000210: 52d050ef jal ra,80005f3c + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 51d050ef jal ra,80005f3c + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 4e5050ef jal ra,80005f3c + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 75478793 addi a5,a5,1876 # 800079b8 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 051040ef jal ra,80004ad8 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 035040ef jal ra,80004ad8 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 14450513 addi a0,a0,324 # 80006408 <_etext+0x174> + 800002cc: 471050ef jal ra,80005f3c + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 13450513 addi a0,a0,308 # 80006410 <_etext+0x17c> + 800002e4: 459050ef jal ra,80005f3c + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 628040ef jal ra,80004948 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 16850513 addi a0,a0,360 # 800064a0 <_etext+0x20c> + 80000340: 3fd050ef jal ra,80005f3c + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 778040ef jal ra,80004ad8 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 0dc50513 addi a0,a0,220 # 80006448 <_etext+0x1b4> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 3c5050ef jal ra,80005f3c + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: f8858593 addi a1,a1,-120 # 80006308 <_etext+0x74> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 0f450513 addi a0,a0,244 # 80006480 <_etext+0x1ec> + 80000394: 3a9050ef jal ra,80005f3c + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 59c040ef jal ra,80004948 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 0dc50513 addi a0,a0,220 # 80006490 <_etext+0x1fc> + 800003bc: 381050ef jal ra,80005f3c + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 0e460613 addi a2,a2,228 # 800064a8 <_etext+0x214> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 0f050513 addi a0,a0,240 # 800064c0 <_etext+0x22c> + 800003d8: 365050ef jal ra,80005f3c + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 10050513 addi a0,a0,256 # 800064e8 <_etext+0x254> + 800003f0: 34d050ef jal ra,80005f3c + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 10050513 addi a0,a0,256 # 80006500 <_etext+0x26c> + 80000408: 335050ef jal ra,80005f3c + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: ecc50513 addi a0,a0,-308 # 80006318 <_etext+0x84> + 80000454: 2e9050ef jal ra,80005f3c + 80000458: 00006417 auipc s0,0x6 + 8000045c: ea840413 addi s0,s0,-344 # 80006300 <_etext+0x6c> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: fbc50513 addi a0,a0,-68 # 80006430 <_etext+0x19c> + 8000047c: 2c1050ef jal ra,80005f3c + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: 09050513 addi a0,a0,144 # 80006518 <_etext+0x284> + 80000490: 2ad050ef jal ra,80005f3c + 80000494: 00006517 auipc a0,0x6 + 80000498: f8c50513 addi a0,a0,-116 # 80006420 <_etext+0x18c> + 8000049c: 2a1050ef jal ra,80005f3c + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: e6458593 addi a1,a1,-412 # 80006310 <_etext+0x7c> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: fe850513 addi a0,a0,-24 # 800064a0 <_etext+0x20c> + 800004c0: 27d050ef jal ra,80005f3c + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: e3858593 addi a1,a1,-456 # 80006300 <_etext+0x6c> + 800004d0: 00040513 mv a0,s0 + 800004d4: 17d040ef jal ra,80004e50 + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: e6c58593 addi a1,a1,-404 # 80006350 <_etext+0xbc> + 800004ec: 00040513 mv a0,s0 + 800004f0: 161040ef jal ra,80004e50 + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: e5450513 addi a0,a0,-428 # 80006358 <_etext+0xc4> + 8000050c: 231050ef jal ra,80005f3c + 80000510: 00100513 li a0,1 + 80000514: 380040ef jal ra,80004894 + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 4a058593 addi a1,a1,1184 # 800079b8 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: 06078793 addi a5,a5,96 # 80007588 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 5687b783 ld a5,1384(a5) # 80007ad0 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: d0858593 addi a1,a1,-760 # 80006298 <_etext+0x4> + 80000598: 00006517 auipc a0,0x6 + 8000059c: d4050513 addi a0,a0,-704 # 800062d8 <_etext+0x44> + 800005a0: 19d050ef jal ra,80005f3c + 800005a4: 00100513 li a0,1 + 800005a8: 2ec040ef jal ra,80004894 + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: eca7a223 sw a0,-316(a5) # 80007480 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: eb868693 addi a3,a3,-328 # 80007480 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 3007b783 ld a5,768(a5) # 80007ad0 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 1e090913 addi s2,s2,480 # 800079c8 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 18c98993 addi s3,s3,396 # 800079c0 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 080040ef jal ra,800048dc <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 05c040ef jal ra,80004990 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 779030ef jal ra,80004990 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6c1030ef jal ra,80004990 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: e0872703 lw a4,-504(a4) # 800079c8 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: df843403 ld s0,-520(s0) # 800079c0 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 9107ac23 sw a6,-1768(a5) # 800079cc <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 98c7b783 ld a5,-1652(a5) # 80007ad0 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00007797 auipc a5,0x7 + 80001154: 87c7a783 lw a5,-1924(a5) # 800079cc <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 648030ef jal ra,80004940 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 638030ef jal ra,80004940 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 59c030ef jal ra,80004940 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5f0030ef jal ra,800049c4 <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a8030ef jal ra,80004940 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 510030ef jal ra,800049c4 <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 32c030ef jal ra,80004940 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 2447b783 ld a5,580(a5) # 80007ad0 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 12c90913 addi s2,s2,300 # 800079d0 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 11098993 addi s3,s3,272 # 800079d8 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 0ea73623 sd a0,236(a4) # 800079e0 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 070030ef jal ra,80004990 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: 07862603 lw a2,120(a2) # 800079d0 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: 0805b583 ld a1,128(a1) # 800079e0 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: 07053503 ld a0,112(a0) # 800079d8 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: 05c5a583 lw a1,92(a1) # 800079d0 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: 06453503 ld a0,100(a0) # 800079e0 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 1387b783 ld a5,312(a5) # 80007ad0 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 1107b783 ld a5,272(a5) # 80007ad0 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: 01c7a783 lw a5,28(a5) # 800079e8 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 719020ef jal ra,80004990 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 5e458593 addi a1,a1,1508 # 80006648 <_etext+0x3b4> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 26c50513 addi a0,a0,620 # 800062d8 <_etext+0x44> + 80002074: 6c9030ef jal ra,80005f3c + 80002078: 00100513 li a0,1 + 8000207c: 019020ef jal ra,80004894 + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 9147b783 ld a5,-1772(a5) # 80007ad0 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 32458593 addi a1,a1,804 # 80007508 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 19058593 addi a1,a1,400 # 80007488 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 32458593 addi a1,a1,804 # 80006688 <_etext+0x3f4> + 8000236c: 00004517 auipc a0,0x4 + 80002370: f6c50513 addi a0,a0,-148 # 800062d8 <_etext+0x44> + 80002374: 3c9030ef jal ra,80005f3c + 80002378: 00100513 li a0,1 + 8000237c: 518020ef jal ra,80004894 + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 5c470713 addi a4,a4,1476 # 800079e8 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 93058593 addi a1,a1,-1744 # 80007548 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00005597 auipc a1,0x5 + 80002c88: 84458593 addi a1,a1,-1980 # 800074c8 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3d5010ef jal ra,800048dc <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: cdc78793 addi a5,a5,-804 # 800079e8 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 91458593 addi a1,a1,-1772 # 80006648 <_etext+0x3b4> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 59c50513 addi a0,a0,1436 # 800062d8 <_etext+0x44> + 80002d44: 1f8030ef jal ra,80005f3c + 80002d48: 00100513 li a0,1 + 80002d4c: 349010ef jal ra,80004894 + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: d807b783 ld a5,-640(a5) # 80007ad0 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: c8490913 addi s2,s2,-892 # 800079ec + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: c6f73623 sd a5,-916(a4) # 80007a08 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: c4c98993 addi s3,s3,-948 # 800079f0 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: c2a73a23 sd a0,-972(a4) # 800079f8 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a9010ef jal ra,80004990 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: be06b683 ld a3,-1056(a3) # 80007a08 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: bbc62603 lw a2,-1092(a2) # 800079ec + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: bc05b583 ld a1,-1088(a1) # 800079f8 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: bb053503 ld a0,-1104(a0) # 800079f0 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: baa7a623 sw a0,-1108(a5) # 80007a00 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: b9453503 ld a0,-1132(a0) # 800079f8 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: b945a583 lw a1,-1132(a1) # 80007a00 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: c4c7b783 ld a5,-948(a5) # 80007ad0 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00004597 auipc a1,0x4 + 80002eb4: 82058593 addi a1,a1,-2016 # 800066d0 <_etext+0x43c> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 42050513 addi a0,a0,1056 # 800062d8 <_etext+0x44> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 078030ef jal ra,80005f3c + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c9010ef jal ra,80004894 + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 5487b783 ld a5,1352(a5) # 80007ad0 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 47090913 addi s2,s2,1136 # 80007a10 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 4607a623 sw zero,1132(a5) # 80007a20 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 44f73223 sd a5,1092(a4) # 80007a18 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 44f73023 sd a5,1088(a4) # 80007a28 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 44a73023 sd a0,1088(a4) # 80007a38 + 80003600: 00004997 auipc s3,0x4 + 80003604: 44098993 addi s3,s3,1088 # 80007a40 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 10c78793 addi a5,a5,268 # 80006718 <_etext+0x484> + 80003614: 00004717 auipc a4,0x4 + 80003618: 40f73e23 sd a5,1052(a4) # 80007a30 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 40f73c23 sd a5,1048(a4) # 80007a50 + 80003640: 00004797 auipc a5,0x4 + 80003644: 4007a423 sw zero,1032(a5) # 80007a48 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 178a0a13 addi s4,s4,376 # 800067d0 <_etext+0x53c> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 320010ef jal ra,80004990 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 37030313 addi t1,t1,880 # 80007a30 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 32c50513 addi a0,a0,812 # 80007a20 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 3186b683 ld a3,792(a3) # 80007a18 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 31c8b883 ld a7,796(a7) # 80007a28 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 0e880813 addi a6,a6,232 # 80006810 <_etext+0x57c> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 24ce8e93 addi t4,t4,588 # 80007a40 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 24ce0e13 addi t3,t3,588 # 80007a48 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 22c5b583 ld a1,556(a1) # 80007a38 + 80003814: 00004397 auipc t2,0x4 + 80003818: 23c3b383 ld t2,572(t2) # 80007a50 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: 0a888893 addi a7,a7,168 # 800068dc <_etext+0x648> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: fa07a823 sw zero,-80(a5) # 80007a20 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: fa440413 addi s0,s0,-92 # 80007a48 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: fa053503 ld a0,-96(a0) # 80007a50 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: f4872703 lw a4,-184(a4) # 80007a10 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: fe873703 ld a4,-24(a4) # 80007ad0 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: fc07b783 ld a5,-64(a5) # 80007ad0 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: f2f72a23 sw a5,-204(a4) # 80007a60 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 5a5000ef jal ra,800048dc <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: f0f73423 sd a5,-248(a4) # 80007a58 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: f0f73223 sd a5,-252(a4) # 80007a68 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: eef73c23 sd a5,-264(a4) # 80007a70 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: eea7b623 sd a0,-276(a5) # 80007a78 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: ec87b783 ld a5,-312(a5) # 80007a68 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: ec47b783 ld a5,-316(a5) # 80007a70 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: e7842403 lw s0,-392(s0) # 80007a60 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: e5cf3f03 ld t5,-420(t5) # 80007a58 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: df86b683 ld a3,-520(a3) # 80007a78 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3cd000ef jal ra,800048dc <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2bd000ef jal ra,800048dc <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: bbc52503 lw a0,-1092(a0) # 80007a60 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 21d000ef jal ra,800048dc <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: ba453503 ld a0,-1116(a0) # 80007a70 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: bf87b783 ld a5,-1032(a5) # 80007ad0 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: b647a783 lw a5,-1180(a5) # 80007a80 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: b2c7b783 ld a5,-1236(a5) # 80007ad0 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: ac07aa23 sw zero,-1324(a5) # 80007a84 + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: aaf72e23 sw a5,-1348(a4) # 80007a80 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: a8a7ac23 sw a0,-1384(a5) # 80007a84 + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: ad47b783 ld a5,-1324(a5) # 80007ad0 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: a7c7a783 lw a5,-1412(a5) # 80007a84 + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 9947b783 ld a5,-1644(a5) # 80007ad0 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 94090913 addi s2,s2,-1728 # 80007a88 + 80004150: 00004997 auipc s3,0x4 + 80004154: 94098993 addi s3,s3,-1728 # 80007a90 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 8c462603 lw a2,-1852(a2) # 80007a88 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 8c053503 ld a0,-1856(a0) # 80007a90 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00004597 auipc a1,0x4 + 800041e0: 8ac5a583 lw a1,-1876(a1) # 80007a88 + 800041e4: 00004517 auipc a0,0x4 + 800041e8: 8ac53503 ld a0,-1876(a0) # 80007a90 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8d07b783 ld a5,-1840(a5) # 80007ad0 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00004797 auipc a5,0x4 + 80004228: 8ac7b783 ld a5,-1876(a5) # 80007ad0 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00004497 auipc s1,0x4 + 80004240: 85c48493 addi s1,s1,-1956 # 80007a98 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00004917 auipc s2,0x4 + 80004260: 84c90913 addi s2,s2,-1972 # 80007aa8 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 7ea7bc23 sd a0,2040(a5) # 80007aa0 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7d86a683 lw a3,2008(a3) # 80007a98 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 7d8fbf83 ld t6,2008(t6) # 80007aa8 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 79073703 ld a4,1936(a4) # 80007aa0 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 4d8a0a13 addi s4,s4,1240 # 80006904 + 80004434: 00002997 auipc s3,0x2 + 80004438: 5d098993 addi s3,s3,1488 # 80006a04 + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 48053503 ld a0,1152(a0) # 80007aa0 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 4987b783 ld a5,1176(a5) # 80007ad0 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 4747b783 ld a5,1140(a5) # 80007ad0 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 43040413 addi s0,s0,1072 # 80007ab0 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 40a73e23 sd a0,1052(a4) # 80007ab8 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 3b44a483 lw s1,948(s1) # 80007ab0 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 3b060613 addi a2,a2,944 # 80007ab8 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 16c000ef jal ra,800048dc <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 104000ef jal ra,800048dc <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2c07a823 sw zero,720(a5) # 80007ab4 + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 28d7a623 sw a3,652(a5) # 80007ab4 + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 2607a423 sw zero,616(a5) # 80007ab4 + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 25c93903 ld s2,604(s2) # 80007ab8 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 2687b783 ld a5,616(a5) # 80007ad0 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 2407a783 lw a5,576(a5) # 80007ab4 + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00050513 mv a0,a0 + 8000488c: 0000007b 0x7b + 80004890: 00008067 ret + +0000000080004894 : + 80004894: 00050513 mv a0,a0 + 80004898: 0000006b 0x6b + 8000489c: 0000006f j 8000489c + +00000000800048a0 <_assert>: + 800048a0: 00051a63 bnez a0,800048b4 <_assert+0x14> + 800048a4: 00100793 li a5,1 + 800048a8: 00078513 mv a0,a5 + 800048ac: 0000006b 0x6b + 800048b0: 0000006f j 800048b0 <_assert+0x10> + 800048b4: 00008067 ret + +00000000800048b8 <_trm_init>: + 800048b8: ff010113 addi sp,sp,-16 + 800048bc: 00113423 sd ra,8(sp) + 800048c0: 5b8000ef jal ra,80004e78 + 800048c4: 00002517 auipc a0,0x2 + 800048c8: 51c50513 addi a0,a0,1308 # 80006de0 + 800048cc: 831fb0ef jal ra,800000fc
+ 800048d0: 00050513 mv a0,a0 + 800048d4: 0000006b 0x6b + 800048d8: 0000006f j 800048d8 <_trm_init+0x20> + +00000000800048dc <__muldi3>: + 800048dc: 00050613 mv a2,a0 + 800048e0: 00000513 li a0,0 + 800048e4: 0015f693 andi a3,a1,1 + 800048e8: 00068463 beqz a3,800048f0 <__muldi3+0x14> + 800048ec: 00c50533 add a0,a0,a2 + 800048f0: 0015d593 srli a1,a1,0x1 + 800048f4: 00161613 slli a2,a2,0x1 + 800048f8: fe0596e3 bnez a1,800048e4 <__muldi3+0x8> + 800048fc: 00008067 ret + +0000000080004900 <__udivsi3>: + 80004900: 02051513 slli a0,a0,0x20 + 80004904: 02059593 slli a1,a1,0x20 + 80004908: 00008293 mv t0,ra + 8000490c: 03c000ef jal ra,80004948 <__udivdi3> + 80004910: 0005051b sext.w a0,a0 + 80004914: 00028067 jr t0 + +0000000080004918 <__umodsi3>: + 80004918: 02051513 slli a0,a0,0x20 + 8000491c: 02059593 slli a1,a1,0x20 + 80004920: 02055513 srli a0,a0,0x20 + 80004924: 0205d593 srli a1,a1,0x20 + 80004928: 00008293 mv t0,ra + 8000492c: 01c000ef jal ra,80004948 <__udivdi3> + 80004930: 0005851b sext.w a0,a1 + 80004934: 00028067 jr t0 + +0000000080004938 <__divsi3>: + 80004938: fff00293 li t0,-1 + 8000493c: 0a558c63 beq a1,t0,800049f4 <__moddi3+0x30> + +0000000080004940 <__divdi3>: + 80004940: 06054063 bltz a0,800049a0 <__umoddi3+0x10> + 80004944: 0605c663 bltz a1,800049b0 <__umoddi3+0x20> + +0000000080004948 <__udivdi3>: + 80004948: 00058613 mv a2,a1 + 8000494c: 00050593 mv a1,a0 + 80004950: fff00513 li a0,-1 + 80004954: 02060c63 beqz a2,8000498c <__udivdi3+0x44> + 80004958: 00100693 li a3,1 + 8000495c: 00b67a63 bgeu a2,a1,80004970 <__udivdi3+0x28> + 80004960: 00c05863 blez a2,80004970 <__udivdi3+0x28> + 80004964: 00161613 slli a2,a2,0x1 + 80004968: 00169693 slli a3,a3,0x1 + 8000496c: feb66ae3 bltu a2,a1,80004960 <__udivdi3+0x18> + 80004970: 00000513 li a0,0 + 80004974: 00c5e663 bltu a1,a2,80004980 <__udivdi3+0x38> + 80004978: 40c585b3 sub a1,a1,a2 + 8000497c: 00d56533 or a0,a0,a3 + 80004980: 0016d693 srli a3,a3,0x1 + 80004984: 00165613 srli a2,a2,0x1 + 80004988: fe0696e3 bnez a3,80004974 <__udivdi3+0x2c> + 8000498c: 00008067 ret + +0000000080004990 <__umoddi3>: + 80004990: 00008293 mv t0,ra + 80004994: fb5ff0ef jal ra,80004948 <__udivdi3> + 80004998: 00058513 mv a0,a1 + 8000499c: 00028067 jr t0 + 800049a0: 40a00533 neg a0,a0 + 800049a4: 00b04863 bgtz a1,800049b4 <__umoddi3+0x24> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: f9dff06f j 80004948 <__udivdi3> + 800049b0: 40b005b3 neg a1,a1 + 800049b4: 00008293 mv t0,ra + 800049b8: f91ff0ef jal ra,80004948 <__udivdi3> + 800049bc: 40a00533 neg a0,a0 + 800049c0: 00028067 jr t0 + +00000000800049c4 <__moddi3>: + 800049c4: 00008293 mv t0,ra + 800049c8: 0005ca63 bltz a1,800049dc <__moddi3+0x18> + 800049cc: 00054c63 bltz a0,800049e4 <__moddi3+0x20> + 800049d0: f79ff0ef jal ra,80004948 <__udivdi3> + 800049d4: 00058513 mv a0,a1 + 800049d8: 00028067 jr t0 + 800049dc: 40b005b3 neg a1,a1 + 800049e0: fe0558e3 bgez a0,800049d0 <__moddi3+0xc> + 800049e4: 40a00533 neg a0,a0 + 800049e8: f61ff0ef jal ra,80004948 <__udivdi3> + 800049ec: 40b00533 neg a0,a1 + 800049f0: 00028067 jr t0 + 800049f4: 01f29293 slli t0,t0,0x1f + 800049f8: f45514e3 bne a0,t0,80004940 <__divdi3> + 800049fc: 00008067 ret + +0000000080004a00 <__am_timer_config>: + 80004a00: 00100793 li a5,1 + 80004a04: 00f50023 sb a5,0(a0) + 80004a08: 00f500a3 sb a5,1(a0) + 80004a0c: 00008067 ret + +0000000080004a10 <__am_input_config>: + 80004a10: 00100793 li a5,1 + 80004a14: 00f50023 sb a5,0(a0) + 80004a18: 00008067 ret + +0000000080004a1c : + 80004a1c: ff010113 addi sp,sp,-16 + 80004a20: 00813023 sd s0,0(sp) + 80004a24: 00113423 sd ra,8(sp) + 80004a28: 00002417 auipc s0,0x2 + 80004a2c: 0d840413 addi s0,s0,216 # 80006b00 + 80004a30: 04100513 li a0,65 + 80004a34: 00140413 addi s0,s0,1 + 80004a38: e51ff0ef jal ra,80004888 + 80004a3c: 00044503 lbu a0,0(s0) + 80004a40: fe051ae3 bnez a0,80004a34 + 80004a44: 00002417 auipc s0,0x2 + 80004a48: 0cc40413 addi s0,s0,204 # 80006b10 + 80004a4c: 06100513 li a0,97 + 80004a50: 00140413 addi s0,s0,1 + 80004a54: e35ff0ef jal ra,80004888 + 80004a58: 00044503 lbu a0,0(s0) + 80004a5c: fe051ae3 bnez a0,80004a50 + 80004a60: 00002417 auipc s0,0x2 + 80004a64: 0d040413 addi s0,s0,208 # 80006b30 + 80004a68: 02000513 li a0,32 + 80004a6c: 00140413 addi s0,s0,1 + 80004a70: e19ff0ef jal ra,80004888 + 80004a74: 00044503 lbu a0,0(s0) + 80004a78: fe051ae3 bnez a0,80004a6c + 80004a7c: 00100513 li a0,1 + 80004a80: e15ff0ef jal ra,80004894 + +0000000080004a84 : + 80004a84: ff010113 addi sp,sp,-16 + 80004a88: 00113423 sd ra,8(sp) + 80004a8c: 00003797 auipc a5,0x3 + 80004a90: b0c78793 addi a5,a5,-1268 # 80007598 + 80004a94: 00003697 auipc a3,0x3 + 80004a98: f0468693 addi a3,a3,-252 # 80007998 + 80004a9c: 00000617 auipc a2,0x0 + 80004aa0: f8060613 addi a2,a2,-128 # 80004a1c + 80004aa4: 00c0006f j 80004ab0 + 80004aa8: 00878793 addi a5,a5,8 + 80004aac: 00d78c63 beq a5,a3,80004ac4 + 80004ab0: 0007b703 ld a4,0(a5) + 80004ab4: fe071ae3 bnez a4,80004aa8 + 80004ab8: 00c7b023 sd a2,0(a5) + 80004abc: 00878793 addi a5,a5,8 + 80004ac0: fed798e3 bne a5,a3,80004ab0 + 80004ac4: 030000ef jal ra,80004af4 <__am_timer_init> + 80004ac8: 00813083 ld ra,8(sp) + 80004acc: 00100513 li a0,1 + 80004ad0: 01010113 addi sp,sp,16 + 80004ad4: 00008067 ret + +0000000080004ad8 : + 80004ad8: 00351793 slli a5,a0,0x3 + 80004adc: 00003517 auipc a0,0x3 + 80004ae0: abc50513 addi a0,a0,-1348 # 80007598 + 80004ae4: 00f50533 add a0,a0,a5 + 80004ae8: 00053303 ld t1,0(a0) + 80004aec: 00058513 mv a0,a1 + 80004af0: 00030067 jr t1 + +0000000080004af4 <__am_timer_init>: + 80004af4: 00008067 ret + +0000000080004af8 <__am_timer_uptime>: + 80004af8: fd010113 addi sp,sp,-48 + 80004afc: 00913c23 sd s1,24(sp) + 80004b00: 02113423 sd ra,40(sp) + 80004b04: 02813023 sd s0,32(sp) + 80004b08: 01213823 sd s2,16(sp) + 80004b0c: 01313423 sd s3,8(sp) + 80004b10: 00050493 mv s1,a0 + 80004b14: b0002973 csrr s2,mcycle + 80004b18: 000f49b7 lui s3,0xf4 + 80004b1c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80004b20: 00090513 mv a0,s2 + 80004b24: e25ff0ef jal ra,80004948 <__udivdi3> + 80004b28: 00551413 slli s0,a0,0x5 + 80004b2c: 40a407b3 sub a5,s0,a0 + 80004b30: 00679413 slli s0,a5,0x6 + 80004b34: 40f40433 sub s0,s0,a5 + 80004b38: 00341413 slli s0,s0,0x3 + 80004b3c: 00a40433 add s0,s0,a0 + 80004b40: 24098593 addi a1,s3,576 + 80004b44: 00090513 mv a0,s2 + 80004b48: e49ff0ef jal ra,80004990 <__umoddi3> + 80004b4c: 00641413 slli s0,s0,0x6 + 80004b50: 00a40433 add s0,s0,a0 + 80004b54: 0084b023 sd s0,0(s1) + 80004b58: 02813083 ld ra,40(sp) + 80004b5c: 02013403 ld s0,32(sp) + 80004b60: 01813483 ld s1,24(sp) + 80004b64: 01013903 ld s2,16(sp) + 80004b68: 00813983 ld s3,8(sp) + 80004b6c: 03010113 addi sp,sp,48 + 80004b70: 00008067 ret + +0000000080004b74 : + 80004b74: fc010113 addi sp,sp,-64 + 80004b78: 01313c23 sd s3,24(sp) + 80004b7c: 00058993 mv s3,a1 + 80004b80: 00050793 mv a5,a0 + 80004b84: 03800613 li a2,56 + 80004b88: 00000593 li a1,0 + 80004b8c: 00098513 mv a0,s3 + 80004b90: 02813823 sd s0,48(sp) + 80004b94: 03213023 sd s2,32(sp) + 80004b98: 0007b403 ld s0,0(a5) + 80004b9c: 01413823 sd s4,16(sp) + 80004ba0: 02113c23 sd ra,56(sp) + 80004ba4: 02913423 sd s1,40(sp) + 80004ba8: 01513423 sd s5,8(sp) + 80004bac: 434010ef jal ra,80005fe0 + 80004bb0: 7b200793 li a5,1970 + 80004bb4: 00f9aa23 sw a5,20(s3) + 80004bb8: 7b200913 li s2,1970 + 80004bbc: 00002a17 auipc s4,0x2 + 80004bc0: 22ca0a13 addi s4,s4,556 # 80006de8 + 80004bc4: 0100006f j 80004bd4 + 80004bc8: 40e40433 sub s0,s0,a4 + 80004bcc: 00d9aa23 sw a3,20(s3) + 80004bd0: 00068913 mv s2,a3 + 80004bd4: 0009049b sext.w s1,s2 + 80004bd8: 06400593 li a1,100 + 80004bdc: 00048513 mv a0,s1 + 80004be0: de5ff0ef jal ra,800049c4 <__moddi3> + 80004be4: 00050793 mv a5,a0 + 80004be8: 0007879b sext.w a5,a5 + 80004bec: 00048513 mv a0,s1 + 80004bf0: 00397493 andi s1,s2,3 + 80004bf4: 19000593 li a1,400 + 80004bf8: 0014b493 seqz s1,s1 + 80004bfc: 00079863 bnez a5,80004c0c + 80004c00: dc5ff0ef jal ra,800049c4 <__moddi3> + 80004c04: 0005049b sext.w s1,a0 + 80004c08: 0014b493 seqz s1,s1 + 80004c0c: 00249793 slli a5,s1,0x2 + 80004c10: 00fa07b3 add a5,s4,a5 + 80004c14: 0007e703 lwu a4,0(a5) + 80004c18: 0019069b addiw a3,s2,1 + 80004c1c: fae456e3 bge s0,a4,80004bc8 + 80004c20: 000155b7 lui a1,0x15 + 80004c24: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c28: 00040513 mv a0,s0 + 80004c2c: d15ff0ef jal ra,80004940 <__divdi3> + 80004c30: 00149793 slli a5,s1,0x1 + 80004c34: 009784b3 add s1,a5,s1 + 80004c38: 00449793 slli a5,s1,0x4 + 80004c3c: 00002497 auipc s1,0x2 + 80004c40: f3448493 addi s1,s1,-204 # 80006b70 + 80004c44: 00f484b3 add s1,s1,a5 + 80004c48: 0004e783 lwu a5,0(s1) + 80004c4c: 0005051b sext.w a0,a0 + 80004c50: 00a9ae23 sw a0,28(s3) + 80004c54: 14f44463 blt s0,a5,80004d9c + 80004c58: 00100713 li a4,1 + 80004c5c: 40f40433 sub s0,s0,a5 + 80004c60: 0044e783 lwu a5,4(s1) + 80004c64: 00070a1b sext.w s4,a4 + 80004c68: 00448493 addi s1,s1,4 + 80004c6c: 00170713 addi a4,a4,1 + 80004c70: fef456e3 bge s0,a5,80004c5c + 80004c74: 000155b7 lui a1,0x15 + 80004c78: 0149a823 sw s4,16(s3) + 80004c7c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c80: 00040513 mv a0,s0 + 80004c84: cbdff0ef jal ra,80004940 <__divdi3> + 80004c88: 0005051b sext.w a0,a0 + 80004c8c: 0015079b addiw a5,a0,1 + 80004c90: 000155b7 lui a1,0x15 + 80004c94: 00f9a623 sw a5,12(s3) + 80004c98: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c9c: 00040513 mv a0,s0 + 80004ca0: 00001ab7 lui s5,0x1 + 80004ca4: 0007841b sext.w s0,a5 + 80004ca8: d1dff0ef jal ra,800049c4 <__moddi3> + 80004cac: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004cb0: 00050493 mv s1,a0 + 80004cb4: c8dff0ef jal ra,80004940 <__divdi3> + 80004cb8: e10a8593 addi a1,s5,-496 + 80004cbc: 00a9a423 sw a0,8(s3) + 80004cc0: 00048513 mv a0,s1 + 80004cc4: d01ff0ef jal ra,800049c4 <__moddi3> + 80004cc8: 03c00593 li a1,60 + 80004ccc: 00050493 mv s1,a0 + 80004cd0: c71ff0ef jal ra,80004940 <__divdi3> + 80004cd4: 00a9a223 sw a0,4(s3) + 80004cd8: 03c00593 li a1,60 + 80004cdc: 00048513 mv a0,s1 + 80004ce0: ce5ff0ef jal ra,800049c4 <__moddi3> + 80004ce4: 001a0a1b addiw s4,s4,1 + 80004ce8: 0ffa7793 andi a5,s4,255 + 80004cec: 0037b793 sltiu a5,a5,3 + 80004cf0: 40f9093b subw s2,s2,a5 + 80004cf4: 03091913 slli s2,s2,0x30 + 80004cf8: 03095913 srli s2,s2,0x30 + 80004cfc: 00a9a023 sw a0,0(s3) + 80004d00: 06400593 li a1,100 + 80004d04: 00090513 mv a0,s2 + 80004d08: c41ff0ef jal ra,80004948 <__udivdi3> + 80004d0c: 0029549b srliw s1,s2,0x2 + 80004d10: 0105179b slliw a5,a0,0x10 + 80004d14: 0107d79b srliw a5,a5,0x10 + 80004d18: 012484bb addw s1,s1,s2 + 80004d1c: 19000593 li a1,400 + 80004d20: 00090513 mv a0,s2 + 80004d24: 0ffa7a13 andi s4,s4,255 + 80004d28: 40f484bb subw s1,s1,a5 + 80004d2c: fffa0a1b addiw s4,s4,-1 + 80004d30: c19ff0ef jal ra,80004948 <__udivdi3> + 80004d34: 00002797 auipc a5,0x2 + 80004d38: e9c78793 addi a5,a5,-356 # 80006bd0 + 80004d3c: 002a1a13 slli s4,s4,0x2 + 80004d40: 01478a33 add s4,a5,s4 + 80004d44: 000a2783 lw a5,0(s4) + 80004d48: 0105151b slliw a0,a0,0x10 + 80004d4c: 0105551b srliw a0,a0,0x10 + 80004d50: 00a484bb addw s1,s1,a0 + 80004d54: 00f484bb addw s1,s1,a5 + 80004d58: 0ff47513 andi a0,s0,255 + 80004d5c: 00a4853b addw a0,s1,a0 + 80004d60: 00700593 li a1,7 + 80004d64: c61ff0ef jal ra,800049c4 <__moddi3> + 80004d68: 03813083 ld ra,56(sp) + 80004d6c: 03013403 ld s0,48(sp) + 80004d70: 0ff57513 andi a0,a0,255 + 80004d74: 00a9ac23 sw a0,24(s3) + 80004d78: 0209a023 sw zero,32(s3) + 80004d7c: 02813483 ld s1,40(sp) + 80004d80: 02013903 ld s2,32(sp) + 80004d84: 01013a03 ld s4,16(sp) + 80004d88: 00813a83 ld s5,8(sp) + 80004d8c: 00098513 mv a0,s3 + 80004d90: 01813983 ld s3,24(sp) + 80004d94: 04010113 addi sp,sp,64 + 80004d98: 00008067 ret + 80004d9c: 00000a13 li s4,0 + 80004da0: eedff06f j 80004c8c + +0000000080004da4 <__am_timer_rtc>: + 80004da4: f9010113 addi sp,sp,-112 + 80004da8: 06813023 sd s0,96(sp) + 80004dac: 06113423 sd ra,104(sp) + 80004db0: 04913c23 sd s1,88(sp) + 80004db4: 05213823 sd s2,80(sp) + 80004db8: 00050413 mv s0,a0 + 80004dbc: b00024f3 csrr s1,mcycle + 80004dc0: 000f4937 lui s2,0xf4 + 80004dc4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80004dc8: 00048513 mv a0,s1 + 80004dcc: b7dff0ef jal ra,80004948 <__udivdi3> + 80004dd0: 00050793 mv a5,a0 + 80004dd4: 24090593 addi a1,s2,576 + 80004dd8: 00048513 mv a0,s1 + 80004ddc: 00f13423 sd a5,8(sp) + 80004de0: bb1ff0ef jal ra,80004990 <__umoddi3> + 80004de4: 00050793 mv a5,a0 + 80004de8: 01810593 addi a1,sp,24 + 80004dec: 00810513 addi a0,sp,8 + 80004df0: 00f13823 sd a5,16(sp) + 80004df4: d81ff0ef jal ra,80004b74 + 80004df8: 01812703 lw a4,24(sp) + 80004dfc: 02812783 lw a5,40(sp) + 80004e00: 06813083 ld ra,104(sp) + 80004e04: 00e42a23 sw a4,20(s0) + 80004e08: 01c12703 lw a4,28(sp) + 80004e0c: 0017879b addiw a5,a5,1 + 80004e10: 00f42223 sw a5,4(s0) + 80004e14: 00e42823 sw a4,16(s0) + 80004e18: 02012703 lw a4,32(sp) + 80004e1c: 02c12783 lw a5,44(sp) + 80004e20: 05813483 ld s1,88(sp) + 80004e24: 00e42623 sw a4,12(s0) + 80004e28: 02412703 lw a4,36(sp) + 80004e2c: 00f42023 sw a5,0(s0) + 80004e30: 05013903 ld s2,80(sp) + 80004e34: 00e42423 sw a4,8(s0) + 80004e38: 06013403 ld s0,96(sp) + 80004e3c: 07010113 addi sp,sp,112 + 80004e40: 00008067 ret + +0000000080004e44 <__am_input_keybrd>: + 80004e44: 00050023 sb zero,0(a0) + 80004e48: 00052223 sw zero,4(a0) + 80004e4c: 00008067 ret + +0000000080004e50 : + 80004e50: 00054783 lbu a5,0(a0) + 80004e54: 00158593 addi a1,a1,1 + 80004e58: 00150513 addi a0,a0,1 + 80004e5c: fff5c703 lbu a4,-1(a1) + 80004e60: 00078863 beqz a5,80004e70 + 80004e64: fee786e3 beq a5,a4,80004e50 + 80004e68: 40e7853b subw a0,a5,a4 + 80004e6c: 00008067 ret + 80004e70: 40e0053b negw a0,a4 + 80004e74: 00008067 ret + +0000000080004e78 : + 80004e78: 00002797 auipc a5,0x2 + 80004e7c: 71078793 addi a5,a5,1808 # 80007588 + 80004e80: 0007b503 ld a0,0(a5) + 80004e84: 0087b583 ld a1,8(a5) + 80004e88: ff010113 addi sp,sp,-16 + 80004e8c: 00000693 li a3,0 + 80004e90: 00000613 li a2,0 + 80004e94: 40a585b3 sub a1,a1,a0 + 80004e98: 00113423 sd ra,8(sp) + 80004e9c: 224010ef jal ra,800060c0 + 80004ea0: 00813083 ld ra,8(sp) + 80004ea4: 00003797 auipc a5,0x3 + 80004ea8: c0a7be23 sd a0,-996(a5) # 80007ac0 + 80004eac: 01010113 addi sp,sp,16 + 80004eb0: 00008067 ret + +0000000080004eb4 <_out_buffer>: + 80004eb4: 00d67663 bgeu a2,a3,80004ec0 <_out_buffer+0xc> + 80004eb8: 00c585b3 add a1,a1,a2 + 80004ebc: 00a58023 sb a0,0(a1) + 80004ec0: 00008067 ret + +0000000080004ec4 <_out_null>: + 80004ec4: 00008067 ret + +0000000080004ec8 <_ntoa_format>: + 80004ec8: fa010113 addi sp,sp,-96 + 80004ecc: 07012303 lw t1,112(sp) + 80004ed0: 03313c23 sd s3,56(sp) + 80004ed4: 03413823 sd s4,48(sp) + 80004ed8: 03513423 sd s5,40(sp) + 80004edc: 03613023 sd s6,32(sp) + 80004ee0: 01713c23 sd s7,24(sp) + 80004ee4: 01813823 sd s8,16(sp) + 80004ee8: 01913423 sd s9,8(sp) + 80004eec: 00237e13 andi t3,t1,2 + 80004ef0: 01037e93 andi t4,t1,16 + 80004ef4: 04113c23 sd ra,88(sp) + 80004ef8: 04813823 sd s0,80(sp) + 80004efc: 04913423 sd s1,72(sp) + 80004f00: 05213023 sd s2,64(sp) + 80004f04: 06012f03 lw t5,96(sp) + 80004f08: 06812c03 lw s8,104(sp) + 80004f0c: 00050a13 mv s4,a0 + 80004f10: 00058a93 mv s5,a1 + 80004f14: 00060b93 mv s7,a2 + 80004f18: 00068b13 mv s6,a3 + 80004f1c: 00070993 mv s3,a4 + 80004f20: 000e0c9b sext.w s9,t3 + 80004f24: 000e8e9b sext.w t4,t4 + 80004f28: 060e1c63 bnez t3,80004fa0 <_ntoa_format+0xd8> + 80004f2c: 020f1693 slli a3,t5,0x20 + 80004f30: 00137513 andi a0,t1,1 + 80004f34: 0206d693 srli a3,a3,0x20 + 80004f38: 180c1e63 bnez s8,800050d4 <_ntoa_format+0x20c> + 80004f3c: 02d7f663 bgeu a5,a3,80004f68 <_ntoa_format+0xa0> + 80004f40: 02000713 li a4,32 + 80004f44: 36e78e63 beq a5,a4,800052c0 <_ntoa_format+0x3f8> + 80004f48: 03000613 li a2,48 + 80004f4c: 02000593 li a1,32 + 80004f50: 0080006f j 80004f58 <_ntoa_format+0x90> + 80004f54: 00b78a63 beq a5,a1,80004f68 <_ntoa_format+0xa0> + 80004f58: 00178793 addi a5,a5,1 + 80004f5c: 00f98733 add a4,s3,a5 + 80004f60: fec70fa3 sb a2,-1(a4) + 80004f64: fed7e8e3 bltu a5,a3,80004f54 <_ntoa_format+0x8c> + 80004f68: 02050c63 beqz a0,80004fa0 <_ntoa_format+0xd8> + 80004f6c: 020c1913 slli s2,s8,0x20 + 80004f70: 02095913 srli s2,s2,0x20 + 80004f74: 0327f663 bgeu a5,s2,80004fa0 <_ntoa_format+0xd8> + 80004f78: 02000713 li a4,32 + 80004f7c: 36e78863 beq a5,a4,800052ec <_ntoa_format+0x424> + 80004f80: 03000693 li a3,48 + 80004f84: 02000613 li a2,32 + 80004f88: 0080006f j 80004f90 <_ntoa_format+0xc8> + 80004f8c: 16c78463 beq a5,a2,800050f4 <_ntoa_format+0x22c> + 80004f90: 00178793 addi a5,a5,1 + 80004f94: 00f98733 add a4,s3,a5 + 80004f98: fed70fa3 sb a3,-1(a4) + 80004f9c: ff2798e3 bne a5,s2,80004f8c <_ntoa_format+0xc4> + 80004fa0: 1a0e8663 beqz t4,8000514c <_ntoa_format+0x284> + 80004fa4: 40037713 andi a4,t1,1024 + 80004fa8: 20071463 bnez a4,800051b0 <_ntoa_format+0x2e8> + 80004fac: 1e079663 bnez a5,80005198 <_ntoa_format+0x2d0> + 80004fb0: 01000793 li a5,16 + 80004fb4: 2af88863 beq a7,a5,80005264 <_ntoa_format+0x39c> + 80004fb8: 00200793 li a5,2 + 80004fbc: 2ef88a63 beq a7,a5,800052b0 <_ntoa_format+0x3e8> + 80004fc0: 03000793 li a5,48 + 80004fc4: 00f98023 sb a5,0(s3) + 80004fc8: 00100793 li a5,1 + 80004fcc: 1a080463 beqz a6,80005174 <_ntoa_format+0x2ac> + 80004fd0: 00f98733 add a4,s3,a5 + 80004fd4: 00178413 addi s0,a5,1 + 80004fd8: 02d00793 li a5,45 + 80004fdc: 00f70023 sb a5,0(a4) + 80004fe0: 00337313 andi t1,t1,3 + 80004fe4: 20031263 bnez t1,800051e8 <_ntoa_format+0x320> + 80004fe8: 020c1913 slli s2,s8,0x20 + 80004fec: 02095913 srli s2,s2,0x20 + 80004ff0: 1f247c63 bgeu s0,s2,800051e8 <_ntoa_format+0x320> + 80004ff4: 40890933 sub s2,s2,s0 + 80004ff8: 01790933 add s2,s2,s7 + 80004ffc: 000b8493 mv s1,s7 + 80005000: 00048613 mv a2,s1 + 80005004: 000b0693 mv a3,s6 + 80005008: 00148493 addi s1,s1,1 + 8000500c: 000a8593 mv a1,s5 + 80005010: 02000513 li a0,32 + 80005014: 000a00e7 jalr s4 + 80005018: ff2494e3 bne s1,s2,80005000 <_ntoa_format+0x138> + 8000501c: 02040663 beqz s0,80005048 <_ntoa_format+0x180> + 80005020: 01240933 add s2,s0,s2 + 80005024: 012984b3 add s1,s3,s2 + 80005028: 00898433 add s0,s3,s0 + 8000502c: fff44503 lbu a0,-1(s0) + 80005030: 40848633 sub a2,s1,s0 + 80005034: 000b0693 mv a3,s6 + 80005038: fff40413 addi s0,s0,-1 + 8000503c: 000a8593 mv a1,s5 + 80005040: 000a00e7 jalr s4 + 80005044: fe8994e3 bne s3,s0,8000502c <_ntoa_format+0x164> + 80005048: 040c8a63 beqz s9,8000509c <_ntoa_format+0x1d4> + 8000504c: 020c1c13 slli s8,s8,0x20 + 80005050: 41790433 sub s0,s2,s7 + 80005054: 020c5c13 srli s8,s8,0x20 + 80005058: 05847263 bgeu s0,s8,8000509c <_ntoa_format+0x1d4> + 8000505c: 01740633 add a2,s0,s7 + 80005060: 000b0693 mv a3,s6 + 80005064: 00140413 addi s0,s0,1 + 80005068: 000a8593 mv a1,s5 + 8000506c: 02000513 li a0,32 + 80005070: 000a00e7 jalr s4 + 80005074: ff8464e3 bltu s0,s8,8000505c <_ntoa_format+0x194> + 80005078: 41790733 sub a4,s2,s7 + 8000507c: 00170713 addi a4,a4,1 + 80005080: 00000793 li a5,0 + 80005084: 00ec6863 bltu s8,a4,80005094 <_ntoa_format+0x1cc> + 80005088: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 8000508c: 01878c33 add s8,a5,s8 + 80005090: 412c07b3 sub a5,s8,s2 + 80005094: 00190913 addi s2,s2,1 + 80005098: 00f90933 add s2,s2,a5 + 8000509c: 05813083 ld ra,88(sp) + 800050a0: 05013403 ld s0,80(sp) + 800050a4: 04813483 ld s1,72(sp) + 800050a8: 03813983 ld s3,56(sp) + 800050ac: 03013a03 ld s4,48(sp) + 800050b0: 02813a83 ld s5,40(sp) + 800050b4: 02013b03 ld s6,32(sp) + 800050b8: 01813b83 ld s7,24(sp) + 800050bc: 01013c03 ld s8,16(sp) + 800050c0: 00813c83 ld s9,8(sp) + 800050c4: 00090513 mv a0,s2 + 800050c8: 04013903 ld s2,64(sp) + 800050cc: 06010113 addi sp,sp,96 + 800050d0: 00008067 ret + 800050d4: 12050863 beqz a0,80005204 <_ntoa_format+0x33c> + 800050d8: 00081663 bnez a6,800050e4 <_ntoa_format+0x21c> + 800050dc: 00c37713 andi a4,t1,12 + 800050e0: 00070463 beqz a4,800050e8 <_ntoa_format+0x220> + 800050e4: fffc0c1b addiw s8,s8,-1 + 800050e8: e8d7f2e3 bgeu a5,a3,80004f6c <_ntoa_format+0xa4> + 800050ec: 02000713 li a4,32 + 800050f0: e4e79ce3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 800050f4: 0e0e8063 beqz t4,800051d4 <_ntoa_format+0x30c> + 800050f8: 40037793 andi a5,t1,1024 + 800050fc: 04079c63 bnez a5,80005154 <_ntoa_format+0x28c> + 80005100: 02000793 li a5,32 + 80005104: 0aff1063 bne t5,a5,800051a4 <_ntoa_format+0x2dc> + 80005108: 02000793 li a5,32 + 8000510c: 01f00713 li a4,31 + 80005110: 01000693 li a3,16 + 80005114: 1ad88c63 beq a7,a3,800052cc <_ntoa_format+0x404> + 80005118: 00200693 li a3,2 + 8000511c: 00070793 mv a5,a4 + 80005120: 00d89e63 bne a7,a3,8000513c <_ntoa_format+0x274> + 80005124: 00e986b3 add a3,s3,a4 + 80005128: 00170793 addi a5,a4,1 + 8000512c: 06200713 li a4,98 + 80005130: 00e68023 sb a4,0(a3) + 80005134: 02000713 li a4,32 + 80005138: 00e78e63 beq a5,a4,80005154 <_ntoa_format+0x28c> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 03000693 li a3,48 + 80005144: 00d70023 sb a3,0(a4) + 80005148: 00178793 addi a5,a5,1 + 8000514c: 02000713 li a4,32 + 80005150: e6e79ee3 bne a5,a4,80004fcc <_ntoa_format+0x104> + 80005154: 00337313 andi t1,t1,3 + 80005158: 08031263 bnez t1,800051dc <_ntoa_format+0x314> + 8000515c: 02000413 li s0,32 + 80005160: 020c1913 slli s2,s8,0x20 + 80005164: 02095913 srli s2,s2,0x20 + 80005168: e92466e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 8000516c: 000b8913 mv s2,s7 + 80005170: eadff06f j 8000501c <_ntoa_format+0x154> + 80005174: 00437713 andi a4,t1,4 + 80005178: 06071c63 bnez a4,800051f0 <_ntoa_format+0x328> + 8000517c: 00837713 andi a4,t1,8 + 80005180: 0c071863 bnez a4,80005250 <_ntoa_format+0x388> + 80005184: 00337313 andi t1,t1,3 + 80005188: 00078413 mv s0,a5 + 8000518c: fc030ae3 beqz t1,80005160 <_ntoa_format+0x298> + 80005190: 000b8913 mv s2,s7 + 80005194: e89ff06f j 8000501c <_ntoa_format+0x154> + 80005198: 020f1f13 slli t5,t5,0x20 + 8000519c: 020f5f13 srli t5,t5,0x20 + 800051a0: 0aff0263 beq t5,a5,80005244 <_ntoa_format+0x37c> + 800051a4: 020c1913 slli s2,s8,0x20 + 800051a8: 02095913 srli s2,s2,0x20 + 800051ac: 08f90c63 beq s2,a5,80005244 <_ntoa_format+0x37c> + 800051b0: 01000713 li a4,16 + 800051b4: 06e88663 beq a7,a4,80005220 <_ntoa_format+0x358> + 800051b8: 00200713 li a4,2 + 800051bc: f6e89ce3 bne a7,a4,80005134 <_ntoa_format+0x26c> + 800051c0: 02000713 li a4,32 + 800051c4: f8e788e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 800051c8: 00f986b3 add a3,s3,a5 + 800051cc: 00178793 addi a5,a5,1 + 800051d0: f5dff06f j 8000512c <_ntoa_format+0x264> + 800051d4: 00337313 andi t1,t1,3 + 800051d8: 0c030063 beqz t1,80005298 <_ntoa_format+0x3d0> + 800051dc: 000b8913 mv s2,s7 + 800051e0: 02000413 li s0,32 + 800051e4: e3dff06f j 80005020 <_ntoa_format+0x158> + 800051e8: 000b8913 mv s2,s7 + 800051ec: e35ff06f j 80005020 <_ntoa_format+0x158> + 800051f0: 00f98733 add a4,s3,a5 + 800051f4: 00178413 addi s0,a5,1 + 800051f8: 02b00793 li a5,43 + 800051fc: 00f70023 sb a5,0(a4) + 80005200: de1ff06f j 80004fe0 <_ntoa_format+0x118> + 80005204: d8d7fee3 bgeu a5,a3,80004fa0 <_ntoa_format+0xd8> + 80005208: 02000713 li a4,32 + 8000520c: d2e79ee3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 80005210: 0e0e8c63 beqz t4,80005308 <_ntoa_format+0x440> + 80005214: 40037793 andi a5,t1,1024 + 80005218: f2079ee3 bnez a5,80005154 <_ntoa_format+0x28c> + 8000521c: ee5ff06f j 80005100 <_ntoa_format+0x238> + 80005220: 02037713 andi a4,t1,32 + 80005224: 04071c63 bnez a4,8000527c <_ntoa_format+0x3b4> + 80005228: 02000713 li a4,32 + 8000522c: f2e784e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005230: 00f98733 add a4,s3,a5 + 80005234: 00178793 addi a5,a5,1 + 80005238: 07800693 li a3,120 + 8000523c: 00d70023 sb a3,0(a4) + 80005240: ef5ff06f j 80005134 <_ntoa_format+0x26c> + 80005244: fff78713 addi a4,a5,-1 + 80005248: d60704e3 beqz a4,80004fb0 <_ntoa_format+0xe8> + 8000524c: ec5ff06f j 80005110 <_ntoa_format+0x248> + 80005250: 00f98733 add a4,s3,a5 + 80005254: 00178413 addi s0,a5,1 + 80005258: 02000793 li a5,32 + 8000525c: 00f70023 sb a5,0(a4) + 80005260: d81ff06f j 80004fe0 <_ntoa_format+0x118> + 80005264: 02037793 andi a5,t1,32 + 80005268: 02079c63 bnez a5,800052a0 <_ntoa_format+0x3d8> + 8000526c: 07800793 li a5,120 + 80005270: 00f98023 sb a5,0(s3) + 80005274: 00100793 li a5,1 + 80005278: ec5ff06f j 8000513c <_ntoa_format+0x274> + 8000527c: 02000713 li a4,32 + 80005280: ece78ae3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005284: 00f98733 add a4,s3,a5 + 80005288: 05800693 li a3,88 + 8000528c: 00d70023 sb a3,0(a4) + 80005290: 00178793 addi a5,a5,1 + 80005294: ea1ff06f j 80005134 <_ntoa_format+0x26c> + 80005298: 02000413 li s0,32 + 8000529c: d4dff06f j 80004fe8 <_ntoa_format+0x120> + 800052a0: 05800793 li a5,88 + 800052a4: 00f98023 sb a5,0(s3) + 800052a8: 00100793 li a5,1 + 800052ac: e91ff06f j 8000513c <_ntoa_format+0x274> + 800052b0: 06200793 li a5,98 + 800052b4: 00f98023 sb a5,0(s3) + 800052b8: 00100793 li a5,1 + 800052bc: e81ff06f j 8000513c <_ntoa_format+0x274> + 800052c0: e2051ae3 bnez a0,800050f4 <_ntoa_format+0x22c> + 800052c4: 000c8c13 mv s8,s9 + 800052c8: f49ff06f j 80005210 <_ntoa_format+0x348> + 800052cc: 02037693 andi a3,t1,32 + 800052d0: ffe78713 addi a4,a5,-2 + 800052d4: 00069863 bnez a3,800052e4 <_ntoa_format+0x41c> + 800052d8: 00e98733 add a4,s3,a4 + 800052dc: fff78793 addi a5,a5,-1 + 800052e0: f59ff06f j 80005238 <_ntoa_format+0x370> + 800052e4: 00070793 mv a5,a4 + 800052e8: f9dff06f j 80005284 <_ntoa_format+0x3bc> + 800052ec: 000e8e63 beqz t4,80005308 <_ntoa_format+0x440> + 800052f0: 40037713 andi a4,t1,1024 + 800052f4: 00071e63 bnez a4,80005310 <_ntoa_format+0x448> + 800052f8: 02ff0e63 beq t5,a5,80005334 <_ntoa_format+0x46c> + 800052fc: e4fc1ce3 bne s8,a5,80005154 <_ntoa_format+0x28c> + 80005300: 01f00713 li a4,31 + 80005304: e0dff06f j 80005110 <_ntoa_format+0x248> + 80005308: 02000413 li s0,32 + 8000530c: cd5ff06f j 80004fe0 <_ntoa_format+0x118> + 80005310: 01000793 li a5,16 + 80005314: 02f88c63 beq a7,a5,8000534c <_ntoa_format+0x484> + 80005318: 00200793 li a5,2 + 8000531c: e2f88ce3 beq a7,a5,80005154 <_ntoa_format+0x28c> + 80005320: 00337313 andi t1,t1,3 + 80005324: ea031ce3 bnez t1,800051dc <_ntoa_format+0x314> + 80005328: 02000413 li s0,32 + 8000532c: cd2464e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 80005330: e3dff06f j 8000516c <_ntoa_format+0x2a4> + 80005334: 01000713 li a4,16 + 80005338: f8e88ae3 beq a7,a4,800052cc <_ntoa_format+0x404> + 8000533c: 00200793 li a5,2 + 80005340: 00f88c63 beq a7,a5,80005358 <_ntoa_format+0x490> + 80005344: 01f00793 li a5,31 + 80005348: df5ff06f j 8000513c <_ntoa_format+0x274> + 8000534c: 02037793 andi a5,t1,32 + 80005350: fc0788e3 beqz a5,80005320 <_ntoa_format+0x458> + 80005354: e01ff06f j 80005154 <_ntoa_format+0x28c> + 80005358: 01f00713 li a4,31 + 8000535c: dc9ff06f j 80005124 <_ntoa_format+0x25c> + +0000000080005360 <_ntoa_long>: + 80005360: f4010113 addi sp,sp,-192 + 80005364: 09413823 sd s4,144(sp) + 80005368: 09513423 sd s5,136(sp) + 8000536c: 09613023 sd s6,128(sp) + 80005370: 07713c23 sd s7,120(sp) + 80005374: 07813823 sd s8,112(sp) + 80005378: 07913423 sd s9,104(sp) + 8000537c: 05b13c23 sd s11,88(sp) + 80005380: 0a113c23 sd ra,184(sp) + 80005384: 0a813823 sd s0,176(sp) + 80005388: 0a913423 sd s1,168(sp) + 8000538c: 0b213023 sd s2,160(sp) + 80005390: 09313c23 sd s3,152(sp) + 80005394: 07a13023 sd s10,96(sp) + 80005398: 02a13023 sd a0,32(sp) + 8000539c: 03113423 sd a7,40(sp) + 800053a0: 0c812a03 lw s4,200(sp) + 800053a4: 00070d93 mv s11,a4 + 800053a8: 00058b13 mv s6,a1 + 800053ac: 00060b93 mv s7,a2 + 800053b0: 00068c13 mv s8,a3 + 800053b4: 00078c93 mv s9,a5 + 800053b8: 00080a93 mv s5,a6 + 800053bc: 00071863 bnez a4,800053cc <_ntoa_long+0x6c> + 800053c0: 400a7793 andi a5,s4,1024 + 800053c4: fefa7a13 andi s4,s4,-17 + 800053c8: 0e079663 bnez a5,800054b4 <_ntoa_long+0x154> + 800053cc: 020a7793 andi a5,s4,32 + 800053d0: 06100413 li s0,97 + 800053d4: 0c079c63 bnez a5,800054ac <_ntoa_long+0x14c> + 800053d8: 00000d13 li s10,0 + 800053dc: 03010493 addi s1,sp,48 + 800053e0: 00900913 li s2,9 + 800053e4: ff64041b addiw s0,s0,-10 + 800053e8: 02000993 li s3,32 + 800053ec: 00c0006f j 800053f8 <_ntoa_long+0x98> + 800053f0: 053d0463 beq s10,s3,80005438 <_ntoa_long+0xd8> + 800053f4: 00050d93 mv s11,a0 + 800053f8: 000a8593 mv a1,s5 + 800053fc: 000d8513 mv a0,s11 + 80005400: d90ff0ef jal ra,80004990 <__umoddi3> + 80005404: 0ff57313 andi t1,a0,255 + 80005408: 0303071b addiw a4,t1,48 + 8000540c: 0064033b addw t1,s0,t1 + 80005410: 0ff37313 andi t1,t1,255 + 80005414: 00a96463 bltu s2,a0,8000541c <_ntoa_long+0xbc> + 80005418: 0ff77313 andi t1,a4,255 + 8000541c: 001d0d13 addi s10,s10,1 + 80005420: 01a48733 add a4,s1,s10 + 80005424: 000d8513 mv a0,s11 + 80005428: 000a8593 mv a1,s5 + 8000542c: fe670fa3 sb t1,-1(a4) + 80005430: d18ff0ef jal ra,80004948 <__udivdi3> + 80005434: fb5dfee3 bgeu s11,s5,800053f0 <_ntoa_long+0x90> + 80005438: 0c012703 lw a4,192(sp) + 8000543c: 02813783 ld a5,40(sp) + 80005440: 02013503 ld a0,32(sp) + 80005444: 01413823 sd s4,16(sp) + 80005448: 00e13423 sd a4,8(sp) + 8000544c: 00f13023 sd a5,0(sp) + 80005450: 000a889b sext.w a7,s5 + 80005454: 000c8813 mv a6,s9 + 80005458: 000d0793 mv a5,s10 + 8000545c: 00048713 mv a4,s1 + 80005460: 000c0693 mv a3,s8 + 80005464: 000b8613 mv a2,s7 + 80005468: 000b0593 mv a1,s6 + 8000546c: a5dff0ef jal ra,80004ec8 <_ntoa_format> + 80005470: 0b813083 ld ra,184(sp) + 80005474: 0b013403 ld s0,176(sp) + 80005478: 0a813483 ld s1,168(sp) + 8000547c: 0a013903 ld s2,160(sp) + 80005480: 09813983 ld s3,152(sp) + 80005484: 09013a03 ld s4,144(sp) + 80005488: 08813a83 ld s5,136(sp) + 8000548c: 08013b03 ld s6,128(sp) + 80005490: 07813b83 ld s7,120(sp) + 80005494: 07013c03 ld s8,112(sp) + 80005498: 06813c83 ld s9,104(sp) + 8000549c: 06013d03 ld s10,96(sp) + 800054a0: 05813d83 ld s11,88(sp) + 800054a4: 0c010113 addi sp,sp,192 + 800054a8: 00008067 ret + 800054ac: 04100413 li s0,65 + 800054b0: f29ff06f j 800053d8 <_ntoa_long+0x78> + 800054b4: 00000d13 li s10,0 + 800054b8: 03010493 addi s1,sp,48 + 800054bc: f7dff06f j 80005438 <_ntoa_long+0xd8> + +00000000800054c0 <_ntoa_long_long>: + 800054c0: f4010113 addi sp,sp,-192 + 800054c4: 09413823 sd s4,144(sp) + 800054c8: 09513423 sd s5,136(sp) + 800054cc: 09613023 sd s6,128(sp) + 800054d0: 07713c23 sd s7,120(sp) + 800054d4: 07813823 sd s8,112(sp) + 800054d8: 07913423 sd s9,104(sp) + 800054dc: 05b13c23 sd s11,88(sp) + 800054e0: 0a113c23 sd ra,184(sp) + 800054e4: 0a813823 sd s0,176(sp) + 800054e8: 0a913423 sd s1,168(sp) + 800054ec: 0b213023 sd s2,160(sp) + 800054f0: 09313c23 sd s3,152(sp) + 800054f4: 07a13023 sd s10,96(sp) + 800054f8: 02a13023 sd a0,32(sp) + 800054fc: 03113423 sd a7,40(sp) + 80005500: 0c812a03 lw s4,200(sp) + 80005504: 00070d93 mv s11,a4 + 80005508: 00058b13 mv s6,a1 + 8000550c: 00060b93 mv s7,a2 + 80005510: 00068c13 mv s8,a3 + 80005514: 00078c93 mv s9,a5 + 80005518: 00080a93 mv s5,a6 + 8000551c: 00071863 bnez a4,8000552c <_ntoa_long_long+0x6c> + 80005520: 400a7793 andi a5,s4,1024 + 80005524: fefa7a13 andi s4,s4,-17 + 80005528: 0e079663 bnez a5,80005614 <_ntoa_long_long+0x154> + 8000552c: 020a7793 andi a5,s4,32 + 80005530: 06100413 li s0,97 + 80005534: 0c079c63 bnez a5,8000560c <_ntoa_long_long+0x14c> + 80005538: 00000d13 li s10,0 + 8000553c: 03010493 addi s1,sp,48 + 80005540: 00900913 li s2,9 + 80005544: ff64041b addiw s0,s0,-10 + 80005548: 02000993 li s3,32 + 8000554c: 00c0006f j 80005558 <_ntoa_long_long+0x98> + 80005550: 053d0463 beq s10,s3,80005598 <_ntoa_long_long+0xd8> + 80005554: 00050d93 mv s11,a0 + 80005558: 000a8593 mv a1,s5 + 8000555c: 000d8513 mv a0,s11 + 80005560: c30ff0ef jal ra,80004990 <__umoddi3> + 80005564: 0ff57313 andi t1,a0,255 + 80005568: 0303071b addiw a4,t1,48 + 8000556c: 0064033b addw t1,s0,t1 + 80005570: 0ff37313 andi t1,t1,255 + 80005574: 00a96463 bltu s2,a0,8000557c <_ntoa_long_long+0xbc> + 80005578: 0ff77313 andi t1,a4,255 + 8000557c: 001d0d13 addi s10,s10,1 + 80005580: 01a48733 add a4,s1,s10 + 80005584: 000d8513 mv a0,s11 + 80005588: 000a8593 mv a1,s5 + 8000558c: fe670fa3 sb t1,-1(a4) + 80005590: bb8ff0ef jal ra,80004948 <__udivdi3> + 80005594: fb5dfee3 bgeu s11,s5,80005550 <_ntoa_long_long+0x90> + 80005598: 0c012703 lw a4,192(sp) + 8000559c: 02813783 ld a5,40(sp) + 800055a0: 02013503 ld a0,32(sp) + 800055a4: 01413823 sd s4,16(sp) + 800055a8: 00e13423 sd a4,8(sp) + 800055ac: 00f13023 sd a5,0(sp) + 800055b0: 000a889b sext.w a7,s5 + 800055b4: 000c8813 mv a6,s9 + 800055b8: 000d0793 mv a5,s10 + 800055bc: 00048713 mv a4,s1 + 800055c0: 000c0693 mv a3,s8 + 800055c4: 000b8613 mv a2,s7 + 800055c8: 000b0593 mv a1,s6 + 800055cc: 8fdff0ef jal ra,80004ec8 <_ntoa_format> + 800055d0: 0b813083 ld ra,184(sp) + 800055d4: 0b013403 ld s0,176(sp) + 800055d8: 0a813483 ld s1,168(sp) + 800055dc: 0a013903 ld s2,160(sp) + 800055e0: 09813983 ld s3,152(sp) + 800055e4: 09013a03 ld s4,144(sp) + 800055e8: 08813a83 ld s5,136(sp) + 800055ec: 08013b03 ld s6,128(sp) + 800055f0: 07813b83 ld s7,120(sp) + 800055f4: 07013c03 ld s8,112(sp) + 800055f8: 06813c83 ld s9,104(sp) + 800055fc: 06013d03 ld s10,96(sp) + 80005600: 05813d83 ld s11,88(sp) + 80005604: 0c010113 addi sp,sp,192 + 80005608: 00008067 ret + 8000560c: 04100413 li s0,65 + 80005610: f29ff06f j 80005538 <_ntoa_long_long+0x78> + 80005614: 00000d13 li s10,0 + 80005618: 03010493 addi s1,sp,48 + 8000561c: f7dff06f j 80005598 <_ntoa_long_long+0xd8> + +0000000080005620 <_vsnprintf>: + 80005620: f6010113 addi sp,sp,-160 + 80005624: 08913423 sd s1,136(sp) + 80005628: 09213023 sd s2,128(sp) + 8000562c: 07313c23 sd s3,120(sp) + 80005630: 05713c23 sd s7,88(sp) + 80005634: 05813823 sd s8,80(sp) + 80005638: 08113c23 sd ra,152(sp) + 8000563c: 08813823 sd s0,144(sp) + 80005640: 07413823 sd s4,112(sp) + 80005644: 07513423 sd s5,104(sp) + 80005648: 07613023 sd s6,96(sp) + 8000564c: 05913423 sd s9,72(sp) + 80005650: 05a13023 sd s10,64(sp) + 80005654: 03b13c23 sd s11,56(sp) + 80005658: 00058493 mv s1,a1 + 8000565c: 00060c13 mv s8,a2 + 80005660: 00068b93 mv s7,a3 + 80005664: 00070993 mv s3,a4 + 80005668: 00000917 auipc s2,0x0 + 8000566c: 85c90913 addi s2,s2,-1956 # 80004ec4 <_out_null> + 80005670: 00058463 beqz a1,80005678 <_vsnprintf+0x58> + 80005674: 00050913 mv s2,a0 + 80005678: 000bc503 lbu a0,0(s7) + 8000567c: 00000d13 li s10,0 + 80005680: 66050663 beqz a0,80005cec <_vsnprintf+0x6cc> + 80005684: 000107b7 lui a5,0x10 + 80005688: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000568c: 02500a13 li s4,37 + 80005690: 00001417 auipc s0,0x1 + 80005694: 57040413 addi s0,s0,1392 # 80006c00 + 80005698: 00f13c23 sd a5,24(sp) + 8000569c: 0200006f j 800056bc <_vsnprintf+0x9c> + 800056a0: 000d0613 mv a2,s10 + 800056a4: 000c0693 mv a3,s8 + 800056a8: 00048593 mv a1,s1 + 800056ac: 001d0d13 addi s10,s10,1 + 800056b0: 000900e7 jalr s2 + 800056b4: 000bc503 lbu a0,0(s7) + 800056b8: 1c050263 beqz a0,8000587c <_vsnprintf+0x25c> + 800056bc: 001b8b93 addi s7,s7,1 + 800056c0: ff4510e3 bne a0,s4,800056a0 <_vsnprintf+0x80> + 800056c4: 00000593 li a1,0 + 800056c8: 01000813 li a6,16 + 800056cc: 000bc503 lbu a0,0(s7) + 800056d0: 001b8713 addi a4,s7,1 + 800056d4: 00070613 mv a2,a4 + 800056d8: fe05079b addiw a5,a0,-32 + 800056dc: 0ff7f793 andi a5,a5,255 + 800056e0: 00f86c63 bltu a6,a5,800056f8 <_vsnprintf+0xd8> + 800056e4: 00279793 slli a5,a5,0x2 + 800056e8: 008787b3 add a5,a5,s0 + 800056ec: 0007a783 lw a5,0(a5) + 800056f0: 008787b3 add a5,a5,s0 + 800056f4: 00078067 jr a5 + 800056f8: fd05079b addiw a5,a0,-48 + 800056fc: 0ff7f793 andi a5,a5,255 + 80005700: 00900813 li a6,9 + 80005704: 0ef87c63 bgeu a6,a5,800057fc <_vsnprintf+0x1dc> + 80005708: 02a00793 li a5,42 + 8000570c: 20f50463 beq a0,a5,80005914 <_vsnprintf+0x2f4> + 80005710: 000b8613 mv a2,s7 + 80005714: 00000d93 li s11,0 + 80005718: 00070b93 mv s7,a4 + 8000571c: 02e00793 li a5,46 + 80005720: 00000c93 li s9,0 + 80005724: 12f50463 beq a0,a5,8000584c <_vsnprintf+0x22c> + 80005728: f985079b addiw a5,a0,-104 + 8000572c: 0ff7f793 andi a5,a5,255 + 80005730: 01200713 li a4,18 + 80005734: 08f76463 bltu a4,a5,800057bc <_vsnprintf+0x19c> + 80005738: 00001717 auipc a4,0x1 + 8000573c: 50c70713 addi a4,a4,1292 # 80006c44 + 80005740: 00279793 slli a5,a5,0x2 + 80005744: 00e787b3 add a5,a5,a4 + 80005748: 0007a783 lw a5,0(a5) + 8000574c: 00e787b3 add a5,a5,a4 + 80005750: 00078067 jr a5 + 80005754: 0015e593 ori a1,a1,1 + 80005758: 0005859b sext.w a1,a1 + 8000575c: 00070b93 mv s7,a4 + 80005760: f6dff06f j 800056cc <_vsnprintf+0xac> + 80005764: 0025e593 ori a1,a1,2 + 80005768: 0005859b sext.w a1,a1 + 8000576c: 00070b93 mv s7,a4 + 80005770: f5dff06f j 800056cc <_vsnprintf+0xac> + 80005774: 0045e593 ori a1,a1,4 + 80005778: 0005859b sext.w a1,a1 + 8000577c: 00070b93 mv s7,a4 + 80005780: f4dff06f j 800056cc <_vsnprintf+0xac> + 80005784: 0105e593 ori a1,a1,16 + 80005788: 0005859b sext.w a1,a1 + 8000578c: 00070b93 mv s7,a4 + 80005790: f3dff06f j 800056cc <_vsnprintf+0xac> + 80005794: 0085e593 ori a1,a1,8 + 80005798: 0005859b sext.w a1,a1 + 8000579c: 00070b93 mv s7,a4 + 800057a0: f2dff06f j 800056cc <_vsnprintf+0xac> + 800057a4: 00164503 lbu a0,1(a2) + 800057a8: 06800793 li a5,104 + 800057ac: 52f50663 beq a0,a5,80005cd8 <_vsnprintf+0x6b8> + 800057b0: 0805e593 ori a1,a1,128 + 800057b4: 0005859b sext.w a1,a1 + 800057b8: 001b8b93 addi s7,s7,1 + 800057bc: fdb5079b addiw a5,a0,-37 + 800057c0: 0ff7f793 andi a5,a5,255 + 800057c4: 05300713 li a4,83 + 800057c8: ecf76ce3 bltu a4,a5,800056a0 <_vsnprintf+0x80> + 800057cc: 00001717 auipc a4,0x1 + 800057d0: 4c470713 addi a4,a4,1220 # 80006c90 + 800057d4: 00279793 slli a5,a5,0x2 + 800057d8: 00e787b3 add a5,a5,a4 + 800057dc: 0007a783 lw a5,0(a5) + 800057e0: 00e787b3 add a5,a5,a4 + 800057e4: 00078067 jr a5 + 800057e8: 00164503 lbu a0,1(a2) + 800057ec: 1005e593 ori a1,a1,256 + 800057f0: 0005859b sext.w a1,a1 + 800057f4: 001b8b93 addi s7,s7,1 + 800057f8: fc5ff06f j 800057bc <_vsnprintf+0x19c> + 800057fc: 00000d93 li s11,0 + 80005800: 00900813 li a6,9 + 80005804: 0080006f j 8000580c <_vsnprintf+0x1ec> + 80005808: 00170713 addi a4,a4,1 + 8000580c: 002d979b slliw a5,s11,0x2 + 80005810: 01b787bb addw a5,a5,s11 + 80005814: 0017979b slliw a5,a5,0x1 + 80005818: 00a787bb addw a5,a5,a0 + 8000581c: 00074503 lbu a0,0(a4) + 80005820: 000b8893 mv a7,s7 + 80005824: fd078d9b addiw s11,a5,-48 + 80005828: fd05061b addiw a2,a0,-48 + 8000582c: 0ff67613 andi a2,a2,255 + 80005830: 00070b93 mv s7,a4 + 80005834: fcc87ae3 bgeu a6,a2,80005808 <_vsnprintf+0x1e8> + 80005838: 02e00793 li a5,46 + 8000583c: 00070613 mv a2,a4 + 80005840: 00288b93 addi s7,a7,2 + 80005844: 00000c93 li s9,0 + 80005848: eef510e3 bne a0,a5,80005728 <_vsnprintf+0x108> + 8000584c: 00164503 lbu a0,1(a2) + 80005850: 4005e593 ori a1,a1,1024 + 80005854: 00900713 li a4,9 + 80005858: fd05079b addiw a5,a0,-48 + 8000585c: 0ff7f793 andi a5,a5,255 + 80005860: 0005859b sext.w a1,a1 + 80005864: 06f77c63 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005868: 02a00793 li a5,42 + 8000586c: 32f50e63 beq a0,a5,80005ba8 <_vsnprintf+0x588> + 80005870: 000b8613 mv a2,s7 + 80005874: 001b8b93 addi s7,s7,1 + 80005878: eb1ff06f j 80005728 <_vsnprintf+0x108> + 8000587c: 000d041b sext.w s0,s10 + 80005880: 018d6463 bltu s10,s8,80005888 <_vsnprintf+0x268> + 80005884: fffc0d13 addi s10,s8,-1 + 80005888: 000c0693 mv a3,s8 + 8000588c: 000d0613 mv a2,s10 + 80005890: 00048593 mv a1,s1 + 80005894: 00000513 li a0,0 + 80005898: 000900e7 jalr s2 + 8000589c: 09813083 ld ra,152(sp) + 800058a0: 00040513 mv a0,s0 + 800058a4: 09013403 ld s0,144(sp) + 800058a8: 08813483 ld s1,136(sp) + 800058ac: 08013903 ld s2,128(sp) + 800058b0: 07813983 ld s3,120(sp) + 800058b4: 07013a03 ld s4,112(sp) + 800058b8: 06813a83 ld s5,104(sp) + 800058bc: 06013b03 ld s6,96(sp) + 800058c0: 05813b83 ld s7,88(sp) + 800058c4: 05013c03 ld s8,80(sp) + 800058c8: 04813c83 ld s9,72(sp) + 800058cc: 04013d03 ld s10,64(sp) + 800058d0: 03813d83 ld s11,56(sp) + 800058d4: 0a010113 addi sp,sp,160 + 800058d8: 00008067 ret + 800058dc: 002c979b slliw a5,s9,0x2 + 800058e0: 019788bb addw a7,a5,s9 + 800058e4: 000b8813 mv a6,s7 + 800058e8: 0018989b slliw a7,a7,0x1 + 800058ec: 001b8b93 addi s7,s7,1 + 800058f0: 00a888bb addw a7,a7,a0 + 800058f4: 000bc503 lbu a0,0(s7) + 800058f8: fd088c9b addiw s9,a7,-48 + 800058fc: fd05079b addiw a5,a0,-48 + 80005900: 0ff7f793 andi a5,a5,255 + 80005904: fcf77ce3 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005908: 000b8613 mv a2,s7 + 8000590c: 00280b93 addi s7,a6,2 + 80005910: e19ff06f j 80005728 <_vsnprintf+0x108> + 80005914: 0009a783 lw a5,0(s3) + 80005918: 00898993 addi s3,s3,8 + 8000591c: 00078d9b sext.w s11,a5 + 80005920: 0007c863 bltz a5,80005930 <_vsnprintf+0x310> + 80005924: 001bc503 lbu a0,1(s7) + 80005928: 002b8b93 addi s7,s7,2 + 8000592c: df1ff06f j 8000571c <_vsnprintf+0xfc> + 80005930: 0025e593 ori a1,a1,2 + 80005934: 001bc503 lbu a0,1(s7) + 80005938: 0005859b sext.w a1,a1 + 8000593c: 40f00dbb negw s11,a5 + 80005940: 002b8b93 addi s7,s7,2 + 80005944: dd9ff06f j 8000571c <_vsnprintf+0xfc> + 80005948: 07800793 li a5,120 + 8000594c: 00898a93 addi s5,s3,8 + 80005950: 56f50063 beq a0,a5,80005eb0 <_vsnprintf+0x890> + 80005954: 05800793 li a5,88 + 80005958: 28f50463 beq a0,a5,80005be0 <_vsnprintf+0x5c0> + 8000595c: 06f00793 li a5,111 + 80005960: 4af50c63 beq a0,a5,80005e18 <_vsnprintf+0x7f8> + 80005964: 06200793 li a5,98 + 80005968: 54f50063 beq a0,a5,80005ea8 <_vsnprintf+0x888> + 8000596c: fef5ff13 andi t5,a1,-17 + 80005970: 4005f793 andi a5,a1,1024 + 80005974: 06900713 li a4,105 + 80005978: 000f0f1b sext.w t5,t5 + 8000597c: 0007879b sext.w a5,a5 + 80005980: 5ae51063 bne a0,a4,80005f20 <_vsnprintf+0x900> + 80005984: 48079663 bnez a5,80005e10 <_vsnprintf+0x7f0> + 80005988: 2005f593 andi a1,a1,512 + 8000598c: 0005879b sext.w a5,a1 + 80005990: 00a00813 li a6,10 + 80005994: 42079663 bnez a5,80005dc0 <_vsnprintf+0x7a0> + 80005998: 100f7793 andi a5,t5,256 + 8000599c: 000f0613 mv a2,t5 + 800059a0: 4e079063 bnez a5,80005e80 <_vsnprintf+0x860> + 800059a4: 040f7713 andi a4,t5,64 + 800059a8: 0009a783 lw a5,0(s3) + 800059ac: 4a071a63 bnez a4,80005e60 <_vsnprintf+0x840> + 800059b0: 08067613 andi a2,a2,128 + 800059b4: 52060a63 beqz a2,80005ee8 <_vsnprintf+0x8c8> + 800059b8: 0107979b slliw a5,a5,0x10 + 800059bc: 4107d79b sraiw a5,a5,0x10 + 800059c0: 40f7d61b sraiw a2,a5,0xf + 800059c4: 00c7c733 xor a4,a5,a2 + 800059c8: 40c7073b subw a4,a4,a2 + 800059cc: 03071713 slli a4,a4,0x30 + 800059d0: 03075713 srli a4,a4,0x30 + 800059d4: 000d0613 mv a2,s10 + 800059d8: 01e13423 sd t5,8(sp) + 800059dc: 01b13023 sd s11,0(sp) + 800059e0: 000c8893 mv a7,s9 + 800059e4: 01f7d79b srliw a5,a5,0x1f + 800059e8: 000c0693 mv a3,s8 + 800059ec: 00048593 mv a1,s1 + 800059f0: 00090513 mv a0,s2 + 800059f4: 96dff0ef jal ra,80005360 <_ntoa_long> + 800059f8: 00050d13 mv s10,a0 + 800059fc: 000a8993 mv s3,s5 + 80005a00: cb5ff06f j 800056b4 <_vsnprintf+0x94> + 80005a04: 00164503 lbu a0,1(a2) + 80005a08: 06c00793 li a5,108 + 80005a0c: def510e3 bne a0,a5,800057ec <_vsnprintf+0x1cc> + 80005a10: 3005e593 ori a1,a1,768 + 80005a14: 00264503 lbu a0,2(a2) + 80005a18: 0005859b sext.w a1,a1 + 80005a1c: 00360b93 addi s7,a2,3 + 80005a20: d9dff06f j 800057bc <_vsnprintf+0x19c> + 80005a24: 00898793 addi a5,s3,8 + 80005a28: 001d0813 addi a6,s10,1 + 80005a2c: 0025f593 andi a1,a1,2 + 80005a30: 02f13023 sd a5,32(sp) + 80005a34: 00080b13 mv s6,a6 + 80005a38: 32058263 beqz a1,80005d5c <_vsnprintf+0x73c> + 80005a3c: 0009c503 lbu a0,0(s3) + 80005a40: 000c0693 mv a3,s8 + 80005a44: 000d0613 mv a2,s10 + 80005a48: 00048593 mv a1,s1 + 80005a4c: 000900e7 jalr s2 + 80005a50: 00100793 li a5,1 + 80005a54: 43b7f063 bgeu a5,s11,80005e74 <_vsnprintf+0x854> + 80005a58: ffed879b addiw a5,s11,-2 + 80005a5c: 02079a93 slli s5,a5,0x20 + 80005a60: 020ada93 srli s5,s5,0x20 + 80005a64: 002d0d13 addi s10,s10,2 + 80005a68: 015d0cb3 add s9,s10,s5 + 80005a6c: 000b0613 mv a2,s6 + 80005a70: 000c0693 mv a3,s8 + 80005a74: 001b0b13 addi s6,s6,1 + 80005a78: 00048593 mv a1,s1 + 80005a7c: 02000513 li a0,32 + 80005a80: 000900e7 jalr s2 + 80005a84: ff6c94e3 bne s9,s6,80005a6c <_vsnprintf+0x44c> + 80005a88: 02013983 ld s3,32(sp) + 80005a8c: 015d0d33 add s10,s10,s5 + 80005a90: c25ff06f j 800056b4 <_vsnprintf+0x94> + 80005a94: 000d0613 mv a2,s10 + 80005a98: 000c0693 mv a3,s8 + 80005a9c: 00048593 mv a1,s1 + 80005aa0: 02500513 li a0,37 + 80005aa4: 001d0d13 addi s10,s10,1 + 80005aa8: 000900e7 jalr s2 + 80005aac: c09ff06f j 800056b4 <_vsnprintf+0x94> + 80005ab0: 0009ba83 ld s5,0(s3) + 80005ab4: 00898793 addi a5,s3,8 + 80005ab8: 02f13023 sd a5,32(sp) + 80005abc: 000ac503 lbu a0,0(s5) + 80005ac0: 100c9663 bnez s9,80005bcc <_vsnprintf+0x5ac> + 80005ac4: ffe00793 li a5,-2 + 80005ac8: 42050863 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005acc: 00178693 addi a3,a5,1 + 80005ad0: 00da8633 add a2,s5,a3 + 80005ad4: 000a8793 mv a5,s5 + 80005ad8: 0080006f j 80005ae0 <_vsnprintf+0x4c0> + 80005adc: 1ec78863 beq a5,a2,80005ccc <_vsnprintf+0x6ac> + 80005ae0: 0017c703 lbu a4,1(a5) + 80005ae4: 00178793 addi a5,a5,1 + 80005ae8: fe071ae3 bnez a4,80005adc <_vsnprintf+0x4bc> + 80005aec: 415787bb subw a5,a5,s5 + 80005af0: 00f13823 sd a5,16(sp) + 80005af4: 4005f793 andi a5,a1,1024 + 80005af8: 0007899b sext.w s3,a5 + 80005afc: 00078c63 beqz a5,80005b14 <_vsnprintf+0x4f4> + 80005b00: 01013703 ld a4,16(sp) + 80005b04: 000c879b sext.w a5,s9 + 80005b08: 01977463 bgeu a4,s9,80005b10 <_vsnprintf+0x4f0> + 80005b0c: 0007079b sext.w a5,a4 + 80005b10: 00f13823 sd a5,16(sp) + 80005b14: 0025f593 andi a1,a1,2 + 80005b18: 0005879b sext.w a5,a1 + 80005b1c: 02f13423 sd a5,40(sp) + 80005b20: 1c058a63 beqz a1,80005cf4 <_vsnprintf+0x6d4> + 80005b24: 14050863 beqz a0,80005c74 <_vsnprintf+0x654> + 80005b28: 000d0613 mv a2,s10 + 80005b2c: 00098863 beqz s3,80005b3c <_vsnprintf+0x51c> + 80005b30: fffc879b addiw a5,s9,-1 + 80005b34: 120c8863 beqz s9,80005c64 <_vsnprintf+0x644> + 80005b38: 00078c93 mv s9,a5 + 80005b3c: 000c0693 mv a3,s8 + 80005b40: 00048593 mv a1,s1 + 80005b44: 00160b13 addi s6,a2,1 + 80005b48: 000900e7 jalr s2 + 80005b4c: 41ab07b3 sub a5,s6,s10 + 80005b50: 00fa87b3 add a5,s5,a5 + 80005b54: 0007c503 lbu a0,0(a5) + 80005b58: 10050863 beqz a0,80005c68 <_vsnprintf+0x648> + 80005b5c: 000b0613 mv a2,s6 + 80005b60: fcdff06f j 80005b2c <_vsnprintf+0x50c> + 80005b64: 0009b703 ld a4,0(s3) + 80005b68: 0215e593 ori a1,a1,33 + 80005b6c: 0005859b sext.w a1,a1 + 80005b70: 01000793 li a5,16 + 80005b74: 000d0613 mv a2,s10 + 80005b78: 00b13423 sd a1,8(sp) + 80005b7c: 00f13023 sd a5,0(sp) + 80005b80: 000c8893 mv a7,s9 + 80005b84: 01000813 li a6,16 + 80005b88: 00000793 li a5,0 + 80005b8c: 000c0693 mv a3,s8 + 80005b90: 00048593 mv a1,s1 + 80005b94: 00090513 mv a0,s2 + 80005b98: 929ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005b9c: 00898993 addi s3,s3,8 + 80005ba0: 00050d13 mv s10,a0 + 80005ba4: b11ff06f j 800056b4 <_vsnprintf+0x94> + 80005ba8: 0009a883 lw a7,0(s3) + 80005bac: 00264503 lbu a0,2(a2) + 80005bb0: 00360b93 addi s7,a2,3 + 80005bb4: fff8c793 not a5,a7 + 80005bb8: 43f7d793 srai a5,a5,0x3f + 80005bbc: 00f8fcb3 and s9,a7,a5 + 80005bc0: 00898993 addi s3,s3,8 + 80005bc4: 00260613 addi a2,a2,2 + 80005bc8: b61ff06f j 80005728 <_vsnprintf+0x108> + 80005bcc: 020c9793 slli a5,s9,0x20 + 80005bd0: 0207d793 srli a5,a5,0x20 + 80005bd4: 32050263 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005bd8: fff78793 addi a5,a5,-1 + 80005bdc: ef1ff06f j 80005acc <_vsnprintf+0x4ac> + 80005be0: ff35ff13 andi t5,a1,-13 + 80005be4: 000f0f1b sext.w t5,t5 + 80005be8: 4005f793 andi a5,a1,1024 + 80005bec: 020f6f13 ori t5,t5,32 + 80005bf0: 20078863 beqz a5,80005e00 <_vsnprintf+0x7e0> + 80005bf4: 01000813 li a6,16 + 80005bf8: ffef7f13 andi t5,t5,-2 + 80005bfc: 000f0f1b sext.w t5,t5 + 80005c00: 200f7793 andi a5,t5,512 + 80005c04: 06900713 li a4,105 + 80005c08: 0007879b sext.w a5,a5 + 80005c0c: d8e504e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c10: 06400713 li a4,100 + 80005c14: d8e500e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c18: 22079663 bnez a5,80005e44 <_vsnprintf+0x824> + 80005c1c: 100f7793 andi a5,t5,256 + 80005c20: 000f0713 mv a4,t5 + 80005c24: 2a079463 bnez a5,80005ecc <_vsnprintf+0x8ac> + 80005c28: 040f7793 andi a5,t5,64 + 80005c2c: 24079063 bnez a5,80005e6c <_vsnprintf+0x84c> + 80005c30: 08077713 andi a4,a4,128 + 80005c34: 2c070663 beqz a4,80005f00 <_vsnprintf+0x8e0> + 80005c38: 0009a703 lw a4,0(s3) + 80005c3c: 01813783 ld a5,24(sp) + 80005c40: 00e7f733 and a4,a5,a4 + 80005c44: 02071713 slli a4,a4,0x20 + 80005c48: 000d0613 mv a2,s10 + 80005c4c: 01e13423 sd t5,8(sp) + 80005c50: 01b13023 sd s11,0(sp) + 80005c54: 000c8893 mv a7,s9 + 80005c58: 00000793 li a5,0 + 80005c5c: 02075713 srli a4,a4,0x20 + 80005c60: d89ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005c64: 00060b13 mv s6,a2 + 80005c68: 02813783 ld a5,40(sp) + 80005c6c: 04078a63 beqz a5,80005cc0 <_vsnprintf+0x6a0> + 80005c70: 000b0d13 mv s10,s6 + 80005c74: 01013703 ld a4,16(sp) + 80005c78: 0db77e63 bgeu a4,s11,80005d54 <_vsnprintf+0x734> + 80005c7c: fffd879b addiw a5,s11,-1 + 80005c80: 40e7873b subw a4,a5,a4 + 80005c84: 02071713 slli a4,a4,0x20 + 80005c88: 02075713 srli a4,a4,0x20 + 80005c8c: 001d0813 addi a6,s10,1 + 80005c90: 01070b33 add s6,a4,a6 + 80005c94: 0080006f j 80005c9c <_vsnprintf+0x67c> + 80005c98: 00180813 addi a6,a6,1 + 80005c9c: 000d0613 mv a2,s10 + 80005ca0: 01013823 sd a6,16(sp) + 80005ca4: 000c0693 mv a3,s8 + 80005ca8: 00048593 mv a1,s1 + 80005cac: 02000513 li a0,32 + 80005cb0: 00080d13 mv s10,a6 + 80005cb4: 000900e7 jalr s2 + 80005cb8: 01013803 ld a6,16(sp) + 80005cbc: fd0b1ee3 bne s6,a6,80005c98 <_vsnprintf+0x678> + 80005cc0: 02013983 ld s3,32(sp) + 80005cc4: 000b0d13 mv s10,s6 + 80005cc8: 9edff06f j 800056b4 <_vsnprintf+0x94> + 80005ccc: 0006879b sext.w a5,a3 + 80005cd0: 00f13823 sd a5,16(sp) + 80005cd4: e21ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005cd8: 0c05e593 ori a1,a1,192 + 80005cdc: 00264503 lbu a0,2(a2) + 80005ce0: 0005859b sext.w a1,a1 + 80005ce4: 00360b93 addi s7,a2,3 + 80005ce8: ad5ff06f j 800057bc <_vsnprintf+0x19c> + 80005cec: 00000413 li s0,0 + 80005cf0: b91ff06f j 80005880 <_vsnprintf+0x260> + 80005cf4: 01013703 ld a4,16(sp) + 80005cf8: 0017079b addiw a5,a4,1 + 80005cfc: 21b77c63 bgeu a4,s11,80005f14 <_vsnprintf+0x8f4> + 80005d00: fffd879b addiw a5,s11,-1 + 80005d04: 40e787bb subw a5,a5,a4 + 80005d08: 02079793 slli a5,a5,0x20 + 80005d0c: 0207d793 srli a5,a5,0x20 + 80005d10: 001d0813 addi a6,s10,1 + 80005d14: 01078b33 add s6,a5,a6 + 80005d18: 00c0006f j 80005d24 <_vsnprintf+0x704> + 80005d1c: 01013803 ld a6,16(sp) + 80005d20: 00180813 addi a6,a6,1 + 80005d24: 000d0613 mv a2,s10 + 80005d28: 000c0693 mv a3,s8 + 80005d2c: 00080d13 mv s10,a6 + 80005d30: 01013823 sd a6,16(sp) + 80005d34: 00048593 mv a1,s1 + 80005d38: 02000513 li a0,32 + 80005d3c: 000900e7 jalr s2 + 80005d40: fd6d1ee3 bne s10,s6,80005d1c <_vsnprintf+0x6fc> + 80005d44: 000ac503 lbu a0,0(s5) + 80005d48: 001d879b addiw a5,s11,1 + 80005d4c: 00f13823 sd a5,16(sp) + 80005d50: dc051ce3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005d54: 000d0b13 mv s6,s10 + 80005d58: f69ff06f j 80005cc0 <_vsnprintf+0x6a0> + 80005d5c: 00100793 li a5,1 + 80005d60: 1bb7f463 bgeu a5,s11,80005f08 <_vsnprintf+0x8e8> + 80005d64: ffed879b addiw a5,s11,-2 + 80005d68: 02079a93 slli s5,a5,0x20 + 80005d6c: 020ada93 srli s5,s5,0x20 + 80005d70: 010a8ab3 add s5,s5,a6 + 80005d74: 00c0006f j 80005d80 <_vsnprintf+0x760> + 80005d78: 01013803 ld a6,16(sp) + 80005d7c: 00180813 addi a6,a6,1 + 80005d80: 000d0613 mv a2,s10 + 80005d84: 000c0693 mv a3,s8 + 80005d88: 00080d13 mv s10,a6 + 80005d8c: 01013823 sd a6,16(sp) + 80005d90: 00048593 mv a1,s1 + 80005d94: 02000513 li a0,32 + 80005d98: 000900e7 jalr s2 + 80005d9c: fdaa9ee3 bne s5,s10,80005d78 <_vsnprintf+0x758> + 80005da0: 001a8d13 addi s10,s5,1 + 80005da4: 0009c503 lbu a0,0(s3) + 80005da8: 000c0693 mv a3,s8 + 80005dac: 000a8613 mv a2,s5 + 80005db0: 00048593 mv a1,s1 + 80005db4: 000900e7 jalr s2 + 80005db8: 02013983 ld s3,32(sp) + 80005dbc: 8f9ff06f j 800056b4 <_vsnprintf+0x94> + 80005dc0: 0009b783 ld a5,0(s3) + 80005dc4: 000d0613 mv a2,s10 + 80005dc8: 01e13423 sd t5,8(sp) + 80005dcc: 43f7d713 srai a4,a5,0x3f + 80005dd0: 00f745b3 xor a1,a4,a5 + 80005dd4: 01b13023 sd s11,0(sp) + 80005dd8: 000c8893 mv a7,s9 + 80005ddc: 03f7d793 srli a5,a5,0x3f + 80005de0: 40e58733 sub a4,a1,a4 + 80005de4: 000c0693 mv a3,s8 + 80005de8: 00048593 mv a1,s1 + 80005dec: 00090513 mv a0,s2 + 80005df0: ed0ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005df4: 00050d13 mv s10,a0 + 80005df8: 000a8993 mv s3,s5 + 80005dfc: 8b9ff06f j 800056b4 <_vsnprintf+0x94> + 80005e00: 2005f793 andi a5,a1,512 + 80005e04: 0007879b sext.w a5,a5 + 80005e08: 01000813 li a6,16 + 80005e0c: e0dff06f j 80005c18 <_vsnprintf+0x5f8> + 80005e10: 00a00813 li a6,10 + 80005e14: de5ff06f j 80005bf8 <_vsnprintf+0x5d8> + 80005e18: 00800813 li a6,8 + 80005e1c: 00058f13 mv t5,a1 + 80005e20: 400f7713 andi a4,t5,1024 + 80005e24: 06400613 li a2,100 + 80005e28: 000f0793 mv a5,t5 + 80005e2c: 0007071b sext.w a4,a4 + 80005e30: 0ec51c63 bne a0,a2,80005f28 <_vsnprintf+0x908> + 80005e34: dc0712e3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005e38: 2007f793 andi a5,a5,512 + 80005e3c: 0007879b sext.w a5,a5 + 80005e40: b55ff06f j 80005994 <_vsnprintf+0x374> + 80005e44: 0009b703 ld a4,0(s3) + 80005e48: 000d0613 mv a2,s10 + 80005e4c: 01e13423 sd t5,8(sp) + 80005e50: 01b13023 sd s11,0(sp) + 80005e54: 000c8893 mv a7,s9 + 80005e58: 00000793 li a5,0 + 80005e5c: f89ff06f j 80005de4 <_vsnprintf+0x7c4> + 80005e60: 0ff7f793 andi a5,a5,255 + 80005e64: 00078713 mv a4,a5 + 80005e68: b6dff06f j 800059d4 <_vsnprintf+0x3b4> + 80005e6c: 0009c703 lbu a4,0(s3) + 80005e70: dd5ff06f j 80005c44 <_vsnprintf+0x624> + 80005e74: 02013983 ld s3,32(sp) + 80005e78: 000b0d13 mv s10,s6 + 80005e7c: 839ff06f j 800056b4 <_vsnprintf+0x94> + 80005e80: 0009b783 ld a5,0(s3) + 80005e84: 000d0613 mv a2,s10 + 80005e88: 01e13423 sd t5,8(sp) + 80005e8c: 43f7d713 srai a4,a5,0x3f + 80005e90: 00f745b3 xor a1,a4,a5 + 80005e94: 01b13023 sd s11,0(sp) + 80005e98: 000c8893 mv a7,s9 + 80005e9c: 03f7d793 srli a5,a5,0x3f + 80005ea0: 40e58733 sub a4,a1,a4 + 80005ea4: b45ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ea8: 00200813 li a6,2 + 80005eac: f71ff06f j 80005e1c <_vsnprintf+0x7fc> + 80005eb0: 4005f713 andi a4,a1,1024 + 80005eb4: 0007071b sext.w a4,a4 + 80005eb8: 01000813 li a6,16 + 80005ebc: ff35f593 andi a1,a1,-13 + 80005ec0: 00058f1b sext.w t5,a1 + 80005ec4: d2071ae3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005ec8: d39ff06f j 80005c00 <_vsnprintf+0x5e0> + 80005ecc: 0009b703 ld a4,0(s3) + 80005ed0: 000d0613 mv a2,s10 + 80005ed4: 01e13423 sd t5,8(sp) + 80005ed8: 01b13023 sd s11,0(sp) + 80005edc: 000c8893 mv a7,s9 + 80005ee0: 00000793 li a5,0 + 80005ee4: b05ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ee8: 41f7d61b sraiw a2,a5,0x1f + 80005eec: 00c7c733 xor a4,a5,a2 + 80005ef0: 40c7073b subw a4,a4,a2 + 80005ef4: ae1ff06f j 800059d4 <_vsnprintf+0x3b4> + 80005ef8: 00013823 sd zero,16(sp) + 80005efc: bf9ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005f00: 0009a703 lw a4,0(s3) + 80005f04: d41ff06f j 80005c44 <_vsnprintf+0x624> + 80005f08: 000d0a93 mv s5,s10 + 80005f0c: 00080d13 mv s10,a6 + 80005f10: e95ff06f j 80005da4 <_vsnprintf+0x784> + 80005f14: 00f13823 sd a5,16(sp) + 80005f18: c00518e3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005f1c: e39ff06f j 80005d54 <_vsnprintf+0x734> + 80005f20: 00a00813 li a6,10 + 80005f24: efdff06f j 80005e20 <_vsnprintf+0x800> + 80005f28: 000f0593 mv a1,t5 + 80005f2c: f91ff06f j 80005ebc <_vsnprintf+0x89c> + +0000000080005f30 <_out_char>: + 80005f30: 00051463 bnez a0,80005f38 <_out_char+0x8> + 80005f34: 00008067 ret + 80005f38: 951fe06f j 80004888 + +0000000080005f3c : + 80005f3c: fa010113 addi sp,sp,-96 + 80005f40: 02810313 addi t1,sp,40 + 80005f44: 02b13423 sd a1,40(sp) + 80005f48: 02c13823 sd a2,48(sp) + 80005f4c: 02d13c23 sd a3,56(sp) + 80005f50: 04e13023 sd a4,64(sp) + 80005f54: 00050693 mv a3,a0 + 80005f58: 00010593 mv a1,sp + 80005f5c: 00030713 mv a4,t1 + 80005f60: fff00613 li a2,-1 + 80005f64: 00000517 auipc a0,0x0 + 80005f68: fcc50513 addi a0,a0,-52 # 80005f30 <_out_char> + 80005f6c: 00113c23 sd ra,24(sp) + 80005f70: 04f13423 sd a5,72(sp) + 80005f74: 05013823 sd a6,80(sp) + 80005f78: 05113c23 sd a7,88(sp) + 80005f7c: 00613423 sd t1,8(sp) + 80005f80: ea0ff0ef jal ra,80005620 <_vsnprintf> + 80005f84: 01813083 ld ra,24(sp) + 80005f88: 06010113 addi sp,sp,96 + 80005f8c: 00008067 ret + +0000000080005f90 : + 80005f90: fb010113 addi sp,sp,-80 + 80005f94: 02010313 addi t1,sp,32 + 80005f98: 02c13023 sd a2,32(sp) + 80005f9c: 02d13423 sd a3,40(sp) + 80005fa0: 02e13823 sd a4,48(sp) + 80005fa4: 00058693 mv a3,a1 + 80005fa8: 00030713 mv a4,t1 + 80005fac: 00050593 mv a1,a0 + 80005fb0: fff00613 li a2,-1 + 80005fb4: fffff517 auipc a0,0xfffff + 80005fb8: f0050513 addi a0,a0,-256 # 80004eb4 <_out_buffer> + 80005fbc: 00113c23 sd ra,24(sp) + 80005fc0: 02f13c23 sd a5,56(sp) + 80005fc4: 05013023 sd a6,64(sp) + 80005fc8: 05113423 sd a7,72(sp) + 80005fcc: 00613423 sd t1,8(sp) + 80005fd0: e50ff0ef jal ra,80005620 <_vsnprintf> + 80005fd4: 01813083 ld ra,24(sp) + 80005fd8: 05010113 addi sp,sp,80 + 80005fdc: 00008067 ret + +0000000080005fe0 : + 80005fe0: 00700713 li a4,7 + 80005fe4: 00050793 mv a5,a0 + 80005fe8: 0ac77a63 bgeu a4,a2,8000609c + 80005fec: 0ff5f893 andi a7,a1,255 + 80005ff0: 00889693 slli a3,a7,0x8 + 80005ff4: 0116e6b3 or a3,a3,a7 + 80005ff8: 01069713 slli a4,a3,0x10 + 80005ffc: 00d766b3 or a3,a4,a3 + 80006000: 02069713 slli a4,a3,0x20 + 80006004: 00757813 andi a6,a0,7 + 80006008: 00d76733 or a4,a4,a3 + 8000600c: 0a080663 beqz a6,800060b8 + 80006010: 00c50633 add a2,a0,a2 + 80006014: 01178023 sb a7,0(a5) + 80006018: 00178793 addi a5,a5,1 + 8000601c: 0077f813 andi a6,a5,7 + 80006020: 40f606b3 sub a3,a2,a5 + 80006024: fe0818e3 bnez a6,80006014 + 80006028: 0066d813 srli a6,a3,0x6 + 8000602c: 04080063 beqz a6,8000606c + 80006030: 00681613 slli a2,a6,0x6 + 80006034: 00078893 mv a7,a5 + 80006038: 00f60633 add a2,a2,a5 + 8000603c: 00e7b023 sd a4,0(a5) + 80006040: 00e7b423 sd a4,8(a5) + 80006044: 00e7b823 sd a4,16(a5) + 80006048: 00e7bc23 sd a4,24(a5) + 8000604c: 02e7b023 sd a4,32(a5) + 80006050: 02e7b423 sd a4,40(a5) + 80006054: 02e7b823 sd a4,48(a5) + 80006058: 02e7bc23 sd a4,56(a5) + 8000605c: 04078793 addi a5,a5,64 + 80006060: fcf61ee3 bne a2,a5,8000603c + 80006064: 00681793 slli a5,a6,0x6 + 80006068: 011787b3 add a5,a5,a7 + 8000606c: 0036d813 srli a6,a3,0x3 + 80006070: 00787813 andi a6,a6,7 + 80006074: 02080263 beqz a6,80006098 + 80006078: 00381613 slli a2,a6,0x3 + 8000607c: 00078893 mv a7,a5 + 80006080: 00f60633 add a2,a2,a5 + 80006084: 00e7b023 sd a4,0(a5) + 80006088: 00878793 addi a5,a5,8 + 8000608c: fef61ce3 bne a2,a5,80006084 + 80006090: 00381793 slli a5,a6,0x3 + 80006094: 011787b3 add a5,a5,a7 + 80006098: 0076f613 andi a2,a3,7 + 8000609c: 0ff5f593 andi a1,a1,255 + 800060a0: 00c78733 add a4,a5,a2 + 800060a4: 00060863 beqz a2,800060b4 + 800060a8: 00b78023 sb a1,0(a5) + 800060ac: 00178793 addi a5,a5,1 + 800060b0: fef71ce3 bne a4,a5,800060a8 + 800060b4: 00008067 ret + 800060b8: 00060693 mv a3,a2 + 800060bc: f6dff06f j 80006028 + +00000000800060c0 : + 800060c0: 1a050e63 beqz a0,8000627c + 800060c4: fd010113 addi sp,sp,-48 + 800060c8: 02813023 sd s0,32(sp) + 800060cc: 00913c23 sd s1,24(sp) + 800060d0: 01f57793 andi a5,a0,31 + 800060d4: 02113423 sd ra,40(sp) + 800060d8: 01213823 sd s2,16(sp) + 800060dc: 01313423 sd s3,8(sp) + 800060e0: 01413023 sd s4,0(sp) + 800060e4: 00050493 mv s1,a0 + 800060e8: 00050413 mv s0,a0 + 800060ec: 00000513 li a0,0 + 800060f0: 14079a63 bnez a5,80006244 + 800060f4: 27f00713 li a4,639 + 800060f8: 00058913 mv s2,a1 + 800060fc: 00078513 mv a0,a5 + 80006100: 14b77263 bgeu a4,a1,80006244 + 80006104: 0074f513 andi a0,s1,7 + 80006108: 00153513 seqz a0,a0 + 8000610c: 00060a13 mv s4,a2 + 80006110: 00068993 mv s3,a3 + 80006114: f8cfe0ef jal ra,800048a0 <_assert> + 80006118: 20048793 addi a5,s1,512 + 8000611c: 2004b023 sd zero,512(s1) + 80006120: 2144b423 sd s4,520(s1) + 80006124: 2134b823 sd s3,528(s1) + 80006128: 00043023 sd zero,0(s0) + 8000612c: 00840413 addi s0,s0,8 + 80006130: fe879ce3 bne a5,s0,80006128 + 80006134: fff00793 li a5,-1 + 80006138: dc090413 addi s0,s2,-576 + 8000613c: 03f79793 slli a5,a5,0x3f + 80006140: 1287f863 bgeu a5,s0,80006270 + 80006144: 00078413 mv s0,a5 + 80006148: 00100513 li a0,1 + 8000614c: f54fe0ef jal ra,800048a0 <_assert> + 80006150: f8300793 li a5,-125 + 80006154: 0017d793 srli a5,a5,0x1 + 80006158: fc040513 addi a0,s0,-64 + 8000615c: 00f53533 sltu a0,a0,a5 + 80006160: f40fe0ef jal ra,800048a0 <_assert> + 80006164: 01f4f513 andi a0,s1,31 + 80006168: 00153513 seqz a0,a0 + 8000616c: f34fe0ef jal ra,800048a0 <_assert> + 80006170: 00100513 li a0,1 + 80006174: 2404b023 sd zero,576(s1) + 80006178: 2404b423 sd zero,584(s1) + 8000617c: 2484b823 sd s0,592(s1) + 80006180: 24048c23 sb zero,600(s1) + 80006184: 2604b023 sd zero,608(s1) + 80006188: 2604b423 sd zero,616(s1) + 8000618c: f14fe0ef jal ra,800048a0 <_assert> + 80006190: 00100513 li a0,1 + 80006194: f0cfe0ef jal ra,800048a0 <_assert> + 80006198: 2504b503 ld a0,592(s1) + 8000619c: 24048a13 addi s4,s1,576 + 800061a0: 04053513 sltiu a0,a0,64 + 800061a4: 00154513 xori a0,a0,1 + 800061a8: 00157513 andi a0,a0,1 + 800061ac: ef4fe0ef jal ra,800048a0 <_assert> + 800061b0: 2504b503 ld a0,592(s1) + 800061b4: 03f57513 andi a0,a0,63 + 800061b8: 00153513 seqz a0,a0 + 800061bc: ee4fe0ef jal ra,800048a0 <_assert> + 800061c0: 2504b703 ld a4,592(s1) + 800061c4: 00100793 li a5,1 + 800061c8: 00675713 srli a4,a4,0x6 + 800061cc: 0ae7fc63 bgeu a5,a4,80006284 + 800061d0: 00000793 li a5,0 + 800061d4: 00100693 li a3,1 + 800061d8: 0017879b addiw a5,a5,1 + 800061dc: 00175713 srli a4,a4,0x1 + 800061e0: 0ff7f793 andi a5,a5,255 + 800061e4: fed71ae3 bne a4,a3,800061d8 + 800061e8: 00078993 mv s3,a5 + 800061ec: 0407b513 sltiu a0,a5,64 + 800061f0: 00f71933 sll s2,a4,a5 + 800061f4: eacfe0ef jal ra,800048a0 <_assert> + 800061f8: 00399793 slli a5,s3,0x3 + 800061fc: 00f487b3 add a5,s1,a5 + 80006200: 0007b703 ld a4,0(a5) + 80006204: 2604b423 sd zero,616(s1) + 80006208: 26e4b023 sd a4,608(s1) + 8000620c: 00070463 beqz a4,80006214 + 80006210: 03473423 sd s4,40(a4) + 80006214: 0147b023 sd s4,0(a5) + 80006218: 2004b503 ld a0,512(s1) + 8000621c: 00a96533 or a0,s2,a0 + 80006220: 20a4b023 sd a0,512(s1) + 80006224: 00a03533 snez a0,a0 + 80006228: e78fe0ef jal ra,800048a0 <_assert> + 8000622c: 00048513 mv a0,s1 + 80006230: 2084bc23 sd s0,536(s1) + 80006234: 2204b023 sd zero,544(s1) + 80006238: 2204b423 sd zero,552(s1) + 8000623c: 2204b823 sd zero,560(s1) + 80006240: 2204bc23 sd zero,568(s1) + 80006244: 02813083 ld ra,40(sp) + 80006248: 02013403 ld s0,32(sp) + 8000624c: 01813483 ld s1,24(sp) + 80006250: 01013903 ld s2,16(sp) + 80006254: 00813983 ld s3,8(sp) + 80006258: 00013a03 ld s4,0(sp) + 8000625c: 03010113 addi sp,sp,48 + 80006260: 00008067 ret + 80006264: 00100513 li a0,1 + 80006268: fff40413 addi s0,s0,-1 + 8000626c: e34fe0ef jal ra,800048a0 <_assert> + 80006270: 03f47793 andi a5,s0,63 + 80006274: fe0798e3 bnez a5,80006264 + 80006278: ed1ff06f j 80006148 + 8000627c: 00000513 li a0,0 + 80006280: 00008067 ret + 80006284: 00100913 li s2,1 + 80006288: 00000993 li s3,0 + 8000628c: 00100513 li a0,1 + 80006290: f65ff06f j 800061f4 diff --git a/bin/custom-output/benchmark/microbench/microbench-test.bin b/bin/custom-output/benchmark/microbench/microbench-test.bin new file mode 100755 index 0000000..0e554bf Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-test.bin differ diff --git a/bin/custom-output/benchmark/microbench/microbench-test.elf b/bin/custom-output/benchmark/microbench/microbench-test.elf new file mode 100755 index 0000000..7661ce0 Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-test.elf differ diff --git a/bin/custom-output/benchmark/microbench/microbench-test.txt b/bin/custom-output/benchmark/microbench/microbench-test.txt new file mode 100755 index 0000000..4f82cea --- /dev/null +++ b/bin/custom-output/benchmark/microbench/microbench-test.txt @@ -0,0 +1,6486 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0ad040ef jal ra,800048b8 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 119040ef jal ra,80004948 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 93c48493 addi s1,s1,-1732 # 80007998 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 29058593 addi a1,a1,656 # 800062f8 <_etext+0x64> + 80000070: 00048513 mv a0,s1 + 80000074: 71d050ef jal ra,80005f90 + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 101040ef jal ra,80004990 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 0a1040ef jal ra,80004948 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 8cc50513 addi a0,a0,-1844 # 80007998 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 1b858593 addi a1,a1,440 # 80006298 <_etext+0x4> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 1f050513 addi a0,a0,496 # 800062d8 <_etext+0x44> + 800000f0: 64d050ef jal ra,80005f3c + 800000f4: 00100513 li a0,1 + 800000f8: 79c040ef jal ra,80004894 + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 29058593 addi a1,a1,656 # 800063c8 <_etext+0x134> + 80000140: 00050413 mv s0,a0 + 80000144: 50d040ef jal ra,80004e50 + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 1f458593 addi a1,a1,500 # 80006340 <_etext+0xac> + 80000154: 00040513 mv a0,s0 + 80000158: 4f9040ef jal ra,80004e50 + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 1e458593 addi a1,a1,484 # 80006348 <_etext+0xb4> + 8000016c: 00040513 mv a0,s0 + 80000170: 4e1040ef jal ra,80004e50 + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 105040ef jal ra,80004a84 + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 21050513 addi a0,a0,528 # 80006398 <_etext+0x104> + 80000190: 5ad050ef jal ra,80005f3c + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 13d040ef jal ra,80004ad8 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: c4cc0c13 addi s8,s8,-948 # 80006df0 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 2d4b8b93 addi s7,s7,724 # 80007480 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 8f048493 addi s1,s1,-1808 # 80007ac8 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 8f0a8a93 addi s5,s5,-1808 # 80007ad0 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 39c40413 addi s0,s0,924 # 80007588 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 1dca0a13 addi s4,s4,476 # 800063d0 <_etext+0x13c> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 1e4d0d13 addi s10,s10,484 # 800063e0 <_etext+0x14c> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 1f4c8c93 addi s9,s9,500 # 800063f8 <_etext+0x164> + 8000020c: 01c0006f j 80000228 + 80000210: 52d050ef jal ra,80005f3c + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 51d050ef jal ra,80005f3c + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 4e5050ef jal ra,80005f3c + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 75478793 addi a5,a5,1876 # 800079b8 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 051040ef jal ra,80004ad8 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 035040ef jal ra,80004ad8 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 14450513 addi a0,a0,324 # 80006408 <_etext+0x174> + 800002cc: 471050ef jal ra,80005f3c + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 13450513 addi a0,a0,308 # 80006410 <_etext+0x17c> + 800002e4: 459050ef jal ra,80005f3c + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 628040ef jal ra,80004948 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 16850513 addi a0,a0,360 # 800064a0 <_etext+0x20c> + 80000340: 3fd050ef jal ra,80005f3c + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 778040ef jal ra,80004ad8 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 0dc50513 addi a0,a0,220 # 80006448 <_etext+0x1b4> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 3c5050ef jal ra,80005f3c + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: f8858593 addi a1,a1,-120 # 80006308 <_etext+0x74> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 0f450513 addi a0,a0,244 # 80006480 <_etext+0x1ec> + 80000394: 3a9050ef jal ra,80005f3c + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 59c040ef jal ra,80004948 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 0dc50513 addi a0,a0,220 # 80006490 <_etext+0x1fc> + 800003bc: 381050ef jal ra,80005f3c + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 0e460613 addi a2,a2,228 # 800064a8 <_etext+0x214> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 0f050513 addi a0,a0,240 # 800064c0 <_etext+0x22c> + 800003d8: 365050ef jal ra,80005f3c + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 10050513 addi a0,a0,256 # 800064e8 <_etext+0x254> + 800003f0: 34d050ef jal ra,80005f3c + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 10050513 addi a0,a0,256 # 80006500 <_etext+0x26c> + 80000408: 335050ef jal ra,80005f3c + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: ecc50513 addi a0,a0,-308 # 80006318 <_etext+0x84> + 80000454: 2e9050ef jal ra,80005f3c + 80000458: 00006417 auipc s0,0x6 + 8000045c: ea840413 addi s0,s0,-344 # 80006300 <_etext+0x6c> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: fbc50513 addi a0,a0,-68 # 80006430 <_etext+0x19c> + 8000047c: 2c1050ef jal ra,80005f3c + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: 09050513 addi a0,a0,144 # 80006518 <_etext+0x284> + 80000490: 2ad050ef jal ra,80005f3c + 80000494: 00006517 auipc a0,0x6 + 80000498: f8c50513 addi a0,a0,-116 # 80006420 <_etext+0x18c> + 8000049c: 2a1050ef jal ra,80005f3c + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: e6458593 addi a1,a1,-412 # 80006310 <_etext+0x7c> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: fe850513 addi a0,a0,-24 # 800064a0 <_etext+0x20c> + 800004c0: 27d050ef jal ra,80005f3c + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: e3858593 addi a1,a1,-456 # 80006300 <_etext+0x6c> + 800004d0: 00040513 mv a0,s0 + 800004d4: 17d040ef jal ra,80004e50 + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: e6c58593 addi a1,a1,-404 # 80006350 <_etext+0xbc> + 800004ec: 00040513 mv a0,s0 + 800004f0: 161040ef jal ra,80004e50 + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: e5450513 addi a0,a0,-428 # 80006358 <_etext+0xc4> + 8000050c: 231050ef jal ra,80005f3c + 80000510: 00100513 li a0,1 + 80000514: 380040ef jal ra,80004894 + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 4a058593 addi a1,a1,1184 # 800079b8 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: 06078793 addi a5,a5,96 # 80007588 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 5687b783 ld a5,1384(a5) # 80007ad0 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: d0858593 addi a1,a1,-760 # 80006298 <_etext+0x4> + 80000598: 00006517 auipc a0,0x6 + 8000059c: d4050513 addi a0,a0,-704 # 800062d8 <_etext+0x44> + 800005a0: 19d050ef jal ra,80005f3c + 800005a4: 00100513 li a0,1 + 800005a8: 2ec040ef jal ra,80004894 + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: eca7a223 sw a0,-316(a5) # 80007480 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: eb868693 addi a3,a3,-328 # 80007480 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 3007b783 ld a5,768(a5) # 80007ad0 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 1e090913 addi s2,s2,480 # 800079c8 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 18c98993 addi s3,s3,396 # 800079c0 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 080040ef jal ra,800048dc <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 05c040ef jal ra,80004990 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 779030ef jal ra,80004990 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6c1030ef jal ra,80004990 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: e0872703 lw a4,-504(a4) # 800079c8 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: df843403 ld s0,-520(s0) # 800079c0 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 9107ac23 sw a6,-1768(a5) # 800079cc <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 98c7b783 ld a5,-1652(a5) # 80007ad0 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00007797 auipc a5,0x7 + 80001154: 87c7a783 lw a5,-1924(a5) # 800079cc <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 648030ef jal ra,80004940 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 638030ef jal ra,80004940 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 59c030ef jal ra,80004940 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5f0030ef jal ra,800049c4 <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a8030ef jal ra,80004940 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 510030ef jal ra,800049c4 <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 32c030ef jal ra,80004940 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 2447b783 ld a5,580(a5) # 80007ad0 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 12c90913 addi s2,s2,300 # 800079d0 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 11098993 addi s3,s3,272 # 800079d8 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 0ea73623 sd a0,236(a4) # 800079e0 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 070030ef jal ra,80004990 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: 07862603 lw a2,120(a2) # 800079d0 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: 0805b583 ld a1,128(a1) # 800079e0 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: 07053503 ld a0,112(a0) # 800079d8 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: 05c5a583 lw a1,92(a1) # 800079d0 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: 06453503 ld a0,100(a0) # 800079e0 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 1387b783 ld a5,312(a5) # 80007ad0 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 1107b783 ld a5,272(a5) # 80007ad0 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: 01c7a783 lw a5,28(a5) # 800079e8 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 719020ef jal ra,80004990 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 5e458593 addi a1,a1,1508 # 80006648 <_etext+0x3b4> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 26c50513 addi a0,a0,620 # 800062d8 <_etext+0x44> + 80002074: 6c9030ef jal ra,80005f3c + 80002078: 00100513 li a0,1 + 8000207c: 019020ef jal ra,80004894 + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 9147b783 ld a5,-1772(a5) # 80007ad0 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 32458593 addi a1,a1,804 # 80007508 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 19058593 addi a1,a1,400 # 80007488 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 32458593 addi a1,a1,804 # 80006688 <_etext+0x3f4> + 8000236c: 00004517 auipc a0,0x4 + 80002370: f6c50513 addi a0,a0,-148 # 800062d8 <_etext+0x44> + 80002374: 3c9030ef jal ra,80005f3c + 80002378: 00100513 li a0,1 + 8000237c: 518020ef jal ra,80004894 + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 5c470713 addi a4,a4,1476 # 800079e8 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 93058593 addi a1,a1,-1744 # 80007548 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00005597 auipc a1,0x5 + 80002c88: 84458593 addi a1,a1,-1980 # 800074c8 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3d5010ef jal ra,800048dc <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: cdc78793 addi a5,a5,-804 # 800079e8 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 91458593 addi a1,a1,-1772 # 80006648 <_etext+0x3b4> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 59c50513 addi a0,a0,1436 # 800062d8 <_etext+0x44> + 80002d44: 1f8030ef jal ra,80005f3c + 80002d48: 00100513 li a0,1 + 80002d4c: 349010ef jal ra,80004894 + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: d807b783 ld a5,-640(a5) # 80007ad0 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: c8490913 addi s2,s2,-892 # 800079ec + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: c6f73623 sd a5,-916(a4) # 80007a08 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: c4c98993 addi s3,s3,-948 # 800079f0 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: c2a73a23 sd a0,-972(a4) # 800079f8 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a9010ef jal ra,80004990 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: be06b683 ld a3,-1056(a3) # 80007a08 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: bbc62603 lw a2,-1092(a2) # 800079ec + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: bc05b583 ld a1,-1088(a1) # 800079f8 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: bb053503 ld a0,-1104(a0) # 800079f0 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: baa7a623 sw a0,-1108(a5) # 80007a00 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: b9453503 ld a0,-1132(a0) # 800079f8 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: b945a583 lw a1,-1132(a1) # 80007a00 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: c4c7b783 ld a5,-948(a5) # 80007ad0 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00004597 auipc a1,0x4 + 80002eb4: 82058593 addi a1,a1,-2016 # 800066d0 <_etext+0x43c> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 42050513 addi a0,a0,1056 # 800062d8 <_etext+0x44> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 078030ef jal ra,80005f3c + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c9010ef jal ra,80004894 + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 5487b783 ld a5,1352(a5) # 80007ad0 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 47090913 addi s2,s2,1136 # 80007a10 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 4607a623 sw zero,1132(a5) # 80007a20 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 44f73223 sd a5,1092(a4) # 80007a18 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 44f73023 sd a5,1088(a4) # 80007a28 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 44a73023 sd a0,1088(a4) # 80007a38 + 80003600: 00004997 auipc s3,0x4 + 80003604: 44098993 addi s3,s3,1088 # 80007a40 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 10c78793 addi a5,a5,268 # 80006718 <_etext+0x484> + 80003614: 00004717 auipc a4,0x4 + 80003618: 40f73e23 sd a5,1052(a4) # 80007a30 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 40f73c23 sd a5,1048(a4) # 80007a50 + 80003640: 00004797 auipc a5,0x4 + 80003644: 4007a423 sw zero,1032(a5) # 80007a48 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 178a0a13 addi s4,s4,376 # 800067d0 <_etext+0x53c> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 320010ef jal ra,80004990 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 37030313 addi t1,t1,880 # 80007a30 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 32c50513 addi a0,a0,812 # 80007a20 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 3186b683 ld a3,792(a3) # 80007a18 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 31c8b883 ld a7,796(a7) # 80007a28 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 0e880813 addi a6,a6,232 # 80006810 <_etext+0x57c> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 24ce8e93 addi t4,t4,588 # 80007a40 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 24ce0e13 addi t3,t3,588 # 80007a48 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 22c5b583 ld a1,556(a1) # 80007a38 + 80003814: 00004397 auipc t2,0x4 + 80003818: 23c3b383 ld t2,572(t2) # 80007a50 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: 0a888893 addi a7,a7,168 # 800068dc <_etext+0x648> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: fa07a823 sw zero,-80(a5) # 80007a20 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: fa440413 addi s0,s0,-92 # 80007a48 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: fa053503 ld a0,-96(a0) # 80007a50 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: f4872703 lw a4,-184(a4) # 80007a10 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: fe873703 ld a4,-24(a4) # 80007ad0 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: fc07b783 ld a5,-64(a5) # 80007ad0 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: f2f72a23 sw a5,-204(a4) # 80007a60 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 5a5000ef jal ra,800048dc <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: f0f73423 sd a5,-248(a4) # 80007a58 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: f0f73223 sd a5,-252(a4) # 80007a68 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: eef73c23 sd a5,-264(a4) # 80007a70 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: eea7b623 sd a0,-276(a5) # 80007a78 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: ec87b783 ld a5,-312(a5) # 80007a68 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: ec47b783 ld a5,-316(a5) # 80007a70 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: e7842403 lw s0,-392(s0) # 80007a60 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: e5cf3f03 ld t5,-420(t5) # 80007a58 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: df86b683 ld a3,-520(a3) # 80007a78 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3cd000ef jal ra,800048dc <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2bd000ef jal ra,800048dc <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: bbc52503 lw a0,-1092(a0) # 80007a60 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 21d000ef jal ra,800048dc <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: ba453503 ld a0,-1116(a0) # 80007a70 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: bf87b783 ld a5,-1032(a5) # 80007ad0 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: b647a783 lw a5,-1180(a5) # 80007a80 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: b2c7b783 ld a5,-1236(a5) # 80007ad0 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: ac07aa23 sw zero,-1324(a5) # 80007a84 + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: aaf72e23 sw a5,-1348(a4) # 80007a80 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: a8a7ac23 sw a0,-1384(a5) # 80007a84 + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: ad47b783 ld a5,-1324(a5) # 80007ad0 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: a7c7a783 lw a5,-1412(a5) # 80007a84 + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 9947b783 ld a5,-1644(a5) # 80007ad0 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 94090913 addi s2,s2,-1728 # 80007a88 + 80004150: 00004997 auipc s3,0x4 + 80004154: 94098993 addi s3,s3,-1728 # 80007a90 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 8c462603 lw a2,-1852(a2) # 80007a88 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 8c053503 ld a0,-1856(a0) # 80007a90 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00004597 auipc a1,0x4 + 800041e0: 8ac5a583 lw a1,-1876(a1) # 80007a88 + 800041e4: 00004517 auipc a0,0x4 + 800041e8: 8ac53503 ld a0,-1876(a0) # 80007a90 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8d07b783 ld a5,-1840(a5) # 80007ad0 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00004797 auipc a5,0x4 + 80004228: 8ac7b783 ld a5,-1876(a5) # 80007ad0 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00004497 auipc s1,0x4 + 80004240: 85c48493 addi s1,s1,-1956 # 80007a98 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00004917 auipc s2,0x4 + 80004260: 84c90913 addi s2,s2,-1972 # 80007aa8 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 7ea7bc23 sd a0,2040(a5) # 80007aa0 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7d86a683 lw a3,2008(a3) # 80007a98 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 7d8fbf83 ld t6,2008(t6) # 80007aa8 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 79073703 ld a4,1936(a4) # 80007aa0 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 4d8a0a13 addi s4,s4,1240 # 80006904 + 80004434: 00002997 auipc s3,0x2 + 80004438: 5d098993 addi s3,s3,1488 # 80006a04 + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 48053503 ld a0,1152(a0) # 80007aa0 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 4987b783 ld a5,1176(a5) # 80007ad0 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 4747b783 ld a5,1140(a5) # 80007ad0 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 43040413 addi s0,s0,1072 # 80007ab0 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 40a73e23 sd a0,1052(a4) # 80007ab8 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 3b44a483 lw s1,948(s1) # 80007ab0 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 3b060613 addi a2,a2,944 # 80007ab8 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 16c000ef jal ra,800048dc <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 104000ef jal ra,800048dc <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2c07a823 sw zero,720(a5) # 80007ab4 + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 28d7a623 sw a3,652(a5) # 80007ab4 + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 2607a423 sw zero,616(a5) # 80007ab4 + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 25c93903 ld s2,604(s2) # 80007ab8 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 2687b783 ld a5,616(a5) # 80007ad0 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 2407a783 lw a5,576(a5) # 80007ab4 + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00050513 mv a0,a0 + 8000488c: 0000007b 0x7b + 80004890: 00008067 ret + +0000000080004894 : + 80004894: 00050513 mv a0,a0 + 80004898: 0000006b 0x6b + 8000489c: 0000006f j 8000489c + +00000000800048a0 <_assert>: + 800048a0: 00051a63 bnez a0,800048b4 <_assert+0x14> + 800048a4: 00100793 li a5,1 + 800048a8: 00078513 mv a0,a5 + 800048ac: 0000006b 0x6b + 800048b0: 0000006f j 800048b0 <_assert+0x10> + 800048b4: 00008067 ret + +00000000800048b8 <_trm_init>: + 800048b8: ff010113 addi sp,sp,-16 + 800048bc: 00113423 sd ra,8(sp) + 800048c0: 5b8000ef jal ra,80004e78 + 800048c4: 00002517 auipc a0,0x2 + 800048c8: 51c50513 addi a0,a0,1308 # 80006de0 + 800048cc: 831fb0ef jal ra,800000fc
+ 800048d0: 00050513 mv a0,a0 + 800048d4: 0000006b 0x6b + 800048d8: 0000006f j 800048d8 <_trm_init+0x20> + +00000000800048dc <__muldi3>: + 800048dc: 00050613 mv a2,a0 + 800048e0: 00000513 li a0,0 + 800048e4: 0015f693 andi a3,a1,1 + 800048e8: 00068463 beqz a3,800048f0 <__muldi3+0x14> + 800048ec: 00c50533 add a0,a0,a2 + 800048f0: 0015d593 srli a1,a1,0x1 + 800048f4: 00161613 slli a2,a2,0x1 + 800048f8: fe0596e3 bnez a1,800048e4 <__muldi3+0x8> + 800048fc: 00008067 ret + +0000000080004900 <__udivsi3>: + 80004900: 02051513 slli a0,a0,0x20 + 80004904: 02059593 slli a1,a1,0x20 + 80004908: 00008293 mv t0,ra + 8000490c: 03c000ef jal ra,80004948 <__udivdi3> + 80004910: 0005051b sext.w a0,a0 + 80004914: 00028067 jr t0 + +0000000080004918 <__umodsi3>: + 80004918: 02051513 slli a0,a0,0x20 + 8000491c: 02059593 slli a1,a1,0x20 + 80004920: 02055513 srli a0,a0,0x20 + 80004924: 0205d593 srli a1,a1,0x20 + 80004928: 00008293 mv t0,ra + 8000492c: 01c000ef jal ra,80004948 <__udivdi3> + 80004930: 0005851b sext.w a0,a1 + 80004934: 00028067 jr t0 + +0000000080004938 <__divsi3>: + 80004938: fff00293 li t0,-1 + 8000493c: 0a558c63 beq a1,t0,800049f4 <__moddi3+0x30> + +0000000080004940 <__divdi3>: + 80004940: 06054063 bltz a0,800049a0 <__umoddi3+0x10> + 80004944: 0605c663 bltz a1,800049b0 <__umoddi3+0x20> + +0000000080004948 <__udivdi3>: + 80004948: 00058613 mv a2,a1 + 8000494c: 00050593 mv a1,a0 + 80004950: fff00513 li a0,-1 + 80004954: 02060c63 beqz a2,8000498c <__udivdi3+0x44> + 80004958: 00100693 li a3,1 + 8000495c: 00b67a63 bgeu a2,a1,80004970 <__udivdi3+0x28> + 80004960: 00c05863 blez a2,80004970 <__udivdi3+0x28> + 80004964: 00161613 slli a2,a2,0x1 + 80004968: 00169693 slli a3,a3,0x1 + 8000496c: feb66ae3 bltu a2,a1,80004960 <__udivdi3+0x18> + 80004970: 00000513 li a0,0 + 80004974: 00c5e663 bltu a1,a2,80004980 <__udivdi3+0x38> + 80004978: 40c585b3 sub a1,a1,a2 + 8000497c: 00d56533 or a0,a0,a3 + 80004980: 0016d693 srli a3,a3,0x1 + 80004984: 00165613 srli a2,a2,0x1 + 80004988: fe0696e3 bnez a3,80004974 <__udivdi3+0x2c> + 8000498c: 00008067 ret + +0000000080004990 <__umoddi3>: + 80004990: 00008293 mv t0,ra + 80004994: fb5ff0ef jal ra,80004948 <__udivdi3> + 80004998: 00058513 mv a0,a1 + 8000499c: 00028067 jr t0 + 800049a0: 40a00533 neg a0,a0 + 800049a4: 00b04863 bgtz a1,800049b4 <__umoddi3+0x24> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: f9dff06f j 80004948 <__udivdi3> + 800049b0: 40b005b3 neg a1,a1 + 800049b4: 00008293 mv t0,ra + 800049b8: f91ff0ef jal ra,80004948 <__udivdi3> + 800049bc: 40a00533 neg a0,a0 + 800049c0: 00028067 jr t0 + +00000000800049c4 <__moddi3>: + 800049c4: 00008293 mv t0,ra + 800049c8: 0005ca63 bltz a1,800049dc <__moddi3+0x18> + 800049cc: 00054c63 bltz a0,800049e4 <__moddi3+0x20> + 800049d0: f79ff0ef jal ra,80004948 <__udivdi3> + 800049d4: 00058513 mv a0,a1 + 800049d8: 00028067 jr t0 + 800049dc: 40b005b3 neg a1,a1 + 800049e0: fe0558e3 bgez a0,800049d0 <__moddi3+0xc> + 800049e4: 40a00533 neg a0,a0 + 800049e8: f61ff0ef jal ra,80004948 <__udivdi3> + 800049ec: 40b00533 neg a0,a1 + 800049f0: 00028067 jr t0 + 800049f4: 01f29293 slli t0,t0,0x1f + 800049f8: f45514e3 bne a0,t0,80004940 <__divdi3> + 800049fc: 00008067 ret + +0000000080004a00 <__am_timer_config>: + 80004a00: 00100793 li a5,1 + 80004a04: 00f50023 sb a5,0(a0) + 80004a08: 00f500a3 sb a5,1(a0) + 80004a0c: 00008067 ret + +0000000080004a10 <__am_input_config>: + 80004a10: 00100793 li a5,1 + 80004a14: 00f50023 sb a5,0(a0) + 80004a18: 00008067 ret + +0000000080004a1c : + 80004a1c: ff010113 addi sp,sp,-16 + 80004a20: 00813023 sd s0,0(sp) + 80004a24: 00113423 sd ra,8(sp) + 80004a28: 00002417 auipc s0,0x2 + 80004a2c: 0d840413 addi s0,s0,216 # 80006b00 + 80004a30: 04100513 li a0,65 + 80004a34: 00140413 addi s0,s0,1 + 80004a38: e51ff0ef jal ra,80004888 + 80004a3c: 00044503 lbu a0,0(s0) + 80004a40: fe051ae3 bnez a0,80004a34 + 80004a44: 00002417 auipc s0,0x2 + 80004a48: 0cc40413 addi s0,s0,204 # 80006b10 + 80004a4c: 06100513 li a0,97 + 80004a50: 00140413 addi s0,s0,1 + 80004a54: e35ff0ef jal ra,80004888 + 80004a58: 00044503 lbu a0,0(s0) + 80004a5c: fe051ae3 bnez a0,80004a50 + 80004a60: 00002417 auipc s0,0x2 + 80004a64: 0d040413 addi s0,s0,208 # 80006b30 + 80004a68: 02000513 li a0,32 + 80004a6c: 00140413 addi s0,s0,1 + 80004a70: e19ff0ef jal ra,80004888 + 80004a74: 00044503 lbu a0,0(s0) + 80004a78: fe051ae3 bnez a0,80004a6c + 80004a7c: 00100513 li a0,1 + 80004a80: e15ff0ef jal ra,80004894 + +0000000080004a84 : + 80004a84: ff010113 addi sp,sp,-16 + 80004a88: 00113423 sd ra,8(sp) + 80004a8c: 00003797 auipc a5,0x3 + 80004a90: b0c78793 addi a5,a5,-1268 # 80007598 + 80004a94: 00003697 auipc a3,0x3 + 80004a98: f0468693 addi a3,a3,-252 # 80007998 + 80004a9c: 00000617 auipc a2,0x0 + 80004aa0: f8060613 addi a2,a2,-128 # 80004a1c + 80004aa4: 00c0006f j 80004ab0 + 80004aa8: 00878793 addi a5,a5,8 + 80004aac: 00d78c63 beq a5,a3,80004ac4 + 80004ab0: 0007b703 ld a4,0(a5) + 80004ab4: fe071ae3 bnez a4,80004aa8 + 80004ab8: 00c7b023 sd a2,0(a5) + 80004abc: 00878793 addi a5,a5,8 + 80004ac0: fed798e3 bne a5,a3,80004ab0 + 80004ac4: 030000ef jal ra,80004af4 <__am_timer_init> + 80004ac8: 00813083 ld ra,8(sp) + 80004acc: 00100513 li a0,1 + 80004ad0: 01010113 addi sp,sp,16 + 80004ad4: 00008067 ret + +0000000080004ad8 : + 80004ad8: 00351793 slli a5,a0,0x3 + 80004adc: 00003517 auipc a0,0x3 + 80004ae0: abc50513 addi a0,a0,-1348 # 80007598 + 80004ae4: 00f50533 add a0,a0,a5 + 80004ae8: 00053303 ld t1,0(a0) + 80004aec: 00058513 mv a0,a1 + 80004af0: 00030067 jr t1 + +0000000080004af4 <__am_timer_init>: + 80004af4: 00008067 ret + +0000000080004af8 <__am_timer_uptime>: + 80004af8: fd010113 addi sp,sp,-48 + 80004afc: 00913c23 sd s1,24(sp) + 80004b00: 02113423 sd ra,40(sp) + 80004b04: 02813023 sd s0,32(sp) + 80004b08: 01213823 sd s2,16(sp) + 80004b0c: 01313423 sd s3,8(sp) + 80004b10: 00050493 mv s1,a0 + 80004b14: b0002973 csrr s2,mcycle + 80004b18: 000f49b7 lui s3,0xf4 + 80004b1c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80004b20: 00090513 mv a0,s2 + 80004b24: e25ff0ef jal ra,80004948 <__udivdi3> + 80004b28: 00551413 slli s0,a0,0x5 + 80004b2c: 40a407b3 sub a5,s0,a0 + 80004b30: 00679413 slli s0,a5,0x6 + 80004b34: 40f40433 sub s0,s0,a5 + 80004b38: 00341413 slli s0,s0,0x3 + 80004b3c: 00a40433 add s0,s0,a0 + 80004b40: 24098593 addi a1,s3,576 + 80004b44: 00090513 mv a0,s2 + 80004b48: e49ff0ef jal ra,80004990 <__umoddi3> + 80004b4c: 00641413 slli s0,s0,0x6 + 80004b50: 00a40433 add s0,s0,a0 + 80004b54: 0084b023 sd s0,0(s1) + 80004b58: 02813083 ld ra,40(sp) + 80004b5c: 02013403 ld s0,32(sp) + 80004b60: 01813483 ld s1,24(sp) + 80004b64: 01013903 ld s2,16(sp) + 80004b68: 00813983 ld s3,8(sp) + 80004b6c: 03010113 addi sp,sp,48 + 80004b70: 00008067 ret + +0000000080004b74 : + 80004b74: fc010113 addi sp,sp,-64 + 80004b78: 01313c23 sd s3,24(sp) + 80004b7c: 00058993 mv s3,a1 + 80004b80: 00050793 mv a5,a0 + 80004b84: 03800613 li a2,56 + 80004b88: 00000593 li a1,0 + 80004b8c: 00098513 mv a0,s3 + 80004b90: 02813823 sd s0,48(sp) + 80004b94: 03213023 sd s2,32(sp) + 80004b98: 0007b403 ld s0,0(a5) + 80004b9c: 01413823 sd s4,16(sp) + 80004ba0: 02113c23 sd ra,56(sp) + 80004ba4: 02913423 sd s1,40(sp) + 80004ba8: 01513423 sd s5,8(sp) + 80004bac: 434010ef jal ra,80005fe0 + 80004bb0: 7b200793 li a5,1970 + 80004bb4: 00f9aa23 sw a5,20(s3) + 80004bb8: 7b200913 li s2,1970 + 80004bbc: 00002a17 auipc s4,0x2 + 80004bc0: 22ca0a13 addi s4,s4,556 # 80006de8 + 80004bc4: 0100006f j 80004bd4 + 80004bc8: 40e40433 sub s0,s0,a4 + 80004bcc: 00d9aa23 sw a3,20(s3) + 80004bd0: 00068913 mv s2,a3 + 80004bd4: 0009049b sext.w s1,s2 + 80004bd8: 06400593 li a1,100 + 80004bdc: 00048513 mv a0,s1 + 80004be0: de5ff0ef jal ra,800049c4 <__moddi3> + 80004be4: 00050793 mv a5,a0 + 80004be8: 0007879b sext.w a5,a5 + 80004bec: 00048513 mv a0,s1 + 80004bf0: 00397493 andi s1,s2,3 + 80004bf4: 19000593 li a1,400 + 80004bf8: 0014b493 seqz s1,s1 + 80004bfc: 00079863 bnez a5,80004c0c + 80004c00: dc5ff0ef jal ra,800049c4 <__moddi3> + 80004c04: 0005049b sext.w s1,a0 + 80004c08: 0014b493 seqz s1,s1 + 80004c0c: 00249793 slli a5,s1,0x2 + 80004c10: 00fa07b3 add a5,s4,a5 + 80004c14: 0007e703 lwu a4,0(a5) + 80004c18: 0019069b addiw a3,s2,1 + 80004c1c: fae456e3 bge s0,a4,80004bc8 + 80004c20: 000155b7 lui a1,0x15 + 80004c24: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c28: 00040513 mv a0,s0 + 80004c2c: d15ff0ef jal ra,80004940 <__divdi3> + 80004c30: 00149793 slli a5,s1,0x1 + 80004c34: 009784b3 add s1,a5,s1 + 80004c38: 00449793 slli a5,s1,0x4 + 80004c3c: 00002497 auipc s1,0x2 + 80004c40: f3448493 addi s1,s1,-204 # 80006b70 + 80004c44: 00f484b3 add s1,s1,a5 + 80004c48: 0004e783 lwu a5,0(s1) + 80004c4c: 0005051b sext.w a0,a0 + 80004c50: 00a9ae23 sw a0,28(s3) + 80004c54: 14f44463 blt s0,a5,80004d9c + 80004c58: 00100713 li a4,1 + 80004c5c: 40f40433 sub s0,s0,a5 + 80004c60: 0044e783 lwu a5,4(s1) + 80004c64: 00070a1b sext.w s4,a4 + 80004c68: 00448493 addi s1,s1,4 + 80004c6c: 00170713 addi a4,a4,1 + 80004c70: fef456e3 bge s0,a5,80004c5c + 80004c74: 000155b7 lui a1,0x15 + 80004c78: 0149a823 sw s4,16(s3) + 80004c7c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c80: 00040513 mv a0,s0 + 80004c84: cbdff0ef jal ra,80004940 <__divdi3> + 80004c88: 0005051b sext.w a0,a0 + 80004c8c: 0015079b addiw a5,a0,1 + 80004c90: 000155b7 lui a1,0x15 + 80004c94: 00f9a623 sw a5,12(s3) + 80004c98: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c9c: 00040513 mv a0,s0 + 80004ca0: 00001ab7 lui s5,0x1 + 80004ca4: 0007841b sext.w s0,a5 + 80004ca8: d1dff0ef jal ra,800049c4 <__moddi3> + 80004cac: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004cb0: 00050493 mv s1,a0 + 80004cb4: c8dff0ef jal ra,80004940 <__divdi3> + 80004cb8: e10a8593 addi a1,s5,-496 + 80004cbc: 00a9a423 sw a0,8(s3) + 80004cc0: 00048513 mv a0,s1 + 80004cc4: d01ff0ef jal ra,800049c4 <__moddi3> + 80004cc8: 03c00593 li a1,60 + 80004ccc: 00050493 mv s1,a0 + 80004cd0: c71ff0ef jal ra,80004940 <__divdi3> + 80004cd4: 00a9a223 sw a0,4(s3) + 80004cd8: 03c00593 li a1,60 + 80004cdc: 00048513 mv a0,s1 + 80004ce0: ce5ff0ef jal ra,800049c4 <__moddi3> + 80004ce4: 001a0a1b addiw s4,s4,1 + 80004ce8: 0ffa7793 andi a5,s4,255 + 80004cec: 0037b793 sltiu a5,a5,3 + 80004cf0: 40f9093b subw s2,s2,a5 + 80004cf4: 03091913 slli s2,s2,0x30 + 80004cf8: 03095913 srli s2,s2,0x30 + 80004cfc: 00a9a023 sw a0,0(s3) + 80004d00: 06400593 li a1,100 + 80004d04: 00090513 mv a0,s2 + 80004d08: c41ff0ef jal ra,80004948 <__udivdi3> + 80004d0c: 0029549b srliw s1,s2,0x2 + 80004d10: 0105179b slliw a5,a0,0x10 + 80004d14: 0107d79b srliw a5,a5,0x10 + 80004d18: 012484bb addw s1,s1,s2 + 80004d1c: 19000593 li a1,400 + 80004d20: 00090513 mv a0,s2 + 80004d24: 0ffa7a13 andi s4,s4,255 + 80004d28: 40f484bb subw s1,s1,a5 + 80004d2c: fffa0a1b addiw s4,s4,-1 + 80004d30: c19ff0ef jal ra,80004948 <__udivdi3> + 80004d34: 00002797 auipc a5,0x2 + 80004d38: e9c78793 addi a5,a5,-356 # 80006bd0 + 80004d3c: 002a1a13 slli s4,s4,0x2 + 80004d40: 01478a33 add s4,a5,s4 + 80004d44: 000a2783 lw a5,0(s4) + 80004d48: 0105151b slliw a0,a0,0x10 + 80004d4c: 0105551b srliw a0,a0,0x10 + 80004d50: 00a484bb addw s1,s1,a0 + 80004d54: 00f484bb addw s1,s1,a5 + 80004d58: 0ff47513 andi a0,s0,255 + 80004d5c: 00a4853b addw a0,s1,a0 + 80004d60: 00700593 li a1,7 + 80004d64: c61ff0ef jal ra,800049c4 <__moddi3> + 80004d68: 03813083 ld ra,56(sp) + 80004d6c: 03013403 ld s0,48(sp) + 80004d70: 0ff57513 andi a0,a0,255 + 80004d74: 00a9ac23 sw a0,24(s3) + 80004d78: 0209a023 sw zero,32(s3) + 80004d7c: 02813483 ld s1,40(sp) + 80004d80: 02013903 ld s2,32(sp) + 80004d84: 01013a03 ld s4,16(sp) + 80004d88: 00813a83 ld s5,8(sp) + 80004d8c: 00098513 mv a0,s3 + 80004d90: 01813983 ld s3,24(sp) + 80004d94: 04010113 addi sp,sp,64 + 80004d98: 00008067 ret + 80004d9c: 00000a13 li s4,0 + 80004da0: eedff06f j 80004c8c + +0000000080004da4 <__am_timer_rtc>: + 80004da4: f9010113 addi sp,sp,-112 + 80004da8: 06813023 sd s0,96(sp) + 80004dac: 06113423 sd ra,104(sp) + 80004db0: 04913c23 sd s1,88(sp) + 80004db4: 05213823 sd s2,80(sp) + 80004db8: 00050413 mv s0,a0 + 80004dbc: b00024f3 csrr s1,mcycle + 80004dc0: 000f4937 lui s2,0xf4 + 80004dc4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80004dc8: 00048513 mv a0,s1 + 80004dcc: b7dff0ef jal ra,80004948 <__udivdi3> + 80004dd0: 00050793 mv a5,a0 + 80004dd4: 24090593 addi a1,s2,576 + 80004dd8: 00048513 mv a0,s1 + 80004ddc: 00f13423 sd a5,8(sp) + 80004de0: bb1ff0ef jal ra,80004990 <__umoddi3> + 80004de4: 00050793 mv a5,a0 + 80004de8: 01810593 addi a1,sp,24 + 80004dec: 00810513 addi a0,sp,8 + 80004df0: 00f13823 sd a5,16(sp) + 80004df4: d81ff0ef jal ra,80004b74 + 80004df8: 01812703 lw a4,24(sp) + 80004dfc: 02812783 lw a5,40(sp) + 80004e00: 06813083 ld ra,104(sp) + 80004e04: 00e42a23 sw a4,20(s0) + 80004e08: 01c12703 lw a4,28(sp) + 80004e0c: 0017879b addiw a5,a5,1 + 80004e10: 00f42223 sw a5,4(s0) + 80004e14: 00e42823 sw a4,16(s0) + 80004e18: 02012703 lw a4,32(sp) + 80004e1c: 02c12783 lw a5,44(sp) + 80004e20: 05813483 ld s1,88(sp) + 80004e24: 00e42623 sw a4,12(s0) + 80004e28: 02412703 lw a4,36(sp) + 80004e2c: 00f42023 sw a5,0(s0) + 80004e30: 05013903 ld s2,80(sp) + 80004e34: 00e42423 sw a4,8(s0) + 80004e38: 06013403 ld s0,96(sp) + 80004e3c: 07010113 addi sp,sp,112 + 80004e40: 00008067 ret + +0000000080004e44 <__am_input_keybrd>: + 80004e44: 00050023 sb zero,0(a0) + 80004e48: 00052223 sw zero,4(a0) + 80004e4c: 00008067 ret + +0000000080004e50 : + 80004e50: 00054783 lbu a5,0(a0) + 80004e54: 00158593 addi a1,a1,1 + 80004e58: 00150513 addi a0,a0,1 + 80004e5c: fff5c703 lbu a4,-1(a1) + 80004e60: 00078863 beqz a5,80004e70 + 80004e64: fee786e3 beq a5,a4,80004e50 + 80004e68: 40e7853b subw a0,a5,a4 + 80004e6c: 00008067 ret + 80004e70: 40e0053b negw a0,a4 + 80004e74: 00008067 ret + +0000000080004e78 : + 80004e78: 00002797 auipc a5,0x2 + 80004e7c: 71078793 addi a5,a5,1808 # 80007588 + 80004e80: 0007b503 ld a0,0(a5) + 80004e84: 0087b583 ld a1,8(a5) + 80004e88: ff010113 addi sp,sp,-16 + 80004e8c: 00000693 li a3,0 + 80004e90: 00000613 li a2,0 + 80004e94: 40a585b3 sub a1,a1,a0 + 80004e98: 00113423 sd ra,8(sp) + 80004e9c: 224010ef jal ra,800060c0 + 80004ea0: 00813083 ld ra,8(sp) + 80004ea4: 00003797 auipc a5,0x3 + 80004ea8: c0a7be23 sd a0,-996(a5) # 80007ac0 + 80004eac: 01010113 addi sp,sp,16 + 80004eb0: 00008067 ret + +0000000080004eb4 <_out_buffer>: + 80004eb4: 00d67663 bgeu a2,a3,80004ec0 <_out_buffer+0xc> + 80004eb8: 00c585b3 add a1,a1,a2 + 80004ebc: 00a58023 sb a0,0(a1) + 80004ec0: 00008067 ret + +0000000080004ec4 <_out_null>: + 80004ec4: 00008067 ret + +0000000080004ec8 <_ntoa_format>: + 80004ec8: fa010113 addi sp,sp,-96 + 80004ecc: 07012303 lw t1,112(sp) + 80004ed0: 03313c23 sd s3,56(sp) + 80004ed4: 03413823 sd s4,48(sp) + 80004ed8: 03513423 sd s5,40(sp) + 80004edc: 03613023 sd s6,32(sp) + 80004ee0: 01713c23 sd s7,24(sp) + 80004ee4: 01813823 sd s8,16(sp) + 80004ee8: 01913423 sd s9,8(sp) + 80004eec: 00237e13 andi t3,t1,2 + 80004ef0: 01037e93 andi t4,t1,16 + 80004ef4: 04113c23 sd ra,88(sp) + 80004ef8: 04813823 sd s0,80(sp) + 80004efc: 04913423 sd s1,72(sp) + 80004f00: 05213023 sd s2,64(sp) + 80004f04: 06012f03 lw t5,96(sp) + 80004f08: 06812c03 lw s8,104(sp) + 80004f0c: 00050a13 mv s4,a0 + 80004f10: 00058a93 mv s5,a1 + 80004f14: 00060b93 mv s7,a2 + 80004f18: 00068b13 mv s6,a3 + 80004f1c: 00070993 mv s3,a4 + 80004f20: 000e0c9b sext.w s9,t3 + 80004f24: 000e8e9b sext.w t4,t4 + 80004f28: 060e1c63 bnez t3,80004fa0 <_ntoa_format+0xd8> + 80004f2c: 020f1693 slli a3,t5,0x20 + 80004f30: 00137513 andi a0,t1,1 + 80004f34: 0206d693 srli a3,a3,0x20 + 80004f38: 180c1e63 bnez s8,800050d4 <_ntoa_format+0x20c> + 80004f3c: 02d7f663 bgeu a5,a3,80004f68 <_ntoa_format+0xa0> + 80004f40: 02000713 li a4,32 + 80004f44: 36e78e63 beq a5,a4,800052c0 <_ntoa_format+0x3f8> + 80004f48: 03000613 li a2,48 + 80004f4c: 02000593 li a1,32 + 80004f50: 0080006f j 80004f58 <_ntoa_format+0x90> + 80004f54: 00b78a63 beq a5,a1,80004f68 <_ntoa_format+0xa0> + 80004f58: 00178793 addi a5,a5,1 + 80004f5c: 00f98733 add a4,s3,a5 + 80004f60: fec70fa3 sb a2,-1(a4) + 80004f64: fed7e8e3 bltu a5,a3,80004f54 <_ntoa_format+0x8c> + 80004f68: 02050c63 beqz a0,80004fa0 <_ntoa_format+0xd8> + 80004f6c: 020c1913 slli s2,s8,0x20 + 80004f70: 02095913 srli s2,s2,0x20 + 80004f74: 0327f663 bgeu a5,s2,80004fa0 <_ntoa_format+0xd8> + 80004f78: 02000713 li a4,32 + 80004f7c: 36e78863 beq a5,a4,800052ec <_ntoa_format+0x424> + 80004f80: 03000693 li a3,48 + 80004f84: 02000613 li a2,32 + 80004f88: 0080006f j 80004f90 <_ntoa_format+0xc8> + 80004f8c: 16c78463 beq a5,a2,800050f4 <_ntoa_format+0x22c> + 80004f90: 00178793 addi a5,a5,1 + 80004f94: 00f98733 add a4,s3,a5 + 80004f98: fed70fa3 sb a3,-1(a4) + 80004f9c: ff2798e3 bne a5,s2,80004f8c <_ntoa_format+0xc4> + 80004fa0: 1a0e8663 beqz t4,8000514c <_ntoa_format+0x284> + 80004fa4: 40037713 andi a4,t1,1024 + 80004fa8: 20071463 bnez a4,800051b0 <_ntoa_format+0x2e8> + 80004fac: 1e079663 bnez a5,80005198 <_ntoa_format+0x2d0> + 80004fb0: 01000793 li a5,16 + 80004fb4: 2af88863 beq a7,a5,80005264 <_ntoa_format+0x39c> + 80004fb8: 00200793 li a5,2 + 80004fbc: 2ef88a63 beq a7,a5,800052b0 <_ntoa_format+0x3e8> + 80004fc0: 03000793 li a5,48 + 80004fc4: 00f98023 sb a5,0(s3) + 80004fc8: 00100793 li a5,1 + 80004fcc: 1a080463 beqz a6,80005174 <_ntoa_format+0x2ac> + 80004fd0: 00f98733 add a4,s3,a5 + 80004fd4: 00178413 addi s0,a5,1 + 80004fd8: 02d00793 li a5,45 + 80004fdc: 00f70023 sb a5,0(a4) + 80004fe0: 00337313 andi t1,t1,3 + 80004fe4: 20031263 bnez t1,800051e8 <_ntoa_format+0x320> + 80004fe8: 020c1913 slli s2,s8,0x20 + 80004fec: 02095913 srli s2,s2,0x20 + 80004ff0: 1f247c63 bgeu s0,s2,800051e8 <_ntoa_format+0x320> + 80004ff4: 40890933 sub s2,s2,s0 + 80004ff8: 01790933 add s2,s2,s7 + 80004ffc: 000b8493 mv s1,s7 + 80005000: 00048613 mv a2,s1 + 80005004: 000b0693 mv a3,s6 + 80005008: 00148493 addi s1,s1,1 + 8000500c: 000a8593 mv a1,s5 + 80005010: 02000513 li a0,32 + 80005014: 000a00e7 jalr s4 + 80005018: ff2494e3 bne s1,s2,80005000 <_ntoa_format+0x138> + 8000501c: 02040663 beqz s0,80005048 <_ntoa_format+0x180> + 80005020: 01240933 add s2,s0,s2 + 80005024: 012984b3 add s1,s3,s2 + 80005028: 00898433 add s0,s3,s0 + 8000502c: fff44503 lbu a0,-1(s0) + 80005030: 40848633 sub a2,s1,s0 + 80005034: 000b0693 mv a3,s6 + 80005038: fff40413 addi s0,s0,-1 + 8000503c: 000a8593 mv a1,s5 + 80005040: 000a00e7 jalr s4 + 80005044: fe8994e3 bne s3,s0,8000502c <_ntoa_format+0x164> + 80005048: 040c8a63 beqz s9,8000509c <_ntoa_format+0x1d4> + 8000504c: 020c1c13 slli s8,s8,0x20 + 80005050: 41790433 sub s0,s2,s7 + 80005054: 020c5c13 srli s8,s8,0x20 + 80005058: 05847263 bgeu s0,s8,8000509c <_ntoa_format+0x1d4> + 8000505c: 01740633 add a2,s0,s7 + 80005060: 000b0693 mv a3,s6 + 80005064: 00140413 addi s0,s0,1 + 80005068: 000a8593 mv a1,s5 + 8000506c: 02000513 li a0,32 + 80005070: 000a00e7 jalr s4 + 80005074: ff8464e3 bltu s0,s8,8000505c <_ntoa_format+0x194> + 80005078: 41790733 sub a4,s2,s7 + 8000507c: 00170713 addi a4,a4,1 + 80005080: 00000793 li a5,0 + 80005084: 00ec6863 bltu s8,a4,80005094 <_ntoa_format+0x1cc> + 80005088: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 8000508c: 01878c33 add s8,a5,s8 + 80005090: 412c07b3 sub a5,s8,s2 + 80005094: 00190913 addi s2,s2,1 + 80005098: 00f90933 add s2,s2,a5 + 8000509c: 05813083 ld ra,88(sp) + 800050a0: 05013403 ld s0,80(sp) + 800050a4: 04813483 ld s1,72(sp) + 800050a8: 03813983 ld s3,56(sp) + 800050ac: 03013a03 ld s4,48(sp) + 800050b0: 02813a83 ld s5,40(sp) + 800050b4: 02013b03 ld s6,32(sp) + 800050b8: 01813b83 ld s7,24(sp) + 800050bc: 01013c03 ld s8,16(sp) + 800050c0: 00813c83 ld s9,8(sp) + 800050c4: 00090513 mv a0,s2 + 800050c8: 04013903 ld s2,64(sp) + 800050cc: 06010113 addi sp,sp,96 + 800050d0: 00008067 ret + 800050d4: 12050863 beqz a0,80005204 <_ntoa_format+0x33c> + 800050d8: 00081663 bnez a6,800050e4 <_ntoa_format+0x21c> + 800050dc: 00c37713 andi a4,t1,12 + 800050e0: 00070463 beqz a4,800050e8 <_ntoa_format+0x220> + 800050e4: fffc0c1b addiw s8,s8,-1 + 800050e8: e8d7f2e3 bgeu a5,a3,80004f6c <_ntoa_format+0xa4> + 800050ec: 02000713 li a4,32 + 800050f0: e4e79ce3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 800050f4: 0e0e8063 beqz t4,800051d4 <_ntoa_format+0x30c> + 800050f8: 40037793 andi a5,t1,1024 + 800050fc: 04079c63 bnez a5,80005154 <_ntoa_format+0x28c> + 80005100: 02000793 li a5,32 + 80005104: 0aff1063 bne t5,a5,800051a4 <_ntoa_format+0x2dc> + 80005108: 02000793 li a5,32 + 8000510c: 01f00713 li a4,31 + 80005110: 01000693 li a3,16 + 80005114: 1ad88c63 beq a7,a3,800052cc <_ntoa_format+0x404> + 80005118: 00200693 li a3,2 + 8000511c: 00070793 mv a5,a4 + 80005120: 00d89e63 bne a7,a3,8000513c <_ntoa_format+0x274> + 80005124: 00e986b3 add a3,s3,a4 + 80005128: 00170793 addi a5,a4,1 + 8000512c: 06200713 li a4,98 + 80005130: 00e68023 sb a4,0(a3) + 80005134: 02000713 li a4,32 + 80005138: 00e78e63 beq a5,a4,80005154 <_ntoa_format+0x28c> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 03000693 li a3,48 + 80005144: 00d70023 sb a3,0(a4) + 80005148: 00178793 addi a5,a5,1 + 8000514c: 02000713 li a4,32 + 80005150: e6e79ee3 bne a5,a4,80004fcc <_ntoa_format+0x104> + 80005154: 00337313 andi t1,t1,3 + 80005158: 08031263 bnez t1,800051dc <_ntoa_format+0x314> + 8000515c: 02000413 li s0,32 + 80005160: 020c1913 slli s2,s8,0x20 + 80005164: 02095913 srli s2,s2,0x20 + 80005168: e92466e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 8000516c: 000b8913 mv s2,s7 + 80005170: eadff06f j 8000501c <_ntoa_format+0x154> + 80005174: 00437713 andi a4,t1,4 + 80005178: 06071c63 bnez a4,800051f0 <_ntoa_format+0x328> + 8000517c: 00837713 andi a4,t1,8 + 80005180: 0c071863 bnez a4,80005250 <_ntoa_format+0x388> + 80005184: 00337313 andi t1,t1,3 + 80005188: 00078413 mv s0,a5 + 8000518c: fc030ae3 beqz t1,80005160 <_ntoa_format+0x298> + 80005190: 000b8913 mv s2,s7 + 80005194: e89ff06f j 8000501c <_ntoa_format+0x154> + 80005198: 020f1f13 slli t5,t5,0x20 + 8000519c: 020f5f13 srli t5,t5,0x20 + 800051a0: 0aff0263 beq t5,a5,80005244 <_ntoa_format+0x37c> + 800051a4: 020c1913 slli s2,s8,0x20 + 800051a8: 02095913 srli s2,s2,0x20 + 800051ac: 08f90c63 beq s2,a5,80005244 <_ntoa_format+0x37c> + 800051b0: 01000713 li a4,16 + 800051b4: 06e88663 beq a7,a4,80005220 <_ntoa_format+0x358> + 800051b8: 00200713 li a4,2 + 800051bc: f6e89ce3 bne a7,a4,80005134 <_ntoa_format+0x26c> + 800051c0: 02000713 li a4,32 + 800051c4: f8e788e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 800051c8: 00f986b3 add a3,s3,a5 + 800051cc: 00178793 addi a5,a5,1 + 800051d0: f5dff06f j 8000512c <_ntoa_format+0x264> + 800051d4: 00337313 andi t1,t1,3 + 800051d8: 0c030063 beqz t1,80005298 <_ntoa_format+0x3d0> + 800051dc: 000b8913 mv s2,s7 + 800051e0: 02000413 li s0,32 + 800051e4: e3dff06f j 80005020 <_ntoa_format+0x158> + 800051e8: 000b8913 mv s2,s7 + 800051ec: e35ff06f j 80005020 <_ntoa_format+0x158> + 800051f0: 00f98733 add a4,s3,a5 + 800051f4: 00178413 addi s0,a5,1 + 800051f8: 02b00793 li a5,43 + 800051fc: 00f70023 sb a5,0(a4) + 80005200: de1ff06f j 80004fe0 <_ntoa_format+0x118> + 80005204: d8d7fee3 bgeu a5,a3,80004fa0 <_ntoa_format+0xd8> + 80005208: 02000713 li a4,32 + 8000520c: d2e79ee3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 80005210: 0e0e8c63 beqz t4,80005308 <_ntoa_format+0x440> + 80005214: 40037793 andi a5,t1,1024 + 80005218: f2079ee3 bnez a5,80005154 <_ntoa_format+0x28c> + 8000521c: ee5ff06f j 80005100 <_ntoa_format+0x238> + 80005220: 02037713 andi a4,t1,32 + 80005224: 04071c63 bnez a4,8000527c <_ntoa_format+0x3b4> + 80005228: 02000713 li a4,32 + 8000522c: f2e784e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005230: 00f98733 add a4,s3,a5 + 80005234: 00178793 addi a5,a5,1 + 80005238: 07800693 li a3,120 + 8000523c: 00d70023 sb a3,0(a4) + 80005240: ef5ff06f j 80005134 <_ntoa_format+0x26c> + 80005244: fff78713 addi a4,a5,-1 + 80005248: d60704e3 beqz a4,80004fb0 <_ntoa_format+0xe8> + 8000524c: ec5ff06f j 80005110 <_ntoa_format+0x248> + 80005250: 00f98733 add a4,s3,a5 + 80005254: 00178413 addi s0,a5,1 + 80005258: 02000793 li a5,32 + 8000525c: 00f70023 sb a5,0(a4) + 80005260: d81ff06f j 80004fe0 <_ntoa_format+0x118> + 80005264: 02037793 andi a5,t1,32 + 80005268: 02079c63 bnez a5,800052a0 <_ntoa_format+0x3d8> + 8000526c: 07800793 li a5,120 + 80005270: 00f98023 sb a5,0(s3) + 80005274: 00100793 li a5,1 + 80005278: ec5ff06f j 8000513c <_ntoa_format+0x274> + 8000527c: 02000713 li a4,32 + 80005280: ece78ae3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005284: 00f98733 add a4,s3,a5 + 80005288: 05800693 li a3,88 + 8000528c: 00d70023 sb a3,0(a4) + 80005290: 00178793 addi a5,a5,1 + 80005294: ea1ff06f j 80005134 <_ntoa_format+0x26c> + 80005298: 02000413 li s0,32 + 8000529c: d4dff06f j 80004fe8 <_ntoa_format+0x120> + 800052a0: 05800793 li a5,88 + 800052a4: 00f98023 sb a5,0(s3) + 800052a8: 00100793 li a5,1 + 800052ac: e91ff06f j 8000513c <_ntoa_format+0x274> + 800052b0: 06200793 li a5,98 + 800052b4: 00f98023 sb a5,0(s3) + 800052b8: 00100793 li a5,1 + 800052bc: e81ff06f j 8000513c <_ntoa_format+0x274> + 800052c0: e2051ae3 bnez a0,800050f4 <_ntoa_format+0x22c> + 800052c4: 000c8c13 mv s8,s9 + 800052c8: f49ff06f j 80005210 <_ntoa_format+0x348> + 800052cc: 02037693 andi a3,t1,32 + 800052d0: ffe78713 addi a4,a5,-2 + 800052d4: 00069863 bnez a3,800052e4 <_ntoa_format+0x41c> + 800052d8: 00e98733 add a4,s3,a4 + 800052dc: fff78793 addi a5,a5,-1 + 800052e0: f59ff06f j 80005238 <_ntoa_format+0x370> + 800052e4: 00070793 mv a5,a4 + 800052e8: f9dff06f j 80005284 <_ntoa_format+0x3bc> + 800052ec: 000e8e63 beqz t4,80005308 <_ntoa_format+0x440> + 800052f0: 40037713 andi a4,t1,1024 + 800052f4: 00071e63 bnez a4,80005310 <_ntoa_format+0x448> + 800052f8: 02ff0e63 beq t5,a5,80005334 <_ntoa_format+0x46c> + 800052fc: e4fc1ce3 bne s8,a5,80005154 <_ntoa_format+0x28c> + 80005300: 01f00713 li a4,31 + 80005304: e0dff06f j 80005110 <_ntoa_format+0x248> + 80005308: 02000413 li s0,32 + 8000530c: cd5ff06f j 80004fe0 <_ntoa_format+0x118> + 80005310: 01000793 li a5,16 + 80005314: 02f88c63 beq a7,a5,8000534c <_ntoa_format+0x484> + 80005318: 00200793 li a5,2 + 8000531c: e2f88ce3 beq a7,a5,80005154 <_ntoa_format+0x28c> + 80005320: 00337313 andi t1,t1,3 + 80005324: ea031ce3 bnez t1,800051dc <_ntoa_format+0x314> + 80005328: 02000413 li s0,32 + 8000532c: cd2464e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 80005330: e3dff06f j 8000516c <_ntoa_format+0x2a4> + 80005334: 01000713 li a4,16 + 80005338: f8e88ae3 beq a7,a4,800052cc <_ntoa_format+0x404> + 8000533c: 00200793 li a5,2 + 80005340: 00f88c63 beq a7,a5,80005358 <_ntoa_format+0x490> + 80005344: 01f00793 li a5,31 + 80005348: df5ff06f j 8000513c <_ntoa_format+0x274> + 8000534c: 02037793 andi a5,t1,32 + 80005350: fc0788e3 beqz a5,80005320 <_ntoa_format+0x458> + 80005354: e01ff06f j 80005154 <_ntoa_format+0x28c> + 80005358: 01f00713 li a4,31 + 8000535c: dc9ff06f j 80005124 <_ntoa_format+0x25c> + +0000000080005360 <_ntoa_long>: + 80005360: f4010113 addi sp,sp,-192 + 80005364: 09413823 sd s4,144(sp) + 80005368: 09513423 sd s5,136(sp) + 8000536c: 09613023 sd s6,128(sp) + 80005370: 07713c23 sd s7,120(sp) + 80005374: 07813823 sd s8,112(sp) + 80005378: 07913423 sd s9,104(sp) + 8000537c: 05b13c23 sd s11,88(sp) + 80005380: 0a113c23 sd ra,184(sp) + 80005384: 0a813823 sd s0,176(sp) + 80005388: 0a913423 sd s1,168(sp) + 8000538c: 0b213023 sd s2,160(sp) + 80005390: 09313c23 sd s3,152(sp) + 80005394: 07a13023 sd s10,96(sp) + 80005398: 02a13023 sd a0,32(sp) + 8000539c: 03113423 sd a7,40(sp) + 800053a0: 0c812a03 lw s4,200(sp) + 800053a4: 00070d93 mv s11,a4 + 800053a8: 00058b13 mv s6,a1 + 800053ac: 00060b93 mv s7,a2 + 800053b0: 00068c13 mv s8,a3 + 800053b4: 00078c93 mv s9,a5 + 800053b8: 00080a93 mv s5,a6 + 800053bc: 00071863 bnez a4,800053cc <_ntoa_long+0x6c> + 800053c0: 400a7793 andi a5,s4,1024 + 800053c4: fefa7a13 andi s4,s4,-17 + 800053c8: 0e079663 bnez a5,800054b4 <_ntoa_long+0x154> + 800053cc: 020a7793 andi a5,s4,32 + 800053d0: 06100413 li s0,97 + 800053d4: 0c079c63 bnez a5,800054ac <_ntoa_long+0x14c> + 800053d8: 00000d13 li s10,0 + 800053dc: 03010493 addi s1,sp,48 + 800053e0: 00900913 li s2,9 + 800053e4: ff64041b addiw s0,s0,-10 + 800053e8: 02000993 li s3,32 + 800053ec: 00c0006f j 800053f8 <_ntoa_long+0x98> + 800053f0: 053d0463 beq s10,s3,80005438 <_ntoa_long+0xd8> + 800053f4: 00050d93 mv s11,a0 + 800053f8: 000a8593 mv a1,s5 + 800053fc: 000d8513 mv a0,s11 + 80005400: d90ff0ef jal ra,80004990 <__umoddi3> + 80005404: 0ff57313 andi t1,a0,255 + 80005408: 0303071b addiw a4,t1,48 + 8000540c: 0064033b addw t1,s0,t1 + 80005410: 0ff37313 andi t1,t1,255 + 80005414: 00a96463 bltu s2,a0,8000541c <_ntoa_long+0xbc> + 80005418: 0ff77313 andi t1,a4,255 + 8000541c: 001d0d13 addi s10,s10,1 + 80005420: 01a48733 add a4,s1,s10 + 80005424: 000d8513 mv a0,s11 + 80005428: 000a8593 mv a1,s5 + 8000542c: fe670fa3 sb t1,-1(a4) + 80005430: d18ff0ef jal ra,80004948 <__udivdi3> + 80005434: fb5dfee3 bgeu s11,s5,800053f0 <_ntoa_long+0x90> + 80005438: 0c012703 lw a4,192(sp) + 8000543c: 02813783 ld a5,40(sp) + 80005440: 02013503 ld a0,32(sp) + 80005444: 01413823 sd s4,16(sp) + 80005448: 00e13423 sd a4,8(sp) + 8000544c: 00f13023 sd a5,0(sp) + 80005450: 000a889b sext.w a7,s5 + 80005454: 000c8813 mv a6,s9 + 80005458: 000d0793 mv a5,s10 + 8000545c: 00048713 mv a4,s1 + 80005460: 000c0693 mv a3,s8 + 80005464: 000b8613 mv a2,s7 + 80005468: 000b0593 mv a1,s6 + 8000546c: a5dff0ef jal ra,80004ec8 <_ntoa_format> + 80005470: 0b813083 ld ra,184(sp) + 80005474: 0b013403 ld s0,176(sp) + 80005478: 0a813483 ld s1,168(sp) + 8000547c: 0a013903 ld s2,160(sp) + 80005480: 09813983 ld s3,152(sp) + 80005484: 09013a03 ld s4,144(sp) + 80005488: 08813a83 ld s5,136(sp) + 8000548c: 08013b03 ld s6,128(sp) + 80005490: 07813b83 ld s7,120(sp) + 80005494: 07013c03 ld s8,112(sp) + 80005498: 06813c83 ld s9,104(sp) + 8000549c: 06013d03 ld s10,96(sp) + 800054a0: 05813d83 ld s11,88(sp) + 800054a4: 0c010113 addi sp,sp,192 + 800054a8: 00008067 ret + 800054ac: 04100413 li s0,65 + 800054b0: f29ff06f j 800053d8 <_ntoa_long+0x78> + 800054b4: 00000d13 li s10,0 + 800054b8: 03010493 addi s1,sp,48 + 800054bc: f7dff06f j 80005438 <_ntoa_long+0xd8> + +00000000800054c0 <_ntoa_long_long>: + 800054c0: f4010113 addi sp,sp,-192 + 800054c4: 09413823 sd s4,144(sp) + 800054c8: 09513423 sd s5,136(sp) + 800054cc: 09613023 sd s6,128(sp) + 800054d0: 07713c23 sd s7,120(sp) + 800054d4: 07813823 sd s8,112(sp) + 800054d8: 07913423 sd s9,104(sp) + 800054dc: 05b13c23 sd s11,88(sp) + 800054e0: 0a113c23 sd ra,184(sp) + 800054e4: 0a813823 sd s0,176(sp) + 800054e8: 0a913423 sd s1,168(sp) + 800054ec: 0b213023 sd s2,160(sp) + 800054f0: 09313c23 sd s3,152(sp) + 800054f4: 07a13023 sd s10,96(sp) + 800054f8: 02a13023 sd a0,32(sp) + 800054fc: 03113423 sd a7,40(sp) + 80005500: 0c812a03 lw s4,200(sp) + 80005504: 00070d93 mv s11,a4 + 80005508: 00058b13 mv s6,a1 + 8000550c: 00060b93 mv s7,a2 + 80005510: 00068c13 mv s8,a3 + 80005514: 00078c93 mv s9,a5 + 80005518: 00080a93 mv s5,a6 + 8000551c: 00071863 bnez a4,8000552c <_ntoa_long_long+0x6c> + 80005520: 400a7793 andi a5,s4,1024 + 80005524: fefa7a13 andi s4,s4,-17 + 80005528: 0e079663 bnez a5,80005614 <_ntoa_long_long+0x154> + 8000552c: 020a7793 andi a5,s4,32 + 80005530: 06100413 li s0,97 + 80005534: 0c079c63 bnez a5,8000560c <_ntoa_long_long+0x14c> + 80005538: 00000d13 li s10,0 + 8000553c: 03010493 addi s1,sp,48 + 80005540: 00900913 li s2,9 + 80005544: ff64041b addiw s0,s0,-10 + 80005548: 02000993 li s3,32 + 8000554c: 00c0006f j 80005558 <_ntoa_long_long+0x98> + 80005550: 053d0463 beq s10,s3,80005598 <_ntoa_long_long+0xd8> + 80005554: 00050d93 mv s11,a0 + 80005558: 000a8593 mv a1,s5 + 8000555c: 000d8513 mv a0,s11 + 80005560: c30ff0ef jal ra,80004990 <__umoddi3> + 80005564: 0ff57313 andi t1,a0,255 + 80005568: 0303071b addiw a4,t1,48 + 8000556c: 0064033b addw t1,s0,t1 + 80005570: 0ff37313 andi t1,t1,255 + 80005574: 00a96463 bltu s2,a0,8000557c <_ntoa_long_long+0xbc> + 80005578: 0ff77313 andi t1,a4,255 + 8000557c: 001d0d13 addi s10,s10,1 + 80005580: 01a48733 add a4,s1,s10 + 80005584: 000d8513 mv a0,s11 + 80005588: 000a8593 mv a1,s5 + 8000558c: fe670fa3 sb t1,-1(a4) + 80005590: bb8ff0ef jal ra,80004948 <__udivdi3> + 80005594: fb5dfee3 bgeu s11,s5,80005550 <_ntoa_long_long+0x90> + 80005598: 0c012703 lw a4,192(sp) + 8000559c: 02813783 ld a5,40(sp) + 800055a0: 02013503 ld a0,32(sp) + 800055a4: 01413823 sd s4,16(sp) + 800055a8: 00e13423 sd a4,8(sp) + 800055ac: 00f13023 sd a5,0(sp) + 800055b0: 000a889b sext.w a7,s5 + 800055b4: 000c8813 mv a6,s9 + 800055b8: 000d0793 mv a5,s10 + 800055bc: 00048713 mv a4,s1 + 800055c0: 000c0693 mv a3,s8 + 800055c4: 000b8613 mv a2,s7 + 800055c8: 000b0593 mv a1,s6 + 800055cc: 8fdff0ef jal ra,80004ec8 <_ntoa_format> + 800055d0: 0b813083 ld ra,184(sp) + 800055d4: 0b013403 ld s0,176(sp) + 800055d8: 0a813483 ld s1,168(sp) + 800055dc: 0a013903 ld s2,160(sp) + 800055e0: 09813983 ld s3,152(sp) + 800055e4: 09013a03 ld s4,144(sp) + 800055e8: 08813a83 ld s5,136(sp) + 800055ec: 08013b03 ld s6,128(sp) + 800055f0: 07813b83 ld s7,120(sp) + 800055f4: 07013c03 ld s8,112(sp) + 800055f8: 06813c83 ld s9,104(sp) + 800055fc: 06013d03 ld s10,96(sp) + 80005600: 05813d83 ld s11,88(sp) + 80005604: 0c010113 addi sp,sp,192 + 80005608: 00008067 ret + 8000560c: 04100413 li s0,65 + 80005610: f29ff06f j 80005538 <_ntoa_long_long+0x78> + 80005614: 00000d13 li s10,0 + 80005618: 03010493 addi s1,sp,48 + 8000561c: f7dff06f j 80005598 <_ntoa_long_long+0xd8> + +0000000080005620 <_vsnprintf>: + 80005620: f6010113 addi sp,sp,-160 + 80005624: 08913423 sd s1,136(sp) + 80005628: 09213023 sd s2,128(sp) + 8000562c: 07313c23 sd s3,120(sp) + 80005630: 05713c23 sd s7,88(sp) + 80005634: 05813823 sd s8,80(sp) + 80005638: 08113c23 sd ra,152(sp) + 8000563c: 08813823 sd s0,144(sp) + 80005640: 07413823 sd s4,112(sp) + 80005644: 07513423 sd s5,104(sp) + 80005648: 07613023 sd s6,96(sp) + 8000564c: 05913423 sd s9,72(sp) + 80005650: 05a13023 sd s10,64(sp) + 80005654: 03b13c23 sd s11,56(sp) + 80005658: 00058493 mv s1,a1 + 8000565c: 00060c13 mv s8,a2 + 80005660: 00068b93 mv s7,a3 + 80005664: 00070993 mv s3,a4 + 80005668: 00000917 auipc s2,0x0 + 8000566c: 85c90913 addi s2,s2,-1956 # 80004ec4 <_out_null> + 80005670: 00058463 beqz a1,80005678 <_vsnprintf+0x58> + 80005674: 00050913 mv s2,a0 + 80005678: 000bc503 lbu a0,0(s7) + 8000567c: 00000d13 li s10,0 + 80005680: 66050663 beqz a0,80005cec <_vsnprintf+0x6cc> + 80005684: 000107b7 lui a5,0x10 + 80005688: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000568c: 02500a13 li s4,37 + 80005690: 00001417 auipc s0,0x1 + 80005694: 57040413 addi s0,s0,1392 # 80006c00 + 80005698: 00f13c23 sd a5,24(sp) + 8000569c: 0200006f j 800056bc <_vsnprintf+0x9c> + 800056a0: 000d0613 mv a2,s10 + 800056a4: 000c0693 mv a3,s8 + 800056a8: 00048593 mv a1,s1 + 800056ac: 001d0d13 addi s10,s10,1 + 800056b0: 000900e7 jalr s2 + 800056b4: 000bc503 lbu a0,0(s7) + 800056b8: 1c050263 beqz a0,8000587c <_vsnprintf+0x25c> + 800056bc: 001b8b93 addi s7,s7,1 + 800056c0: ff4510e3 bne a0,s4,800056a0 <_vsnprintf+0x80> + 800056c4: 00000593 li a1,0 + 800056c8: 01000813 li a6,16 + 800056cc: 000bc503 lbu a0,0(s7) + 800056d0: 001b8713 addi a4,s7,1 + 800056d4: 00070613 mv a2,a4 + 800056d8: fe05079b addiw a5,a0,-32 + 800056dc: 0ff7f793 andi a5,a5,255 + 800056e0: 00f86c63 bltu a6,a5,800056f8 <_vsnprintf+0xd8> + 800056e4: 00279793 slli a5,a5,0x2 + 800056e8: 008787b3 add a5,a5,s0 + 800056ec: 0007a783 lw a5,0(a5) + 800056f0: 008787b3 add a5,a5,s0 + 800056f4: 00078067 jr a5 + 800056f8: fd05079b addiw a5,a0,-48 + 800056fc: 0ff7f793 andi a5,a5,255 + 80005700: 00900813 li a6,9 + 80005704: 0ef87c63 bgeu a6,a5,800057fc <_vsnprintf+0x1dc> + 80005708: 02a00793 li a5,42 + 8000570c: 20f50463 beq a0,a5,80005914 <_vsnprintf+0x2f4> + 80005710: 000b8613 mv a2,s7 + 80005714: 00000d93 li s11,0 + 80005718: 00070b93 mv s7,a4 + 8000571c: 02e00793 li a5,46 + 80005720: 00000c93 li s9,0 + 80005724: 12f50463 beq a0,a5,8000584c <_vsnprintf+0x22c> + 80005728: f985079b addiw a5,a0,-104 + 8000572c: 0ff7f793 andi a5,a5,255 + 80005730: 01200713 li a4,18 + 80005734: 08f76463 bltu a4,a5,800057bc <_vsnprintf+0x19c> + 80005738: 00001717 auipc a4,0x1 + 8000573c: 50c70713 addi a4,a4,1292 # 80006c44 + 80005740: 00279793 slli a5,a5,0x2 + 80005744: 00e787b3 add a5,a5,a4 + 80005748: 0007a783 lw a5,0(a5) + 8000574c: 00e787b3 add a5,a5,a4 + 80005750: 00078067 jr a5 + 80005754: 0015e593 ori a1,a1,1 + 80005758: 0005859b sext.w a1,a1 + 8000575c: 00070b93 mv s7,a4 + 80005760: f6dff06f j 800056cc <_vsnprintf+0xac> + 80005764: 0025e593 ori a1,a1,2 + 80005768: 0005859b sext.w a1,a1 + 8000576c: 00070b93 mv s7,a4 + 80005770: f5dff06f j 800056cc <_vsnprintf+0xac> + 80005774: 0045e593 ori a1,a1,4 + 80005778: 0005859b sext.w a1,a1 + 8000577c: 00070b93 mv s7,a4 + 80005780: f4dff06f j 800056cc <_vsnprintf+0xac> + 80005784: 0105e593 ori a1,a1,16 + 80005788: 0005859b sext.w a1,a1 + 8000578c: 00070b93 mv s7,a4 + 80005790: f3dff06f j 800056cc <_vsnprintf+0xac> + 80005794: 0085e593 ori a1,a1,8 + 80005798: 0005859b sext.w a1,a1 + 8000579c: 00070b93 mv s7,a4 + 800057a0: f2dff06f j 800056cc <_vsnprintf+0xac> + 800057a4: 00164503 lbu a0,1(a2) + 800057a8: 06800793 li a5,104 + 800057ac: 52f50663 beq a0,a5,80005cd8 <_vsnprintf+0x6b8> + 800057b0: 0805e593 ori a1,a1,128 + 800057b4: 0005859b sext.w a1,a1 + 800057b8: 001b8b93 addi s7,s7,1 + 800057bc: fdb5079b addiw a5,a0,-37 + 800057c0: 0ff7f793 andi a5,a5,255 + 800057c4: 05300713 li a4,83 + 800057c8: ecf76ce3 bltu a4,a5,800056a0 <_vsnprintf+0x80> + 800057cc: 00001717 auipc a4,0x1 + 800057d0: 4c470713 addi a4,a4,1220 # 80006c90 + 800057d4: 00279793 slli a5,a5,0x2 + 800057d8: 00e787b3 add a5,a5,a4 + 800057dc: 0007a783 lw a5,0(a5) + 800057e0: 00e787b3 add a5,a5,a4 + 800057e4: 00078067 jr a5 + 800057e8: 00164503 lbu a0,1(a2) + 800057ec: 1005e593 ori a1,a1,256 + 800057f0: 0005859b sext.w a1,a1 + 800057f4: 001b8b93 addi s7,s7,1 + 800057f8: fc5ff06f j 800057bc <_vsnprintf+0x19c> + 800057fc: 00000d93 li s11,0 + 80005800: 00900813 li a6,9 + 80005804: 0080006f j 8000580c <_vsnprintf+0x1ec> + 80005808: 00170713 addi a4,a4,1 + 8000580c: 002d979b slliw a5,s11,0x2 + 80005810: 01b787bb addw a5,a5,s11 + 80005814: 0017979b slliw a5,a5,0x1 + 80005818: 00a787bb addw a5,a5,a0 + 8000581c: 00074503 lbu a0,0(a4) + 80005820: 000b8893 mv a7,s7 + 80005824: fd078d9b addiw s11,a5,-48 + 80005828: fd05061b addiw a2,a0,-48 + 8000582c: 0ff67613 andi a2,a2,255 + 80005830: 00070b93 mv s7,a4 + 80005834: fcc87ae3 bgeu a6,a2,80005808 <_vsnprintf+0x1e8> + 80005838: 02e00793 li a5,46 + 8000583c: 00070613 mv a2,a4 + 80005840: 00288b93 addi s7,a7,2 + 80005844: 00000c93 li s9,0 + 80005848: eef510e3 bne a0,a5,80005728 <_vsnprintf+0x108> + 8000584c: 00164503 lbu a0,1(a2) + 80005850: 4005e593 ori a1,a1,1024 + 80005854: 00900713 li a4,9 + 80005858: fd05079b addiw a5,a0,-48 + 8000585c: 0ff7f793 andi a5,a5,255 + 80005860: 0005859b sext.w a1,a1 + 80005864: 06f77c63 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005868: 02a00793 li a5,42 + 8000586c: 32f50e63 beq a0,a5,80005ba8 <_vsnprintf+0x588> + 80005870: 000b8613 mv a2,s7 + 80005874: 001b8b93 addi s7,s7,1 + 80005878: eb1ff06f j 80005728 <_vsnprintf+0x108> + 8000587c: 000d041b sext.w s0,s10 + 80005880: 018d6463 bltu s10,s8,80005888 <_vsnprintf+0x268> + 80005884: fffc0d13 addi s10,s8,-1 + 80005888: 000c0693 mv a3,s8 + 8000588c: 000d0613 mv a2,s10 + 80005890: 00048593 mv a1,s1 + 80005894: 00000513 li a0,0 + 80005898: 000900e7 jalr s2 + 8000589c: 09813083 ld ra,152(sp) + 800058a0: 00040513 mv a0,s0 + 800058a4: 09013403 ld s0,144(sp) + 800058a8: 08813483 ld s1,136(sp) + 800058ac: 08013903 ld s2,128(sp) + 800058b0: 07813983 ld s3,120(sp) + 800058b4: 07013a03 ld s4,112(sp) + 800058b8: 06813a83 ld s5,104(sp) + 800058bc: 06013b03 ld s6,96(sp) + 800058c0: 05813b83 ld s7,88(sp) + 800058c4: 05013c03 ld s8,80(sp) + 800058c8: 04813c83 ld s9,72(sp) + 800058cc: 04013d03 ld s10,64(sp) + 800058d0: 03813d83 ld s11,56(sp) + 800058d4: 0a010113 addi sp,sp,160 + 800058d8: 00008067 ret + 800058dc: 002c979b slliw a5,s9,0x2 + 800058e0: 019788bb addw a7,a5,s9 + 800058e4: 000b8813 mv a6,s7 + 800058e8: 0018989b slliw a7,a7,0x1 + 800058ec: 001b8b93 addi s7,s7,1 + 800058f0: 00a888bb addw a7,a7,a0 + 800058f4: 000bc503 lbu a0,0(s7) + 800058f8: fd088c9b addiw s9,a7,-48 + 800058fc: fd05079b addiw a5,a0,-48 + 80005900: 0ff7f793 andi a5,a5,255 + 80005904: fcf77ce3 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005908: 000b8613 mv a2,s7 + 8000590c: 00280b93 addi s7,a6,2 + 80005910: e19ff06f j 80005728 <_vsnprintf+0x108> + 80005914: 0009a783 lw a5,0(s3) + 80005918: 00898993 addi s3,s3,8 + 8000591c: 00078d9b sext.w s11,a5 + 80005920: 0007c863 bltz a5,80005930 <_vsnprintf+0x310> + 80005924: 001bc503 lbu a0,1(s7) + 80005928: 002b8b93 addi s7,s7,2 + 8000592c: df1ff06f j 8000571c <_vsnprintf+0xfc> + 80005930: 0025e593 ori a1,a1,2 + 80005934: 001bc503 lbu a0,1(s7) + 80005938: 0005859b sext.w a1,a1 + 8000593c: 40f00dbb negw s11,a5 + 80005940: 002b8b93 addi s7,s7,2 + 80005944: dd9ff06f j 8000571c <_vsnprintf+0xfc> + 80005948: 07800793 li a5,120 + 8000594c: 00898a93 addi s5,s3,8 + 80005950: 56f50063 beq a0,a5,80005eb0 <_vsnprintf+0x890> + 80005954: 05800793 li a5,88 + 80005958: 28f50463 beq a0,a5,80005be0 <_vsnprintf+0x5c0> + 8000595c: 06f00793 li a5,111 + 80005960: 4af50c63 beq a0,a5,80005e18 <_vsnprintf+0x7f8> + 80005964: 06200793 li a5,98 + 80005968: 54f50063 beq a0,a5,80005ea8 <_vsnprintf+0x888> + 8000596c: fef5ff13 andi t5,a1,-17 + 80005970: 4005f793 andi a5,a1,1024 + 80005974: 06900713 li a4,105 + 80005978: 000f0f1b sext.w t5,t5 + 8000597c: 0007879b sext.w a5,a5 + 80005980: 5ae51063 bne a0,a4,80005f20 <_vsnprintf+0x900> + 80005984: 48079663 bnez a5,80005e10 <_vsnprintf+0x7f0> + 80005988: 2005f593 andi a1,a1,512 + 8000598c: 0005879b sext.w a5,a1 + 80005990: 00a00813 li a6,10 + 80005994: 42079663 bnez a5,80005dc0 <_vsnprintf+0x7a0> + 80005998: 100f7793 andi a5,t5,256 + 8000599c: 000f0613 mv a2,t5 + 800059a0: 4e079063 bnez a5,80005e80 <_vsnprintf+0x860> + 800059a4: 040f7713 andi a4,t5,64 + 800059a8: 0009a783 lw a5,0(s3) + 800059ac: 4a071a63 bnez a4,80005e60 <_vsnprintf+0x840> + 800059b0: 08067613 andi a2,a2,128 + 800059b4: 52060a63 beqz a2,80005ee8 <_vsnprintf+0x8c8> + 800059b8: 0107979b slliw a5,a5,0x10 + 800059bc: 4107d79b sraiw a5,a5,0x10 + 800059c0: 40f7d61b sraiw a2,a5,0xf + 800059c4: 00c7c733 xor a4,a5,a2 + 800059c8: 40c7073b subw a4,a4,a2 + 800059cc: 03071713 slli a4,a4,0x30 + 800059d0: 03075713 srli a4,a4,0x30 + 800059d4: 000d0613 mv a2,s10 + 800059d8: 01e13423 sd t5,8(sp) + 800059dc: 01b13023 sd s11,0(sp) + 800059e0: 000c8893 mv a7,s9 + 800059e4: 01f7d79b srliw a5,a5,0x1f + 800059e8: 000c0693 mv a3,s8 + 800059ec: 00048593 mv a1,s1 + 800059f0: 00090513 mv a0,s2 + 800059f4: 96dff0ef jal ra,80005360 <_ntoa_long> + 800059f8: 00050d13 mv s10,a0 + 800059fc: 000a8993 mv s3,s5 + 80005a00: cb5ff06f j 800056b4 <_vsnprintf+0x94> + 80005a04: 00164503 lbu a0,1(a2) + 80005a08: 06c00793 li a5,108 + 80005a0c: def510e3 bne a0,a5,800057ec <_vsnprintf+0x1cc> + 80005a10: 3005e593 ori a1,a1,768 + 80005a14: 00264503 lbu a0,2(a2) + 80005a18: 0005859b sext.w a1,a1 + 80005a1c: 00360b93 addi s7,a2,3 + 80005a20: d9dff06f j 800057bc <_vsnprintf+0x19c> + 80005a24: 00898793 addi a5,s3,8 + 80005a28: 001d0813 addi a6,s10,1 + 80005a2c: 0025f593 andi a1,a1,2 + 80005a30: 02f13023 sd a5,32(sp) + 80005a34: 00080b13 mv s6,a6 + 80005a38: 32058263 beqz a1,80005d5c <_vsnprintf+0x73c> + 80005a3c: 0009c503 lbu a0,0(s3) + 80005a40: 000c0693 mv a3,s8 + 80005a44: 000d0613 mv a2,s10 + 80005a48: 00048593 mv a1,s1 + 80005a4c: 000900e7 jalr s2 + 80005a50: 00100793 li a5,1 + 80005a54: 43b7f063 bgeu a5,s11,80005e74 <_vsnprintf+0x854> + 80005a58: ffed879b addiw a5,s11,-2 + 80005a5c: 02079a93 slli s5,a5,0x20 + 80005a60: 020ada93 srli s5,s5,0x20 + 80005a64: 002d0d13 addi s10,s10,2 + 80005a68: 015d0cb3 add s9,s10,s5 + 80005a6c: 000b0613 mv a2,s6 + 80005a70: 000c0693 mv a3,s8 + 80005a74: 001b0b13 addi s6,s6,1 + 80005a78: 00048593 mv a1,s1 + 80005a7c: 02000513 li a0,32 + 80005a80: 000900e7 jalr s2 + 80005a84: ff6c94e3 bne s9,s6,80005a6c <_vsnprintf+0x44c> + 80005a88: 02013983 ld s3,32(sp) + 80005a8c: 015d0d33 add s10,s10,s5 + 80005a90: c25ff06f j 800056b4 <_vsnprintf+0x94> + 80005a94: 000d0613 mv a2,s10 + 80005a98: 000c0693 mv a3,s8 + 80005a9c: 00048593 mv a1,s1 + 80005aa0: 02500513 li a0,37 + 80005aa4: 001d0d13 addi s10,s10,1 + 80005aa8: 000900e7 jalr s2 + 80005aac: c09ff06f j 800056b4 <_vsnprintf+0x94> + 80005ab0: 0009ba83 ld s5,0(s3) + 80005ab4: 00898793 addi a5,s3,8 + 80005ab8: 02f13023 sd a5,32(sp) + 80005abc: 000ac503 lbu a0,0(s5) + 80005ac0: 100c9663 bnez s9,80005bcc <_vsnprintf+0x5ac> + 80005ac4: ffe00793 li a5,-2 + 80005ac8: 42050863 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005acc: 00178693 addi a3,a5,1 + 80005ad0: 00da8633 add a2,s5,a3 + 80005ad4: 000a8793 mv a5,s5 + 80005ad8: 0080006f j 80005ae0 <_vsnprintf+0x4c0> + 80005adc: 1ec78863 beq a5,a2,80005ccc <_vsnprintf+0x6ac> + 80005ae0: 0017c703 lbu a4,1(a5) + 80005ae4: 00178793 addi a5,a5,1 + 80005ae8: fe071ae3 bnez a4,80005adc <_vsnprintf+0x4bc> + 80005aec: 415787bb subw a5,a5,s5 + 80005af0: 00f13823 sd a5,16(sp) + 80005af4: 4005f793 andi a5,a1,1024 + 80005af8: 0007899b sext.w s3,a5 + 80005afc: 00078c63 beqz a5,80005b14 <_vsnprintf+0x4f4> + 80005b00: 01013703 ld a4,16(sp) + 80005b04: 000c879b sext.w a5,s9 + 80005b08: 01977463 bgeu a4,s9,80005b10 <_vsnprintf+0x4f0> + 80005b0c: 0007079b sext.w a5,a4 + 80005b10: 00f13823 sd a5,16(sp) + 80005b14: 0025f593 andi a1,a1,2 + 80005b18: 0005879b sext.w a5,a1 + 80005b1c: 02f13423 sd a5,40(sp) + 80005b20: 1c058a63 beqz a1,80005cf4 <_vsnprintf+0x6d4> + 80005b24: 14050863 beqz a0,80005c74 <_vsnprintf+0x654> + 80005b28: 000d0613 mv a2,s10 + 80005b2c: 00098863 beqz s3,80005b3c <_vsnprintf+0x51c> + 80005b30: fffc879b addiw a5,s9,-1 + 80005b34: 120c8863 beqz s9,80005c64 <_vsnprintf+0x644> + 80005b38: 00078c93 mv s9,a5 + 80005b3c: 000c0693 mv a3,s8 + 80005b40: 00048593 mv a1,s1 + 80005b44: 00160b13 addi s6,a2,1 + 80005b48: 000900e7 jalr s2 + 80005b4c: 41ab07b3 sub a5,s6,s10 + 80005b50: 00fa87b3 add a5,s5,a5 + 80005b54: 0007c503 lbu a0,0(a5) + 80005b58: 10050863 beqz a0,80005c68 <_vsnprintf+0x648> + 80005b5c: 000b0613 mv a2,s6 + 80005b60: fcdff06f j 80005b2c <_vsnprintf+0x50c> + 80005b64: 0009b703 ld a4,0(s3) + 80005b68: 0215e593 ori a1,a1,33 + 80005b6c: 0005859b sext.w a1,a1 + 80005b70: 01000793 li a5,16 + 80005b74: 000d0613 mv a2,s10 + 80005b78: 00b13423 sd a1,8(sp) + 80005b7c: 00f13023 sd a5,0(sp) + 80005b80: 000c8893 mv a7,s9 + 80005b84: 01000813 li a6,16 + 80005b88: 00000793 li a5,0 + 80005b8c: 000c0693 mv a3,s8 + 80005b90: 00048593 mv a1,s1 + 80005b94: 00090513 mv a0,s2 + 80005b98: 929ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005b9c: 00898993 addi s3,s3,8 + 80005ba0: 00050d13 mv s10,a0 + 80005ba4: b11ff06f j 800056b4 <_vsnprintf+0x94> + 80005ba8: 0009a883 lw a7,0(s3) + 80005bac: 00264503 lbu a0,2(a2) + 80005bb0: 00360b93 addi s7,a2,3 + 80005bb4: fff8c793 not a5,a7 + 80005bb8: 43f7d793 srai a5,a5,0x3f + 80005bbc: 00f8fcb3 and s9,a7,a5 + 80005bc0: 00898993 addi s3,s3,8 + 80005bc4: 00260613 addi a2,a2,2 + 80005bc8: b61ff06f j 80005728 <_vsnprintf+0x108> + 80005bcc: 020c9793 slli a5,s9,0x20 + 80005bd0: 0207d793 srli a5,a5,0x20 + 80005bd4: 32050263 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005bd8: fff78793 addi a5,a5,-1 + 80005bdc: ef1ff06f j 80005acc <_vsnprintf+0x4ac> + 80005be0: ff35ff13 andi t5,a1,-13 + 80005be4: 000f0f1b sext.w t5,t5 + 80005be8: 4005f793 andi a5,a1,1024 + 80005bec: 020f6f13 ori t5,t5,32 + 80005bf0: 20078863 beqz a5,80005e00 <_vsnprintf+0x7e0> + 80005bf4: 01000813 li a6,16 + 80005bf8: ffef7f13 andi t5,t5,-2 + 80005bfc: 000f0f1b sext.w t5,t5 + 80005c00: 200f7793 andi a5,t5,512 + 80005c04: 06900713 li a4,105 + 80005c08: 0007879b sext.w a5,a5 + 80005c0c: d8e504e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c10: 06400713 li a4,100 + 80005c14: d8e500e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c18: 22079663 bnez a5,80005e44 <_vsnprintf+0x824> + 80005c1c: 100f7793 andi a5,t5,256 + 80005c20: 000f0713 mv a4,t5 + 80005c24: 2a079463 bnez a5,80005ecc <_vsnprintf+0x8ac> + 80005c28: 040f7793 andi a5,t5,64 + 80005c2c: 24079063 bnez a5,80005e6c <_vsnprintf+0x84c> + 80005c30: 08077713 andi a4,a4,128 + 80005c34: 2c070663 beqz a4,80005f00 <_vsnprintf+0x8e0> + 80005c38: 0009a703 lw a4,0(s3) + 80005c3c: 01813783 ld a5,24(sp) + 80005c40: 00e7f733 and a4,a5,a4 + 80005c44: 02071713 slli a4,a4,0x20 + 80005c48: 000d0613 mv a2,s10 + 80005c4c: 01e13423 sd t5,8(sp) + 80005c50: 01b13023 sd s11,0(sp) + 80005c54: 000c8893 mv a7,s9 + 80005c58: 00000793 li a5,0 + 80005c5c: 02075713 srli a4,a4,0x20 + 80005c60: d89ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005c64: 00060b13 mv s6,a2 + 80005c68: 02813783 ld a5,40(sp) + 80005c6c: 04078a63 beqz a5,80005cc0 <_vsnprintf+0x6a0> + 80005c70: 000b0d13 mv s10,s6 + 80005c74: 01013703 ld a4,16(sp) + 80005c78: 0db77e63 bgeu a4,s11,80005d54 <_vsnprintf+0x734> + 80005c7c: fffd879b addiw a5,s11,-1 + 80005c80: 40e7873b subw a4,a5,a4 + 80005c84: 02071713 slli a4,a4,0x20 + 80005c88: 02075713 srli a4,a4,0x20 + 80005c8c: 001d0813 addi a6,s10,1 + 80005c90: 01070b33 add s6,a4,a6 + 80005c94: 0080006f j 80005c9c <_vsnprintf+0x67c> + 80005c98: 00180813 addi a6,a6,1 + 80005c9c: 000d0613 mv a2,s10 + 80005ca0: 01013823 sd a6,16(sp) + 80005ca4: 000c0693 mv a3,s8 + 80005ca8: 00048593 mv a1,s1 + 80005cac: 02000513 li a0,32 + 80005cb0: 00080d13 mv s10,a6 + 80005cb4: 000900e7 jalr s2 + 80005cb8: 01013803 ld a6,16(sp) + 80005cbc: fd0b1ee3 bne s6,a6,80005c98 <_vsnprintf+0x678> + 80005cc0: 02013983 ld s3,32(sp) + 80005cc4: 000b0d13 mv s10,s6 + 80005cc8: 9edff06f j 800056b4 <_vsnprintf+0x94> + 80005ccc: 0006879b sext.w a5,a3 + 80005cd0: 00f13823 sd a5,16(sp) + 80005cd4: e21ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005cd8: 0c05e593 ori a1,a1,192 + 80005cdc: 00264503 lbu a0,2(a2) + 80005ce0: 0005859b sext.w a1,a1 + 80005ce4: 00360b93 addi s7,a2,3 + 80005ce8: ad5ff06f j 800057bc <_vsnprintf+0x19c> + 80005cec: 00000413 li s0,0 + 80005cf0: b91ff06f j 80005880 <_vsnprintf+0x260> + 80005cf4: 01013703 ld a4,16(sp) + 80005cf8: 0017079b addiw a5,a4,1 + 80005cfc: 21b77c63 bgeu a4,s11,80005f14 <_vsnprintf+0x8f4> + 80005d00: fffd879b addiw a5,s11,-1 + 80005d04: 40e787bb subw a5,a5,a4 + 80005d08: 02079793 slli a5,a5,0x20 + 80005d0c: 0207d793 srli a5,a5,0x20 + 80005d10: 001d0813 addi a6,s10,1 + 80005d14: 01078b33 add s6,a5,a6 + 80005d18: 00c0006f j 80005d24 <_vsnprintf+0x704> + 80005d1c: 01013803 ld a6,16(sp) + 80005d20: 00180813 addi a6,a6,1 + 80005d24: 000d0613 mv a2,s10 + 80005d28: 000c0693 mv a3,s8 + 80005d2c: 00080d13 mv s10,a6 + 80005d30: 01013823 sd a6,16(sp) + 80005d34: 00048593 mv a1,s1 + 80005d38: 02000513 li a0,32 + 80005d3c: 000900e7 jalr s2 + 80005d40: fd6d1ee3 bne s10,s6,80005d1c <_vsnprintf+0x6fc> + 80005d44: 000ac503 lbu a0,0(s5) + 80005d48: 001d879b addiw a5,s11,1 + 80005d4c: 00f13823 sd a5,16(sp) + 80005d50: dc051ce3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005d54: 000d0b13 mv s6,s10 + 80005d58: f69ff06f j 80005cc0 <_vsnprintf+0x6a0> + 80005d5c: 00100793 li a5,1 + 80005d60: 1bb7f463 bgeu a5,s11,80005f08 <_vsnprintf+0x8e8> + 80005d64: ffed879b addiw a5,s11,-2 + 80005d68: 02079a93 slli s5,a5,0x20 + 80005d6c: 020ada93 srli s5,s5,0x20 + 80005d70: 010a8ab3 add s5,s5,a6 + 80005d74: 00c0006f j 80005d80 <_vsnprintf+0x760> + 80005d78: 01013803 ld a6,16(sp) + 80005d7c: 00180813 addi a6,a6,1 + 80005d80: 000d0613 mv a2,s10 + 80005d84: 000c0693 mv a3,s8 + 80005d88: 00080d13 mv s10,a6 + 80005d8c: 01013823 sd a6,16(sp) + 80005d90: 00048593 mv a1,s1 + 80005d94: 02000513 li a0,32 + 80005d98: 000900e7 jalr s2 + 80005d9c: fdaa9ee3 bne s5,s10,80005d78 <_vsnprintf+0x758> + 80005da0: 001a8d13 addi s10,s5,1 + 80005da4: 0009c503 lbu a0,0(s3) + 80005da8: 000c0693 mv a3,s8 + 80005dac: 000a8613 mv a2,s5 + 80005db0: 00048593 mv a1,s1 + 80005db4: 000900e7 jalr s2 + 80005db8: 02013983 ld s3,32(sp) + 80005dbc: 8f9ff06f j 800056b4 <_vsnprintf+0x94> + 80005dc0: 0009b783 ld a5,0(s3) + 80005dc4: 000d0613 mv a2,s10 + 80005dc8: 01e13423 sd t5,8(sp) + 80005dcc: 43f7d713 srai a4,a5,0x3f + 80005dd0: 00f745b3 xor a1,a4,a5 + 80005dd4: 01b13023 sd s11,0(sp) + 80005dd8: 000c8893 mv a7,s9 + 80005ddc: 03f7d793 srli a5,a5,0x3f + 80005de0: 40e58733 sub a4,a1,a4 + 80005de4: 000c0693 mv a3,s8 + 80005de8: 00048593 mv a1,s1 + 80005dec: 00090513 mv a0,s2 + 80005df0: ed0ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005df4: 00050d13 mv s10,a0 + 80005df8: 000a8993 mv s3,s5 + 80005dfc: 8b9ff06f j 800056b4 <_vsnprintf+0x94> + 80005e00: 2005f793 andi a5,a1,512 + 80005e04: 0007879b sext.w a5,a5 + 80005e08: 01000813 li a6,16 + 80005e0c: e0dff06f j 80005c18 <_vsnprintf+0x5f8> + 80005e10: 00a00813 li a6,10 + 80005e14: de5ff06f j 80005bf8 <_vsnprintf+0x5d8> + 80005e18: 00800813 li a6,8 + 80005e1c: 00058f13 mv t5,a1 + 80005e20: 400f7713 andi a4,t5,1024 + 80005e24: 06400613 li a2,100 + 80005e28: 000f0793 mv a5,t5 + 80005e2c: 0007071b sext.w a4,a4 + 80005e30: 0ec51c63 bne a0,a2,80005f28 <_vsnprintf+0x908> + 80005e34: dc0712e3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005e38: 2007f793 andi a5,a5,512 + 80005e3c: 0007879b sext.w a5,a5 + 80005e40: b55ff06f j 80005994 <_vsnprintf+0x374> + 80005e44: 0009b703 ld a4,0(s3) + 80005e48: 000d0613 mv a2,s10 + 80005e4c: 01e13423 sd t5,8(sp) + 80005e50: 01b13023 sd s11,0(sp) + 80005e54: 000c8893 mv a7,s9 + 80005e58: 00000793 li a5,0 + 80005e5c: f89ff06f j 80005de4 <_vsnprintf+0x7c4> + 80005e60: 0ff7f793 andi a5,a5,255 + 80005e64: 00078713 mv a4,a5 + 80005e68: b6dff06f j 800059d4 <_vsnprintf+0x3b4> + 80005e6c: 0009c703 lbu a4,0(s3) + 80005e70: dd5ff06f j 80005c44 <_vsnprintf+0x624> + 80005e74: 02013983 ld s3,32(sp) + 80005e78: 000b0d13 mv s10,s6 + 80005e7c: 839ff06f j 800056b4 <_vsnprintf+0x94> + 80005e80: 0009b783 ld a5,0(s3) + 80005e84: 000d0613 mv a2,s10 + 80005e88: 01e13423 sd t5,8(sp) + 80005e8c: 43f7d713 srai a4,a5,0x3f + 80005e90: 00f745b3 xor a1,a4,a5 + 80005e94: 01b13023 sd s11,0(sp) + 80005e98: 000c8893 mv a7,s9 + 80005e9c: 03f7d793 srli a5,a5,0x3f + 80005ea0: 40e58733 sub a4,a1,a4 + 80005ea4: b45ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ea8: 00200813 li a6,2 + 80005eac: f71ff06f j 80005e1c <_vsnprintf+0x7fc> + 80005eb0: 4005f713 andi a4,a1,1024 + 80005eb4: 0007071b sext.w a4,a4 + 80005eb8: 01000813 li a6,16 + 80005ebc: ff35f593 andi a1,a1,-13 + 80005ec0: 00058f1b sext.w t5,a1 + 80005ec4: d2071ae3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005ec8: d39ff06f j 80005c00 <_vsnprintf+0x5e0> + 80005ecc: 0009b703 ld a4,0(s3) + 80005ed0: 000d0613 mv a2,s10 + 80005ed4: 01e13423 sd t5,8(sp) + 80005ed8: 01b13023 sd s11,0(sp) + 80005edc: 000c8893 mv a7,s9 + 80005ee0: 00000793 li a5,0 + 80005ee4: b05ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ee8: 41f7d61b sraiw a2,a5,0x1f + 80005eec: 00c7c733 xor a4,a5,a2 + 80005ef0: 40c7073b subw a4,a4,a2 + 80005ef4: ae1ff06f j 800059d4 <_vsnprintf+0x3b4> + 80005ef8: 00013823 sd zero,16(sp) + 80005efc: bf9ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005f00: 0009a703 lw a4,0(s3) + 80005f04: d41ff06f j 80005c44 <_vsnprintf+0x624> + 80005f08: 000d0a93 mv s5,s10 + 80005f0c: 00080d13 mv s10,a6 + 80005f10: e95ff06f j 80005da4 <_vsnprintf+0x784> + 80005f14: 00f13823 sd a5,16(sp) + 80005f18: c00518e3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005f1c: e39ff06f j 80005d54 <_vsnprintf+0x734> + 80005f20: 00a00813 li a6,10 + 80005f24: efdff06f j 80005e20 <_vsnprintf+0x800> + 80005f28: 000f0593 mv a1,t5 + 80005f2c: f91ff06f j 80005ebc <_vsnprintf+0x89c> + +0000000080005f30 <_out_char>: + 80005f30: 00051463 bnez a0,80005f38 <_out_char+0x8> + 80005f34: 00008067 ret + 80005f38: 951fe06f j 80004888 + +0000000080005f3c : + 80005f3c: fa010113 addi sp,sp,-96 + 80005f40: 02810313 addi t1,sp,40 + 80005f44: 02b13423 sd a1,40(sp) + 80005f48: 02c13823 sd a2,48(sp) + 80005f4c: 02d13c23 sd a3,56(sp) + 80005f50: 04e13023 sd a4,64(sp) + 80005f54: 00050693 mv a3,a0 + 80005f58: 00010593 mv a1,sp + 80005f5c: 00030713 mv a4,t1 + 80005f60: fff00613 li a2,-1 + 80005f64: 00000517 auipc a0,0x0 + 80005f68: fcc50513 addi a0,a0,-52 # 80005f30 <_out_char> + 80005f6c: 00113c23 sd ra,24(sp) + 80005f70: 04f13423 sd a5,72(sp) + 80005f74: 05013823 sd a6,80(sp) + 80005f78: 05113c23 sd a7,88(sp) + 80005f7c: 00613423 sd t1,8(sp) + 80005f80: ea0ff0ef jal ra,80005620 <_vsnprintf> + 80005f84: 01813083 ld ra,24(sp) + 80005f88: 06010113 addi sp,sp,96 + 80005f8c: 00008067 ret + +0000000080005f90 : + 80005f90: fb010113 addi sp,sp,-80 + 80005f94: 02010313 addi t1,sp,32 + 80005f98: 02c13023 sd a2,32(sp) + 80005f9c: 02d13423 sd a3,40(sp) + 80005fa0: 02e13823 sd a4,48(sp) + 80005fa4: 00058693 mv a3,a1 + 80005fa8: 00030713 mv a4,t1 + 80005fac: 00050593 mv a1,a0 + 80005fb0: fff00613 li a2,-1 + 80005fb4: fffff517 auipc a0,0xfffff + 80005fb8: f0050513 addi a0,a0,-256 # 80004eb4 <_out_buffer> + 80005fbc: 00113c23 sd ra,24(sp) + 80005fc0: 02f13c23 sd a5,56(sp) + 80005fc4: 05013023 sd a6,64(sp) + 80005fc8: 05113423 sd a7,72(sp) + 80005fcc: 00613423 sd t1,8(sp) + 80005fd0: e50ff0ef jal ra,80005620 <_vsnprintf> + 80005fd4: 01813083 ld ra,24(sp) + 80005fd8: 05010113 addi sp,sp,80 + 80005fdc: 00008067 ret + +0000000080005fe0 : + 80005fe0: 00700713 li a4,7 + 80005fe4: 00050793 mv a5,a0 + 80005fe8: 0ac77a63 bgeu a4,a2,8000609c + 80005fec: 0ff5f893 andi a7,a1,255 + 80005ff0: 00889693 slli a3,a7,0x8 + 80005ff4: 0116e6b3 or a3,a3,a7 + 80005ff8: 01069713 slli a4,a3,0x10 + 80005ffc: 00d766b3 or a3,a4,a3 + 80006000: 02069713 slli a4,a3,0x20 + 80006004: 00757813 andi a6,a0,7 + 80006008: 00d76733 or a4,a4,a3 + 8000600c: 0a080663 beqz a6,800060b8 + 80006010: 00c50633 add a2,a0,a2 + 80006014: 01178023 sb a7,0(a5) + 80006018: 00178793 addi a5,a5,1 + 8000601c: 0077f813 andi a6,a5,7 + 80006020: 40f606b3 sub a3,a2,a5 + 80006024: fe0818e3 bnez a6,80006014 + 80006028: 0066d813 srli a6,a3,0x6 + 8000602c: 04080063 beqz a6,8000606c + 80006030: 00681613 slli a2,a6,0x6 + 80006034: 00078893 mv a7,a5 + 80006038: 00f60633 add a2,a2,a5 + 8000603c: 00e7b023 sd a4,0(a5) + 80006040: 00e7b423 sd a4,8(a5) + 80006044: 00e7b823 sd a4,16(a5) + 80006048: 00e7bc23 sd a4,24(a5) + 8000604c: 02e7b023 sd a4,32(a5) + 80006050: 02e7b423 sd a4,40(a5) + 80006054: 02e7b823 sd a4,48(a5) + 80006058: 02e7bc23 sd a4,56(a5) + 8000605c: 04078793 addi a5,a5,64 + 80006060: fcf61ee3 bne a2,a5,8000603c + 80006064: 00681793 slli a5,a6,0x6 + 80006068: 011787b3 add a5,a5,a7 + 8000606c: 0036d813 srli a6,a3,0x3 + 80006070: 00787813 andi a6,a6,7 + 80006074: 02080263 beqz a6,80006098 + 80006078: 00381613 slli a2,a6,0x3 + 8000607c: 00078893 mv a7,a5 + 80006080: 00f60633 add a2,a2,a5 + 80006084: 00e7b023 sd a4,0(a5) + 80006088: 00878793 addi a5,a5,8 + 8000608c: fef61ce3 bne a2,a5,80006084 + 80006090: 00381793 slli a5,a6,0x3 + 80006094: 011787b3 add a5,a5,a7 + 80006098: 0076f613 andi a2,a3,7 + 8000609c: 0ff5f593 andi a1,a1,255 + 800060a0: 00c78733 add a4,a5,a2 + 800060a4: 00060863 beqz a2,800060b4 + 800060a8: 00b78023 sb a1,0(a5) + 800060ac: 00178793 addi a5,a5,1 + 800060b0: fef71ce3 bne a4,a5,800060a8 + 800060b4: 00008067 ret + 800060b8: 00060693 mv a3,a2 + 800060bc: f6dff06f j 80006028 + +00000000800060c0 : + 800060c0: 1a050e63 beqz a0,8000627c + 800060c4: fd010113 addi sp,sp,-48 + 800060c8: 02813023 sd s0,32(sp) + 800060cc: 00913c23 sd s1,24(sp) + 800060d0: 01f57793 andi a5,a0,31 + 800060d4: 02113423 sd ra,40(sp) + 800060d8: 01213823 sd s2,16(sp) + 800060dc: 01313423 sd s3,8(sp) + 800060e0: 01413023 sd s4,0(sp) + 800060e4: 00050493 mv s1,a0 + 800060e8: 00050413 mv s0,a0 + 800060ec: 00000513 li a0,0 + 800060f0: 14079a63 bnez a5,80006244 + 800060f4: 27f00713 li a4,639 + 800060f8: 00058913 mv s2,a1 + 800060fc: 00078513 mv a0,a5 + 80006100: 14b77263 bgeu a4,a1,80006244 + 80006104: 0074f513 andi a0,s1,7 + 80006108: 00153513 seqz a0,a0 + 8000610c: 00060a13 mv s4,a2 + 80006110: 00068993 mv s3,a3 + 80006114: f8cfe0ef jal ra,800048a0 <_assert> + 80006118: 20048793 addi a5,s1,512 + 8000611c: 2004b023 sd zero,512(s1) + 80006120: 2144b423 sd s4,520(s1) + 80006124: 2134b823 sd s3,528(s1) + 80006128: 00043023 sd zero,0(s0) + 8000612c: 00840413 addi s0,s0,8 + 80006130: fe879ce3 bne a5,s0,80006128 + 80006134: fff00793 li a5,-1 + 80006138: dc090413 addi s0,s2,-576 + 8000613c: 03f79793 slli a5,a5,0x3f + 80006140: 1287f863 bgeu a5,s0,80006270 + 80006144: 00078413 mv s0,a5 + 80006148: 00100513 li a0,1 + 8000614c: f54fe0ef jal ra,800048a0 <_assert> + 80006150: f8300793 li a5,-125 + 80006154: 0017d793 srli a5,a5,0x1 + 80006158: fc040513 addi a0,s0,-64 + 8000615c: 00f53533 sltu a0,a0,a5 + 80006160: f40fe0ef jal ra,800048a0 <_assert> + 80006164: 01f4f513 andi a0,s1,31 + 80006168: 00153513 seqz a0,a0 + 8000616c: f34fe0ef jal ra,800048a0 <_assert> + 80006170: 00100513 li a0,1 + 80006174: 2404b023 sd zero,576(s1) + 80006178: 2404b423 sd zero,584(s1) + 8000617c: 2484b823 sd s0,592(s1) + 80006180: 24048c23 sb zero,600(s1) + 80006184: 2604b023 sd zero,608(s1) + 80006188: 2604b423 sd zero,616(s1) + 8000618c: f14fe0ef jal ra,800048a0 <_assert> + 80006190: 00100513 li a0,1 + 80006194: f0cfe0ef jal ra,800048a0 <_assert> + 80006198: 2504b503 ld a0,592(s1) + 8000619c: 24048a13 addi s4,s1,576 + 800061a0: 04053513 sltiu a0,a0,64 + 800061a4: 00154513 xori a0,a0,1 + 800061a8: 00157513 andi a0,a0,1 + 800061ac: ef4fe0ef jal ra,800048a0 <_assert> + 800061b0: 2504b503 ld a0,592(s1) + 800061b4: 03f57513 andi a0,a0,63 + 800061b8: 00153513 seqz a0,a0 + 800061bc: ee4fe0ef jal ra,800048a0 <_assert> + 800061c0: 2504b703 ld a4,592(s1) + 800061c4: 00100793 li a5,1 + 800061c8: 00675713 srli a4,a4,0x6 + 800061cc: 0ae7fc63 bgeu a5,a4,80006284 + 800061d0: 00000793 li a5,0 + 800061d4: 00100693 li a3,1 + 800061d8: 0017879b addiw a5,a5,1 + 800061dc: 00175713 srli a4,a4,0x1 + 800061e0: 0ff7f793 andi a5,a5,255 + 800061e4: fed71ae3 bne a4,a3,800061d8 + 800061e8: 00078993 mv s3,a5 + 800061ec: 0407b513 sltiu a0,a5,64 + 800061f0: 00f71933 sll s2,a4,a5 + 800061f4: eacfe0ef jal ra,800048a0 <_assert> + 800061f8: 00399793 slli a5,s3,0x3 + 800061fc: 00f487b3 add a5,s1,a5 + 80006200: 0007b703 ld a4,0(a5) + 80006204: 2604b423 sd zero,616(s1) + 80006208: 26e4b023 sd a4,608(s1) + 8000620c: 00070463 beqz a4,80006214 + 80006210: 03473423 sd s4,40(a4) + 80006214: 0147b023 sd s4,0(a5) + 80006218: 2004b503 ld a0,512(s1) + 8000621c: 00a96533 or a0,s2,a0 + 80006220: 20a4b023 sd a0,512(s1) + 80006224: 00a03533 snez a0,a0 + 80006228: e78fe0ef jal ra,800048a0 <_assert> + 8000622c: 00048513 mv a0,s1 + 80006230: 2084bc23 sd s0,536(s1) + 80006234: 2204b023 sd zero,544(s1) + 80006238: 2204b423 sd zero,552(s1) + 8000623c: 2204b823 sd zero,560(s1) + 80006240: 2204bc23 sd zero,568(s1) + 80006244: 02813083 ld ra,40(sp) + 80006248: 02013403 ld s0,32(sp) + 8000624c: 01813483 ld s1,24(sp) + 80006250: 01013903 ld s2,16(sp) + 80006254: 00813983 ld s3,8(sp) + 80006258: 00013a03 ld s4,0(sp) + 8000625c: 03010113 addi sp,sp,48 + 80006260: 00008067 ret + 80006264: 00100513 li a0,1 + 80006268: fff40413 addi s0,s0,-1 + 8000626c: e34fe0ef jal ra,800048a0 <_assert> + 80006270: 03f47793 andi a5,s0,63 + 80006274: fe0798e3 bnez a5,80006264 + 80006278: ed1ff06f j 80006148 + 8000627c: 00000513 li a0,0 + 80006280: 00008067 ret + 80006284: 00100913 li s2,1 + 80006288: 00000993 li s3,0 + 8000628c: 00100513 li a0,1 + 80006290: f65ff06f j 800061f4 diff --git a/bin/custom-output/benchmark/microbench/microbench-train.bin b/bin/custom-output/benchmark/microbench/microbench-train.bin new file mode 100755 index 0000000..25b6959 Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-train.bin differ diff --git a/bin/custom-output/benchmark/microbench/microbench-train.elf b/bin/custom-output/benchmark/microbench/microbench-train.elf new file mode 100755 index 0000000..c84a36c Binary files /dev/null and b/bin/custom-output/benchmark/microbench/microbench-train.elf differ diff --git a/bin/custom-output/benchmark/microbench/microbench-train.txt b/bin/custom-output/benchmark/microbench/microbench-train.txt new file mode 100755 index 0000000..4f82cea --- /dev/null +++ b/bin/custom-output/benchmark/microbench/microbench-train.txt @@ -0,0 +1,6486 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0ad040ef jal ra,800048b8 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 119040ef jal ra,80004948 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 93c48493 addi s1,s1,-1732 # 80007998 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 29058593 addi a1,a1,656 # 800062f8 <_etext+0x64> + 80000070: 00048513 mv a0,s1 + 80000074: 71d050ef jal ra,80005f90 + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 101040ef jal ra,80004990 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 0a1040ef jal ra,80004948 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 8cc50513 addi a0,a0,-1844 # 80007998 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 1b858593 addi a1,a1,440 # 80006298 <_etext+0x4> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 1f050513 addi a0,a0,496 # 800062d8 <_etext+0x44> + 800000f0: 64d050ef jal ra,80005f3c + 800000f4: 00100513 li a0,1 + 800000f8: 79c040ef jal ra,80004894 + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 29058593 addi a1,a1,656 # 800063c8 <_etext+0x134> + 80000140: 00050413 mv s0,a0 + 80000144: 50d040ef jal ra,80004e50 + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 1f458593 addi a1,a1,500 # 80006340 <_etext+0xac> + 80000154: 00040513 mv a0,s0 + 80000158: 4f9040ef jal ra,80004e50 + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 1e458593 addi a1,a1,484 # 80006348 <_etext+0xb4> + 8000016c: 00040513 mv a0,s0 + 80000170: 4e1040ef jal ra,80004e50 + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 105040ef jal ra,80004a84 + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 21050513 addi a0,a0,528 # 80006398 <_etext+0x104> + 80000190: 5ad050ef jal ra,80005f3c + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 13d040ef jal ra,80004ad8 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: c4cc0c13 addi s8,s8,-948 # 80006df0 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 2d4b8b93 addi s7,s7,724 # 80007480 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 8f048493 addi s1,s1,-1808 # 80007ac8 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 8f0a8a93 addi s5,s5,-1808 # 80007ad0 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 39c40413 addi s0,s0,924 # 80007588 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 1dca0a13 addi s4,s4,476 # 800063d0 <_etext+0x13c> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 1e4d0d13 addi s10,s10,484 # 800063e0 <_etext+0x14c> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 1f4c8c93 addi s9,s9,500 # 800063f8 <_etext+0x164> + 8000020c: 01c0006f j 80000228 + 80000210: 52d050ef jal ra,80005f3c + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 51d050ef jal ra,80005f3c + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 4e5050ef jal ra,80005f3c + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 75478793 addi a5,a5,1876 # 800079b8 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 051040ef jal ra,80004ad8 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 035040ef jal ra,80004ad8 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 14450513 addi a0,a0,324 # 80006408 <_etext+0x174> + 800002cc: 471050ef jal ra,80005f3c + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 13450513 addi a0,a0,308 # 80006410 <_etext+0x17c> + 800002e4: 459050ef jal ra,80005f3c + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 628040ef jal ra,80004948 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 16850513 addi a0,a0,360 # 800064a0 <_etext+0x20c> + 80000340: 3fd050ef jal ra,80005f3c + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 778040ef jal ra,80004ad8 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 0dc50513 addi a0,a0,220 # 80006448 <_etext+0x1b4> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 3c5050ef jal ra,80005f3c + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: f8858593 addi a1,a1,-120 # 80006308 <_etext+0x74> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 0f450513 addi a0,a0,244 # 80006480 <_etext+0x1ec> + 80000394: 3a9050ef jal ra,80005f3c + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 59c040ef jal ra,80004948 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 0dc50513 addi a0,a0,220 # 80006490 <_etext+0x1fc> + 800003bc: 381050ef jal ra,80005f3c + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 0e460613 addi a2,a2,228 # 800064a8 <_etext+0x214> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 0f050513 addi a0,a0,240 # 800064c0 <_etext+0x22c> + 800003d8: 365050ef jal ra,80005f3c + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 10050513 addi a0,a0,256 # 800064e8 <_etext+0x254> + 800003f0: 34d050ef jal ra,80005f3c + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 10050513 addi a0,a0,256 # 80006500 <_etext+0x26c> + 80000408: 335050ef jal ra,80005f3c + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: ecc50513 addi a0,a0,-308 # 80006318 <_etext+0x84> + 80000454: 2e9050ef jal ra,80005f3c + 80000458: 00006417 auipc s0,0x6 + 8000045c: ea840413 addi s0,s0,-344 # 80006300 <_etext+0x6c> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: fbc50513 addi a0,a0,-68 # 80006430 <_etext+0x19c> + 8000047c: 2c1050ef jal ra,80005f3c + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: 09050513 addi a0,a0,144 # 80006518 <_etext+0x284> + 80000490: 2ad050ef jal ra,80005f3c + 80000494: 00006517 auipc a0,0x6 + 80000498: f8c50513 addi a0,a0,-116 # 80006420 <_etext+0x18c> + 8000049c: 2a1050ef jal ra,80005f3c + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: e6458593 addi a1,a1,-412 # 80006310 <_etext+0x7c> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: fe850513 addi a0,a0,-24 # 800064a0 <_etext+0x20c> + 800004c0: 27d050ef jal ra,80005f3c + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: e3858593 addi a1,a1,-456 # 80006300 <_etext+0x6c> + 800004d0: 00040513 mv a0,s0 + 800004d4: 17d040ef jal ra,80004e50 + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: e6c58593 addi a1,a1,-404 # 80006350 <_etext+0xbc> + 800004ec: 00040513 mv a0,s0 + 800004f0: 161040ef jal ra,80004e50 + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: e5450513 addi a0,a0,-428 # 80006358 <_etext+0xc4> + 8000050c: 231050ef jal ra,80005f3c + 80000510: 00100513 li a0,1 + 80000514: 380040ef jal ra,80004894 + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 4a058593 addi a1,a1,1184 # 800079b8 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: 06078793 addi a5,a5,96 # 80007588 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 5687b783 ld a5,1384(a5) # 80007ad0 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: d0858593 addi a1,a1,-760 # 80006298 <_etext+0x4> + 80000598: 00006517 auipc a0,0x6 + 8000059c: d4050513 addi a0,a0,-704 # 800062d8 <_etext+0x44> + 800005a0: 19d050ef jal ra,80005f3c + 800005a4: 00100513 li a0,1 + 800005a8: 2ec040ef jal ra,80004894 + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: eca7a223 sw a0,-316(a5) # 80007480 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: eb868693 addi a3,a3,-328 # 80007480 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 3007b783 ld a5,768(a5) # 80007ad0 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 1e090913 addi s2,s2,480 # 800079c8 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 18c98993 addi s3,s3,396 # 800079c0 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 080040ef jal ra,800048dc <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 05c040ef jal ra,80004990 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 779030ef jal ra,80004990 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6c1030ef jal ra,80004990 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: e0872703 lw a4,-504(a4) # 800079c8 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: df843403 ld s0,-520(s0) # 800079c0 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 9107ac23 sw a6,-1768(a5) # 800079cc <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 98c7b783 ld a5,-1652(a5) # 80007ad0 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00007797 auipc a5,0x7 + 80001154: 87c7a783 lw a5,-1924(a5) # 800079cc <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 648030ef jal ra,80004940 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 638030ef jal ra,80004940 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 59c030ef jal ra,80004940 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5f0030ef jal ra,800049c4 <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a8030ef jal ra,80004940 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 510030ef jal ra,800049c4 <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 32c030ef jal ra,80004940 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 2447b783 ld a5,580(a5) # 80007ad0 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 12c90913 addi s2,s2,300 # 800079d0 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 11098993 addi s3,s3,272 # 800079d8 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 0ea73623 sd a0,236(a4) # 800079e0 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 070030ef jal ra,80004990 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: 07862603 lw a2,120(a2) # 800079d0 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: 0805b583 ld a1,128(a1) # 800079e0 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: 07053503 ld a0,112(a0) # 800079d8 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: 05c5a583 lw a1,92(a1) # 800079d0 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: 06453503 ld a0,100(a0) # 800079e0 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 1387b783 ld a5,312(a5) # 80007ad0 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 1107b783 ld a5,272(a5) # 80007ad0 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: 01c7a783 lw a5,28(a5) # 800079e8 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 719020ef jal ra,80004990 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 5e458593 addi a1,a1,1508 # 80006648 <_etext+0x3b4> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 26c50513 addi a0,a0,620 # 800062d8 <_etext+0x44> + 80002074: 6c9030ef jal ra,80005f3c + 80002078: 00100513 li a0,1 + 8000207c: 019020ef jal ra,80004894 + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 9147b783 ld a5,-1772(a5) # 80007ad0 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 32458593 addi a1,a1,804 # 80007508 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 19058593 addi a1,a1,400 # 80007488 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 32458593 addi a1,a1,804 # 80006688 <_etext+0x3f4> + 8000236c: 00004517 auipc a0,0x4 + 80002370: f6c50513 addi a0,a0,-148 # 800062d8 <_etext+0x44> + 80002374: 3c9030ef jal ra,80005f3c + 80002378: 00100513 li a0,1 + 8000237c: 518020ef jal ra,80004894 + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 5c470713 addi a4,a4,1476 # 800079e8 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 93058593 addi a1,a1,-1744 # 80007548 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00005597 auipc a1,0x5 + 80002c88: 84458593 addi a1,a1,-1980 # 800074c8 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3d5010ef jal ra,800048dc <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: cdc78793 addi a5,a5,-804 # 800079e8 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 91458593 addi a1,a1,-1772 # 80006648 <_etext+0x3b4> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 59c50513 addi a0,a0,1436 # 800062d8 <_etext+0x44> + 80002d44: 1f8030ef jal ra,80005f3c + 80002d48: 00100513 li a0,1 + 80002d4c: 349010ef jal ra,80004894 + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: d807b783 ld a5,-640(a5) # 80007ad0 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: c8490913 addi s2,s2,-892 # 800079ec + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: c6f73623 sd a5,-916(a4) # 80007a08 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: c4c98993 addi s3,s3,-948 # 800079f0 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: c2a73a23 sd a0,-972(a4) # 800079f8 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a9010ef jal ra,80004990 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: be06b683 ld a3,-1056(a3) # 80007a08 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: bbc62603 lw a2,-1092(a2) # 800079ec + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: bc05b583 ld a1,-1088(a1) # 800079f8 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: bb053503 ld a0,-1104(a0) # 800079f0 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: baa7a623 sw a0,-1108(a5) # 80007a00 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: b9453503 ld a0,-1132(a0) # 800079f8 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: b945a583 lw a1,-1132(a1) # 80007a00 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: c4c7b783 ld a5,-948(a5) # 80007ad0 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00004597 auipc a1,0x4 + 80002eb4: 82058593 addi a1,a1,-2016 # 800066d0 <_etext+0x43c> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 42050513 addi a0,a0,1056 # 800062d8 <_etext+0x44> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 078030ef jal ra,80005f3c + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c9010ef jal ra,80004894 + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 5487b783 ld a5,1352(a5) # 80007ad0 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 47090913 addi s2,s2,1136 # 80007a10 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 4607a623 sw zero,1132(a5) # 80007a20 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 44f73223 sd a5,1092(a4) # 80007a18 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 44f73023 sd a5,1088(a4) # 80007a28 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 44a73023 sd a0,1088(a4) # 80007a38 + 80003600: 00004997 auipc s3,0x4 + 80003604: 44098993 addi s3,s3,1088 # 80007a40 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 10c78793 addi a5,a5,268 # 80006718 <_etext+0x484> + 80003614: 00004717 auipc a4,0x4 + 80003618: 40f73e23 sd a5,1052(a4) # 80007a30 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 40f73c23 sd a5,1048(a4) # 80007a50 + 80003640: 00004797 auipc a5,0x4 + 80003644: 4007a423 sw zero,1032(a5) # 80007a48 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 178a0a13 addi s4,s4,376 # 800067d0 <_etext+0x53c> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 320010ef jal ra,80004990 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 37030313 addi t1,t1,880 # 80007a30 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 32c50513 addi a0,a0,812 # 80007a20 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 3186b683 ld a3,792(a3) # 80007a18 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 31c8b883 ld a7,796(a7) # 80007a28 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 0e880813 addi a6,a6,232 # 80006810 <_etext+0x57c> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 24ce8e93 addi t4,t4,588 # 80007a40 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 24ce0e13 addi t3,t3,588 # 80007a48 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 22c5b583 ld a1,556(a1) # 80007a38 + 80003814: 00004397 auipc t2,0x4 + 80003818: 23c3b383 ld t2,572(t2) # 80007a50 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: 0a888893 addi a7,a7,168 # 800068dc <_etext+0x648> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: fa07a823 sw zero,-80(a5) # 80007a20 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: fa440413 addi s0,s0,-92 # 80007a48 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: fa053503 ld a0,-96(a0) # 80007a50 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: f4872703 lw a4,-184(a4) # 80007a10 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: fe873703 ld a4,-24(a4) # 80007ad0 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: fc07b783 ld a5,-64(a5) # 80007ad0 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: f2f72a23 sw a5,-204(a4) # 80007a60 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 5a5000ef jal ra,800048dc <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: f0f73423 sd a5,-248(a4) # 80007a58 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: f0f73223 sd a5,-252(a4) # 80007a68 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: eef73c23 sd a5,-264(a4) # 80007a70 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: eea7b623 sd a0,-276(a5) # 80007a78 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: ec87b783 ld a5,-312(a5) # 80007a68 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: ec47b783 ld a5,-316(a5) # 80007a70 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: e7842403 lw s0,-392(s0) # 80007a60 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: e5cf3f03 ld t5,-420(t5) # 80007a58 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: df86b683 ld a3,-520(a3) # 80007a78 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3cd000ef jal ra,800048dc <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2bd000ef jal ra,800048dc <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: bbc52503 lw a0,-1092(a0) # 80007a60 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 21d000ef jal ra,800048dc <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: ba453503 ld a0,-1116(a0) # 80007a70 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: bf87b783 ld a5,-1032(a5) # 80007ad0 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: b647a783 lw a5,-1180(a5) # 80007a80 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: b2c7b783 ld a5,-1236(a5) # 80007ad0 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: ac07aa23 sw zero,-1324(a5) # 80007a84 + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: aaf72e23 sw a5,-1348(a4) # 80007a80 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: a8a7ac23 sw a0,-1384(a5) # 80007a84 + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: ad47b783 ld a5,-1324(a5) # 80007ad0 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: a7c7a783 lw a5,-1412(a5) # 80007a84 + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 9947b783 ld a5,-1644(a5) # 80007ad0 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 94090913 addi s2,s2,-1728 # 80007a88 + 80004150: 00004997 auipc s3,0x4 + 80004154: 94098993 addi s3,s3,-1728 # 80007a90 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 8c462603 lw a2,-1852(a2) # 80007a88 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 8c053503 ld a0,-1856(a0) # 80007a90 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00004597 auipc a1,0x4 + 800041e0: 8ac5a583 lw a1,-1876(a1) # 80007a88 + 800041e4: 00004517 auipc a0,0x4 + 800041e8: 8ac53503 ld a0,-1876(a0) # 80007a90 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8d07b783 ld a5,-1840(a5) # 80007ad0 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00004797 auipc a5,0x4 + 80004228: 8ac7b783 ld a5,-1876(a5) # 80007ad0 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00004497 auipc s1,0x4 + 80004240: 85c48493 addi s1,s1,-1956 # 80007a98 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00004917 auipc s2,0x4 + 80004260: 84c90913 addi s2,s2,-1972 # 80007aa8 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 7ea7bc23 sd a0,2040(a5) # 80007aa0 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7d86a683 lw a3,2008(a3) # 80007a98 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 7d8fbf83 ld t6,2008(t6) # 80007aa8 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 79073703 ld a4,1936(a4) # 80007aa0 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 4d8a0a13 addi s4,s4,1240 # 80006904 + 80004434: 00002997 auipc s3,0x2 + 80004438: 5d098993 addi s3,s3,1488 # 80006a04 + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 48053503 ld a0,1152(a0) # 80007aa0 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 4987b783 ld a5,1176(a5) # 80007ad0 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 4747b783 ld a5,1140(a5) # 80007ad0 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 43040413 addi s0,s0,1072 # 80007ab0 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 40a73e23 sd a0,1052(a4) # 80007ab8 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 3b44a483 lw s1,948(s1) # 80007ab0 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 3b060613 addi a2,a2,944 # 80007ab8 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 16c000ef jal ra,800048dc <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 104000ef jal ra,800048dc <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2c07a823 sw zero,720(a5) # 80007ab4 + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 28d7a623 sw a3,652(a5) # 80007ab4 + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 2607a423 sw zero,616(a5) # 80007ab4 + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 25c93903 ld s2,604(s2) # 80007ab8 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 2687b783 ld a5,616(a5) # 80007ad0 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 2407a783 lw a5,576(a5) # 80007ab4 + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00050513 mv a0,a0 + 8000488c: 0000007b 0x7b + 80004890: 00008067 ret + +0000000080004894 : + 80004894: 00050513 mv a0,a0 + 80004898: 0000006b 0x6b + 8000489c: 0000006f j 8000489c + +00000000800048a0 <_assert>: + 800048a0: 00051a63 bnez a0,800048b4 <_assert+0x14> + 800048a4: 00100793 li a5,1 + 800048a8: 00078513 mv a0,a5 + 800048ac: 0000006b 0x6b + 800048b0: 0000006f j 800048b0 <_assert+0x10> + 800048b4: 00008067 ret + +00000000800048b8 <_trm_init>: + 800048b8: ff010113 addi sp,sp,-16 + 800048bc: 00113423 sd ra,8(sp) + 800048c0: 5b8000ef jal ra,80004e78 + 800048c4: 00002517 auipc a0,0x2 + 800048c8: 51c50513 addi a0,a0,1308 # 80006de0 + 800048cc: 831fb0ef jal ra,800000fc
+ 800048d0: 00050513 mv a0,a0 + 800048d4: 0000006b 0x6b + 800048d8: 0000006f j 800048d8 <_trm_init+0x20> + +00000000800048dc <__muldi3>: + 800048dc: 00050613 mv a2,a0 + 800048e0: 00000513 li a0,0 + 800048e4: 0015f693 andi a3,a1,1 + 800048e8: 00068463 beqz a3,800048f0 <__muldi3+0x14> + 800048ec: 00c50533 add a0,a0,a2 + 800048f0: 0015d593 srli a1,a1,0x1 + 800048f4: 00161613 slli a2,a2,0x1 + 800048f8: fe0596e3 bnez a1,800048e4 <__muldi3+0x8> + 800048fc: 00008067 ret + +0000000080004900 <__udivsi3>: + 80004900: 02051513 slli a0,a0,0x20 + 80004904: 02059593 slli a1,a1,0x20 + 80004908: 00008293 mv t0,ra + 8000490c: 03c000ef jal ra,80004948 <__udivdi3> + 80004910: 0005051b sext.w a0,a0 + 80004914: 00028067 jr t0 + +0000000080004918 <__umodsi3>: + 80004918: 02051513 slli a0,a0,0x20 + 8000491c: 02059593 slli a1,a1,0x20 + 80004920: 02055513 srli a0,a0,0x20 + 80004924: 0205d593 srli a1,a1,0x20 + 80004928: 00008293 mv t0,ra + 8000492c: 01c000ef jal ra,80004948 <__udivdi3> + 80004930: 0005851b sext.w a0,a1 + 80004934: 00028067 jr t0 + +0000000080004938 <__divsi3>: + 80004938: fff00293 li t0,-1 + 8000493c: 0a558c63 beq a1,t0,800049f4 <__moddi3+0x30> + +0000000080004940 <__divdi3>: + 80004940: 06054063 bltz a0,800049a0 <__umoddi3+0x10> + 80004944: 0605c663 bltz a1,800049b0 <__umoddi3+0x20> + +0000000080004948 <__udivdi3>: + 80004948: 00058613 mv a2,a1 + 8000494c: 00050593 mv a1,a0 + 80004950: fff00513 li a0,-1 + 80004954: 02060c63 beqz a2,8000498c <__udivdi3+0x44> + 80004958: 00100693 li a3,1 + 8000495c: 00b67a63 bgeu a2,a1,80004970 <__udivdi3+0x28> + 80004960: 00c05863 blez a2,80004970 <__udivdi3+0x28> + 80004964: 00161613 slli a2,a2,0x1 + 80004968: 00169693 slli a3,a3,0x1 + 8000496c: feb66ae3 bltu a2,a1,80004960 <__udivdi3+0x18> + 80004970: 00000513 li a0,0 + 80004974: 00c5e663 bltu a1,a2,80004980 <__udivdi3+0x38> + 80004978: 40c585b3 sub a1,a1,a2 + 8000497c: 00d56533 or a0,a0,a3 + 80004980: 0016d693 srli a3,a3,0x1 + 80004984: 00165613 srli a2,a2,0x1 + 80004988: fe0696e3 bnez a3,80004974 <__udivdi3+0x2c> + 8000498c: 00008067 ret + +0000000080004990 <__umoddi3>: + 80004990: 00008293 mv t0,ra + 80004994: fb5ff0ef jal ra,80004948 <__udivdi3> + 80004998: 00058513 mv a0,a1 + 8000499c: 00028067 jr t0 + 800049a0: 40a00533 neg a0,a0 + 800049a4: 00b04863 bgtz a1,800049b4 <__umoddi3+0x24> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: f9dff06f j 80004948 <__udivdi3> + 800049b0: 40b005b3 neg a1,a1 + 800049b4: 00008293 mv t0,ra + 800049b8: f91ff0ef jal ra,80004948 <__udivdi3> + 800049bc: 40a00533 neg a0,a0 + 800049c0: 00028067 jr t0 + +00000000800049c4 <__moddi3>: + 800049c4: 00008293 mv t0,ra + 800049c8: 0005ca63 bltz a1,800049dc <__moddi3+0x18> + 800049cc: 00054c63 bltz a0,800049e4 <__moddi3+0x20> + 800049d0: f79ff0ef jal ra,80004948 <__udivdi3> + 800049d4: 00058513 mv a0,a1 + 800049d8: 00028067 jr t0 + 800049dc: 40b005b3 neg a1,a1 + 800049e0: fe0558e3 bgez a0,800049d0 <__moddi3+0xc> + 800049e4: 40a00533 neg a0,a0 + 800049e8: f61ff0ef jal ra,80004948 <__udivdi3> + 800049ec: 40b00533 neg a0,a1 + 800049f0: 00028067 jr t0 + 800049f4: 01f29293 slli t0,t0,0x1f + 800049f8: f45514e3 bne a0,t0,80004940 <__divdi3> + 800049fc: 00008067 ret + +0000000080004a00 <__am_timer_config>: + 80004a00: 00100793 li a5,1 + 80004a04: 00f50023 sb a5,0(a0) + 80004a08: 00f500a3 sb a5,1(a0) + 80004a0c: 00008067 ret + +0000000080004a10 <__am_input_config>: + 80004a10: 00100793 li a5,1 + 80004a14: 00f50023 sb a5,0(a0) + 80004a18: 00008067 ret + +0000000080004a1c : + 80004a1c: ff010113 addi sp,sp,-16 + 80004a20: 00813023 sd s0,0(sp) + 80004a24: 00113423 sd ra,8(sp) + 80004a28: 00002417 auipc s0,0x2 + 80004a2c: 0d840413 addi s0,s0,216 # 80006b00 + 80004a30: 04100513 li a0,65 + 80004a34: 00140413 addi s0,s0,1 + 80004a38: e51ff0ef jal ra,80004888 + 80004a3c: 00044503 lbu a0,0(s0) + 80004a40: fe051ae3 bnez a0,80004a34 + 80004a44: 00002417 auipc s0,0x2 + 80004a48: 0cc40413 addi s0,s0,204 # 80006b10 + 80004a4c: 06100513 li a0,97 + 80004a50: 00140413 addi s0,s0,1 + 80004a54: e35ff0ef jal ra,80004888 + 80004a58: 00044503 lbu a0,0(s0) + 80004a5c: fe051ae3 bnez a0,80004a50 + 80004a60: 00002417 auipc s0,0x2 + 80004a64: 0d040413 addi s0,s0,208 # 80006b30 + 80004a68: 02000513 li a0,32 + 80004a6c: 00140413 addi s0,s0,1 + 80004a70: e19ff0ef jal ra,80004888 + 80004a74: 00044503 lbu a0,0(s0) + 80004a78: fe051ae3 bnez a0,80004a6c + 80004a7c: 00100513 li a0,1 + 80004a80: e15ff0ef jal ra,80004894 + +0000000080004a84 : + 80004a84: ff010113 addi sp,sp,-16 + 80004a88: 00113423 sd ra,8(sp) + 80004a8c: 00003797 auipc a5,0x3 + 80004a90: b0c78793 addi a5,a5,-1268 # 80007598 + 80004a94: 00003697 auipc a3,0x3 + 80004a98: f0468693 addi a3,a3,-252 # 80007998 + 80004a9c: 00000617 auipc a2,0x0 + 80004aa0: f8060613 addi a2,a2,-128 # 80004a1c + 80004aa4: 00c0006f j 80004ab0 + 80004aa8: 00878793 addi a5,a5,8 + 80004aac: 00d78c63 beq a5,a3,80004ac4 + 80004ab0: 0007b703 ld a4,0(a5) + 80004ab4: fe071ae3 bnez a4,80004aa8 + 80004ab8: 00c7b023 sd a2,0(a5) + 80004abc: 00878793 addi a5,a5,8 + 80004ac0: fed798e3 bne a5,a3,80004ab0 + 80004ac4: 030000ef jal ra,80004af4 <__am_timer_init> + 80004ac8: 00813083 ld ra,8(sp) + 80004acc: 00100513 li a0,1 + 80004ad0: 01010113 addi sp,sp,16 + 80004ad4: 00008067 ret + +0000000080004ad8 : + 80004ad8: 00351793 slli a5,a0,0x3 + 80004adc: 00003517 auipc a0,0x3 + 80004ae0: abc50513 addi a0,a0,-1348 # 80007598 + 80004ae4: 00f50533 add a0,a0,a5 + 80004ae8: 00053303 ld t1,0(a0) + 80004aec: 00058513 mv a0,a1 + 80004af0: 00030067 jr t1 + +0000000080004af4 <__am_timer_init>: + 80004af4: 00008067 ret + +0000000080004af8 <__am_timer_uptime>: + 80004af8: fd010113 addi sp,sp,-48 + 80004afc: 00913c23 sd s1,24(sp) + 80004b00: 02113423 sd ra,40(sp) + 80004b04: 02813023 sd s0,32(sp) + 80004b08: 01213823 sd s2,16(sp) + 80004b0c: 01313423 sd s3,8(sp) + 80004b10: 00050493 mv s1,a0 + 80004b14: b0002973 csrr s2,mcycle + 80004b18: 000f49b7 lui s3,0xf4 + 80004b1c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80004b20: 00090513 mv a0,s2 + 80004b24: e25ff0ef jal ra,80004948 <__udivdi3> + 80004b28: 00551413 slli s0,a0,0x5 + 80004b2c: 40a407b3 sub a5,s0,a0 + 80004b30: 00679413 slli s0,a5,0x6 + 80004b34: 40f40433 sub s0,s0,a5 + 80004b38: 00341413 slli s0,s0,0x3 + 80004b3c: 00a40433 add s0,s0,a0 + 80004b40: 24098593 addi a1,s3,576 + 80004b44: 00090513 mv a0,s2 + 80004b48: e49ff0ef jal ra,80004990 <__umoddi3> + 80004b4c: 00641413 slli s0,s0,0x6 + 80004b50: 00a40433 add s0,s0,a0 + 80004b54: 0084b023 sd s0,0(s1) + 80004b58: 02813083 ld ra,40(sp) + 80004b5c: 02013403 ld s0,32(sp) + 80004b60: 01813483 ld s1,24(sp) + 80004b64: 01013903 ld s2,16(sp) + 80004b68: 00813983 ld s3,8(sp) + 80004b6c: 03010113 addi sp,sp,48 + 80004b70: 00008067 ret + +0000000080004b74 : + 80004b74: fc010113 addi sp,sp,-64 + 80004b78: 01313c23 sd s3,24(sp) + 80004b7c: 00058993 mv s3,a1 + 80004b80: 00050793 mv a5,a0 + 80004b84: 03800613 li a2,56 + 80004b88: 00000593 li a1,0 + 80004b8c: 00098513 mv a0,s3 + 80004b90: 02813823 sd s0,48(sp) + 80004b94: 03213023 sd s2,32(sp) + 80004b98: 0007b403 ld s0,0(a5) + 80004b9c: 01413823 sd s4,16(sp) + 80004ba0: 02113c23 sd ra,56(sp) + 80004ba4: 02913423 sd s1,40(sp) + 80004ba8: 01513423 sd s5,8(sp) + 80004bac: 434010ef jal ra,80005fe0 + 80004bb0: 7b200793 li a5,1970 + 80004bb4: 00f9aa23 sw a5,20(s3) + 80004bb8: 7b200913 li s2,1970 + 80004bbc: 00002a17 auipc s4,0x2 + 80004bc0: 22ca0a13 addi s4,s4,556 # 80006de8 + 80004bc4: 0100006f j 80004bd4 + 80004bc8: 40e40433 sub s0,s0,a4 + 80004bcc: 00d9aa23 sw a3,20(s3) + 80004bd0: 00068913 mv s2,a3 + 80004bd4: 0009049b sext.w s1,s2 + 80004bd8: 06400593 li a1,100 + 80004bdc: 00048513 mv a0,s1 + 80004be0: de5ff0ef jal ra,800049c4 <__moddi3> + 80004be4: 00050793 mv a5,a0 + 80004be8: 0007879b sext.w a5,a5 + 80004bec: 00048513 mv a0,s1 + 80004bf0: 00397493 andi s1,s2,3 + 80004bf4: 19000593 li a1,400 + 80004bf8: 0014b493 seqz s1,s1 + 80004bfc: 00079863 bnez a5,80004c0c + 80004c00: dc5ff0ef jal ra,800049c4 <__moddi3> + 80004c04: 0005049b sext.w s1,a0 + 80004c08: 0014b493 seqz s1,s1 + 80004c0c: 00249793 slli a5,s1,0x2 + 80004c10: 00fa07b3 add a5,s4,a5 + 80004c14: 0007e703 lwu a4,0(a5) + 80004c18: 0019069b addiw a3,s2,1 + 80004c1c: fae456e3 bge s0,a4,80004bc8 + 80004c20: 000155b7 lui a1,0x15 + 80004c24: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c28: 00040513 mv a0,s0 + 80004c2c: d15ff0ef jal ra,80004940 <__divdi3> + 80004c30: 00149793 slli a5,s1,0x1 + 80004c34: 009784b3 add s1,a5,s1 + 80004c38: 00449793 slli a5,s1,0x4 + 80004c3c: 00002497 auipc s1,0x2 + 80004c40: f3448493 addi s1,s1,-204 # 80006b70 + 80004c44: 00f484b3 add s1,s1,a5 + 80004c48: 0004e783 lwu a5,0(s1) + 80004c4c: 0005051b sext.w a0,a0 + 80004c50: 00a9ae23 sw a0,28(s3) + 80004c54: 14f44463 blt s0,a5,80004d9c + 80004c58: 00100713 li a4,1 + 80004c5c: 40f40433 sub s0,s0,a5 + 80004c60: 0044e783 lwu a5,4(s1) + 80004c64: 00070a1b sext.w s4,a4 + 80004c68: 00448493 addi s1,s1,4 + 80004c6c: 00170713 addi a4,a4,1 + 80004c70: fef456e3 bge s0,a5,80004c5c + 80004c74: 000155b7 lui a1,0x15 + 80004c78: 0149a823 sw s4,16(s3) + 80004c7c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c80: 00040513 mv a0,s0 + 80004c84: cbdff0ef jal ra,80004940 <__divdi3> + 80004c88: 0005051b sext.w a0,a0 + 80004c8c: 0015079b addiw a5,a0,1 + 80004c90: 000155b7 lui a1,0x15 + 80004c94: 00f9a623 sw a5,12(s3) + 80004c98: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c9c: 00040513 mv a0,s0 + 80004ca0: 00001ab7 lui s5,0x1 + 80004ca4: 0007841b sext.w s0,a5 + 80004ca8: d1dff0ef jal ra,800049c4 <__moddi3> + 80004cac: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004cb0: 00050493 mv s1,a0 + 80004cb4: c8dff0ef jal ra,80004940 <__divdi3> + 80004cb8: e10a8593 addi a1,s5,-496 + 80004cbc: 00a9a423 sw a0,8(s3) + 80004cc0: 00048513 mv a0,s1 + 80004cc4: d01ff0ef jal ra,800049c4 <__moddi3> + 80004cc8: 03c00593 li a1,60 + 80004ccc: 00050493 mv s1,a0 + 80004cd0: c71ff0ef jal ra,80004940 <__divdi3> + 80004cd4: 00a9a223 sw a0,4(s3) + 80004cd8: 03c00593 li a1,60 + 80004cdc: 00048513 mv a0,s1 + 80004ce0: ce5ff0ef jal ra,800049c4 <__moddi3> + 80004ce4: 001a0a1b addiw s4,s4,1 + 80004ce8: 0ffa7793 andi a5,s4,255 + 80004cec: 0037b793 sltiu a5,a5,3 + 80004cf0: 40f9093b subw s2,s2,a5 + 80004cf4: 03091913 slli s2,s2,0x30 + 80004cf8: 03095913 srli s2,s2,0x30 + 80004cfc: 00a9a023 sw a0,0(s3) + 80004d00: 06400593 li a1,100 + 80004d04: 00090513 mv a0,s2 + 80004d08: c41ff0ef jal ra,80004948 <__udivdi3> + 80004d0c: 0029549b srliw s1,s2,0x2 + 80004d10: 0105179b slliw a5,a0,0x10 + 80004d14: 0107d79b srliw a5,a5,0x10 + 80004d18: 012484bb addw s1,s1,s2 + 80004d1c: 19000593 li a1,400 + 80004d20: 00090513 mv a0,s2 + 80004d24: 0ffa7a13 andi s4,s4,255 + 80004d28: 40f484bb subw s1,s1,a5 + 80004d2c: fffa0a1b addiw s4,s4,-1 + 80004d30: c19ff0ef jal ra,80004948 <__udivdi3> + 80004d34: 00002797 auipc a5,0x2 + 80004d38: e9c78793 addi a5,a5,-356 # 80006bd0 + 80004d3c: 002a1a13 slli s4,s4,0x2 + 80004d40: 01478a33 add s4,a5,s4 + 80004d44: 000a2783 lw a5,0(s4) + 80004d48: 0105151b slliw a0,a0,0x10 + 80004d4c: 0105551b srliw a0,a0,0x10 + 80004d50: 00a484bb addw s1,s1,a0 + 80004d54: 00f484bb addw s1,s1,a5 + 80004d58: 0ff47513 andi a0,s0,255 + 80004d5c: 00a4853b addw a0,s1,a0 + 80004d60: 00700593 li a1,7 + 80004d64: c61ff0ef jal ra,800049c4 <__moddi3> + 80004d68: 03813083 ld ra,56(sp) + 80004d6c: 03013403 ld s0,48(sp) + 80004d70: 0ff57513 andi a0,a0,255 + 80004d74: 00a9ac23 sw a0,24(s3) + 80004d78: 0209a023 sw zero,32(s3) + 80004d7c: 02813483 ld s1,40(sp) + 80004d80: 02013903 ld s2,32(sp) + 80004d84: 01013a03 ld s4,16(sp) + 80004d88: 00813a83 ld s5,8(sp) + 80004d8c: 00098513 mv a0,s3 + 80004d90: 01813983 ld s3,24(sp) + 80004d94: 04010113 addi sp,sp,64 + 80004d98: 00008067 ret + 80004d9c: 00000a13 li s4,0 + 80004da0: eedff06f j 80004c8c + +0000000080004da4 <__am_timer_rtc>: + 80004da4: f9010113 addi sp,sp,-112 + 80004da8: 06813023 sd s0,96(sp) + 80004dac: 06113423 sd ra,104(sp) + 80004db0: 04913c23 sd s1,88(sp) + 80004db4: 05213823 sd s2,80(sp) + 80004db8: 00050413 mv s0,a0 + 80004dbc: b00024f3 csrr s1,mcycle + 80004dc0: 000f4937 lui s2,0xf4 + 80004dc4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 80004dc8: 00048513 mv a0,s1 + 80004dcc: b7dff0ef jal ra,80004948 <__udivdi3> + 80004dd0: 00050793 mv a5,a0 + 80004dd4: 24090593 addi a1,s2,576 + 80004dd8: 00048513 mv a0,s1 + 80004ddc: 00f13423 sd a5,8(sp) + 80004de0: bb1ff0ef jal ra,80004990 <__umoddi3> + 80004de4: 00050793 mv a5,a0 + 80004de8: 01810593 addi a1,sp,24 + 80004dec: 00810513 addi a0,sp,8 + 80004df0: 00f13823 sd a5,16(sp) + 80004df4: d81ff0ef jal ra,80004b74 + 80004df8: 01812703 lw a4,24(sp) + 80004dfc: 02812783 lw a5,40(sp) + 80004e00: 06813083 ld ra,104(sp) + 80004e04: 00e42a23 sw a4,20(s0) + 80004e08: 01c12703 lw a4,28(sp) + 80004e0c: 0017879b addiw a5,a5,1 + 80004e10: 00f42223 sw a5,4(s0) + 80004e14: 00e42823 sw a4,16(s0) + 80004e18: 02012703 lw a4,32(sp) + 80004e1c: 02c12783 lw a5,44(sp) + 80004e20: 05813483 ld s1,88(sp) + 80004e24: 00e42623 sw a4,12(s0) + 80004e28: 02412703 lw a4,36(sp) + 80004e2c: 00f42023 sw a5,0(s0) + 80004e30: 05013903 ld s2,80(sp) + 80004e34: 00e42423 sw a4,8(s0) + 80004e38: 06013403 ld s0,96(sp) + 80004e3c: 07010113 addi sp,sp,112 + 80004e40: 00008067 ret + +0000000080004e44 <__am_input_keybrd>: + 80004e44: 00050023 sb zero,0(a0) + 80004e48: 00052223 sw zero,4(a0) + 80004e4c: 00008067 ret + +0000000080004e50 : + 80004e50: 00054783 lbu a5,0(a0) + 80004e54: 00158593 addi a1,a1,1 + 80004e58: 00150513 addi a0,a0,1 + 80004e5c: fff5c703 lbu a4,-1(a1) + 80004e60: 00078863 beqz a5,80004e70 + 80004e64: fee786e3 beq a5,a4,80004e50 + 80004e68: 40e7853b subw a0,a5,a4 + 80004e6c: 00008067 ret + 80004e70: 40e0053b negw a0,a4 + 80004e74: 00008067 ret + +0000000080004e78 : + 80004e78: 00002797 auipc a5,0x2 + 80004e7c: 71078793 addi a5,a5,1808 # 80007588 + 80004e80: 0007b503 ld a0,0(a5) + 80004e84: 0087b583 ld a1,8(a5) + 80004e88: ff010113 addi sp,sp,-16 + 80004e8c: 00000693 li a3,0 + 80004e90: 00000613 li a2,0 + 80004e94: 40a585b3 sub a1,a1,a0 + 80004e98: 00113423 sd ra,8(sp) + 80004e9c: 224010ef jal ra,800060c0 + 80004ea0: 00813083 ld ra,8(sp) + 80004ea4: 00003797 auipc a5,0x3 + 80004ea8: c0a7be23 sd a0,-996(a5) # 80007ac0 + 80004eac: 01010113 addi sp,sp,16 + 80004eb0: 00008067 ret + +0000000080004eb4 <_out_buffer>: + 80004eb4: 00d67663 bgeu a2,a3,80004ec0 <_out_buffer+0xc> + 80004eb8: 00c585b3 add a1,a1,a2 + 80004ebc: 00a58023 sb a0,0(a1) + 80004ec0: 00008067 ret + +0000000080004ec4 <_out_null>: + 80004ec4: 00008067 ret + +0000000080004ec8 <_ntoa_format>: + 80004ec8: fa010113 addi sp,sp,-96 + 80004ecc: 07012303 lw t1,112(sp) + 80004ed0: 03313c23 sd s3,56(sp) + 80004ed4: 03413823 sd s4,48(sp) + 80004ed8: 03513423 sd s5,40(sp) + 80004edc: 03613023 sd s6,32(sp) + 80004ee0: 01713c23 sd s7,24(sp) + 80004ee4: 01813823 sd s8,16(sp) + 80004ee8: 01913423 sd s9,8(sp) + 80004eec: 00237e13 andi t3,t1,2 + 80004ef0: 01037e93 andi t4,t1,16 + 80004ef4: 04113c23 sd ra,88(sp) + 80004ef8: 04813823 sd s0,80(sp) + 80004efc: 04913423 sd s1,72(sp) + 80004f00: 05213023 sd s2,64(sp) + 80004f04: 06012f03 lw t5,96(sp) + 80004f08: 06812c03 lw s8,104(sp) + 80004f0c: 00050a13 mv s4,a0 + 80004f10: 00058a93 mv s5,a1 + 80004f14: 00060b93 mv s7,a2 + 80004f18: 00068b13 mv s6,a3 + 80004f1c: 00070993 mv s3,a4 + 80004f20: 000e0c9b sext.w s9,t3 + 80004f24: 000e8e9b sext.w t4,t4 + 80004f28: 060e1c63 bnez t3,80004fa0 <_ntoa_format+0xd8> + 80004f2c: 020f1693 slli a3,t5,0x20 + 80004f30: 00137513 andi a0,t1,1 + 80004f34: 0206d693 srli a3,a3,0x20 + 80004f38: 180c1e63 bnez s8,800050d4 <_ntoa_format+0x20c> + 80004f3c: 02d7f663 bgeu a5,a3,80004f68 <_ntoa_format+0xa0> + 80004f40: 02000713 li a4,32 + 80004f44: 36e78e63 beq a5,a4,800052c0 <_ntoa_format+0x3f8> + 80004f48: 03000613 li a2,48 + 80004f4c: 02000593 li a1,32 + 80004f50: 0080006f j 80004f58 <_ntoa_format+0x90> + 80004f54: 00b78a63 beq a5,a1,80004f68 <_ntoa_format+0xa0> + 80004f58: 00178793 addi a5,a5,1 + 80004f5c: 00f98733 add a4,s3,a5 + 80004f60: fec70fa3 sb a2,-1(a4) + 80004f64: fed7e8e3 bltu a5,a3,80004f54 <_ntoa_format+0x8c> + 80004f68: 02050c63 beqz a0,80004fa0 <_ntoa_format+0xd8> + 80004f6c: 020c1913 slli s2,s8,0x20 + 80004f70: 02095913 srli s2,s2,0x20 + 80004f74: 0327f663 bgeu a5,s2,80004fa0 <_ntoa_format+0xd8> + 80004f78: 02000713 li a4,32 + 80004f7c: 36e78863 beq a5,a4,800052ec <_ntoa_format+0x424> + 80004f80: 03000693 li a3,48 + 80004f84: 02000613 li a2,32 + 80004f88: 0080006f j 80004f90 <_ntoa_format+0xc8> + 80004f8c: 16c78463 beq a5,a2,800050f4 <_ntoa_format+0x22c> + 80004f90: 00178793 addi a5,a5,1 + 80004f94: 00f98733 add a4,s3,a5 + 80004f98: fed70fa3 sb a3,-1(a4) + 80004f9c: ff2798e3 bne a5,s2,80004f8c <_ntoa_format+0xc4> + 80004fa0: 1a0e8663 beqz t4,8000514c <_ntoa_format+0x284> + 80004fa4: 40037713 andi a4,t1,1024 + 80004fa8: 20071463 bnez a4,800051b0 <_ntoa_format+0x2e8> + 80004fac: 1e079663 bnez a5,80005198 <_ntoa_format+0x2d0> + 80004fb0: 01000793 li a5,16 + 80004fb4: 2af88863 beq a7,a5,80005264 <_ntoa_format+0x39c> + 80004fb8: 00200793 li a5,2 + 80004fbc: 2ef88a63 beq a7,a5,800052b0 <_ntoa_format+0x3e8> + 80004fc0: 03000793 li a5,48 + 80004fc4: 00f98023 sb a5,0(s3) + 80004fc8: 00100793 li a5,1 + 80004fcc: 1a080463 beqz a6,80005174 <_ntoa_format+0x2ac> + 80004fd0: 00f98733 add a4,s3,a5 + 80004fd4: 00178413 addi s0,a5,1 + 80004fd8: 02d00793 li a5,45 + 80004fdc: 00f70023 sb a5,0(a4) + 80004fe0: 00337313 andi t1,t1,3 + 80004fe4: 20031263 bnez t1,800051e8 <_ntoa_format+0x320> + 80004fe8: 020c1913 slli s2,s8,0x20 + 80004fec: 02095913 srli s2,s2,0x20 + 80004ff0: 1f247c63 bgeu s0,s2,800051e8 <_ntoa_format+0x320> + 80004ff4: 40890933 sub s2,s2,s0 + 80004ff8: 01790933 add s2,s2,s7 + 80004ffc: 000b8493 mv s1,s7 + 80005000: 00048613 mv a2,s1 + 80005004: 000b0693 mv a3,s6 + 80005008: 00148493 addi s1,s1,1 + 8000500c: 000a8593 mv a1,s5 + 80005010: 02000513 li a0,32 + 80005014: 000a00e7 jalr s4 + 80005018: ff2494e3 bne s1,s2,80005000 <_ntoa_format+0x138> + 8000501c: 02040663 beqz s0,80005048 <_ntoa_format+0x180> + 80005020: 01240933 add s2,s0,s2 + 80005024: 012984b3 add s1,s3,s2 + 80005028: 00898433 add s0,s3,s0 + 8000502c: fff44503 lbu a0,-1(s0) + 80005030: 40848633 sub a2,s1,s0 + 80005034: 000b0693 mv a3,s6 + 80005038: fff40413 addi s0,s0,-1 + 8000503c: 000a8593 mv a1,s5 + 80005040: 000a00e7 jalr s4 + 80005044: fe8994e3 bne s3,s0,8000502c <_ntoa_format+0x164> + 80005048: 040c8a63 beqz s9,8000509c <_ntoa_format+0x1d4> + 8000504c: 020c1c13 slli s8,s8,0x20 + 80005050: 41790433 sub s0,s2,s7 + 80005054: 020c5c13 srli s8,s8,0x20 + 80005058: 05847263 bgeu s0,s8,8000509c <_ntoa_format+0x1d4> + 8000505c: 01740633 add a2,s0,s7 + 80005060: 000b0693 mv a3,s6 + 80005064: 00140413 addi s0,s0,1 + 80005068: 000a8593 mv a1,s5 + 8000506c: 02000513 li a0,32 + 80005070: 000a00e7 jalr s4 + 80005074: ff8464e3 bltu s0,s8,8000505c <_ntoa_format+0x194> + 80005078: 41790733 sub a4,s2,s7 + 8000507c: 00170713 addi a4,a4,1 + 80005080: 00000793 li a5,0 + 80005084: 00ec6863 bltu s8,a4,80005094 <_ntoa_format+0x1cc> + 80005088: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 8000508c: 01878c33 add s8,a5,s8 + 80005090: 412c07b3 sub a5,s8,s2 + 80005094: 00190913 addi s2,s2,1 + 80005098: 00f90933 add s2,s2,a5 + 8000509c: 05813083 ld ra,88(sp) + 800050a0: 05013403 ld s0,80(sp) + 800050a4: 04813483 ld s1,72(sp) + 800050a8: 03813983 ld s3,56(sp) + 800050ac: 03013a03 ld s4,48(sp) + 800050b0: 02813a83 ld s5,40(sp) + 800050b4: 02013b03 ld s6,32(sp) + 800050b8: 01813b83 ld s7,24(sp) + 800050bc: 01013c03 ld s8,16(sp) + 800050c0: 00813c83 ld s9,8(sp) + 800050c4: 00090513 mv a0,s2 + 800050c8: 04013903 ld s2,64(sp) + 800050cc: 06010113 addi sp,sp,96 + 800050d0: 00008067 ret + 800050d4: 12050863 beqz a0,80005204 <_ntoa_format+0x33c> + 800050d8: 00081663 bnez a6,800050e4 <_ntoa_format+0x21c> + 800050dc: 00c37713 andi a4,t1,12 + 800050e0: 00070463 beqz a4,800050e8 <_ntoa_format+0x220> + 800050e4: fffc0c1b addiw s8,s8,-1 + 800050e8: e8d7f2e3 bgeu a5,a3,80004f6c <_ntoa_format+0xa4> + 800050ec: 02000713 li a4,32 + 800050f0: e4e79ce3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 800050f4: 0e0e8063 beqz t4,800051d4 <_ntoa_format+0x30c> + 800050f8: 40037793 andi a5,t1,1024 + 800050fc: 04079c63 bnez a5,80005154 <_ntoa_format+0x28c> + 80005100: 02000793 li a5,32 + 80005104: 0aff1063 bne t5,a5,800051a4 <_ntoa_format+0x2dc> + 80005108: 02000793 li a5,32 + 8000510c: 01f00713 li a4,31 + 80005110: 01000693 li a3,16 + 80005114: 1ad88c63 beq a7,a3,800052cc <_ntoa_format+0x404> + 80005118: 00200693 li a3,2 + 8000511c: 00070793 mv a5,a4 + 80005120: 00d89e63 bne a7,a3,8000513c <_ntoa_format+0x274> + 80005124: 00e986b3 add a3,s3,a4 + 80005128: 00170793 addi a5,a4,1 + 8000512c: 06200713 li a4,98 + 80005130: 00e68023 sb a4,0(a3) + 80005134: 02000713 li a4,32 + 80005138: 00e78e63 beq a5,a4,80005154 <_ntoa_format+0x28c> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 03000693 li a3,48 + 80005144: 00d70023 sb a3,0(a4) + 80005148: 00178793 addi a5,a5,1 + 8000514c: 02000713 li a4,32 + 80005150: e6e79ee3 bne a5,a4,80004fcc <_ntoa_format+0x104> + 80005154: 00337313 andi t1,t1,3 + 80005158: 08031263 bnez t1,800051dc <_ntoa_format+0x314> + 8000515c: 02000413 li s0,32 + 80005160: 020c1913 slli s2,s8,0x20 + 80005164: 02095913 srli s2,s2,0x20 + 80005168: e92466e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 8000516c: 000b8913 mv s2,s7 + 80005170: eadff06f j 8000501c <_ntoa_format+0x154> + 80005174: 00437713 andi a4,t1,4 + 80005178: 06071c63 bnez a4,800051f0 <_ntoa_format+0x328> + 8000517c: 00837713 andi a4,t1,8 + 80005180: 0c071863 bnez a4,80005250 <_ntoa_format+0x388> + 80005184: 00337313 andi t1,t1,3 + 80005188: 00078413 mv s0,a5 + 8000518c: fc030ae3 beqz t1,80005160 <_ntoa_format+0x298> + 80005190: 000b8913 mv s2,s7 + 80005194: e89ff06f j 8000501c <_ntoa_format+0x154> + 80005198: 020f1f13 slli t5,t5,0x20 + 8000519c: 020f5f13 srli t5,t5,0x20 + 800051a0: 0aff0263 beq t5,a5,80005244 <_ntoa_format+0x37c> + 800051a4: 020c1913 slli s2,s8,0x20 + 800051a8: 02095913 srli s2,s2,0x20 + 800051ac: 08f90c63 beq s2,a5,80005244 <_ntoa_format+0x37c> + 800051b0: 01000713 li a4,16 + 800051b4: 06e88663 beq a7,a4,80005220 <_ntoa_format+0x358> + 800051b8: 00200713 li a4,2 + 800051bc: f6e89ce3 bne a7,a4,80005134 <_ntoa_format+0x26c> + 800051c0: 02000713 li a4,32 + 800051c4: f8e788e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 800051c8: 00f986b3 add a3,s3,a5 + 800051cc: 00178793 addi a5,a5,1 + 800051d0: f5dff06f j 8000512c <_ntoa_format+0x264> + 800051d4: 00337313 andi t1,t1,3 + 800051d8: 0c030063 beqz t1,80005298 <_ntoa_format+0x3d0> + 800051dc: 000b8913 mv s2,s7 + 800051e0: 02000413 li s0,32 + 800051e4: e3dff06f j 80005020 <_ntoa_format+0x158> + 800051e8: 000b8913 mv s2,s7 + 800051ec: e35ff06f j 80005020 <_ntoa_format+0x158> + 800051f0: 00f98733 add a4,s3,a5 + 800051f4: 00178413 addi s0,a5,1 + 800051f8: 02b00793 li a5,43 + 800051fc: 00f70023 sb a5,0(a4) + 80005200: de1ff06f j 80004fe0 <_ntoa_format+0x118> + 80005204: d8d7fee3 bgeu a5,a3,80004fa0 <_ntoa_format+0xd8> + 80005208: 02000713 li a4,32 + 8000520c: d2e79ee3 bne a5,a4,80004f48 <_ntoa_format+0x80> + 80005210: 0e0e8c63 beqz t4,80005308 <_ntoa_format+0x440> + 80005214: 40037793 andi a5,t1,1024 + 80005218: f2079ee3 bnez a5,80005154 <_ntoa_format+0x28c> + 8000521c: ee5ff06f j 80005100 <_ntoa_format+0x238> + 80005220: 02037713 andi a4,t1,32 + 80005224: 04071c63 bnez a4,8000527c <_ntoa_format+0x3b4> + 80005228: 02000713 li a4,32 + 8000522c: f2e784e3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005230: 00f98733 add a4,s3,a5 + 80005234: 00178793 addi a5,a5,1 + 80005238: 07800693 li a3,120 + 8000523c: 00d70023 sb a3,0(a4) + 80005240: ef5ff06f j 80005134 <_ntoa_format+0x26c> + 80005244: fff78713 addi a4,a5,-1 + 80005248: d60704e3 beqz a4,80004fb0 <_ntoa_format+0xe8> + 8000524c: ec5ff06f j 80005110 <_ntoa_format+0x248> + 80005250: 00f98733 add a4,s3,a5 + 80005254: 00178413 addi s0,a5,1 + 80005258: 02000793 li a5,32 + 8000525c: 00f70023 sb a5,0(a4) + 80005260: d81ff06f j 80004fe0 <_ntoa_format+0x118> + 80005264: 02037793 andi a5,t1,32 + 80005268: 02079c63 bnez a5,800052a0 <_ntoa_format+0x3d8> + 8000526c: 07800793 li a5,120 + 80005270: 00f98023 sb a5,0(s3) + 80005274: 00100793 li a5,1 + 80005278: ec5ff06f j 8000513c <_ntoa_format+0x274> + 8000527c: 02000713 li a4,32 + 80005280: ece78ae3 beq a5,a4,80005154 <_ntoa_format+0x28c> + 80005284: 00f98733 add a4,s3,a5 + 80005288: 05800693 li a3,88 + 8000528c: 00d70023 sb a3,0(a4) + 80005290: 00178793 addi a5,a5,1 + 80005294: ea1ff06f j 80005134 <_ntoa_format+0x26c> + 80005298: 02000413 li s0,32 + 8000529c: d4dff06f j 80004fe8 <_ntoa_format+0x120> + 800052a0: 05800793 li a5,88 + 800052a4: 00f98023 sb a5,0(s3) + 800052a8: 00100793 li a5,1 + 800052ac: e91ff06f j 8000513c <_ntoa_format+0x274> + 800052b0: 06200793 li a5,98 + 800052b4: 00f98023 sb a5,0(s3) + 800052b8: 00100793 li a5,1 + 800052bc: e81ff06f j 8000513c <_ntoa_format+0x274> + 800052c0: e2051ae3 bnez a0,800050f4 <_ntoa_format+0x22c> + 800052c4: 000c8c13 mv s8,s9 + 800052c8: f49ff06f j 80005210 <_ntoa_format+0x348> + 800052cc: 02037693 andi a3,t1,32 + 800052d0: ffe78713 addi a4,a5,-2 + 800052d4: 00069863 bnez a3,800052e4 <_ntoa_format+0x41c> + 800052d8: 00e98733 add a4,s3,a4 + 800052dc: fff78793 addi a5,a5,-1 + 800052e0: f59ff06f j 80005238 <_ntoa_format+0x370> + 800052e4: 00070793 mv a5,a4 + 800052e8: f9dff06f j 80005284 <_ntoa_format+0x3bc> + 800052ec: 000e8e63 beqz t4,80005308 <_ntoa_format+0x440> + 800052f0: 40037713 andi a4,t1,1024 + 800052f4: 00071e63 bnez a4,80005310 <_ntoa_format+0x448> + 800052f8: 02ff0e63 beq t5,a5,80005334 <_ntoa_format+0x46c> + 800052fc: e4fc1ce3 bne s8,a5,80005154 <_ntoa_format+0x28c> + 80005300: 01f00713 li a4,31 + 80005304: e0dff06f j 80005110 <_ntoa_format+0x248> + 80005308: 02000413 li s0,32 + 8000530c: cd5ff06f j 80004fe0 <_ntoa_format+0x118> + 80005310: 01000793 li a5,16 + 80005314: 02f88c63 beq a7,a5,8000534c <_ntoa_format+0x484> + 80005318: 00200793 li a5,2 + 8000531c: e2f88ce3 beq a7,a5,80005154 <_ntoa_format+0x28c> + 80005320: 00337313 andi t1,t1,3 + 80005324: ea031ce3 bnez t1,800051dc <_ntoa_format+0x314> + 80005328: 02000413 li s0,32 + 8000532c: cd2464e3 bltu s0,s2,80004ff4 <_ntoa_format+0x12c> + 80005330: e3dff06f j 8000516c <_ntoa_format+0x2a4> + 80005334: 01000713 li a4,16 + 80005338: f8e88ae3 beq a7,a4,800052cc <_ntoa_format+0x404> + 8000533c: 00200793 li a5,2 + 80005340: 00f88c63 beq a7,a5,80005358 <_ntoa_format+0x490> + 80005344: 01f00793 li a5,31 + 80005348: df5ff06f j 8000513c <_ntoa_format+0x274> + 8000534c: 02037793 andi a5,t1,32 + 80005350: fc0788e3 beqz a5,80005320 <_ntoa_format+0x458> + 80005354: e01ff06f j 80005154 <_ntoa_format+0x28c> + 80005358: 01f00713 li a4,31 + 8000535c: dc9ff06f j 80005124 <_ntoa_format+0x25c> + +0000000080005360 <_ntoa_long>: + 80005360: f4010113 addi sp,sp,-192 + 80005364: 09413823 sd s4,144(sp) + 80005368: 09513423 sd s5,136(sp) + 8000536c: 09613023 sd s6,128(sp) + 80005370: 07713c23 sd s7,120(sp) + 80005374: 07813823 sd s8,112(sp) + 80005378: 07913423 sd s9,104(sp) + 8000537c: 05b13c23 sd s11,88(sp) + 80005380: 0a113c23 sd ra,184(sp) + 80005384: 0a813823 sd s0,176(sp) + 80005388: 0a913423 sd s1,168(sp) + 8000538c: 0b213023 sd s2,160(sp) + 80005390: 09313c23 sd s3,152(sp) + 80005394: 07a13023 sd s10,96(sp) + 80005398: 02a13023 sd a0,32(sp) + 8000539c: 03113423 sd a7,40(sp) + 800053a0: 0c812a03 lw s4,200(sp) + 800053a4: 00070d93 mv s11,a4 + 800053a8: 00058b13 mv s6,a1 + 800053ac: 00060b93 mv s7,a2 + 800053b0: 00068c13 mv s8,a3 + 800053b4: 00078c93 mv s9,a5 + 800053b8: 00080a93 mv s5,a6 + 800053bc: 00071863 bnez a4,800053cc <_ntoa_long+0x6c> + 800053c0: 400a7793 andi a5,s4,1024 + 800053c4: fefa7a13 andi s4,s4,-17 + 800053c8: 0e079663 bnez a5,800054b4 <_ntoa_long+0x154> + 800053cc: 020a7793 andi a5,s4,32 + 800053d0: 06100413 li s0,97 + 800053d4: 0c079c63 bnez a5,800054ac <_ntoa_long+0x14c> + 800053d8: 00000d13 li s10,0 + 800053dc: 03010493 addi s1,sp,48 + 800053e0: 00900913 li s2,9 + 800053e4: ff64041b addiw s0,s0,-10 + 800053e8: 02000993 li s3,32 + 800053ec: 00c0006f j 800053f8 <_ntoa_long+0x98> + 800053f0: 053d0463 beq s10,s3,80005438 <_ntoa_long+0xd8> + 800053f4: 00050d93 mv s11,a0 + 800053f8: 000a8593 mv a1,s5 + 800053fc: 000d8513 mv a0,s11 + 80005400: d90ff0ef jal ra,80004990 <__umoddi3> + 80005404: 0ff57313 andi t1,a0,255 + 80005408: 0303071b addiw a4,t1,48 + 8000540c: 0064033b addw t1,s0,t1 + 80005410: 0ff37313 andi t1,t1,255 + 80005414: 00a96463 bltu s2,a0,8000541c <_ntoa_long+0xbc> + 80005418: 0ff77313 andi t1,a4,255 + 8000541c: 001d0d13 addi s10,s10,1 + 80005420: 01a48733 add a4,s1,s10 + 80005424: 000d8513 mv a0,s11 + 80005428: 000a8593 mv a1,s5 + 8000542c: fe670fa3 sb t1,-1(a4) + 80005430: d18ff0ef jal ra,80004948 <__udivdi3> + 80005434: fb5dfee3 bgeu s11,s5,800053f0 <_ntoa_long+0x90> + 80005438: 0c012703 lw a4,192(sp) + 8000543c: 02813783 ld a5,40(sp) + 80005440: 02013503 ld a0,32(sp) + 80005444: 01413823 sd s4,16(sp) + 80005448: 00e13423 sd a4,8(sp) + 8000544c: 00f13023 sd a5,0(sp) + 80005450: 000a889b sext.w a7,s5 + 80005454: 000c8813 mv a6,s9 + 80005458: 000d0793 mv a5,s10 + 8000545c: 00048713 mv a4,s1 + 80005460: 000c0693 mv a3,s8 + 80005464: 000b8613 mv a2,s7 + 80005468: 000b0593 mv a1,s6 + 8000546c: a5dff0ef jal ra,80004ec8 <_ntoa_format> + 80005470: 0b813083 ld ra,184(sp) + 80005474: 0b013403 ld s0,176(sp) + 80005478: 0a813483 ld s1,168(sp) + 8000547c: 0a013903 ld s2,160(sp) + 80005480: 09813983 ld s3,152(sp) + 80005484: 09013a03 ld s4,144(sp) + 80005488: 08813a83 ld s5,136(sp) + 8000548c: 08013b03 ld s6,128(sp) + 80005490: 07813b83 ld s7,120(sp) + 80005494: 07013c03 ld s8,112(sp) + 80005498: 06813c83 ld s9,104(sp) + 8000549c: 06013d03 ld s10,96(sp) + 800054a0: 05813d83 ld s11,88(sp) + 800054a4: 0c010113 addi sp,sp,192 + 800054a8: 00008067 ret + 800054ac: 04100413 li s0,65 + 800054b0: f29ff06f j 800053d8 <_ntoa_long+0x78> + 800054b4: 00000d13 li s10,0 + 800054b8: 03010493 addi s1,sp,48 + 800054bc: f7dff06f j 80005438 <_ntoa_long+0xd8> + +00000000800054c0 <_ntoa_long_long>: + 800054c0: f4010113 addi sp,sp,-192 + 800054c4: 09413823 sd s4,144(sp) + 800054c8: 09513423 sd s5,136(sp) + 800054cc: 09613023 sd s6,128(sp) + 800054d0: 07713c23 sd s7,120(sp) + 800054d4: 07813823 sd s8,112(sp) + 800054d8: 07913423 sd s9,104(sp) + 800054dc: 05b13c23 sd s11,88(sp) + 800054e0: 0a113c23 sd ra,184(sp) + 800054e4: 0a813823 sd s0,176(sp) + 800054e8: 0a913423 sd s1,168(sp) + 800054ec: 0b213023 sd s2,160(sp) + 800054f0: 09313c23 sd s3,152(sp) + 800054f4: 07a13023 sd s10,96(sp) + 800054f8: 02a13023 sd a0,32(sp) + 800054fc: 03113423 sd a7,40(sp) + 80005500: 0c812a03 lw s4,200(sp) + 80005504: 00070d93 mv s11,a4 + 80005508: 00058b13 mv s6,a1 + 8000550c: 00060b93 mv s7,a2 + 80005510: 00068c13 mv s8,a3 + 80005514: 00078c93 mv s9,a5 + 80005518: 00080a93 mv s5,a6 + 8000551c: 00071863 bnez a4,8000552c <_ntoa_long_long+0x6c> + 80005520: 400a7793 andi a5,s4,1024 + 80005524: fefa7a13 andi s4,s4,-17 + 80005528: 0e079663 bnez a5,80005614 <_ntoa_long_long+0x154> + 8000552c: 020a7793 andi a5,s4,32 + 80005530: 06100413 li s0,97 + 80005534: 0c079c63 bnez a5,8000560c <_ntoa_long_long+0x14c> + 80005538: 00000d13 li s10,0 + 8000553c: 03010493 addi s1,sp,48 + 80005540: 00900913 li s2,9 + 80005544: ff64041b addiw s0,s0,-10 + 80005548: 02000993 li s3,32 + 8000554c: 00c0006f j 80005558 <_ntoa_long_long+0x98> + 80005550: 053d0463 beq s10,s3,80005598 <_ntoa_long_long+0xd8> + 80005554: 00050d93 mv s11,a0 + 80005558: 000a8593 mv a1,s5 + 8000555c: 000d8513 mv a0,s11 + 80005560: c30ff0ef jal ra,80004990 <__umoddi3> + 80005564: 0ff57313 andi t1,a0,255 + 80005568: 0303071b addiw a4,t1,48 + 8000556c: 0064033b addw t1,s0,t1 + 80005570: 0ff37313 andi t1,t1,255 + 80005574: 00a96463 bltu s2,a0,8000557c <_ntoa_long_long+0xbc> + 80005578: 0ff77313 andi t1,a4,255 + 8000557c: 001d0d13 addi s10,s10,1 + 80005580: 01a48733 add a4,s1,s10 + 80005584: 000d8513 mv a0,s11 + 80005588: 000a8593 mv a1,s5 + 8000558c: fe670fa3 sb t1,-1(a4) + 80005590: bb8ff0ef jal ra,80004948 <__udivdi3> + 80005594: fb5dfee3 bgeu s11,s5,80005550 <_ntoa_long_long+0x90> + 80005598: 0c012703 lw a4,192(sp) + 8000559c: 02813783 ld a5,40(sp) + 800055a0: 02013503 ld a0,32(sp) + 800055a4: 01413823 sd s4,16(sp) + 800055a8: 00e13423 sd a4,8(sp) + 800055ac: 00f13023 sd a5,0(sp) + 800055b0: 000a889b sext.w a7,s5 + 800055b4: 000c8813 mv a6,s9 + 800055b8: 000d0793 mv a5,s10 + 800055bc: 00048713 mv a4,s1 + 800055c0: 000c0693 mv a3,s8 + 800055c4: 000b8613 mv a2,s7 + 800055c8: 000b0593 mv a1,s6 + 800055cc: 8fdff0ef jal ra,80004ec8 <_ntoa_format> + 800055d0: 0b813083 ld ra,184(sp) + 800055d4: 0b013403 ld s0,176(sp) + 800055d8: 0a813483 ld s1,168(sp) + 800055dc: 0a013903 ld s2,160(sp) + 800055e0: 09813983 ld s3,152(sp) + 800055e4: 09013a03 ld s4,144(sp) + 800055e8: 08813a83 ld s5,136(sp) + 800055ec: 08013b03 ld s6,128(sp) + 800055f0: 07813b83 ld s7,120(sp) + 800055f4: 07013c03 ld s8,112(sp) + 800055f8: 06813c83 ld s9,104(sp) + 800055fc: 06013d03 ld s10,96(sp) + 80005600: 05813d83 ld s11,88(sp) + 80005604: 0c010113 addi sp,sp,192 + 80005608: 00008067 ret + 8000560c: 04100413 li s0,65 + 80005610: f29ff06f j 80005538 <_ntoa_long_long+0x78> + 80005614: 00000d13 li s10,0 + 80005618: 03010493 addi s1,sp,48 + 8000561c: f7dff06f j 80005598 <_ntoa_long_long+0xd8> + +0000000080005620 <_vsnprintf>: + 80005620: f6010113 addi sp,sp,-160 + 80005624: 08913423 sd s1,136(sp) + 80005628: 09213023 sd s2,128(sp) + 8000562c: 07313c23 sd s3,120(sp) + 80005630: 05713c23 sd s7,88(sp) + 80005634: 05813823 sd s8,80(sp) + 80005638: 08113c23 sd ra,152(sp) + 8000563c: 08813823 sd s0,144(sp) + 80005640: 07413823 sd s4,112(sp) + 80005644: 07513423 sd s5,104(sp) + 80005648: 07613023 sd s6,96(sp) + 8000564c: 05913423 sd s9,72(sp) + 80005650: 05a13023 sd s10,64(sp) + 80005654: 03b13c23 sd s11,56(sp) + 80005658: 00058493 mv s1,a1 + 8000565c: 00060c13 mv s8,a2 + 80005660: 00068b93 mv s7,a3 + 80005664: 00070993 mv s3,a4 + 80005668: 00000917 auipc s2,0x0 + 8000566c: 85c90913 addi s2,s2,-1956 # 80004ec4 <_out_null> + 80005670: 00058463 beqz a1,80005678 <_vsnprintf+0x58> + 80005674: 00050913 mv s2,a0 + 80005678: 000bc503 lbu a0,0(s7) + 8000567c: 00000d13 li s10,0 + 80005680: 66050663 beqz a0,80005cec <_vsnprintf+0x6cc> + 80005684: 000107b7 lui a5,0x10 + 80005688: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000568c: 02500a13 li s4,37 + 80005690: 00001417 auipc s0,0x1 + 80005694: 57040413 addi s0,s0,1392 # 80006c00 + 80005698: 00f13c23 sd a5,24(sp) + 8000569c: 0200006f j 800056bc <_vsnprintf+0x9c> + 800056a0: 000d0613 mv a2,s10 + 800056a4: 000c0693 mv a3,s8 + 800056a8: 00048593 mv a1,s1 + 800056ac: 001d0d13 addi s10,s10,1 + 800056b0: 000900e7 jalr s2 + 800056b4: 000bc503 lbu a0,0(s7) + 800056b8: 1c050263 beqz a0,8000587c <_vsnprintf+0x25c> + 800056bc: 001b8b93 addi s7,s7,1 + 800056c0: ff4510e3 bne a0,s4,800056a0 <_vsnprintf+0x80> + 800056c4: 00000593 li a1,0 + 800056c8: 01000813 li a6,16 + 800056cc: 000bc503 lbu a0,0(s7) + 800056d0: 001b8713 addi a4,s7,1 + 800056d4: 00070613 mv a2,a4 + 800056d8: fe05079b addiw a5,a0,-32 + 800056dc: 0ff7f793 andi a5,a5,255 + 800056e0: 00f86c63 bltu a6,a5,800056f8 <_vsnprintf+0xd8> + 800056e4: 00279793 slli a5,a5,0x2 + 800056e8: 008787b3 add a5,a5,s0 + 800056ec: 0007a783 lw a5,0(a5) + 800056f0: 008787b3 add a5,a5,s0 + 800056f4: 00078067 jr a5 + 800056f8: fd05079b addiw a5,a0,-48 + 800056fc: 0ff7f793 andi a5,a5,255 + 80005700: 00900813 li a6,9 + 80005704: 0ef87c63 bgeu a6,a5,800057fc <_vsnprintf+0x1dc> + 80005708: 02a00793 li a5,42 + 8000570c: 20f50463 beq a0,a5,80005914 <_vsnprintf+0x2f4> + 80005710: 000b8613 mv a2,s7 + 80005714: 00000d93 li s11,0 + 80005718: 00070b93 mv s7,a4 + 8000571c: 02e00793 li a5,46 + 80005720: 00000c93 li s9,0 + 80005724: 12f50463 beq a0,a5,8000584c <_vsnprintf+0x22c> + 80005728: f985079b addiw a5,a0,-104 + 8000572c: 0ff7f793 andi a5,a5,255 + 80005730: 01200713 li a4,18 + 80005734: 08f76463 bltu a4,a5,800057bc <_vsnprintf+0x19c> + 80005738: 00001717 auipc a4,0x1 + 8000573c: 50c70713 addi a4,a4,1292 # 80006c44 + 80005740: 00279793 slli a5,a5,0x2 + 80005744: 00e787b3 add a5,a5,a4 + 80005748: 0007a783 lw a5,0(a5) + 8000574c: 00e787b3 add a5,a5,a4 + 80005750: 00078067 jr a5 + 80005754: 0015e593 ori a1,a1,1 + 80005758: 0005859b sext.w a1,a1 + 8000575c: 00070b93 mv s7,a4 + 80005760: f6dff06f j 800056cc <_vsnprintf+0xac> + 80005764: 0025e593 ori a1,a1,2 + 80005768: 0005859b sext.w a1,a1 + 8000576c: 00070b93 mv s7,a4 + 80005770: f5dff06f j 800056cc <_vsnprintf+0xac> + 80005774: 0045e593 ori a1,a1,4 + 80005778: 0005859b sext.w a1,a1 + 8000577c: 00070b93 mv s7,a4 + 80005780: f4dff06f j 800056cc <_vsnprintf+0xac> + 80005784: 0105e593 ori a1,a1,16 + 80005788: 0005859b sext.w a1,a1 + 8000578c: 00070b93 mv s7,a4 + 80005790: f3dff06f j 800056cc <_vsnprintf+0xac> + 80005794: 0085e593 ori a1,a1,8 + 80005798: 0005859b sext.w a1,a1 + 8000579c: 00070b93 mv s7,a4 + 800057a0: f2dff06f j 800056cc <_vsnprintf+0xac> + 800057a4: 00164503 lbu a0,1(a2) + 800057a8: 06800793 li a5,104 + 800057ac: 52f50663 beq a0,a5,80005cd8 <_vsnprintf+0x6b8> + 800057b0: 0805e593 ori a1,a1,128 + 800057b4: 0005859b sext.w a1,a1 + 800057b8: 001b8b93 addi s7,s7,1 + 800057bc: fdb5079b addiw a5,a0,-37 + 800057c0: 0ff7f793 andi a5,a5,255 + 800057c4: 05300713 li a4,83 + 800057c8: ecf76ce3 bltu a4,a5,800056a0 <_vsnprintf+0x80> + 800057cc: 00001717 auipc a4,0x1 + 800057d0: 4c470713 addi a4,a4,1220 # 80006c90 + 800057d4: 00279793 slli a5,a5,0x2 + 800057d8: 00e787b3 add a5,a5,a4 + 800057dc: 0007a783 lw a5,0(a5) + 800057e0: 00e787b3 add a5,a5,a4 + 800057e4: 00078067 jr a5 + 800057e8: 00164503 lbu a0,1(a2) + 800057ec: 1005e593 ori a1,a1,256 + 800057f0: 0005859b sext.w a1,a1 + 800057f4: 001b8b93 addi s7,s7,1 + 800057f8: fc5ff06f j 800057bc <_vsnprintf+0x19c> + 800057fc: 00000d93 li s11,0 + 80005800: 00900813 li a6,9 + 80005804: 0080006f j 8000580c <_vsnprintf+0x1ec> + 80005808: 00170713 addi a4,a4,1 + 8000580c: 002d979b slliw a5,s11,0x2 + 80005810: 01b787bb addw a5,a5,s11 + 80005814: 0017979b slliw a5,a5,0x1 + 80005818: 00a787bb addw a5,a5,a0 + 8000581c: 00074503 lbu a0,0(a4) + 80005820: 000b8893 mv a7,s7 + 80005824: fd078d9b addiw s11,a5,-48 + 80005828: fd05061b addiw a2,a0,-48 + 8000582c: 0ff67613 andi a2,a2,255 + 80005830: 00070b93 mv s7,a4 + 80005834: fcc87ae3 bgeu a6,a2,80005808 <_vsnprintf+0x1e8> + 80005838: 02e00793 li a5,46 + 8000583c: 00070613 mv a2,a4 + 80005840: 00288b93 addi s7,a7,2 + 80005844: 00000c93 li s9,0 + 80005848: eef510e3 bne a0,a5,80005728 <_vsnprintf+0x108> + 8000584c: 00164503 lbu a0,1(a2) + 80005850: 4005e593 ori a1,a1,1024 + 80005854: 00900713 li a4,9 + 80005858: fd05079b addiw a5,a0,-48 + 8000585c: 0ff7f793 andi a5,a5,255 + 80005860: 0005859b sext.w a1,a1 + 80005864: 06f77c63 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005868: 02a00793 li a5,42 + 8000586c: 32f50e63 beq a0,a5,80005ba8 <_vsnprintf+0x588> + 80005870: 000b8613 mv a2,s7 + 80005874: 001b8b93 addi s7,s7,1 + 80005878: eb1ff06f j 80005728 <_vsnprintf+0x108> + 8000587c: 000d041b sext.w s0,s10 + 80005880: 018d6463 bltu s10,s8,80005888 <_vsnprintf+0x268> + 80005884: fffc0d13 addi s10,s8,-1 + 80005888: 000c0693 mv a3,s8 + 8000588c: 000d0613 mv a2,s10 + 80005890: 00048593 mv a1,s1 + 80005894: 00000513 li a0,0 + 80005898: 000900e7 jalr s2 + 8000589c: 09813083 ld ra,152(sp) + 800058a0: 00040513 mv a0,s0 + 800058a4: 09013403 ld s0,144(sp) + 800058a8: 08813483 ld s1,136(sp) + 800058ac: 08013903 ld s2,128(sp) + 800058b0: 07813983 ld s3,120(sp) + 800058b4: 07013a03 ld s4,112(sp) + 800058b8: 06813a83 ld s5,104(sp) + 800058bc: 06013b03 ld s6,96(sp) + 800058c0: 05813b83 ld s7,88(sp) + 800058c4: 05013c03 ld s8,80(sp) + 800058c8: 04813c83 ld s9,72(sp) + 800058cc: 04013d03 ld s10,64(sp) + 800058d0: 03813d83 ld s11,56(sp) + 800058d4: 0a010113 addi sp,sp,160 + 800058d8: 00008067 ret + 800058dc: 002c979b slliw a5,s9,0x2 + 800058e0: 019788bb addw a7,a5,s9 + 800058e4: 000b8813 mv a6,s7 + 800058e8: 0018989b slliw a7,a7,0x1 + 800058ec: 001b8b93 addi s7,s7,1 + 800058f0: 00a888bb addw a7,a7,a0 + 800058f4: 000bc503 lbu a0,0(s7) + 800058f8: fd088c9b addiw s9,a7,-48 + 800058fc: fd05079b addiw a5,a0,-48 + 80005900: 0ff7f793 andi a5,a5,255 + 80005904: fcf77ce3 bgeu a4,a5,800058dc <_vsnprintf+0x2bc> + 80005908: 000b8613 mv a2,s7 + 8000590c: 00280b93 addi s7,a6,2 + 80005910: e19ff06f j 80005728 <_vsnprintf+0x108> + 80005914: 0009a783 lw a5,0(s3) + 80005918: 00898993 addi s3,s3,8 + 8000591c: 00078d9b sext.w s11,a5 + 80005920: 0007c863 bltz a5,80005930 <_vsnprintf+0x310> + 80005924: 001bc503 lbu a0,1(s7) + 80005928: 002b8b93 addi s7,s7,2 + 8000592c: df1ff06f j 8000571c <_vsnprintf+0xfc> + 80005930: 0025e593 ori a1,a1,2 + 80005934: 001bc503 lbu a0,1(s7) + 80005938: 0005859b sext.w a1,a1 + 8000593c: 40f00dbb negw s11,a5 + 80005940: 002b8b93 addi s7,s7,2 + 80005944: dd9ff06f j 8000571c <_vsnprintf+0xfc> + 80005948: 07800793 li a5,120 + 8000594c: 00898a93 addi s5,s3,8 + 80005950: 56f50063 beq a0,a5,80005eb0 <_vsnprintf+0x890> + 80005954: 05800793 li a5,88 + 80005958: 28f50463 beq a0,a5,80005be0 <_vsnprintf+0x5c0> + 8000595c: 06f00793 li a5,111 + 80005960: 4af50c63 beq a0,a5,80005e18 <_vsnprintf+0x7f8> + 80005964: 06200793 li a5,98 + 80005968: 54f50063 beq a0,a5,80005ea8 <_vsnprintf+0x888> + 8000596c: fef5ff13 andi t5,a1,-17 + 80005970: 4005f793 andi a5,a1,1024 + 80005974: 06900713 li a4,105 + 80005978: 000f0f1b sext.w t5,t5 + 8000597c: 0007879b sext.w a5,a5 + 80005980: 5ae51063 bne a0,a4,80005f20 <_vsnprintf+0x900> + 80005984: 48079663 bnez a5,80005e10 <_vsnprintf+0x7f0> + 80005988: 2005f593 andi a1,a1,512 + 8000598c: 0005879b sext.w a5,a1 + 80005990: 00a00813 li a6,10 + 80005994: 42079663 bnez a5,80005dc0 <_vsnprintf+0x7a0> + 80005998: 100f7793 andi a5,t5,256 + 8000599c: 000f0613 mv a2,t5 + 800059a0: 4e079063 bnez a5,80005e80 <_vsnprintf+0x860> + 800059a4: 040f7713 andi a4,t5,64 + 800059a8: 0009a783 lw a5,0(s3) + 800059ac: 4a071a63 bnez a4,80005e60 <_vsnprintf+0x840> + 800059b0: 08067613 andi a2,a2,128 + 800059b4: 52060a63 beqz a2,80005ee8 <_vsnprintf+0x8c8> + 800059b8: 0107979b slliw a5,a5,0x10 + 800059bc: 4107d79b sraiw a5,a5,0x10 + 800059c0: 40f7d61b sraiw a2,a5,0xf + 800059c4: 00c7c733 xor a4,a5,a2 + 800059c8: 40c7073b subw a4,a4,a2 + 800059cc: 03071713 slli a4,a4,0x30 + 800059d0: 03075713 srli a4,a4,0x30 + 800059d4: 000d0613 mv a2,s10 + 800059d8: 01e13423 sd t5,8(sp) + 800059dc: 01b13023 sd s11,0(sp) + 800059e0: 000c8893 mv a7,s9 + 800059e4: 01f7d79b srliw a5,a5,0x1f + 800059e8: 000c0693 mv a3,s8 + 800059ec: 00048593 mv a1,s1 + 800059f0: 00090513 mv a0,s2 + 800059f4: 96dff0ef jal ra,80005360 <_ntoa_long> + 800059f8: 00050d13 mv s10,a0 + 800059fc: 000a8993 mv s3,s5 + 80005a00: cb5ff06f j 800056b4 <_vsnprintf+0x94> + 80005a04: 00164503 lbu a0,1(a2) + 80005a08: 06c00793 li a5,108 + 80005a0c: def510e3 bne a0,a5,800057ec <_vsnprintf+0x1cc> + 80005a10: 3005e593 ori a1,a1,768 + 80005a14: 00264503 lbu a0,2(a2) + 80005a18: 0005859b sext.w a1,a1 + 80005a1c: 00360b93 addi s7,a2,3 + 80005a20: d9dff06f j 800057bc <_vsnprintf+0x19c> + 80005a24: 00898793 addi a5,s3,8 + 80005a28: 001d0813 addi a6,s10,1 + 80005a2c: 0025f593 andi a1,a1,2 + 80005a30: 02f13023 sd a5,32(sp) + 80005a34: 00080b13 mv s6,a6 + 80005a38: 32058263 beqz a1,80005d5c <_vsnprintf+0x73c> + 80005a3c: 0009c503 lbu a0,0(s3) + 80005a40: 000c0693 mv a3,s8 + 80005a44: 000d0613 mv a2,s10 + 80005a48: 00048593 mv a1,s1 + 80005a4c: 000900e7 jalr s2 + 80005a50: 00100793 li a5,1 + 80005a54: 43b7f063 bgeu a5,s11,80005e74 <_vsnprintf+0x854> + 80005a58: ffed879b addiw a5,s11,-2 + 80005a5c: 02079a93 slli s5,a5,0x20 + 80005a60: 020ada93 srli s5,s5,0x20 + 80005a64: 002d0d13 addi s10,s10,2 + 80005a68: 015d0cb3 add s9,s10,s5 + 80005a6c: 000b0613 mv a2,s6 + 80005a70: 000c0693 mv a3,s8 + 80005a74: 001b0b13 addi s6,s6,1 + 80005a78: 00048593 mv a1,s1 + 80005a7c: 02000513 li a0,32 + 80005a80: 000900e7 jalr s2 + 80005a84: ff6c94e3 bne s9,s6,80005a6c <_vsnprintf+0x44c> + 80005a88: 02013983 ld s3,32(sp) + 80005a8c: 015d0d33 add s10,s10,s5 + 80005a90: c25ff06f j 800056b4 <_vsnprintf+0x94> + 80005a94: 000d0613 mv a2,s10 + 80005a98: 000c0693 mv a3,s8 + 80005a9c: 00048593 mv a1,s1 + 80005aa0: 02500513 li a0,37 + 80005aa4: 001d0d13 addi s10,s10,1 + 80005aa8: 000900e7 jalr s2 + 80005aac: c09ff06f j 800056b4 <_vsnprintf+0x94> + 80005ab0: 0009ba83 ld s5,0(s3) + 80005ab4: 00898793 addi a5,s3,8 + 80005ab8: 02f13023 sd a5,32(sp) + 80005abc: 000ac503 lbu a0,0(s5) + 80005ac0: 100c9663 bnez s9,80005bcc <_vsnprintf+0x5ac> + 80005ac4: ffe00793 li a5,-2 + 80005ac8: 42050863 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005acc: 00178693 addi a3,a5,1 + 80005ad0: 00da8633 add a2,s5,a3 + 80005ad4: 000a8793 mv a5,s5 + 80005ad8: 0080006f j 80005ae0 <_vsnprintf+0x4c0> + 80005adc: 1ec78863 beq a5,a2,80005ccc <_vsnprintf+0x6ac> + 80005ae0: 0017c703 lbu a4,1(a5) + 80005ae4: 00178793 addi a5,a5,1 + 80005ae8: fe071ae3 bnez a4,80005adc <_vsnprintf+0x4bc> + 80005aec: 415787bb subw a5,a5,s5 + 80005af0: 00f13823 sd a5,16(sp) + 80005af4: 4005f793 andi a5,a1,1024 + 80005af8: 0007899b sext.w s3,a5 + 80005afc: 00078c63 beqz a5,80005b14 <_vsnprintf+0x4f4> + 80005b00: 01013703 ld a4,16(sp) + 80005b04: 000c879b sext.w a5,s9 + 80005b08: 01977463 bgeu a4,s9,80005b10 <_vsnprintf+0x4f0> + 80005b0c: 0007079b sext.w a5,a4 + 80005b10: 00f13823 sd a5,16(sp) + 80005b14: 0025f593 andi a1,a1,2 + 80005b18: 0005879b sext.w a5,a1 + 80005b1c: 02f13423 sd a5,40(sp) + 80005b20: 1c058a63 beqz a1,80005cf4 <_vsnprintf+0x6d4> + 80005b24: 14050863 beqz a0,80005c74 <_vsnprintf+0x654> + 80005b28: 000d0613 mv a2,s10 + 80005b2c: 00098863 beqz s3,80005b3c <_vsnprintf+0x51c> + 80005b30: fffc879b addiw a5,s9,-1 + 80005b34: 120c8863 beqz s9,80005c64 <_vsnprintf+0x644> + 80005b38: 00078c93 mv s9,a5 + 80005b3c: 000c0693 mv a3,s8 + 80005b40: 00048593 mv a1,s1 + 80005b44: 00160b13 addi s6,a2,1 + 80005b48: 000900e7 jalr s2 + 80005b4c: 41ab07b3 sub a5,s6,s10 + 80005b50: 00fa87b3 add a5,s5,a5 + 80005b54: 0007c503 lbu a0,0(a5) + 80005b58: 10050863 beqz a0,80005c68 <_vsnprintf+0x648> + 80005b5c: 000b0613 mv a2,s6 + 80005b60: fcdff06f j 80005b2c <_vsnprintf+0x50c> + 80005b64: 0009b703 ld a4,0(s3) + 80005b68: 0215e593 ori a1,a1,33 + 80005b6c: 0005859b sext.w a1,a1 + 80005b70: 01000793 li a5,16 + 80005b74: 000d0613 mv a2,s10 + 80005b78: 00b13423 sd a1,8(sp) + 80005b7c: 00f13023 sd a5,0(sp) + 80005b80: 000c8893 mv a7,s9 + 80005b84: 01000813 li a6,16 + 80005b88: 00000793 li a5,0 + 80005b8c: 000c0693 mv a3,s8 + 80005b90: 00048593 mv a1,s1 + 80005b94: 00090513 mv a0,s2 + 80005b98: 929ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005b9c: 00898993 addi s3,s3,8 + 80005ba0: 00050d13 mv s10,a0 + 80005ba4: b11ff06f j 800056b4 <_vsnprintf+0x94> + 80005ba8: 0009a883 lw a7,0(s3) + 80005bac: 00264503 lbu a0,2(a2) + 80005bb0: 00360b93 addi s7,a2,3 + 80005bb4: fff8c793 not a5,a7 + 80005bb8: 43f7d793 srai a5,a5,0x3f + 80005bbc: 00f8fcb3 and s9,a7,a5 + 80005bc0: 00898993 addi s3,s3,8 + 80005bc4: 00260613 addi a2,a2,2 + 80005bc8: b61ff06f j 80005728 <_vsnprintf+0x108> + 80005bcc: 020c9793 slli a5,s9,0x20 + 80005bd0: 0207d793 srli a5,a5,0x20 + 80005bd4: 32050263 beqz a0,80005ef8 <_vsnprintf+0x8d8> + 80005bd8: fff78793 addi a5,a5,-1 + 80005bdc: ef1ff06f j 80005acc <_vsnprintf+0x4ac> + 80005be0: ff35ff13 andi t5,a1,-13 + 80005be4: 000f0f1b sext.w t5,t5 + 80005be8: 4005f793 andi a5,a1,1024 + 80005bec: 020f6f13 ori t5,t5,32 + 80005bf0: 20078863 beqz a5,80005e00 <_vsnprintf+0x7e0> + 80005bf4: 01000813 li a6,16 + 80005bf8: ffef7f13 andi t5,t5,-2 + 80005bfc: 000f0f1b sext.w t5,t5 + 80005c00: 200f7793 andi a5,t5,512 + 80005c04: 06900713 li a4,105 + 80005c08: 0007879b sext.w a5,a5 + 80005c0c: d8e504e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c10: 06400713 li a4,100 + 80005c14: d8e500e3 beq a0,a4,80005994 <_vsnprintf+0x374> + 80005c18: 22079663 bnez a5,80005e44 <_vsnprintf+0x824> + 80005c1c: 100f7793 andi a5,t5,256 + 80005c20: 000f0713 mv a4,t5 + 80005c24: 2a079463 bnez a5,80005ecc <_vsnprintf+0x8ac> + 80005c28: 040f7793 andi a5,t5,64 + 80005c2c: 24079063 bnez a5,80005e6c <_vsnprintf+0x84c> + 80005c30: 08077713 andi a4,a4,128 + 80005c34: 2c070663 beqz a4,80005f00 <_vsnprintf+0x8e0> + 80005c38: 0009a703 lw a4,0(s3) + 80005c3c: 01813783 ld a5,24(sp) + 80005c40: 00e7f733 and a4,a5,a4 + 80005c44: 02071713 slli a4,a4,0x20 + 80005c48: 000d0613 mv a2,s10 + 80005c4c: 01e13423 sd t5,8(sp) + 80005c50: 01b13023 sd s11,0(sp) + 80005c54: 000c8893 mv a7,s9 + 80005c58: 00000793 li a5,0 + 80005c5c: 02075713 srli a4,a4,0x20 + 80005c60: d89ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005c64: 00060b13 mv s6,a2 + 80005c68: 02813783 ld a5,40(sp) + 80005c6c: 04078a63 beqz a5,80005cc0 <_vsnprintf+0x6a0> + 80005c70: 000b0d13 mv s10,s6 + 80005c74: 01013703 ld a4,16(sp) + 80005c78: 0db77e63 bgeu a4,s11,80005d54 <_vsnprintf+0x734> + 80005c7c: fffd879b addiw a5,s11,-1 + 80005c80: 40e7873b subw a4,a5,a4 + 80005c84: 02071713 slli a4,a4,0x20 + 80005c88: 02075713 srli a4,a4,0x20 + 80005c8c: 001d0813 addi a6,s10,1 + 80005c90: 01070b33 add s6,a4,a6 + 80005c94: 0080006f j 80005c9c <_vsnprintf+0x67c> + 80005c98: 00180813 addi a6,a6,1 + 80005c9c: 000d0613 mv a2,s10 + 80005ca0: 01013823 sd a6,16(sp) + 80005ca4: 000c0693 mv a3,s8 + 80005ca8: 00048593 mv a1,s1 + 80005cac: 02000513 li a0,32 + 80005cb0: 00080d13 mv s10,a6 + 80005cb4: 000900e7 jalr s2 + 80005cb8: 01013803 ld a6,16(sp) + 80005cbc: fd0b1ee3 bne s6,a6,80005c98 <_vsnprintf+0x678> + 80005cc0: 02013983 ld s3,32(sp) + 80005cc4: 000b0d13 mv s10,s6 + 80005cc8: 9edff06f j 800056b4 <_vsnprintf+0x94> + 80005ccc: 0006879b sext.w a5,a3 + 80005cd0: 00f13823 sd a5,16(sp) + 80005cd4: e21ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005cd8: 0c05e593 ori a1,a1,192 + 80005cdc: 00264503 lbu a0,2(a2) + 80005ce0: 0005859b sext.w a1,a1 + 80005ce4: 00360b93 addi s7,a2,3 + 80005ce8: ad5ff06f j 800057bc <_vsnprintf+0x19c> + 80005cec: 00000413 li s0,0 + 80005cf0: b91ff06f j 80005880 <_vsnprintf+0x260> + 80005cf4: 01013703 ld a4,16(sp) + 80005cf8: 0017079b addiw a5,a4,1 + 80005cfc: 21b77c63 bgeu a4,s11,80005f14 <_vsnprintf+0x8f4> + 80005d00: fffd879b addiw a5,s11,-1 + 80005d04: 40e787bb subw a5,a5,a4 + 80005d08: 02079793 slli a5,a5,0x20 + 80005d0c: 0207d793 srli a5,a5,0x20 + 80005d10: 001d0813 addi a6,s10,1 + 80005d14: 01078b33 add s6,a5,a6 + 80005d18: 00c0006f j 80005d24 <_vsnprintf+0x704> + 80005d1c: 01013803 ld a6,16(sp) + 80005d20: 00180813 addi a6,a6,1 + 80005d24: 000d0613 mv a2,s10 + 80005d28: 000c0693 mv a3,s8 + 80005d2c: 00080d13 mv s10,a6 + 80005d30: 01013823 sd a6,16(sp) + 80005d34: 00048593 mv a1,s1 + 80005d38: 02000513 li a0,32 + 80005d3c: 000900e7 jalr s2 + 80005d40: fd6d1ee3 bne s10,s6,80005d1c <_vsnprintf+0x6fc> + 80005d44: 000ac503 lbu a0,0(s5) + 80005d48: 001d879b addiw a5,s11,1 + 80005d4c: 00f13823 sd a5,16(sp) + 80005d50: dc051ce3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005d54: 000d0b13 mv s6,s10 + 80005d58: f69ff06f j 80005cc0 <_vsnprintf+0x6a0> + 80005d5c: 00100793 li a5,1 + 80005d60: 1bb7f463 bgeu a5,s11,80005f08 <_vsnprintf+0x8e8> + 80005d64: ffed879b addiw a5,s11,-2 + 80005d68: 02079a93 slli s5,a5,0x20 + 80005d6c: 020ada93 srli s5,s5,0x20 + 80005d70: 010a8ab3 add s5,s5,a6 + 80005d74: 00c0006f j 80005d80 <_vsnprintf+0x760> + 80005d78: 01013803 ld a6,16(sp) + 80005d7c: 00180813 addi a6,a6,1 + 80005d80: 000d0613 mv a2,s10 + 80005d84: 000c0693 mv a3,s8 + 80005d88: 00080d13 mv s10,a6 + 80005d8c: 01013823 sd a6,16(sp) + 80005d90: 00048593 mv a1,s1 + 80005d94: 02000513 li a0,32 + 80005d98: 000900e7 jalr s2 + 80005d9c: fdaa9ee3 bne s5,s10,80005d78 <_vsnprintf+0x758> + 80005da0: 001a8d13 addi s10,s5,1 + 80005da4: 0009c503 lbu a0,0(s3) + 80005da8: 000c0693 mv a3,s8 + 80005dac: 000a8613 mv a2,s5 + 80005db0: 00048593 mv a1,s1 + 80005db4: 000900e7 jalr s2 + 80005db8: 02013983 ld s3,32(sp) + 80005dbc: 8f9ff06f j 800056b4 <_vsnprintf+0x94> + 80005dc0: 0009b783 ld a5,0(s3) + 80005dc4: 000d0613 mv a2,s10 + 80005dc8: 01e13423 sd t5,8(sp) + 80005dcc: 43f7d713 srai a4,a5,0x3f + 80005dd0: 00f745b3 xor a1,a4,a5 + 80005dd4: 01b13023 sd s11,0(sp) + 80005dd8: 000c8893 mv a7,s9 + 80005ddc: 03f7d793 srli a5,a5,0x3f + 80005de0: 40e58733 sub a4,a1,a4 + 80005de4: 000c0693 mv a3,s8 + 80005de8: 00048593 mv a1,s1 + 80005dec: 00090513 mv a0,s2 + 80005df0: ed0ff0ef jal ra,800054c0 <_ntoa_long_long> + 80005df4: 00050d13 mv s10,a0 + 80005df8: 000a8993 mv s3,s5 + 80005dfc: 8b9ff06f j 800056b4 <_vsnprintf+0x94> + 80005e00: 2005f793 andi a5,a1,512 + 80005e04: 0007879b sext.w a5,a5 + 80005e08: 01000813 li a6,16 + 80005e0c: e0dff06f j 80005c18 <_vsnprintf+0x5f8> + 80005e10: 00a00813 li a6,10 + 80005e14: de5ff06f j 80005bf8 <_vsnprintf+0x5d8> + 80005e18: 00800813 li a6,8 + 80005e1c: 00058f13 mv t5,a1 + 80005e20: 400f7713 andi a4,t5,1024 + 80005e24: 06400613 li a2,100 + 80005e28: 000f0793 mv a5,t5 + 80005e2c: 0007071b sext.w a4,a4 + 80005e30: 0ec51c63 bne a0,a2,80005f28 <_vsnprintf+0x908> + 80005e34: dc0712e3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005e38: 2007f793 andi a5,a5,512 + 80005e3c: 0007879b sext.w a5,a5 + 80005e40: b55ff06f j 80005994 <_vsnprintf+0x374> + 80005e44: 0009b703 ld a4,0(s3) + 80005e48: 000d0613 mv a2,s10 + 80005e4c: 01e13423 sd t5,8(sp) + 80005e50: 01b13023 sd s11,0(sp) + 80005e54: 000c8893 mv a7,s9 + 80005e58: 00000793 li a5,0 + 80005e5c: f89ff06f j 80005de4 <_vsnprintf+0x7c4> + 80005e60: 0ff7f793 andi a5,a5,255 + 80005e64: 00078713 mv a4,a5 + 80005e68: b6dff06f j 800059d4 <_vsnprintf+0x3b4> + 80005e6c: 0009c703 lbu a4,0(s3) + 80005e70: dd5ff06f j 80005c44 <_vsnprintf+0x624> + 80005e74: 02013983 ld s3,32(sp) + 80005e78: 000b0d13 mv s10,s6 + 80005e7c: 839ff06f j 800056b4 <_vsnprintf+0x94> + 80005e80: 0009b783 ld a5,0(s3) + 80005e84: 000d0613 mv a2,s10 + 80005e88: 01e13423 sd t5,8(sp) + 80005e8c: 43f7d713 srai a4,a5,0x3f + 80005e90: 00f745b3 xor a1,a4,a5 + 80005e94: 01b13023 sd s11,0(sp) + 80005e98: 000c8893 mv a7,s9 + 80005e9c: 03f7d793 srli a5,a5,0x3f + 80005ea0: 40e58733 sub a4,a1,a4 + 80005ea4: b45ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ea8: 00200813 li a6,2 + 80005eac: f71ff06f j 80005e1c <_vsnprintf+0x7fc> + 80005eb0: 4005f713 andi a4,a1,1024 + 80005eb4: 0007071b sext.w a4,a4 + 80005eb8: 01000813 li a6,16 + 80005ebc: ff35f593 andi a1,a1,-13 + 80005ec0: 00058f1b sext.w t5,a1 + 80005ec4: d2071ae3 bnez a4,80005bf8 <_vsnprintf+0x5d8> + 80005ec8: d39ff06f j 80005c00 <_vsnprintf+0x5e0> + 80005ecc: 0009b703 ld a4,0(s3) + 80005ed0: 000d0613 mv a2,s10 + 80005ed4: 01e13423 sd t5,8(sp) + 80005ed8: 01b13023 sd s11,0(sp) + 80005edc: 000c8893 mv a7,s9 + 80005ee0: 00000793 li a5,0 + 80005ee4: b05ff06f j 800059e8 <_vsnprintf+0x3c8> + 80005ee8: 41f7d61b sraiw a2,a5,0x1f + 80005eec: 00c7c733 xor a4,a5,a2 + 80005ef0: 40c7073b subw a4,a4,a2 + 80005ef4: ae1ff06f j 800059d4 <_vsnprintf+0x3b4> + 80005ef8: 00013823 sd zero,16(sp) + 80005efc: bf9ff06f j 80005af4 <_vsnprintf+0x4d4> + 80005f00: 0009a703 lw a4,0(s3) + 80005f04: d41ff06f j 80005c44 <_vsnprintf+0x624> + 80005f08: 000d0a93 mv s5,s10 + 80005f0c: 00080d13 mv s10,a6 + 80005f10: e95ff06f j 80005da4 <_vsnprintf+0x784> + 80005f14: 00f13823 sd a5,16(sp) + 80005f18: c00518e3 bnez a0,80005b28 <_vsnprintf+0x508> + 80005f1c: e39ff06f j 80005d54 <_vsnprintf+0x734> + 80005f20: 00a00813 li a6,10 + 80005f24: efdff06f j 80005e20 <_vsnprintf+0x800> + 80005f28: 000f0593 mv a1,t5 + 80005f2c: f91ff06f j 80005ebc <_vsnprintf+0x89c> + +0000000080005f30 <_out_char>: + 80005f30: 00051463 bnez a0,80005f38 <_out_char+0x8> + 80005f34: 00008067 ret + 80005f38: 951fe06f j 80004888 + +0000000080005f3c : + 80005f3c: fa010113 addi sp,sp,-96 + 80005f40: 02810313 addi t1,sp,40 + 80005f44: 02b13423 sd a1,40(sp) + 80005f48: 02c13823 sd a2,48(sp) + 80005f4c: 02d13c23 sd a3,56(sp) + 80005f50: 04e13023 sd a4,64(sp) + 80005f54: 00050693 mv a3,a0 + 80005f58: 00010593 mv a1,sp + 80005f5c: 00030713 mv a4,t1 + 80005f60: fff00613 li a2,-1 + 80005f64: 00000517 auipc a0,0x0 + 80005f68: fcc50513 addi a0,a0,-52 # 80005f30 <_out_char> + 80005f6c: 00113c23 sd ra,24(sp) + 80005f70: 04f13423 sd a5,72(sp) + 80005f74: 05013823 sd a6,80(sp) + 80005f78: 05113c23 sd a7,88(sp) + 80005f7c: 00613423 sd t1,8(sp) + 80005f80: ea0ff0ef jal ra,80005620 <_vsnprintf> + 80005f84: 01813083 ld ra,24(sp) + 80005f88: 06010113 addi sp,sp,96 + 80005f8c: 00008067 ret + +0000000080005f90 : + 80005f90: fb010113 addi sp,sp,-80 + 80005f94: 02010313 addi t1,sp,32 + 80005f98: 02c13023 sd a2,32(sp) + 80005f9c: 02d13423 sd a3,40(sp) + 80005fa0: 02e13823 sd a4,48(sp) + 80005fa4: 00058693 mv a3,a1 + 80005fa8: 00030713 mv a4,t1 + 80005fac: 00050593 mv a1,a0 + 80005fb0: fff00613 li a2,-1 + 80005fb4: fffff517 auipc a0,0xfffff + 80005fb8: f0050513 addi a0,a0,-256 # 80004eb4 <_out_buffer> + 80005fbc: 00113c23 sd ra,24(sp) + 80005fc0: 02f13c23 sd a5,56(sp) + 80005fc4: 05013023 sd a6,64(sp) + 80005fc8: 05113423 sd a7,72(sp) + 80005fcc: 00613423 sd t1,8(sp) + 80005fd0: e50ff0ef jal ra,80005620 <_vsnprintf> + 80005fd4: 01813083 ld ra,24(sp) + 80005fd8: 05010113 addi sp,sp,80 + 80005fdc: 00008067 ret + +0000000080005fe0 : + 80005fe0: 00700713 li a4,7 + 80005fe4: 00050793 mv a5,a0 + 80005fe8: 0ac77a63 bgeu a4,a2,8000609c + 80005fec: 0ff5f893 andi a7,a1,255 + 80005ff0: 00889693 slli a3,a7,0x8 + 80005ff4: 0116e6b3 or a3,a3,a7 + 80005ff8: 01069713 slli a4,a3,0x10 + 80005ffc: 00d766b3 or a3,a4,a3 + 80006000: 02069713 slli a4,a3,0x20 + 80006004: 00757813 andi a6,a0,7 + 80006008: 00d76733 or a4,a4,a3 + 8000600c: 0a080663 beqz a6,800060b8 + 80006010: 00c50633 add a2,a0,a2 + 80006014: 01178023 sb a7,0(a5) + 80006018: 00178793 addi a5,a5,1 + 8000601c: 0077f813 andi a6,a5,7 + 80006020: 40f606b3 sub a3,a2,a5 + 80006024: fe0818e3 bnez a6,80006014 + 80006028: 0066d813 srli a6,a3,0x6 + 8000602c: 04080063 beqz a6,8000606c + 80006030: 00681613 slli a2,a6,0x6 + 80006034: 00078893 mv a7,a5 + 80006038: 00f60633 add a2,a2,a5 + 8000603c: 00e7b023 sd a4,0(a5) + 80006040: 00e7b423 sd a4,8(a5) + 80006044: 00e7b823 sd a4,16(a5) + 80006048: 00e7bc23 sd a4,24(a5) + 8000604c: 02e7b023 sd a4,32(a5) + 80006050: 02e7b423 sd a4,40(a5) + 80006054: 02e7b823 sd a4,48(a5) + 80006058: 02e7bc23 sd a4,56(a5) + 8000605c: 04078793 addi a5,a5,64 + 80006060: fcf61ee3 bne a2,a5,8000603c + 80006064: 00681793 slli a5,a6,0x6 + 80006068: 011787b3 add a5,a5,a7 + 8000606c: 0036d813 srli a6,a3,0x3 + 80006070: 00787813 andi a6,a6,7 + 80006074: 02080263 beqz a6,80006098 + 80006078: 00381613 slli a2,a6,0x3 + 8000607c: 00078893 mv a7,a5 + 80006080: 00f60633 add a2,a2,a5 + 80006084: 00e7b023 sd a4,0(a5) + 80006088: 00878793 addi a5,a5,8 + 8000608c: fef61ce3 bne a2,a5,80006084 + 80006090: 00381793 slli a5,a6,0x3 + 80006094: 011787b3 add a5,a5,a7 + 80006098: 0076f613 andi a2,a3,7 + 8000609c: 0ff5f593 andi a1,a1,255 + 800060a0: 00c78733 add a4,a5,a2 + 800060a4: 00060863 beqz a2,800060b4 + 800060a8: 00b78023 sb a1,0(a5) + 800060ac: 00178793 addi a5,a5,1 + 800060b0: fef71ce3 bne a4,a5,800060a8 + 800060b4: 00008067 ret + 800060b8: 00060693 mv a3,a2 + 800060bc: f6dff06f j 80006028 + +00000000800060c0 : + 800060c0: 1a050e63 beqz a0,8000627c + 800060c4: fd010113 addi sp,sp,-48 + 800060c8: 02813023 sd s0,32(sp) + 800060cc: 00913c23 sd s1,24(sp) + 800060d0: 01f57793 andi a5,a0,31 + 800060d4: 02113423 sd ra,40(sp) + 800060d8: 01213823 sd s2,16(sp) + 800060dc: 01313423 sd s3,8(sp) + 800060e0: 01413023 sd s4,0(sp) + 800060e4: 00050493 mv s1,a0 + 800060e8: 00050413 mv s0,a0 + 800060ec: 00000513 li a0,0 + 800060f0: 14079a63 bnez a5,80006244 + 800060f4: 27f00713 li a4,639 + 800060f8: 00058913 mv s2,a1 + 800060fc: 00078513 mv a0,a5 + 80006100: 14b77263 bgeu a4,a1,80006244 + 80006104: 0074f513 andi a0,s1,7 + 80006108: 00153513 seqz a0,a0 + 8000610c: 00060a13 mv s4,a2 + 80006110: 00068993 mv s3,a3 + 80006114: f8cfe0ef jal ra,800048a0 <_assert> + 80006118: 20048793 addi a5,s1,512 + 8000611c: 2004b023 sd zero,512(s1) + 80006120: 2144b423 sd s4,520(s1) + 80006124: 2134b823 sd s3,528(s1) + 80006128: 00043023 sd zero,0(s0) + 8000612c: 00840413 addi s0,s0,8 + 80006130: fe879ce3 bne a5,s0,80006128 + 80006134: fff00793 li a5,-1 + 80006138: dc090413 addi s0,s2,-576 + 8000613c: 03f79793 slli a5,a5,0x3f + 80006140: 1287f863 bgeu a5,s0,80006270 + 80006144: 00078413 mv s0,a5 + 80006148: 00100513 li a0,1 + 8000614c: f54fe0ef jal ra,800048a0 <_assert> + 80006150: f8300793 li a5,-125 + 80006154: 0017d793 srli a5,a5,0x1 + 80006158: fc040513 addi a0,s0,-64 + 8000615c: 00f53533 sltu a0,a0,a5 + 80006160: f40fe0ef jal ra,800048a0 <_assert> + 80006164: 01f4f513 andi a0,s1,31 + 80006168: 00153513 seqz a0,a0 + 8000616c: f34fe0ef jal ra,800048a0 <_assert> + 80006170: 00100513 li a0,1 + 80006174: 2404b023 sd zero,576(s1) + 80006178: 2404b423 sd zero,584(s1) + 8000617c: 2484b823 sd s0,592(s1) + 80006180: 24048c23 sb zero,600(s1) + 80006184: 2604b023 sd zero,608(s1) + 80006188: 2604b423 sd zero,616(s1) + 8000618c: f14fe0ef jal ra,800048a0 <_assert> + 80006190: 00100513 li a0,1 + 80006194: f0cfe0ef jal ra,800048a0 <_assert> + 80006198: 2504b503 ld a0,592(s1) + 8000619c: 24048a13 addi s4,s1,576 + 800061a0: 04053513 sltiu a0,a0,64 + 800061a4: 00154513 xori a0,a0,1 + 800061a8: 00157513 andi a0,a0,1 + 800061ac: ef4fe0ef jal ra,800048a0 <_assert> + 800061b0: 2504b503 ld a0,592(s1) + 800061b4: 03f57513 andi a0,a0,63 + 800061b8: 00153513 seqz a0,a0 + 800061bc: ee4fe0ef jal ra,800048a0 <_assert> + 800061c0: 2504b703 ld a4,592(s1) + 800061c4: 00100793 li a5,1 + 800061c8: 00675713 srli a4,a4,0x6 + 800061cc: 0ae7fc63 bgeu a5,a4,80006284 + 800061d0: 00000793 li a5,0 + 800061d4: 00100693 li a3,1 + 800061d8: 0017879b addiw a5,a5,1 + 800061dc: 00175713 srli a4,a4,0x1 + 800061e0: 0ff7f793 andi a5,a5,255 + 800061e4: fed71ae3 bne a4,a3,800061d8 + 800061e8: 00078993 mv s3,a5 + 800061ec: 0407b513 sltiu a0,a5,64 + 800061f0: 00f71933 sll s2,a4,a5 + 800061f4: eacfe0ef jal ra,800048a0 <_assert> + 800061f8: 00399793 slli a5,s3,0x3 + 800061fc: 00f487b3 add a5,s1,a5 + 80006200: 0007b703 ld a4,0(a5) + 80006204: 2604b423 sd zero,616(s1) + 80006208: 26e4b023 sd a4,608(s1) + 8000620c: 00070463 beqz a4,80006214 + 80006210: 03473423 sd s4,40(a4) + 80006214: 0147b023 sd s4,0(a5) + 80006218: 2004b503 ld a0,512(s1) + 8000621c: 00a96533 or a0,s2,a0 + 80006220: 20a4b023 sd a0,512(s1) + 80006224: 00a03533 snez a0,a0 + 80006228: e78fe0ef jal ra,800048a0 <_assert> + 8000622c: 00048513 mv a0,s1 + 80006230: 2084bc23 sd s0,536(s1) + 80006234: 2204b023 sd zero,544(s1) + 80006238: 2204b423 sd zero,552(s1) + 8000623c: 2204b823 sd zero,560(s1) + 80006240: 2204bc23 sd zero,568(s1) + 80006244: 02813083 ld ra,40(sp) + 80006248: 02013403 ld s0,32(sp) + 8000624c: 01813483 ld s1,24(sp) + 80006250: 01013903 ld s2,16(sp) + 80006254: 00813983 ld s3,8(sp) + 80006258: 00013a03 ld s4,0(sp) + 8000625c: 03010113 addi sp,sp,48 + 80006260: 00008067 ret + 80006264: 00100513 li a0,1 + 80006268: fff40413 addi s0,s0,-1 + 8000626c: e34fe0ef jal ra,800048a0 <_assert> + 80006270: 03f47793 andi a5,s0,63 + 80006274: fe0798e3 bnez a5,80006264 + 80006278: ed1ff06f j 80006148 + 8000627c: 00000513 li a0,0 + 80006280: 00008067 ret + 80006284: 00100913 li s2,1 + 80006288: 00000993 li s3,0 + 8000628c: 00100513 li a0,1 + 80006290: f65ff06f j 800061f4 diff --git a/bin/custom-output/hello/amtest-hello.bin b/bin/custom-output/hello/amtest-hello.bin new file mode 100755 index 0000000..54a0665 Binary files /dev/null and b/bin/custom-output/hello/amtest-hello.bin differ diff --git a/bin/custom-output/hello/amtest-hello.elf b/bin/custom-output/hello/amtest-hello.elf new file mode 100755 index 0000000..00bf4c1 Binary files /dev/null and b/bin/custom-output/hello/amtest-hello.elf differ diff --git a/bin/custom-output/hello/amtest-hello.txt b/bin/custom-output/hello/amtest-hello.txt new file mode 100755 index 0000000..4a5230b --- /dev/null +++ b/bin/custom-output/hello/amtest-hello.txt @@ -0,0 +1,3311 @@ + +/home/hzb/test/am-kernels/tests/am-tests/build/amtest-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0009f117 auipc sp,0x9f + 80000008: ffc10113 addi sp,sp,-4 # 8009f000 <_end> + 8000000c: 0b8010ef jal ra,800010c4 <_trm_init> + +0000000080000010
: + 80000010: fd010113 addi sp,sp,-48 + 80000014: 02113423 sd ra,40(sp) + 80000018: 02813023 sd s0,32(sp) + 8000001c: 00913c23 sd s1,24(sp) + 80000020: 01213823 sd s2,16(sp) + 80000024: 01313423 sd s3,8(sp) + 80000028: 00054783 lbu a5,0(a0) + 8000002c: 01500713 li a4,21 + 80000030: f9f7879b addiw a5,a5,-97 + 80000034: 0ff7f793 andi a5,a5,255 + 80000038: 02f76063 bltu a4,a5,80000058 + 8000003c: 00003717 auipc a4,0x3 + 80000040: 18070713 addi a4,a4,384 # 800031bc <_etext+0x2c> + 80000044: 00279793 slli a5,a5,0x2 + 80000048: 00e787b3 add a5,a5,a4 + 8000004c: 0007a783 lw a5,0(a5) + 80000050: 00e787b3 add a5,a5,a4 + 80000054: 00078067 jr a5 + 80000058: 00003517 auipc a0,0x3 + 8000005c: 13850513 addi a0,a0,312 # 80003190 <_etext> + 80000060: 1f1020ef jal ra,80002a50 + 80000064: 00003497 auipc s1,0x3 + 80000068: 28448493 addi s1,s1,644 # 800032e8 + 8000006c: 00000413 li s0,0 + 80000070: 10000913 li s2,256 + 80000074: 00003997 auipc s3,0x3 + 80000078: 13c98993 addi s3,s3,316 # 800031b0 <_etext+0x20> + 8000007c: 0014041b addiw s0,s0,1 + 80000080: 03240c63 beq s0,s2,800000b8 + 80000084: 0004b603 ld a2,0(s1) + 80000088: 00060863 beqz a2,80000098 + 8000008c: 00040593 mv a1,s0 + 80000090: 00098513 mv a0,s3 + 80000094: 1bd020ef jal ra,80002a50 + 80000098: 00848493 addi s1,s1,8 + 8000009c: fe1ff06f j 8000007c + 800000a0: 00000797 auipc a5,0x0 + 800000a4: 13c78793 addi a5,a5,316 # 800001dc + 800000a8: 00097717 auipc a4,0x97 + 800000ac: b0f73023 sd a5,-1280(a4) # 80096ba8 + 800000b0: 1e0010ef jal ra,80001290 + 800000b4: 128000ef jal ra,800001dc + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00000513 li a0,0 + 800000d0: 03010113 addi sp,sp,48 + 800000d4: 00008067 ret + 800000d8: 00001797 auipc a5,0x1 + 800000dc: ac078793 addi a5,a5,-1344 # 80000b98 + 800000e0: 00097717 auipc a4,0x97 + 800000e4: acf73423 sd a5,-1336(a4) # 80096ba8 + 800000e8: 1a8010ef jal ra,80001290 + 800000ec: 2ad000ef jal ra,80000b98 + 800000f0: fc9ff06f j 800000b8 + 800000f4: 00001797 auipc a5,0x1 + 800000f8: d2c78793 addi a5,a5,-724 # 80000e20 + 800000fc: 00097717 auipc a4,0x97 + 80000100: aaf73623 sd a5,-1364(a4) # 80096ba8 + 80000104: 51d000ef jal ra,80000e20 + 80000108: fb1ff06f j 800000b8 + 8000010c: 00001797 auipc a5,0x1 + 80000110: ef078793 addi a5,a5,-272 # 80000ffc + 80000114: 00097717 auipc a4,0x97 + 80000118: a8f73a23 sd a5,-1388(a4) # 80096ba8 + 8000011c: 174010ef jal ra,80001290 + 80000120: 00001517 auipc a0,0x1 + 80000124: e4850513 addi a0,a0,-440 # 80000f68 + 80000128: 634010ef jal ra,8000175c + 8000012c: 6d1000ef jal ra,80000ffc + 80000130: f89ff06f j 800000b8 + 80000134: 00001797 auipc a5,0x1 + 80000138: d3878793 addi a5,a5,-712 # 80000e6c + 8000013c: 00097717 auipc a4,0x97 + 80000140: a6f73623 sd a5,-1428(a4) # 80096ba8 + 80000144: 14c010ef jal ra,80001290 + 80000148: 525000ef jal ra,80000e6c + 8000014c: f6dff06f j 800000b8 + 80000150: 00001517 auipc a0,0x1 + 80000154: f1c50513 addi a0,a0,-228 # 8000106c + 80000158: 00097797 auipc a5,0x97 + 8000015c: a4a7b823 sd a0,-1456(a5) # 80096ba8 + 80000160: 7a4010ef jal ra,80001904 + 80000164: 709000ef jal ra,8000106c + 80000168: f51ff06f j 800000b8 + 8000016c: 00000797 auipc a5,0x0 + 80000170: 3b478793 addi a5,a5,948 # 80000520 + 80000174: 00000517 auipc a0,0x0 + 80000178: 22c50513 addi a0,a0,556 # 800003a0 + 8000017c: 00097717 auipc a4,0x97 + 80000180: a2f73623 sd a5,-1492(a4) # 80096ba8 + 80000184: 5d8010ef jal ra,8000175c + 80000188: 00000597 auipc a1,0x0 + 8000018c: 21458593 addi a1,a1,532 # 8000039c + 80000190: 00000517 auipc a0,0x0 + 80000194: 18450513 addi a0,a0,388 # 80000314 + 80000198: 74c010ef jal ra,800018e4 + 8000019c: 384000ef jal ra,80000520 + 800001a0: f19ff06f j 800000b8 + 800001a4: 00000797 auipc a5,0x0 + 800001a8: 51478793 addi a5,a5,1300 # 800006b8 + 800001ac: 00097717 auipc a4,0x97 + 800001b0: 9ef73e23 sd a5,-1540(a4) # 80096ba8 + 800001b4: 0dc010ef jal ra,80001290 + 800001b8: 500000ef jal ra,800006b8 + 800001bc: efdff06f j 800000b8 + 800001c0: 00001797 auipc a5,0x1 + 800001c4: 94078793 addi a5,a5,-1728 # 80000b00 + 800001c8: 00097717 auipc a4,0x97 + 800001cc: 9ef73023 sd a5,-1568(a4) # 80096ba8 + 800001d0: 0c0010ef jal ra,80001290 + 800001d4: 12d000ef jal ra,80000b00 + 800001d8: ee1ff06f j 800000b8 + +00000000800001dc : + 800001dc: fc010113 addi sp,sp,-64 + 800001e0: 00010593 mv a1,sp + 800001e4: 00e00513 li a0,14 + 800001e8: 02113c23 sd ra,56(sp) + 800001ec: 02813823 sd s0,48(sp) + 800001f0: 02913423 sd s1,40(sp) + 800001f4: 03213023 sd s2,32(sp) + 800001f8: 01313c23 sd s3,24(sp) + 800001fc: 01413823 sd s4,16(sp) + 80000200: 0e4010ef jal ra,800012e4 + 80000204: 00014783 lbu a5,0(sp) + 80000208: 0c078c63 beqz a5,800002e0 + 8000020c: 040007b7 lui a5,0x4000 + 80000210: 07d78793 addi a5,a5,125 # 400007d <_entry_offset+0x400007d> + 80000214: 00679793 slli a5,a5,0x6 + 80000218: 00004497 auipc s1,0x4 + 8000021c: 53048493 addi s1,s1,1328 # 80004748 + 80000220: 00012917 auipc s2,0x12 + 80000224: 33490913 addi s2,s2,820 # 80012554 <_data> + 80000228: 00f13023 sd a5,0(sp) + 8000022c: 00010593 mv a1,sp + 80000230: 40000793 li a5,1024 + 80000234: 00f00513 li a0,15 + 80000238: 4099093b subw s2,s2,s1 + 8000023c: 00f12423 sw a5,8(sp) + 80000240: 0c0010ef jal ra,80001300 + 80000244: 06090463 beqz s2,800002ac + 80000248: 00000413 li s0,0 + 8000024c: 00000793 li a5,0 + 80000250: 00001a37 lui s4,0x1 + 80000254: 00004997 auipc s3,0x4 + 80000258: 8c498993 addi s3,s3,-1852 # 80003b18 + 8000025c: 40f907bb subw a5,s2,a5 + 80000260: 0007869b sext.w a3,a5 + 80000264: 00048713 mv a4,s1 + 80000268: 00010593 mv a1,sp + 8000026c: 01100513 li a0,17 + 80000270: 00da7463 bgeu s4,a3,80000278 + 80000274: 000017b7 lui a5,0x1 + 80000278: 02079693 slli a3,a5,0x20 + 8000027c: 0206d693 srli a3,a3,0x20 + 80000280: 00d484b3 add s1,s1,a3 + 80000284: 0087843b addw s0,a5,s0 + 80000288: 00e13023 sd a4,0(sp) + 8000028c: 00913423 sd s1,8(sp) + 80000290: 070010ef jal ra,80001300 + 80000294: 00090613 mv a2,s2 + 80000298: 00040593 mv a1,s0 + 8000029c: 00098513 mv a0,s3 + 800002a0: 7b0020ef jal ra,80002a50 + 800002a4: 00040793 mv a5,s0 + 800002a8: fb246ae3 bltu s0,s2,8000025c + 800002ac: 00010593 mv a1,sp + 800002b0: 01000513 li a0,16 + 800002b4: 030010ef jal ra,800012e4 + 800002b8: 00012783 lw a5,0(sp) + 800002bc: fef048e3 bgtz a5,800002ac + 800002c0: 03813083 ld ra,56(sp) + 800002c4: 03013403 ld s0,48(sp) + 800002c8: 02813483 ld s1,40(sp) + 800002cc: 02013903 ld s2,32(sp) + 800002d0: 01813983 ld s3,24(sp) + 800002d4: 01013a03 ld s4,16(sp) + 800002d8: 04010113 addi sp,sp,64 + 800002dc: 00008067 ret + 800002e0: 00004597 auipc a1,0x4 + 800002e4: 80058593 addi a1,a1,-2048 # 80003ae0 + 800002e8: 00004517 auipc a0,0x4 + 800002ec: 80850513 addi a0,a0,-2040 # 80003af0 + 800002f0: 760020ef jal ra,80002a50 + 800002f4: 03813083 ld ra,56(sp) + 800002f8: 03013403 ld s0,48(sp) + 800002fc: 02813483 ld s1,40(sp) + 80000300: 02013903 ld s2,32(sp) + 80000304: 01813983 ld s3,24(sp) + 80000308: 01013a03 ld s4,16(sp) + 8000030c: 04010113 addi sp,sp,64 + 80000310: 00008067 ret + +0000000080000314 : + 80000314: fd010113 addi sp,sp,-48 + 80000318: 01313423 sd s3,8(sp) + 8000031c: 00097997 auipc s3,0x97 + 80000320: 89498993 addi s3,s3,-1900 # 80096bb0 + 80000324: 01213823 sd s2,16(sp) + 80000328: 0009b903 ld s2,0(s3) + 8000032c: 00913c23 sd s1,24(sp) + 80000330: 02113423 sd ra,40(sp) + 80000334: 02813023 sd s0,32(sp) + 80000338: 00050493 mv s1,a0 + 8000033c: 00091663 bnez s2,80000348 + 80000340: 00012917 auipc s2,0x12 + 80000344: 23093903 ld s2,560(s2) # 80012570 + 80000348: 00048593 mv a1,s1 + 8000034c: 00090513 mv a0,s2 + 80000350: 64d000ef jal ra,8000119c <__umoddi3> + 80000354: 02050063 beqz a0,80000374 + 80000358: 00190413 addi s0,s2,1 + 8000035c: 00040513 mv a0,s0 + 80000360: 00048593 mv a1,s1 + 80000364: 639000ef jal ra,8000119c <__umoddi3> + 80000368: 00040913 mv s2,s0 + 8000036c: 00140413 addi s0,s0,1 + 80000370: fe0516e3 bnez a0,8000035c + 80000374: 02813083 ld ra,40(sp) + 80000378: 02013403 ld s0,32(sp) + 8000037c: 012484b3 add s1,s1,s2 + 80000380: 0099b023 sd s1,0(s3) + 80000384: 00090513 mv a0,s2 + 80000388: 01813483 ld s1,24(sp) + 8000038c: 01013903 ld s2,16(sp) + 80000390: 00813983 ld s3,8(sp) + 80000394: 03010113 addi sp,sp,48 + 80000398: 00008067 ret + +000000008000039c : + 8000039c: 00008067 ret + +00000000800003a0 : + 800003a0: 00052783 lw a5,0(a0) + 800003a4: fe010113 addi sp,sp,-32 + 800003a8: 00813823 sd s0,16(sp) + 800003ac: 00113c23 sd ra,24(sp) + 800003b0: 00300713 li a4,3 + 800003b4: 00058413 mv s0,a1 + 800003b8: 0ce78663 beq a5,a4,80000484 + 800003bc: 08f76663 bltu a4,a5,80000448 + 800003c0: 00100713 li a4,1 + 800003c4: 0ae78063 beq a5,a4,80000464 + 800003c8: 00200713 li a4,2 + 800003cc: 12e79a63 bne a5,a4,80000500 + 800003d0: 00100513 li a0,1 + 800003d4: 3b4010ef jal ra,80001788 + 800003d8: 00012623 sw zero,12(sp) + 800003dc: 00c12783 lw a5,12(sp) + 800003e0: 000f4737 lui a4,0xf4 + 800003e4: 23f70713 addi a4,a4,575 # f423f <_entry_offset+0xf423f> + 800003e8: 0007879b sext.w a5,a5 + 800003ec: 00f74e63 blt a4,a5,80000408 + 800003f0: 00c12783 lw a5,12(sp) + 800003f4: 0017879b addiw a5,a5,1 + 800003f8: 00f12623 sw a5,12(sp) + 800003fc: 00c12783 lw a5,12(sp) + 80000400: 0007879b sext.w a5,a5 + 80000404: fef756e3 bge a4,a5,800003f0 + 80000408: 05043583 ld a1,80(s0) + 8000040c: 00003517 auipc a0,0x3 + 80000410: 78450513 addi a0,a0,1924 # 80003b90 + 80000414: 63c020ef jal ra,80002a50 + 80000418: 00012797 auipc a5,0x12 + 8000041c: 1507a783 lw a5,336(a5) # 80012568 + 80000420: 00078a63 beqz a5,80000434 + 80000424: 00012797 auipc a5,0x12 + 80000428: 1407a223 sw zero,324(a5) # 80012568 + 8000042c: 00096417 auipc s0,0x96 + 80000430: 78c43403 ld s0,1932(s0) # 80096bb8 + 80000434: 01813083 ld ra,24(sp) + 80000438: 00040513 mv a0,s0 + 8000043c: 01013403 ld s0,16(sp) + 80000440: 02010113 addi sp,sp,32 + 80000444: 00008067 ret + 80000448: ffb7879b addiw a5,a5,-5 + 8000044c: 00100713 li a4,1 + 80000450: 0af76863 bltu a4,a5,80000500 + 80000454: 01853583 ld a1,24(a0) + 80000458: 00003517 auipc a0,0x3 + 8000045c: 70850513 addi a0,a0,1800 # 80003b60 + 80000460: 5f0020ef jal ra,80002a50 + 80000464: 00012797 auipc a5,0x12 + 80000468: 1047a783 lw a5,260(a5) # 80012568 + 8000046c: fc0784e3 beqz a5,80000434 + 80000470: 00012797 auipc a5,0x12 + 80000474: 0e07ac23 sw zero,248(a5) # 80012568 + 80000478: 00096417 auipc s0,0x96 + 8000047c: 74043403 ld s0,1856(s0) # 80096bb8 + 80000480: fb5ff06f j 80000434 + 80000484: 00853783 ld a5,8(a0) + 80000488: 01053583 ld a1,16(a0) + 8000048c: 00003697 auipc a3,0x3 + 80000490: 6b468693 addi a3,a3,1716 # 80003b40 + 80000494: 0017f713 andi a4,a5,1 + 80000498: 04070663 beqz a4,800004e4 + 8000049c: 0027f793 andi a5,a5,2 + 800004a0: 00003717 auipc a4,0x3 + 800004a4: 6b070713 addi a4,a4,1712 # 80003b50 + 800004a8: 00078e63 beqz a5,800004c4 + 800004ac: 00003617 auipc a2,0x3 + 800004b0: 73c60613 addi a2,a2,1852 # 80003be8 + 800004b4: 00003517 auipc a0,0x3 + 800004b8: 6cc50513 addi a0,a0,1740 # 80003b80 + 800004bc: 594020ef jal ra,80002a50 + 800004c0: fa5ff06f j 80000464 + 800004c4: 00003717 auipc a4,0x3 + 800004c8: 72470713 addi a4,a4,1828 # 80003be8 + 800004cc: 00003617 auipc a2,0x3 + 800004d0: 71c60613 addi a2,a2,1820 # 80003be8 + 800004d4: 00003517 auipc a0,0x3 + 800004d8: 6ac50513 addi a0,a0,1708 # 80003b80 + 800004dc: 574020ef jal ra,80002a50 + 800004e0: f85ff06f j 80000464 + 800004e4: 0027f793 andi a5,a5,2 + 800004e8: 00003697 auipc a3,0x3 + 800004ec: 70068693 addi a3,a3,1792 # 80003be8 + 800004f0: 00003717 auipc a4,0x3 + 800004f4: 66070713 addi a4,a4,1632 # 80003b50 + 800004f8: fa079ae3 bnez a5,800004ac + 800004fc: fc9ff06f j 800004c4 + 80000500: 02800613 li a2,40 + 80000504: 00003597 auipc a1,0x3 + 80000508: 69458593 addi a1,a1,1684 # 80003b98 + 8000050c: 00003517 auipc a0,0x3 + 80000510: 6c450513 addi a0,a0,1732 # 80003bd0 + 80000514: 53c020ef jal ra,80002a50 + 80000518: 00100513 li a0,1 + 8000051c: 385000ef jal ra,800010a0 + +0000000080000520 : + 80000520: fc010113 addi sp,sp,-64 + 80000524: 00300613 li a2,3 + 80000528: 00003597 auipc a1,0x3 + 8000052c: 6c858593 addi a1,a1,1736 # 80003bf0 + 80000530: 00003517 auipc a0,0x3 + 80000534: 6c850513 addi a0,a0,1736 # 80003bf8 + 80000538: 02113c23 sd ra,56(sp) + 8000053c: 02813823 sd s0,48(sp) + 80000540: 02913423 sd s1,40(sp) + 80000544: 03213023 sd s2,32(sp) + 80000548: 01313c23 sd s3,24(sp) + 8000054c: 01413823 sd s4,16(sp) + 80000550: 554020ef jal ra,80002aa4 + 80000554: 00050e63 beqz a0,80000570 + 80000558: 00003597 auipc a1,0x3 + 8000055c: 6a858593 addi a1,a1,1704 # 80003c00 + 80000560: 00003517 auipc a0,0x3 + 80000564: 69850513 addi a0,a0,1688 # 80003bf8 + 80000568: 3ac010ef jal ra,80001914 + 8000056c: 12051263 bnez a0,80000690 + 80000570: 00012417 auipc s0,0x12 + 80000574: 41840413 addi s0,s0,1048 # 80012988 + 80000578: 00040513 mv a0,s0 + 8000057c: 370010ef jal ra,800018ec + 80000580: 01043603 ld a2,16(s0) + 80000584: 00843583 ld a1,8(s0) + 80000588: 00003517 auipc a0,0x3 + 8000058c: 6a050513 addi a0,a0,1696 # 80003c28 + 80000590: 00096a17 auipc s4,0x96 + 80000594: 620a0a13 addi s4,s4,1568 # 80096bb0 + 80000598: 4b8020ef jal ra,80002a50 + 8000059c: 00843783 ld a5,8(s0) + 800005a0: 01043983 ld s3,16(s0) + 800005a4: 000a3483 ld s1,0(s4) + 800005a8: 00042903 lw s2,0(s0) + 800005ac: 40f989b3 sub s3,s3,a5 + 800005b0: 0019d993 srli s3,s3,0x1 + 800005b4: 00f989b3 add s3,s3,a5 + 800005b8: 0c048663 beqz s1,80000684 + 800005bc: 00090593 mv a1,s2 + 800005c0: 00048513 mv a0,s1 + 800005c4: 3d9000ef jal ra,8000119c <__umoddi3> + 800005c8: 02050063 beqz a0,800005e8 + 800005cc: 00148413 addi s0,s1,1 + 800005d0: 00040513 mv a0,s0 + 800005d4: 00090593 mv a1,s2 + 800005d8: 3c5000ef jal ra,8000119c <__umoddi3> + 800005dc: 00040493 mv s1,s0 + 800005e0: 00140413 addi s0,s0,1 + 800005e4: fe0516e3 bnez a0,800005d0 + 800005e8: 00990933 add s2,s2,s1 + 800005ec: 00f00613 li a2,15 + 800005f0: 00012597 auipc a1,0x12 + 800005f4: f6858593 addi a1,a1,-152 # 80012558 + 800005f8: 00048513 mv a0,s1 + 800005fc: 012a3023 sd s2,0(s4) + 80000600: 65c020ef jal ra,80002c5c + 80000604: 00300693 li a3,3 + 80000608: 00048613 mv a2,s1 + 8000060c: 00098593 mv a1,s3 + 80000610: 00012517 auipc a0,0x12 + 80000614: 37850513 addi a0,a0,888 # 80012988 + 80000618: 2d8010ef jal ra,800018f0 + 8000061c: 00048613 mv a2,s1 + 80000620: 00098593 mv a1,s3 + 80000624: 00003517 auipc a0,0x3 + 80000628: 62c50513 addi a0,a0,1580 # 80003c50 + 8000062c: 424020ef jal ra,80002a50 + 80000630: 00098693 mv a3,s3 + 80000634: 00012597 auipc a1,0x12 + 80000638: 37458593 addi a1,a1,884 # 800129a8 + 8000063c: 00013617 auipc a2,0x13 + 80000640: 36c60613 addi a2,a2,876 # 800139a8 + 80000644: 00012517 auipc a0,0x12 + 80000648: 34450513 addi a0,a0,836 # 80012988 + 8000064c: 2a8010ef jal ra,800018f4 + 80000650: 00050793 mv a5,a0 + 80000654: 00100513 li a0,1 + 80000658: 00096717 auipc a4,0x96 + 8000065c: 56f73023 sd a5,1376(a4) # 80096bb8 + 80000660: 128010ef jal ra,80001788 + 80000664: 03013403 ld s0,48(sp) + 80000668: 03813083 ld ra,56(sp) + 8000066c: 02813483 ld s1,40(sp) + 80000670: 02013903 ld s2,32(sp) + 80000674: 01813983 ld s3,24(sp) + 80000678: 01013a03 ld s4,16(sp) + 8000067c: 04010113 addi sp,sp,64 + 80000680: 0fc0106f j 8000177c + 80000684: 00012497 auipc s1,0x12 + 80000688: eec4b483 ld s1,-276(s1) # 80012570 + 8000068c: f31ff06f j 800005bc + 80000690: 03013403 ld s0,48(sp) + 80000694: 03813083 ld ra,56(sp) + 80000698: 02813483 ld s1,40(sp) + 8000069c: 02013903 ld s2,32(sp) + 800006a0: 01813983 ld s3,24(sp) + 800006a4: 01013a03 ld s4,16(sp) + 800006a8: 00003517 auipc a0,0x3 + 800006ac: 56050513 addi a0,a0,1376 # 80003c08 + 800006b0: 04010113 addi sp,sp,64 + 800006b4: 39c0206f j 80002a50 + +00000000800006b8 : + 800006b8: fa010113 addi sp,sp,-96 + 800006bc: 04913423 sd s1,72(sp) + 800006c0: 000f44b7 lui s1,0xf4 + 800006c4: 04813823 sd s0,80(sp) + 800006c8: 03313c23 sd s3,56(sp) + 800006cc: 03413823 sd s4,48(sp) + 800006d0: 03513423 sd s5,40(sp) + 800006d4: 03613023 sd s6,32(sp) + 800006d8: 04113c23 sd ra,88(sp) + 800006dc: 05213023 sd s2,64(sp) + 800006e0: 00100413 li s0,1 + 800006e4: 24048493 addi s1,s1,576 # f4240 <_entry_offset+0xf4240> + 800006e8: 00003a17 auipc s4,0x3 + 800006ec: 598a0a13 addi s4,s4,1432 # 80003c80 + 800006f0: 00100993 li s3,1 + 800006f4: 00003b17 auipc s6,0x3 + 800006f8: 5bcb0b13 addi s6,s6,1468 # 80003cb0 + 800006fc: 00003a97 auipc s5,0x3 + 80000700: 5a4a8a93 addi s5,s5,1444 # 80003ca0 + 80000704: 0004091b sext.w s2,s0 + 80000708: 00600513 li a0,6 + 8000070c: 00810593 addi a1,sp,8 + 80000710: 3d5000ef jal ra,800012e4 + 80000714: 00813503 ld a0,8(sp) + 80000718: 00048593 mv a1,s1 + 8000071c: 239000ef jal ra,80001154 <__udivdi3> + 80000720: fe8564e3 bltu a0,s0,80000708 + 80000724: 00810593 addi a1,sp,8 + 80000728: 00500513 li a0,5 + 8000072c: 3b9000ef jal ra,800012e4 + 80000730: 01c12803 lw a6,28(sp) + 80000734: 01812783 lw a5,24(sp) + 80000738: 01412703 lw a4,20(sp) + 8000073c: 01012683 lw a3,16(sp) + 80000740: 00c12603 lw a2,12(sp) + 80000744: 00812583 lw a1,8(sp) + 80000748: 000a0513 mv a0,s4 + 8000074c: 304020ef jal ra,80002a50 + 80000750: 01341c63 bne s0,s3,80000768 + 80000754: 00100593 li a1,1 + 80000758: 000a8513 mv a0,s5 + 8000075c: 2f4020ef jal ra,80002a50 + 80000760: 00140413 addi s0,s0,1 + 80000764: fa1ff06f j 80000704 + 80000768: 00090593 mv a1,s2 + 8000076c: 000b0513 mv a0,s6 + 80000770: 2e0020ef jal ra,80002a50 + 80000774: 00140413 addi s0,s0,1 + 80000778: f8dff06f j 80000704 + +000000008000077c : + 8000077c: f7010113 addi sp,sp,-144 + 80000780: 00010593 mv a1,sp + 80000784: 00900513 li a0,9 + 80000788: 08113423 sd ra,136(sp) + 8000078c: 07213823 sd s2,112(sp) + 80000790: 07413023 sd s4,96(sp) + 80000794: 08813023 sd s0,128(sp) + 80000798: 06913c23 sd s1,120(sp) + 8000079c: 07313423 sd s3,104(sp) + 800007a0: 05513c23 sd s5,88(sp) + 800007a4: 05613823 sd s6,80(sp) + 800007a8: 05713423 sd s7,72(sp) + 800007ac: 05813023 sd s8,64(sp) + 800007b0: 03913c23 sd s9,56(sp) + 800007b4: 03a13823 sd s10,48(sp) + 800007b8: 03b13423 sd s11,40(sp) + 800007bc: 329000ef jal ra,800012e4 + 800007c0: 00412783 lw a5,4(sp) + 800007c4: 00010593 mv a1,sp + 800007c8: 00900513 li a0,9 + 800007cc: 41f7da1b sraiw s4,a5,0x1f + 800007d0: 01ba5a1b srliw s4,s4,0x1b + 800007d4: 00fa0a3b addw s4,s4,a5 + 800007d8: 30d000ef jal ra,800012e4 + 800007dc: 00812783 lw a5,8(sp) + 800007e0: 405a5a1b sraiw s4,s4,0x5 + 800007e4: 000a0513 mv a0,s4 + 800007e8: 41f7d91b sraiw s2,a5,0x1f + 800007ec: 01b9591b srliw s2,s2,0x1b + 800007f0: 00f9093b addw s2,s2,a5 + 800007f4: 4059591b sraiw s2,s2,0x5 + 800007f8: 00090593 mv a1,s2 + 800007fc: 0ed000ef jal ra,800010e8 <__muldi3> + 80000800: 0005079b sext.w a5,a0 + 80000804: 40000713 li a4,1024 + 80000808: 0ef76e63 bltu a4,a5,80000904 + 8000080c: fff7841b addiw s0,a5,-1 + 80000810: 02041413 slli s0,s0,0x20 + 80000814: 00078a93 mv s5,a5 + 80000818: 01e45413 srli s0,s0,0x1e + 8000081c: 00014797 auipc a5,0x14 + 80000820: 19078793 addi a5,a5,400 # 800149ac + 80000824: 00013997 auipc s3,0x13 + 80000828: 20498993 addi s3,s3,516 # 80013a28 + 8000082c: 00014c17 auipc s8,0x14 + 80000830: 1fcc0c13 addi s8,s8,508 # 80014a28 + 80000834: 00014497 auipc s1,0x14 + 80000838: 17448493 addi s1,s1,372 # 800149a8 + 8000083c: 00f40433 add s0,s0,a5 + 80000840: 00000c93 li s9,0 + 80000844: 000a0b9b sext.w s7,s4 + 80000848: 000c8b1b sext.w s6,s9 + 8000084c: f8098d13 addi s10,s3,-128 + 80000850: 00000d93 li s11,0 + 80000854: 000a8c63 beqz s5,8000086c + 80000858: 000d2703 lw a4,0(s10) + 8000085c: 00048793 mv a5,s1 + 80000860: 00e7a023 sw a4,0(a5) + 80000864: 00478793 addi a5,a5,4 + 80000868: fe879ce3 bne a5,s0,80000860 + 8000086c: 004d0d13 addi s10,s10,4 + 80000870: 00010593 mv a1,sp + 80000874: 00b00513 li a0,11 + 80000878: 01b12023 sw s11,0(sp) + 8000087c: 01612223 sw s6,4(sp) + 80000880: 00913423 sd s1,8(sp) + 80000884: 01412823 sw s4,16(sp) + 80000888: 01212a23 sw s2,20(sp) + 8000088c: 00010c23 sb zero,24(sp) + 80000890: 017d8dbb addw s11,s11,s7 + 80000894: 26d000ef jal ra,80001300 + 80000898: fb3d1ee3 bne s10,s3,80000854 + 8000089c: 080d0993 addi s3,s10,128 + 800008a0: 01990cbb addw s9,s2,s9 + 800008a4: fb8992e3 bne s3,s8,80000848 + 800008a8: 00010593 mv a1,sp + 800008ac: 00100793 li a5,1 + 800008b0: 00b00513 li a0,11 + 800008b4: 00013023 sd zero,0(sp) + 800008b8: 00013423 sd zero,8(sp) + 800008bc: 00013823 sd zero,16(sp) + 800008c0: 00f10c23 sb a5,24(sp) + 800008c4: 23d000ef jal ra,80001300 + 800008c8: 08813083 ld ra,136(sp) + 800008cc: 08013403 ld s0,128(sp) + 800008d0: 07813483 ld s1,120(sp) + 800008d4: 07013903 ld s2,112(sp) + 800008d8: 06813983 ld s3,104(sp) + 800008dc: 06013a03 ld s4,96(sp) + 800008e0: 05813a83 ld s5,88(sp) + 800008e4: 05013b03 ld s6,80(sp) + 800008e8: 04813b83 ld s7,72(sp) + 800008ec: 04013c03 ld s8,64(sp) + 800008f0: 03813c83 ld s9,56(sp) + 800008f4: 03013d03 ld s10,48(sp) + 800008f8: 02813d83 ld s11,40(sp) + 800008fc: 09010113 addi sp,sp,144 + 80000900: 00008067 ret + 80000904: 01600613 li a2,22 + 80000908: 00003597 auipc a1,0x3 + 8000090c: 3b858593 addi a1,a1,952 # 80003cc0 + 80000910: 00003517 auipc a0,0x3 + 80000914: 2c050513 addi a0,a0,704 # 80003bd0 + 80000918: 138020ef jal ra,80002a50 + 8000091c: 00100513 li a0,1 + 80000920: 780000ef jal ra,800010a0 + +0000000080000924 : + 80000924: 00096617 auipc a2,0x96 + 80000928: 29c60613 addi a2,a2,668 # 80096bc0 + 8000092c: 00062783 lw a5,0(a2) + 80000930: fe010113 addi sp,sp,-32 + 80000934: 00813c23 sd s0,24(sp) + 80000938: 00913823 sd s1,16(sp) + 8000093c: 01213423 sd s2,8(sp) + 80000940: 01313023 sd s3,0(sp) + 80000944: 0017839b addiw t2,a5,1 + 80000948: 00015717 auipc a4,0x15 + 8000094c: 0e070713 addi a4,a4,224 # 80015a28 + 80000950: 00016697 auipc a3,0x16 + 80000954: 0d868693 addi a3,a3,216 # 80016a28 + 80000958: 00762023 sw t2,0(a2) + 8000095c: f8070793 addi a5,a4,-128 + 80000960: 0007a023 sw zero,0(a5) + 80000964: 00478793 addi a5,a5,4 + 80000968: fee79ce3 bne a5,a4,80000960 + 8000096c: 08078713 addi a4,a5,128 + 80000970: fee696e3 bne a3,a4,8000095c + 80000974: 0ff3f693 andi a3,t2,255 + 80000978: 0016979b slliw a5,a3,0x1 + 8000097c: 00d787bb addw a5,a5,a3 + 80000980: 0036971b slliw a4,a3,0x3 + 80000984: 40d7073b subw a4,a4,a3 + 80000988: 0017979b slliw a5,a5,0x1 + 8000098c: 0ff7f793 andi a5,a5,255 + 80000990: 0ff77713 andi a4,a4,255 + 80000994: 0087171b slliw a4,a4,0x8 + 80000998: 0107979b slliw a5,a5,0x10 + 8000099c: 00e7e7b3 or a5,a5,a4 + 800009a0: 0ff3f713 andi a4,t2,255 + 800009a4: 00e7e7b3 or a5,a5,a4 + 800009a8: 00013417 auipc s0,0x13 + 800009ac: 00040413 mv s0,s0 + 800009b0: 00015f97 auipc t6,0x15 + 800009b4: ff8f8f93 addi t6,t6,-8 # 800159a8 + 800009b8: 00f42023 sw a5,0(s0) # 800139a8 + 800009bc: 00100793 li a5,1 + 800009c0: 00ffa023 sw a5,0(t6) + 800009c4: 00100693 li a3,1 + 800009c8: 00000713 li a4,0 + 800009cc: 00100f13 li t5,1 + 800009d0: 00000593 li a1,0 + 800009d4: 00000e93 li t4,0 + 800009d8: 00000e13 li t3,0 + 800009dc: 01f00813 li a6,31 + 800009e0: 00003317 auipc t1,0x3 + 800009e4: 33030313 addi t1,t1,816 # 80003d10 + 800009e8: 00003897 auipc a7,0x3 + 800009ec: 33888893 addi a7,a7,824 # 80003d20 + 800009f0: 00100493 li s1,1 + 800009f4: 40000293 li t0,1024 + 800009f8: 00400613 li a2,4 + 800009fc: 00ee07bb addw a5,t3,a4 + 80000a00: fff6061b addiw a2,a2,-1 + 80000a04: 00158713 addi a4,a1,1 + 80000a08: 00de86bb addw a3,t4,a3 + 80000a0c: 02f86063 bltu a6,a5,80000a2c + 80000a10: 00579513 slli a0,a5,0x5 + 80000a14: 00d50533 add a0,a0,a3 + 80000a18: 00251513 slli a0,a0,0x2 + 80000a1c: 00af8933 add s2,t6,a0 + 80000a20: 00d86663 bltu a6,a3,80000a2c + 80000a24: 00092983 lw s3,0(s2) + 80000a28: 06098463 beqz s3,80000a90 + 80000a2c: 00377593 andi a1,a4,3 + 80000a30: 00259793 slli a5,a1,0x2 + 80000a34: 00f30733 add a4,t1,a5 + 80000a38: 00f887b3 add a5,a7,a5 + 80000a3c: 02060a63 beqz a2,80000a70 + 80000a40: 00072703 lw a4,0(a4) + 80000a44: 0007a683 lw a3,0(a5) + 80000a48: fff6061b addiw a2,a2,-1 + 80000a4c: 00ee07bb addw a5,t3,a4 + 80000a50: 00de86bb addw a3,t4,a3 + 80000a54: 00158713 addi a4,a1,1 + 80000a58: faf87ce3 bgeu a6,a5,80000a10 + 80000a5c: 00377593 andi a1,a4,3 + 80000a60: 00259793 slli a5,a1,0x2 + 80000a64: 00f30733 add a4,t1,a5 + 80000a68: 00f887b3 add a5,a7,a5 + 80000a6c: fc061ae3 bnez a2,80000a40 + 80000a70: 001f0f1b addiw t5,t5,1 + 80000a74: 065f0a63 beq t5,t0,80000ae8 + 80000a78: 00259793 slli a5,a1,0x2 + 80000a7c: 00f30733 add a4,t1,a5 + 80000a80: 00f887b3 add a5,a7,a5 + 80000a84: 00072703 lw a4,0(a4) + 80000a88: 0007a683 lw a3,0(a5) + 80000a8c: f6dff06f j 800009f8 + 80000a90: 401f5e1b sraiw t3,t5,0x1 + 80000a94: 007e0e3b addw t3,t3,t2 + 80000a98: 0ffe7e93 andi t4,t3,255 + 80000a9c: 001e971b slliw a4,t4,0x1 + 80000aa0: 01d7073b addw a4,a4,t4 + 80000aa4: 003e961b slliw a2,t4,0x3 + 80000aa8: 41d6063b subw a2,a2,t4 + 80000aac: 0017171b slliw a4,a4,0x1 + 80000ab0: 0ff77713 andi a4,a4,255 + 80000ab4: 0ff67613 andi a2,a2,255 + 80000ab8: 0107171b slliw a4,a4,0x10 + 80000abc: 0086161b slliw a2,a2,0x8 + 80000ac0: 0ffe7e13 andi t3,t3,255 + 80000ac4: 00c76733 or a4,a4,a2 + 80000ac8: 01c76733 or a4,a4,t3 + 80000acc: 00a40533 add a0,s0,a0 + 80000ad0: 00992023 sw s1,0(s2) + 80000ad4: 00e52023 sw a4,0(a0) + 80000ad8: 001f0f1b addiw t5,t5,1 + 80000adc: 00068e93 mv t4,a3 + 80000ae0: 00078e13 mv t3,a5 + 80000ae4: f85f1ae3 bne t5,t0,80000a78 + 80000ae8: 01813403 ld s0,24(sp) + 80000aec: 01013483 ld s1,16(sp) + 80000af0: 00813903 ld s2,8(sp) + 80000af4: 00013983 ld s3,0(sp) + 80000af8: 02010113 addi sp,sp,32 + 80000afc: 00008067 ret + +0000000080000b00 : + 80000b00: fb010113 addi sp,sp,-80 + 80000b04: 02913c23 sd s1,56(sp) + 80000b08: 03213823 sd s2,48(sp) + 80000b0c: 03313423 sd s3,40(sp) + 80000b10: 03413023 sd s4,32(sp) + 80000b14: 01513c23 sd s5,24(sp) + 80000b18: 04113423 sd ra,72(sp) + 80000b1c: 04813023 sd s0,64(sp) + 80000b20: 00000993 li s3,0 + 80000b24: 00000913 li s2,0 + 80000b28: 00000493 li s1,0 + 80000b2c: 02100a13 li s4,33 + 80000b30: 00003a97 auipc s5,0x3 + 80000b34: 1d0a8a93 addi s5,s5,464 # 80003d00 + 80000b38: 00810593 addi a1,sp,8 + 80000b3c: 00600513 li a0,6 + 80000b40: 7a4000ef jal ra,800012e4 + 80000b44: 00813503 ld a0,8(sp) + 80000b48: 3e800593 li a1,1000 + 80000b4c: 608000ef jal ra,80001154 <__udivdi3> + 80000b50: 409507b3 sub a5,a0,s1 + 80000b54: 00050413 mv s0,a0 + 80000b58: 02fa6663 bltu s4,a5,80000b84 + 80000b5c: 412407b3 sub a5,s0,s2 + 80000b60: 3e800713 li a4,1000 + 80000b64: fcf77ae3 bgeu a4,a5,80000b38 + 80000b68: 00098613 mv a2,s3 + 80000b6c: 00040593 mv a1,s0 + 80000b70: 000a8513 mv a0,s5 + 80000b74: 6dd010ef jal ra,80002a50 + 80000b78: 00040913 mv s2,s0 + 80000b7c: 00000993 li s3,0 + 80000b80: fb9ff06f j 80000b38 + 80000b84: da1ff0ef jal ra,80000924 + 80000b88: 0019899b addiw s3,s3,1 + 80000b8c: bf1ff0ef jal ra,8000077c + 80000b90: 00040493 mv s1,s0 + 80000b94: fc9ff06f j 80000b5c + +0000000080000b98 : + 80000b98: 00012797 auipc a5,0x12 + 80000b9c: 9d878793 addi a5,a5,-1576 # 80012570 + 80000ba0: 0007b583 ld a1,0(a5) + 80000ba4: 0087b603 ld a2,8(a5) + 80000ba8: fb010113 addi sp,sp,-80 + 80000bac: 00003517 auipc a0,0x3 + 80000bb0: 18450513 addi a0,a0,388 # 80003d30 + 80000bb4: 04113423 sd ra,72(sp) + 80000bb8: 02913c23 sd s1,56(sp) + 80000bbc: 04813023 sd s0,64(sp) + 80000bc0: 03213823 sd s2,48(sp) + 80000bc4: 03313423 sd s3,40(sp) + 80000bc8: 03413023 sd s4,32(sp) + 80000bcc: 685010ef jal ra,80002a50 + 80000bd0: 00003517 auipc a0,0x3 + 80000bd4: 17850513 addi a0,a0,376 # 80003d48 + 80000bd8: 679010ef jal ra,80002a50 + 80000bdc: 00810593 addi a1,sp,8 + 80000be0: 00600513 li a0,6 + 80000be4: 700000ef jal ra,800012e4 + 80000be8: 00813503 ld a0,8(sp) + 80000bec: 3e800593 li a1,1000 + 80000bf0: 564000ef jal ra,80001154 <__udivdi3> + 80000bf4: 00012223 sw zero,4(sp) + 80000bf8: 00412703 lw a4,4(sp) + 80000bfc: 009897b7 lui a5,0x989 + 80000c00: 67f78793 addi a5,a5,1663 # 98967f <_entry_offset+0x98967f> + 80000c04: 0007071b sext.w a4,a4 + 80000c08: 0005049b sext.w s1,a0 + 80000c0c: 00e7ce63 blt a5,a4,80000c28 + 80000c10: 00412703 lw a4,4(sp) + 80000c14: 0017071b addiw a4,a4,1 + 80000c18: 00e12223 sw a4,4(sp) + 80000c1c: 00412703 lw a4,4(sp) + 80000c20: 0007071b sext.w a4,a4 + 80000c24: fee7d6e3 bge a5,a4,80000c10 + 80000c28: 00810593 addi a1,sp,8 + 80000c2c: 00600513 li a0,6 + 80000c30: 6b4000ef jal ra,800012e4 + 80000c34: 00813503 ld a0,8(sp) + 80000c38: 3e800593 li a1,1000 + 80000c3c: 00016417 auipc s0,0x16 + 80000c40: 03c40413 addi s0,s0,60 # 80016c78 + 80000c44: 510000ef jal ra,80001154 <__udivdi3> + 80000c48: 409505bb subw a1,a0,s1 + 80000c4c: 00003517 auipc a0,0x3 + 80000c50: 11c50513 addi a0,a0,284 # 80003d68 + 80000c54: 5fd010ef jal ra,80002a50 + 80000c58: 00810593 addi a1,sp,8 + 80000c5c: 00900513 li a0,9 + 80000c60: 684000ef jal ra,800012e4 + 80000c64: 00c12983 lw s3,12(sp) + 80000c68: 01012903 lw s2,16(sp) + 80000c6c: 00003517 auipc a0,0x3 + 80000c70: 11c50513 addi a0,a0,284 # 80003d88 + 80000c74: 00098593 mv a1,s3 + 80000c78: 00090613 mv a2,s2 + 80000c7c: 5d5010ef jal ra,80002a50 + 80000c80: 00096497 auipc s1,0x96 + 80000c84: f2848493 addi s1,s1,-216 # 80096ba8 + 80000c88: 4b5000ef jal ra,8000193c + 80000c8c: 00a40023 sb a0,0(s0) + 80000c90: 00140413 addi s0,s0,1 + 80000c94: fe941ae3 bne s0,s1,80000c88 + 80000c98: 41f9d71b sraiw a4,s3,0x1f + 80000c9c: 01e7571b srliw a4,a4,0x1e + 80000ca0: 0137073b addw a4,a4,s3 + 80000ca4: 00016797 auipc a5,0x16 + 80000ca8: f0478793 addi a5,a5,-252 # 80016ba8 + 80000cac: 4027571b sraiw a4,a4,0x2 + 80000cb0: 00e79323 sh a4,6(a5) + 80000cb4: 00016717 auipc a4,0x16 + 80000cb8: ee071e23 sh zero,-260(a4) # 80016bb0 + 80000cbc: 01f9d69b srliw a3,s3,0x1f + 80000cc0: 02500713 li a4,37 + 80000cc4: ffff0637 lui a2,0xffff0 + 80000cc8: 00e79923 sh a4,18(a5) + 80000ccc: 013686bb addw a3,a3,s3 + 80000cd0: 0016061b addiw a2,a2,1 + 80000cd4: 00a00713 li a4,10 + 80000cd8: fff00813 li a6,-1 + 80000cdc: 4016d69b sraiw a3,a3,0x1 + 80000ce0: 00c7a023 sw a2,0(a5) + 80000ce4: 00e79a23 sh a4,20(a5) + 80000ce8: fff00613 li a2,-1 + 80000cec: 0d000713 li a4,208 + 80000cf0: f9c9091b addiw s2,s2,-100 + 80000cf4: 00e79b23 sh a4,22(a5) + 80000cf8: 00d79523 sh a3,10(a5) + 80000cfc: 01079723 sh a6,14(a5) + 80000d00: 01079823 sh a6,16(a5) + 80000d04: 00c79223 sh a2,4(a5) + 80000d08: 01279623 sh s2,12(a5) + 80000d0c: 00810593 addi a1,sp,8 + 80000d10: 00c00513 li a0,12 + 80000d14: 00f13823 sd a5,16(sp) + 80000d18: 000807b7 lui a5,0x80 + 80000d1c: 00f12c23 sw a5,24(sp) + 80000d20: 00016717 auipc a4,0x16 + 80000d24: ea071023 sh zero,-352(a4) # 80016bc0 + 80000d28: 00012423 sw zero,8(sp) + 80000d2c: 5d4000ef jal ra,80001300 + 80000d30: 00d00513 li a0,13 + 80000d34: 00810593 addi a1,sp,8 + 80000d38: 00012423 sw zero,8(sp) + 80000d3c: 5c4000ef jal ra,80001300 + 80000d40: 00810593 addi a1,sp,8 + 80000d44: 01200513 li a0,18 + 80000d48: 59c000ef jal ra,800012e4 + 80000d4c: 00c12483 lw s1,12(sp) + 80000d50: 01012583 lw a1,16(sp) + 80000d54: 00003517 auipc a0,0x3 + 80000d58: 04c50513 addi a0,a0,76 # 80003da0 + 80000d5c: 00048613 mv a2,s1 + 80000d60: 4f1010ef jal ra,80002a50 + 80000d64: 00016417 auipc s0,0x16 + 80000d68: c4440413 addi s0,s0,-956 # 800169a8 + 80000d6c: 00048593 mv a1,s1 + 80000d70: 20000513 li a0,512 + 80000d74: 00813823 sd s0,16(sp) + 80000d78: 00010423 sb zero,8(sp) + 80000d7c: 00012c23 sw zero,24(sp) + 80000d80: 3cc000ef jal ra,8000114c <__divdi3> + 80000d84: 00050793 mv a5,a0 + 80000d88: 00810593 addi a1,sp,8 + 80000d8c: 01400513 li a0,20 + 80000d90: 00f12e23 sw a5,28(sp) + 80000d94: 56c000ef jal ra,80001300 + 80000d98: 00144603 lbu a2,1(s0) + 80000d9c: 00044583 lbu a1,0(s0) + 80000da0: 00003517 auipc a0,0x3 + 80000da4: 03850513 addi a0,a0,56 # 80003dd8 + 80000da8: 00016417 auipc s0,0x16 + 80000dac: c0240413 addi s0,s0,-1022 # 800169aa + 80000db0: 4a1010ef jal ra,80002a50 + 80000db4: 00003917 auipc s2,0x3 + 80000db8: 02490913 addi s2,s2,36 # 80003dd8 + 80000dbc: 00400493 li s1,4 + 80000dc0: 00016997 auipc s3,0x16 + 80000dc4: de898993 addi s3,s3,-536 # 80016ba8 + 80000dc8: 408484bb subw s1,s1,s0 + 80000dcc: 00003a17 auipc s4,0x3 + 80000dd0: d6ca0a13 addi s4,s4,-660 # 80003b38 + 80000dd4: 00090513 mv a0,s2 + 80000dd8: 03340463 beq s0,s3,80000e00 + 80000ddc: 00144603 lbu a2,1(s0) + 80000de0: 00044583 lbu a1,0(s0) + 80000de4: 46d010ef jal ra,80002a50 + 80000de8: 008487bb addw a5,s1,s0 + 80000dec: 01f7f793 andi a5,a5,31 + 80000df0: 02078063 beqz a5,80000e10 + 80000df4: 00240413 addi s0,s0,2 + 80000df8: 00090513 mv a0,s2 + 80000dfc: ff3410e3 bne s0,s3,80000ddc + 80000e00: 00003517 auipc a0,0x3 + 80000e04: fe850513 addi a0,a0,-24 # 80003de8 + 80000e08: 449010ef jal ra,80002a50 + 80000e0c: 0000006f j 80000e0c + 80000e10: 000a0513 mv a0,s4 + 80000e14: 43d010ef jal ra,80002a50 + 80000e18: 00240413 addi s0,s0,2 + 80000e1c: fddff06f j 80000df8 + +0000000080000e20 : + 80000e20: fe010113 addi sp,sp,-32 + 80000e24: 00913423 sd s1,8(sp) + 80000e28: 00113c23 sd ra,24(sp) + 80000e2c: 00813823 sd s0,16(sp) + 80000e30: 00a00493 li s1,10 + 80000e34: 00003417 auipc s0,0x3 + 80000e38: fc440413 addi s0,s0,-60 # 80003df8 + 80000e3c: 04800513 li a0,72 + 80000e40: 00140413 addi s0,s0,1 + 80000e44: 250000ef jal ra,80001094 + 80000e48: 00044503 lbu a0,0(s0) + 80000e4c: fe051ae3 bnez a0,80000e40 + 80000e50: fff4849b addiw s1,s1,-1 + 80000e54: fe0490e3 bnez s1,80000e34 + 80000e58: 01813083 ld ra,24(sp) + 80000e5c: 01013403 ld s0,16(sp) + 80000e60: 00813483 ld s1,8(sp) + 80000e64: 02010113 addi sp,sp,32 + 80000e68: 00008067 ret + +0000000080000e6c : + 80000e6c: fb010113 addi sp,sp,-80 + 80000e70: 00003517 auipc a0,0x3 + 80000e74: fb850513 addi a0,a0,-72 # 80003e28 + 80000e78: 04113423 sd ra,72(sp) + 80000e7c: 04813023 sd s0,64(sp) + 80000e80: 02913c23 sd s1,56(sp) + 80000e84: 03213823 sd s2,48(sp) + 80000e88: 03313423 sd s3,40(sp) + 80000e8c: 03413023 sd s4,32(sp) + 80000e90: 01513c23 sd s5,24(sp) + 80000e94: 3bd010ef jal ra,80002a50 + 80000e98: 00810593 addi a1,sp,8 + 80000e9c: 00100513 li a0,1 + 80000ea0: 444000ef jal ra,800012e4 + 80000ea4: 00814783 lbu a5,8(sp) + 80000ea8: 00096497 auipc s1,0x96 + 80000eac: d1d48493 addi s1,s1,-739 # 80096bc5 + 80000eb0: 00810593 addi a1,sp,8 + 80000eb4: 00700513 li a0,7 + 80000eb8: 00f48023 sb a5,0(s1) + 80000ebc: 428000ef jal ra,800012e4 + 80000ec0: 00814783 lbu a5,8(sp) + 80000ec4: 00096417 auipc s0,0x96 + 80000ec8: d0040413 addi s0,s0,-768 # 80096bc4 + 80000ecc: 00003a97 auipc s5,0x3 + 80000ed0: 26ca8a93 addi s5,s5,620 # 80004138 + 80000ed4: 00f40023 sb a5,0(s0) + 80000ed8: 00003a17 auipc s4,0x3 + 80000edc: f40a0a13 addi s4,s4,-192 # 80003e18 + 80000ee0: 00003997 auipc s3,0x3 + 80000ee4: f4098993 addi s3,s3,-192 # 80003e20 + 80000ee8: 00003917 auipc s2,0x3 + 80000eec: f8890913 addi s2,s2,-120 # 80003e70 + 80000ef0: 0004c703 lbu a4,0(s1) + 80000ef4: 02070663 beqz a4,80000f20 + 80000ef8: 00003417 auipc s0,0x3 + 80000efc: f6040413 addi s0,s0,-160 # 80003e58 + 80000f00: 00810593 addi a1,sp,8 + 80000f04: 00300513 li a0,3 + 80000f08: 3dc000ef jal ra,800012e4 + 80000f0c: 00814603 lbu a2,8(sp) + 80000f10: 00040513 mv a0,s0 + 80000f14: 00060593 mv a1,a2 + 80000f18: 339010ef jal ra,80002a50 + 80000f1c: fe5ff06f j 80000f00 + 80000f20: 00079e63 bnez a5,80000f3c + 80000f24: 0000006f j 80000f24 + 80000f28: 0007b583 ld a1,0(a5) # 80000 <_entry_offset+0x80000> + 80000f2c: 000a0693 mv a3,s4 + 80000f30: 00071463 bnez a4,80000f38 + 80000f34: 00098693 mv a3,s3 + 80000f38: 319010ef jal ra,80002a50 + 80000f3c: 00800513 li a0,8 + 80000f40: 00810593 addi a1,sp,8 + 80000f44: 3a0000ef jal ra,800012e4 + 80000f48: 00c12603 lw a2,12(sp) + 80000f4c: 00814703 lbu a4,8(sp) + 80000f50: 00090513 mv a0,s2 + 80000f54: 00361793 slli a5,a2,0x3 + 80000f58: 00fa87b3 add a5,s5,a5 + 80000f5c: fc0616e3 bnez a2,80000f28 + 80000f60: 00044783 lbu a5,0(s0) + 80000f64: f8dff06f j 80000ef0 + +0000000080000f68 : + 80000f68: 00052783 lw a5,0(a0) + 80000f6c: ff010113 addi sp,sp,-16 + 80000f70: 00813023 sd s0,0(sp) + 80000f74: 00113423 sd ra,8(sp) + 80000f78: 00500713 li a4,5 + 80000f7c: 00058413 mv s0,a1 + 80000f80: 02e78463 beq a5,a4,80000fa8 + 80000f84: 00600713 li a4,6 + 80000f88: 04e78c63 beq a5,a4,80000fe0 + 80000f8c: 00100713 li a4,1 + 80000f90: 02e78a63 beq a5,a4,80000fc4 + 80000f94: 00813083 ld ra,8(sp) + 80000f98: 00040513 mv a0,s0 + 80000f9c: 00013403 ld s0,0(sp) + 80000fa0: 01010113 addi sp,sp,16 + 80000fa4: 00008067 ret + 80000fa8: 07400513 li a0,116 + 80000fac: 0e8000ef jal ra,80001094 + 80000fb0: 00813083 ld ra,8(sp) + 80000fb4: 00040513 mv a0,s0 + 80000fb8: 00013403 ld s0,0(sp) + 80000fbc: 01010113 addi sp,sp,16 + 80000fc0: 00008067 ret + 80000fc4: 07900513 li a0,121 + 80000fc8: 0cc000ef jal ra,80001094 + 80000fcc: 00813083 ld ra,8(sp) + 80000fd0: 00040513 mv a0,s0 + 80000fd4: 00013403 ld s0,0(sp) + 80000fd8: 01010113 addi sp,sp,16 + 80000fdc: 00008067 ret + 80000fe0: 06400513 li a0,100 + 80000fe4: 0b0000ef jal ra,80001094 + 80000fe8: 00813083 ld ra,8(sp) + 80000fec: 00040513 mv a0,s0 + 80000ff0: 00013403 ld s0,0(sp) + 80000ff4: 01010113 addi sp,sp,16 + 80000ff8: 00008067 ret + +0000000080000ffc : + 80000ffc: fe010113 addi sp,sp,-32 + 80001000: 00003517 auipc a0,0x3 + 80001004: df850513 addi a0,a0,-520 # 80003df8 + 80001008: 00113c23 sd ra,24(sp) + 8000100c: 00813823 sd s0,16(sp) + 80001010: 241010ef jal ra,80002a50 + 80001014: 00003517 auipc a0,0x3 + 80001018: 3bc50513 addi a0,a0,956 # 800043d0 + 8000101c: 235010ef jal ra,80002a50 + 80001020: 00810593 addi a1,sp,8 + 80001024: 00700513 li a0,7 + 80001028: 2bc000ef jal ra,800012e4 + 8000102c: 00100513 li a0,1 + 80001030: 00989437 lui s0,0x989 + 80001034: 754000ef jal ra,80001788 + 80001038: 67f40413 addi s0,s0,1663 # 98967f <_entry_offset+0x98967f> + 8000103c: 00012423 sw zero,8(sp) + 80001040: 00812783 lw a5,8(sp) + 80001044: 0007879b sext.w a5,a5 + 80001048: 00f44e63 blt s0,a5,80001064 + 8000104c: 00812783 lw a5,8(sp) + 80001050: 0017879b addiw a5,a5,1 + 80001054: 00f12423 sw a5,8(sp) + 80001058: 00812783 lw a5,8(sp) + 8000105c: 0007879b sext.w a5,a5 + 80001060: fef456e3 bge s0,a5,8000104c + 80001064: 718000ef jal ra,8000177c + 80001068: fd5ff06f j 8000103c + +000000008000106c : + 8000106c: ff010113 addi sp,sp,-16 + 80001070: 00813023 sd s0,0(sp) + 80001074: 00113423 sd ra,8(sp) + 80001078: 00003417 auipc s0,0x3 + 8000107c: 38040413 addi s0,s0,896 # 800043f8 + 80001080: 08d000ef jal ra,8000190c + 80001084: 00050593 mv a1,a0 + 80001088: 00040513 mv a0,s0 + 8000108c: 1c5010ef jal ra,80002a50 + 80001090: ff1ff06f j 80001080 + +0000000080001094 : + 80001094: 00050513 mv a0,a0 + 80001098: 0000007b 0x7b + 8000109c: 00008067 ret + +00000000800010a0 : + 800010a0: 00050513 mv a0,a0 + 800010a4: 0000006b 0x6b + 800010a8: 0000006f j 800010a8 + +00000000800010ac <_assert>: + 800010ac: 00051a63 bnez a0,800010c0 <_assert+0x14> + 800010b0: 00100793 li a5,1 + 800010b4: 00078513 mv a0,a5 + 800010b8: 0000006b 0x6b + 800010bc: 0000006f j 800010bc <_assert+0x10> + 800010c0: 00008067 ret + +00000000800010c4 <_trm_init>: + 800010c4: ff010113 addi sp,sp,-16 + 800010c8: 00113423 sd ra,8(sp) + 800010cc: 0d1000ef jal ra,8000199c + 800010d0: 00003517 auipc a0,0x3 + 800010d4: 66850513 addi a0,a0,1640 # 80004738 + 800010d8: f39fe0ef jal ra,80000010
+ 800010dc: 00050513 mv a0,a0 + 800010e0: 0000006b 0x6b + 800010e4: 0000006f j 800010e4 <_trm_init+0x20> + +00000000800010e8 <__muldi3>: + 800010e8: 00050613 mv a2,a0 + 800010ec: 00000513 li a0,0 + 800010f0: 0015f693 andi a3,a1,1 + 800010f4: 00068463 beqz a3,800010fc <__muldi3+0x14> + 800010f8: 00c50533 add a0,a0,a2 + 800010fc: 0015d593 srli a1,a1,0x1 + 80001100: 00161613 slli a2,a2,0x1 + 80001104: fe0596e3 bnez a1,800010f0 <__muldi3+0x8> + 80001108: 00008067 ret + +000000008000110c <__udivsi3>: + 8000110c: 02051513 slli a0,a0,0x20 + 80001110: 02059593 slli a1,a1,0x20 + 80001114: 00008293 mv t0,ra + 80001118: 03c000ef jal ra,80001154 <__udivdi3> + 8000111c: 0005051b sext.w a0,a0 + 80001120: 00028067 jr t0 + +0000000080001124 <__umodsi3>: + 80001124: 02051513 slli a0,a0,0x20 + 80001128: 02059593 slli a1,a1,0x20 + 8000112c: 02055513 srli a0,a0,0x20 + 80001130: 0205d593 srli a1,a1,0x20 + 80001134: 00008293 mv t0,ra + 80001138: 01c000ef jal ra,80001154 <__udivdi3> + 8000113c: 0005851b sext.w a0,a1 + 80001140: 00028067 jr t0 + +0000000080001144 <__divsi3>: + 80001144: fff00293 li t0,-1 + 80001148: 0a558c63 beq a1,t0,80001200 <__moddi3+0x30> + +000000008000114c <__divdi3>: + 8000114c: 06054063 bltz a0,800011ac <__umoddi3+0x10> + 80001150: 0605c663 bltz a1,800011bc <__umoddi3+0x20> + +0000000080001154 <__udivdi3>: + 80001154: 00058613 mv a2,a1 + 80001158: 00050593 mv a1,a0 + 8000115c: fff00513 li a0,-1 + 80001160: 02060c63 beqz a2,80001198 <__udivdi3+0x44> + 80001164: 00100693 li a3,1 + 80001168: 00b67a63 bgeu a2,a1,8000117c <__udivdi3+0x28> + 8000116c: 00c05863 blez a2,8000117c <__udivdi3+0x28> + 80001170: 00161613 slli a2,a2,0x1 + 80001174: 00169693 slli a3,a3,0x1 + 80001178: feb66ae3 bltu a2,a1,8000116c <__udivdi3+0x18> + 8000117c: 00000513 li a0,0 + 80001180: 00c5e663 bltu a1,a2,8000118c <__udivdi3+0x38> + 80001184: 40c585b3 sub a1,a1,a2 + 80001188: 00d56533 or a0,a0,a3 + 8000118c: 0016d693 srli a3,a3,0x1 + 80001190: 00165613 srli a2,a2,0x1 + 80001194: fe0696e3 bnez a3,80001180 <__udivdi3+0x2c> + 80001198: 00008067 ret + +000000008000119c <__umoddi3>: + 8000119c: 00008293 mv t0,ra + 800011a0: fb5ff0ef jal ra,80001154 <__udivdi3> + 800011a4: 00058513 mv a0,a1 + 800011a8: 00028067 jr t0 + 800011ac: 40a00533 neg a0,a0 + 800011b0: 00b04863 bgtz a1,800011c0 <__umoddi3+0x24> + 800011b4: 40b005b3 neg a1,a1 + 800011b8: f9dff06f j 80001154 <__udivdi3> + 800011bc: 40b005b3 neg a1,a1 + 800011c0: 00008293 mv t0,ra + 800011c4: f91ff0ef jal ra,80001154 <__udivdi3> + 800011c8: 40a00533 neg a0,a0 + 800011cc: 00028067 jr t0 + +00000000800011d0 <__moddi3>: + 800011d0: 00008293 mv t0,ra + 800011d4: 0005ca63 bltz a1,800011e8 <__moddi3+0x18> + 800011d8: 00054c63 bltz a0,800011f0 <__moddi3+0x20> + 800011dc: f79ff0ef jal ra,80001154 <__udivdi3> + 800011e0: 00058513 mv a0,a1 + 800011e4: 00028067 jr t0 + 800011e8: 40b005b3 neg a1,a1 + 800011ec: fe0558e3 bgez a0,800011dc <__moddi3+0xc> + 800011f0: 40a00533 neg a0,a0 + 800011f4: f61ff0ef jal ra,80001154 <__udivdi3> + 800011f8: 40b00533 neg a0,a1 + 800011fc: 00028067 jr t0 + 80001200: 01f29293 slli t0,t0,0x1f + 80001204: f45514e3 bne a0,t0,8000114c <__divdi3> + 80001208: 00008067 ret + +000000008000120c <__am_timer_config>: + 8000120c: 00100793 li a5,1 + 80001210: 00f50023 sb a5,0(a0) + 80001214: 00f500a3 sb a5,1(a0) + 80001218: 00008067 ret + +000000008000121c <__am_input_config>: + 8000121c: 00100793 li a5,1 + 80001220: 00f50023 sb a5,0(a0) + 80001224: 00008067 ret + +0000000080001228 : + 80001228: ff010113 addi sp,sp,-16 + 8000122c: 00813023 sd s0,0(sp) + 80001230: 00113423 sd ra,8(sp) + 80001234: 00003417 auipc s0,0x3 + 80001238: 1cc40413 addi s0,s0,460 # 80004400 + 8000123c: 04100513 li a0,65 + 80001240: 00140413 addi s0,s0,1 + 80001244: e51ff0ef jal ra,80001094 + 80001248: 00044503 lbu a0,0(s0) + 8000124c: fe051ae3 bnez a0,80001240 + 80001250: 00003417 auipc s0,0x3 + 80001254: 1c040413 addi s0,s0,448 # 80004410 + 80001258: 06100513 li a0,97 + 8000125c: 00140413 addi s0,s0,1 + 80001260: e35ff0ef jal ra,80001094 + 80001264: 00044503 lbu a0,0(s0) + 80001268: fe051ae3 bnez a0,8000125c + 8000126c: 00003417 auipc s0,0x3 + 80001270: 1c440413 addi s0,s0,452 # 80004430 + 80001274: 02000513 li a0,32 + 80001278: 00140413 addi s0,s0,1 + 8000127c: e19ff0ef jal ra,80001094 + 80001280: 00044503 lbu a0,0(s0) + 80001284: fe051ae3 bnez a0,80001278 + 80001288: 00100513 li a0,1 + 8000128c: e15ff0ef jal ra,800010a0 + +0000000080001290 : + 80001290: ff010113 addi sp,sp,-16 + 80001294: 00113423 sd ra,8(sp) + 80001298: 00011797 auipc a5,0x11 + 8000129c: 2e878793 addi a5,a5,744 # 80012580 + 800012a0: 00011697 auipc a3,0x11 + 800012a4: 6e068693 addi a3,a3,1760 # 80012980 + 800012a8: 00000617 auipc a2,0x0 + 800012ac: f8060613 addi a2,a2,-128 # 80001228 + 800012b0: 00c0006f j 800012bc + 800012b4: 00878793 addi a5,a5,8 + 800012b8: 00d78c63 beq a5,a3,800012d0 + 800012bc: 0007b703 ld a4,0(a5) + 800012c0: fe071ae3 bnez a4,800012b4 + 800012c4: 00c7b023 sd a2,0(a5) + 800012c8: 00878793 addi a5,a5,8 + 800012cc: fed798e3 bne a5,a3,800012bc + 800012d0: 034000ef jal ra,80001304 <__am_timer_init> + 800012d4: 00813083 ld ra,8(sp) + 800012d8: 00100513 li a0,1 + 800012dc: 01010113 addi sp,sp,16 + 800012e0: 00008067 ret + +00000000800012e4 : + 800012e4: 00351793 slli a5,a0,0x3 + 800012e8: 00011517 auipc a0,0x11 + 800012ec: 29850513 addi a0,a0,664 # 80012580 + 800012f0: 00f50533 add a0,a0,a5 + 800012f4: 00053303 ld t1,0(a0) + 800012f8: 00058513 mv a0,a1 + 800012fc: 00030067 jr t1 + +0000000080001300 : + 80001300: fe5ff06f j 800012e4 + +0000000080001304 <__am_timer_init>: + 80001304: 00008067 ret + +0000000080001308 <__am_timer_uptime>: + 80001308: fd010113 addi sp,sp,-48 + 8000130c: 00913c23 sd s1,24(sp) + 80001310: 02113423 sd ra,40(sp) + 80001314: 02813023 sd s0,32(sp) + 80001318: 01213823 sd s2,16(sp) + 8000131c: 01313423 sd s3,8(sp) + 80001320: 00050493 mv s1,a0 + 80001324: b0002973 csrr s2,mcycle + 80001328: 000f49b7 lui s3,0xf4 + 8000132c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80001330: 00090513 mv a0,s2 + 80001334: e21ff0ef jal ra,80001154 <__udivdi3> + 80001338: 00551413 slli s0,a0,0x5 + 8000133c: 40a407b3 sub a5,s0,a0 + 80001340: 00679413 slli s0,a5,0x6 + 80001344: 40f40433 sub s0,s0,a5 + 80001348: 00341413 slli s0,s0,0x3 + 8000134c: 00a40433 add s0,s0,a0 + 80001350: 24098593 addi a1,s3,576 + 80001354: 00090513 mv a0,s2 + 80001358: e45ff0ef jal ra,8000119c <__umoddi3> + 8000135c: 00641413 slli s0,s0,0x6 + 80001360: 00a40433 add s0,s0,a0 + 80001364: 0084b023 sd s0,0(s1) + 80001368: 02813083 ld ra,40(sp) + 8000136c: 02013403 ld s0,32(sp) + 80001370: 01813483 ld s1,24(sp) + 80001374: 01013903 ld s2,16(sp) + 80001378: 00813983 ld s3,8(sp) + 8000137c: 03010113 addi sp,sp,48 + 80001380: 00008067 ret + +0000000080001384 : + 80001384: fc010113 addi sp,sp,-64 + 80001388: 01313c23 sd s3,24(sp) + 8000138c: 00058993 mv s3,a1 + 80001390: 00050793 mv a5,a0 + 80001394: 03800613 li a2,56 + 80001398: 00000593 li a1,0 + 8000139c: 00098513 mv a0,s3 + 800013a0: 02813823 sd s0,48(sp) + 800013a4: 03213023 sd s2,32(sp) + 800013a8: 0007b403 ld s0,0(a5) + 800013ac: 01413823 sd s4,16(sp) + 800013b0: 02113c23 sd ra,56(sp) + 800013b4: 02913423 sd s1,40(sp) + 800013b8: 01513423 sd s5,8(sp) + 800013bc: 7c0010ef jal ra,80002b7c + 800013c0: 7b200793 li a5,1970 + 800013c4: 00f9aa23 sw a5,20(s3) + 800013c8: 7b200913 li s2,1970 + 800013cc: 00003a17 auipc s4,0x3 + 800013d0: 374a0a13 addi s4,s4,884 # 80004740 + 800013d4: 0100006f j 800013e4 + 800013d8: 40e40433 sub s0,s0,a4 + 800013dc: 00d9aa23 sw a3,20(s3) + 800013e0: 00068913 mv s2,a3 + 800013e4: 0009049b sext.w s1,s2 + 800013e8: 06400593 li a1,100 + 800013ec: 00048513 mv a0,s1 + 800013f0: de1ff0ef jal ra,800011d0 <__moddi3> + 800013f4: 00050793 mv a5,a0 + 800013f8: 0007879b sext.w a5,a5 + 800013fc: 00048513 mv a0,s1 + 80001400: 00397493 andi s1,s2,3 + 80001404: 19000593 li a1,400 + 80001408: 0014b493 seqz s1,s1 + 8000140c: 00079863 bnez a5,8000141c + 80001410: dc1ff0ef jal ra,800011d0 <__moddi3> + 80001414: 0005049b sext.w s1,a0 + 80001418: 0014b493 seqz s1,s1 + 8000141c: 00249793 slli a5,s1,0x2 + 80001420: 00fa07b3 add a5,s4,a5 + 80001424: 0007e703 lwu a4,0(a5) + 80001428: 0019069b addiw a3,s2,1 + 8000142c: fae456e3 bge s0,a4,800013d8 + 80001430: 000155b7 lui a1,0x15 + 80001434: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001438: 00040513 mv a0,s0 + 8000143c: d11ff0ef jal ra,8000114c <__divdi3> + 80001440: 00149793 slli a5,s1,0x1 + 80001444: 009784b3 add s1,a5,s1 + 80001448: 00449793 slli a5,s1,0x4 + 8000144c: 00003497 auipc s1,0x3 + 80001450: 02448493 addi s1,s1,36 # 80004470 + 80001454: 00f484b3 add s1,s1,a5 + 80001458: 0004e783 lwu a5,0(s1) + 8000145c: 0005051b sext.w a0,a0 + 80001460: 00a9ae23 sw a0,28(s3) + 80001464: 14f44463 blt s0,a5,800015ac + 80001468: 00100713 li a4,1 + 8000146c: 40f40433 sub s0,s0,a5 + 80001470: 0044e783 lwu a5,4(s1) + 80001474: 00070a1b sext.w s4,a4 + 80001478: 00448493 addi s1,s1,4 + 8000147c: 00170713 addi a4,a4,1 + 80001480: fef456e3 bge s0,a5,8000146c + 80001484: 000155b7 lui a1,0x15 + 80001488: 0149a823 sw s4,16(s3) + 8000148c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001490: 00040513 mv a0,s0 + 80001494: cb9ff0ef jal ra,8000114c <__divdi3> + 80001498: 0005051b sext.w a0,a0 + 8000149c: 0015079b addiw a5,a0,1 + 800014a0: 000155b7 lui a1,0x15 + 800014a4: 00f9a623 sw a5,12(s3) + 800014a8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800014ac: 00040513 mv a0,s0 + 800014b0: 00001ab7 lui s5,0x1 + 800014b4: 0007841b sext.w s0,a5 + 800014b8: d19ff0ef jal ra,800011d0 <__moddi3> + 800014bc: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 800014c0: 00050493 mv s1,a0 + 800014c4: c89ff0ef jal ra,8000114c <__divdi3> + 800014c8: e10a8593 addi a1,s5,-496 + 800014cc: 00a9a423 sw a0,8(s3) + 800014d0: 00048513 mv a0,s1 + 800014d4: cfdff0ef jal ra,800011d0 <__moddi3> + 800014d8: 03c00593 li a1,60 + 800014dc: 00050493 mv s1,a0 + 800014e0: c6dff0ef jal ra,8000114c <__divdi3> + 800014e4: 00a9a223 sw a0,4(s3) + 800014e8: 03c00593 li a1,60 + 800014ec: 00048513 mv a0,s1 + 800014f0: ce1ff0ef jal ra,800011d0 <__moddi3> + 800014f4: 001a0a1b addiw s4,s4,1 + 800014f8: 0ffa7793 andi a5,s4,255 + 800014fc: 0037b793 sltiu a5,a5,3 + 80001500: 40f9093b subw s2,s2,a5 + 80001504: 03091913 slli s2,s2,0x30 + 80001508: 03095913 srli s2,s2,0x30 + 8000150c: 00a9a023 sw a0,0(s3) + 80001510: 06400593 li a1,100 + 80001514: 00090513 mv a0,s2 + 80001518: c3dff0ef jal ra,80001154 <__udivdi3> + 8000151c: 0029549b srliw s1,s2,0x2 + 80001520: 0105179b slliw a5,a0,0x10 + 80001524: 0107d79b srliw a5,a5,0x10 + 80001528: 012484bb addw s1,s1,s2 + 8000152c: 19000593 li a1,400 + 80001530: 00090513 mv a0,s2 + 80001534: 0ffa7a13 andi s4,s4,255 + 80001538: 40f484bb subw s1,s1,a5 + 8000153c: fffa0a1b addiw s4,s4,-1 + 80001540: c15ff0ef jal ra,80001154 <__udivdi3> + 80001544: 00003797 auipc a5,0x3 + 80001548: f8c78793 addi a5,a5,-116 # 800044d0 + 8000154c: 002a1a13 slli s4,s4,0x2 + 80001550: 01478a33 add s4,a5,s4 + 80001554: 000a2783 lw a5,0(s4) + 80001558: 0105151b slliw a0,a0,0x10 + 8000155c: 0105551b srliw a0,a0,0x10 + 80001560: 00a484bb addw s1,s1,a0 + 80001564: 00f484bb addw s1,s1,a5 + 80001568: 0ff47513 andi a0,s0,255 + 8000156c: 00a4853b addw a0,s1,a0 + 80001570: 00700593 li a1,7 + 80001574: c5dff0ef jal ra,800011d0 <__moddi3> + 80001578: 03813083 ld ra,56(sp) + 8000157c: 03013403 ld s0,48(sp) + 80001580: 0ff57513 andi a0,a0,255 + 80001584: 00a9ac23 sw a0,24(s3) + 80001588: 0209a023 sw zero,32(s3) + 8000158c: 02813483 ld s1,40(sp) + 80001590: 02013903 ld s2,32(sp) + 80001594: 01013a03 ld s4,16(sp) + 80001598: 00813a83 ld s5,8(sp) + 8000159c: 00098513 mv a0,s3 + 800015a0: 01813983 ld s3,24(sp) + 800015a4: 04010113 addi sp,sp,64 + 800015a8: 00008067 ret + 800015ac: 00000a13 li s4,0 + 800015b0: eedff06f j 8000149c + +00000000800015b4 <__am_timer_rtc>: + 800015b4: f9010113 addi sp,sp,-112 + 800015b8: 06813023 sd s0,96(sp) + 800015bc: 06113423 sd ra,104(sp) + 800015c0: 04913c23 sd s1,88(sp) + 800015c4: 05213823 sd s2,80(sp) + 800015c8: 00050413 mv s0,a0 + 800015cc: b00024f3 csrr s1,mcycle + 800015d0: 000f4937 lui s2,0xf4 + 800015d4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 800015d8: 00048513 mv a0,s1 + 800015dc: b79ff0ef jal ra,80001154 <__udivdi3> + 800015e0: 00050793 mv a5,a0 + 800015e4: 24090593 addi a1,s2,576 + 800015e8: 00048513 mv a0,s1 + 800015ec: 00f13423 sd a5,8(sp) + 800015f0: badff0ef jal ra,8000119c <__umoddi3> + 800015f4: 00050793 mv a5,a0 + 800015f8: 01810593 addi a1,sp,24 + 800015fc: 00810513 addi a0,sp,8 + 80001600: 00f13823 sd a5,16(sp) + 80001604: d81ff0ef jal ra,80001384 + 80001608: 01812703 lw a4,24(sp) + 8000160c: 02812783 lw a5,40(sp) + 80001610: 06813083 ld ra,104(sp) + 80001614: 00e42a23 sw a4,20(s0) + 80001618: 01c12703 lw a4,28(sp) + 8000161c: 0017879b addiw a5,a5,1 + 80001620: 00f42223 sw a5,4(s0) + 80001624: 00e42823 sw a4,16(s0) + 80001628: 02012703 lw a4,32(sp) + 8000162c: 02c12783 lw a5,44(sp) + 80001630: 05813483 ld s1,88(sp) + 80001634: 00e42623 sw a4,12(s0) + 80001638: 02412703 lw a4,36(sp) + 8000163c: 00f42023 sw a5,0(s0) + 80001640: 05013903 ld s2,80(sp) + 80001644: 00e42423 sw a4,8(s0) + 80001648: 06013403 ld s0,96(sp) + 8000164c: 07010113 addi sp,sp,112 + 80001650: 00008067 ret + +0000000080001654 <__am_input_keybrd>: + 80001654: 00050023 sb zero,0(a0) + 80001658: 00052223 sw zero,4(a0) + 8000165c: 00008067 ret + +0000000080001660 <__am_irq_handle>: + 80001660: 00095717 auipc a4,0x95 + 80001664: 56873703 ld a4,1384(a4) # 80096bc8 + 80001668: 00050593 mv a1,a0 + 8000166c: 0a070a63 beqz a4,80001720 <__am_irq_handle+0xc0> + 80001670: 10053683 ld a3,256(a0) + 80001674: fb010113 addi sp,sp,-80 + 80001678: 04113423 sd ra,72(sp) + 8000167c: 02013023 sd zero,32(sp) + 80001680: 02013423 sd zero,40(sp) + 80001684: 02013823 sd zero,48(sp) + 80001688: 02013c23 sd zero,56(sp) + 8000168c: 00b00793 li a5,11 + 80001690: 06f68c63 beq a3,a5,80001708 <__am_irq_handle+0xa8> + 80001694: fff00793 li a5,-1 + 80001698: 03f79793 slli a5,a5,0x3f + 8000169c: 00778793 addi a5,a5,7 + 800016a0: 04f69e63 bne a3,a5,800016fc <__am_irq_handle+0x9c> + 800016a4: 02004637 lui a2,0x2004 + 800016a8: 00063783 ld a5,0(a2) # 2004000 <_entry_offset+0x2004000> + 800016ac: 000f46b7 lui a3,0xf4 + 800016b0: 24068693 addi a3,a3,576 # f4240 <_entry_offset+0xf4240> + 800016b4: 00d787b3 add a5,a5,a3 + 800016b8: 00f63023 sd a5,0(a2) + 800016bc: 00500793 li a5,5 + 800016c0: 02f12023 sw a5,32(sp) + 800016c4: 02013783 ld a5,32(sp) + 800016c8: 00010513 mv a0,sp + 800016cc: 00f13023 sd a5,0(sp) + 800016d0: 02813783 ld a5,40(sp) + 800016d4: 00f13423 sd a5,8(sp) + 800016d8: 03013783 ld a5,48(sp) + 800016dc: 00f13823 sd a5,16(sp) + 800016e0: 03813783 ld a5,56(sp) + 800016e4: 00f13c23 sd a5,24(sp) + 800016e8: 000700e7 jalr a4 + 800016ec: 04050863 beqz a0,8000173c <__am_irq_handle+0xdc> + 800016f0: 04813083 ld ra,72(sp) + 800016f4: 05010113 addi sp,sp,80 + 800016f8: 00008067 ret + 800016fc: 00400793 li a5,4 + 80001700: 02f12023 sw a5,32(sp) + 80001704: fc1ff06f j 800016c4 <__am_irq_handle+0x64> + 80001708: 08853683 ld a3,136(a0) + 8000170c: fff00793 li a5,-1 + 80001710: 00f68a63 beq a3,a5,80001724 <__am_irq_handle+0xc4> + 80001714: 00200793 li a5,2 + 80001718: 02f12023 sw a5,32(sp) + 8000171c: fa9ff06f j 800016c4 <__am_irq_handle+0x64> + 80001720: 00008067 ret + 80001724: 11053783 ld a5,272(a0) + 80001728: 00100693 li a3,1 + 8000172c: 02d12023 sw a3,32(sp) + 80001730: 00478793 addi a5,a5,4 + 80001734: 10f53823 sd a5,272(a0) + 80001738: f8dff06f j 800016c4 <__am_irq_handle+0x64> + 8000173c: 02700613 li a2,39 + 80001740: 00003597 auipc a1,0x3 + 80001744: dc058593 addi a1,a1,-576 # 80004500 + 80001748: 00002517 auipc a0,0x2 + 8000174c: 48850513 addi a0,a0,1160 # 80003bd0 + 80001750: 300010ef jal ra,80002a50 + 80001754: 00100513 li a0,1 + 80001758: 949ff0ef jal ra,800010a0 + +000000008000175c : + 8000175c: 00050793 mv a5,a0 + 80001760: 00000717 auipc a4,0x0 + 80001764: 04c70713 addi a4,a4,76 # 800017ac <__am_asm_trap> + 80001768: 30571073 csrw mtvec,a4 + 8000176c: 00100513 li a0,1 + 80001770: 00095717 auipc a4,0x95 + 80001774: 44f73c23 sd a5,1112(a4) # 80096bc8 + 80001778: 00008067 ret + +000000008000177c : + 8000177c: fff00893 li a7,-1 + 80001780: 00000073 ecall + 80001784: 00008067 ret + +0000000080001788 : + 80001788: 00050a63 beqz a0,8000179c + 8000178c: 300467f3 csrrsi a5,mstatus,8 + 80001790: 08000793 li a5,128 + 80001794: 3047a7f3 csrrs a5,mie,a5 + 80001798: 00008067 ret + 8000179c: 300477f3 csrrci a5,mstatus,8 + 800017a0: 08000793 li a5,128 + 800017a4: 3047b7f3 csrrc a5,mie,a5 + 800017a8: 00008067 ret + +00000000800017ac <__am_asm_trap>: + 800017ac: ee810113 addi sp,sp,-280 + 800017b0: 00113423 sd ra,8(sp) + 800017b4: 00313c23 sd gp,24(sp) + 800017b8: 02413023 sd tp,32(sp) + 800017bc: 02513423 sd t0,40(sp) + 800017c0: 02613823 sd t1,48(sp) + 800017c4: 02713c23 sd t2,56(sp) + 800017c8: 04813023 sd s0,64(sp) + 800017cc: 04913423 sd s1,72(sp) + 800017d0: 04a13823 sd a0,80(sp) + 800017d4: 04b13c23 sd a1,88(sp) + 800017d8: 06c13023 sd a2,96(sp) + 800017dc: 06d13423 sd a3,104(sp) + 800017e0: 06e13823 sd a4,112(sp) + 800017e4: 06f13c23 sd a5,120(sp) + 800017e8: 09013023 sd a6,128(sp) + 800017ec: 09113423 sd a7,136(sp) + 800017f0: 09213823 sd s2,144(sp) + 800017f4: 09313c23 sd s3,152(sp) + 800017f8: 0b413023 sd s4,160(sp) + 800017fc: 0b513423 sd s5,168(sp) + 80001800: 0b613823 sd s6,176(sp) + 80001804: 0b713c23 sd s7,184(sp) + 80001808: 0d813023 sd s8,192(sp) + 8000180c: 0d913423 sd s9,200(sp) + 80001810: 0da13823 sd s10,208(sp) + 80001814: 0db13c23 sd s11,216(sp) + 80001818: 0fc13023 sd t3,224(sp) + 8000181c: 0fd13423 sd t4,232(sp) + 80001820: 0fe13823 sd t5,240(sp) + 80001824: 0ff13c23 sd t6,248(sp) + 80001828: 00010293 mv t0,sp + 8000182c: 11828293 addi t0,t0,280 + 80001830: 00513823 sd t0,16(sp) + 80001834: 342022f3 csrr t0,mcause + 80001838: 30002373 csrr t1,mstatus + 8000183c: 341023f3 csrr t2,mepc + 80001840: 10513023 sd t0,256(sp) + 80001844: 10613423 sd t1,264(sp) + 80001848: 10713823 sd t2,272(sp) + 8000184c: 00010513 mv a0,sp + 80001850: e11ff0ef jal ra,80001660 <__am_irq_handle> + 80001854: 10813303 ld t1,264(sp) + 80001858: 11013383 ld t2,272(sp) + 8000185c: 30031073 csrw mstatus,t1 + 80001860: 34139073 csrw mepc,t2 + 80001864: 00813083 ld ra,8(sp) + 80001868: 01813183 ld gp,24(sp) + 8000186c: 02013203 ld tp,32(sp) + 80001870: 02813283 ld t0,40(sp) + 80001874: 03013303 ld t1,48(sp) + 80001878: 03813383 ld t2,56(sp) + 8000187c: 04013403 ld s0,64(sp) + 80001880: 04813483 ld s1,72(sp) + 80001884: 05013503 ld a0,80(sp) + 80001888: 05813583 ld a1,88(sp) + 8000188c: 06013603 ld a2,96(sp) + 80001890: 06813683 ld a3,104(sp) + 80001894: 07013703 ld a4,112(sp) + 80001898: 07813783 ld a5,120(sp) + 8000189c: 08013803 ld a6,128(sp) + 800018a0: 08813883 ld a7,136(sp) + 800018a4: 09013903 ld s2,144(sp) + 800018a8: 09813983 ld s3,152(sp) + 800018ac: 0a013a03 ld s4,160(sp) + 800018b0: 0a813a83 ld s5,168(sp) + 800018b4: 0b013b03 ld s6,176(sp) + 800018b8: 0b813b83 ld s7,184(sp) + 800018bc: 0c013c03 ld s8,192(sp) + 800018c0: 0c813c83 ld s9,200(sp) + 800018c4: 0d013d03 ld s10,208(sp) + 800018c8: 0d813d83 ld s11,216(sp) + 800018cc: 0e013e03 ld t3,224(sp) + 800018d0: 0e813e83 ld t4,232(sp) + 800018d4: 0f013f03 ld t5,240(sp) + 800018d8: 0f813f83 ld t6,248(sp) + 800018dc: 11810113 addi sp,sp,280 + 800018e0: 30200073 mret + +00000000800018e4 : + 800018e4: 00000513 li a0,0 + 800018e8: 00008067 ret + +00000000800018ec : + 800018ec: 00008067 ret + +00000000800018f0 : + 800018f0: 00008067 ret + +00000000800018f4 : + 800018f4: ff010113 addi sp,sp,-16 + 800018f8: 00000513 li a0,0 + 800018fc: 01010113 addi sp,sp,16 + 80001900: 00008067 ret + +0000000080001904 : + 80001904: 00000513 li a0,0 + 80001908: 00008067 ret + +000000008000190c : + 8000190c: 00000513 li a0,0 + 80001910: 00008067 ret + +0000000080001914 : + 80001914: 00054783 lbu a5,0(a0) + 80001918: 00158593 addi a1,a1,1 + 8000191c: 00150513 addi a0,a0,1 + 80001920: fff5c703 lbu a4,-1(a1) + 80001924: 00078863 beqz a5,80001934 + 80001928: fee786e3 beq a5,a4,80001914 + 8000192c: 40e7853b subw a0,a5,a4 + 80001930: 00008067 ret + 80001934: 40e0053b negw a0,a4 + 80001938: 00008067 ret + +000000008000193c : + 8000193c: 00011617 auipc a2,0x11 + 80001940: 04460613 addi a2,a2,68 # 80012980 + 80001944: 00063683 ld a3,0(a2) + 80001948: 00869713 slli a4,a3,0x8 + 8000194c: 40d70733 sub a4,a4,a3 + 80001950: 00371713 slli a4,a4,0x3 + 80001954: 00d70733 add a4,a4,a3 + 80001958: 00771713 slli a4,a4,0x7 + 8000195c: 00d70733 add a4,a4,a3 + 80001960: 00371713 slli a4,a4,0x3 + 80001964: 40d70733 sub a4,a4,a3 + 80001968: 00571793 slli a5,a4,0x5 + 8000196c: 00f707b3 add a5,a4,a5 + 80001970: 00279793 slli a5,a5,0x2 + 80001974: 40d787b3 sub a5,a5,a3 + 80001978: 00279793 slli a5,a5,0x2 + 8000197c: 00003737 lui a4,0x3 + 80001980: 00d787b3 add a5,a5,a3 + 80001984: 03970713 addi a4,a4,57 # 3039 <_entry_offset+0x3039> + 80001988: 00e787b3 add a5,a5,a4 + 8000198c: 02179513 slli a0,a5,0x21 + 80001990: 00f63023 sd a5,0(a2) + 80001994: 03155513 srli a0,a0,0x31 + 80001998: 00008067 ret + +000000008000199c : + 8000199c: 00011797 auipc a5,0x11 + 800019a0: bd478793 addi a5,a5,-1068 # 80012570 + 800019a4: 0007b503 ld a0,0(a5) + 800019a8: 0087b583 ld a1,8(a5) + 800019ac: ff010113 addi sp,sp,-16 + 800019b0: 00000693 li a3,0 + 800019b4: 00000613 li a2,0 + 800019b8: 40a585b3 sub a1,a1,a0 + 800019bc: 00113423 sd ra,8(sp) + 800019c0: 378010ef jal ra,80002d38 + 800019c4: 00813083 ld ra,8(sp) + 800019c8: 00095797 auipc a5,0x95 + 800019cc: 20a7b423 sd a0,520(a5) # 80096bd0 + 800019d0: 01010113 addi sp,sp,16 + 800019d4: 00008067 ret + +00000000800019d8 <_out_null>: + 800019d8: 00008067 ret + +00000000800019dc <_ntoa_format>: + 800019dc: fa010113 addi sp,sp,-96 + 800019e0: 07012303 lw t1,112(sp) + 800019e4: 03313c23 sd s3,56(sp) + 800019e8: 03413823 sd s4,48(sp) + 800019ec: 03513423 sd s5,40(sp) + 800019f0: 03613023 sd s6,32(sp) + 800019f4: 01713c23 sd s7,24(sp) + 800019f8: 01813823 sd s8,16(sp) + 800019fc: 01913423 sd s9,8(sp) + 80001a00: 00237e13 andi t3,t1,2 + 80001a04: 01037e93 andi t4,t1,16 + 80001a08: 04113c23 sd ra,88(sp) + 80001a0c: 04813823 sd s0,80(sp) + 80001a10: 04913423 sd s1,72(sp) + 80001a14: 05213023 sd s2,64(sp) + 80001a18: 06012f03 lw t5,96(sp) + 80001a1c: 06812c03 lw s8,104(sp) + 80001a20: 00050a13 mv s4,a0 + 80001a24: 00058a93 mv s5,a1 + 80001a28: 00060b93 mv s7,a2 + 80001a2c: 00068b13 mv s6,a3 + 80001a30: 00070993 mv s3,a4 + 80001a34: 000e0c9b sext.w s9,t3 + 80001a38: 000e8e9b sext.w t4,t4 + 80001a3c: 060e1c63 bnez t3,80001ab4 <_ntoa_format+0xd8> + 80001a40: 020f1693 slli a3,t5,0x20 + 80001a44: 00137513 andi a0,t1,1 + 80001a48: 0206d693 srli a3,a3,0x20 + 80001a4c: 180c1e63 bnez s8,80001be8 <_ntoa_format+0x20c> + 80001a50: 02d7f663 bgeu a5,a3,80001a7c <_ntoa_format+0xa0> + 80001a54: 02000713 li a4,32 + 80001a58: 36e78e63 beq a5,a4,80001dd4 <_ntoa_format+0x3f8> + 80001a5c: 03000613 li a2,48 + 80001a60: 02000593 li a1,32 + 80001a64: 0080006f j 80001a6c <_ntoa_format+0x90> + 80001a68: 00b78a63 beq a5,a1,80001a7c <_ntoa_format+0xa0> + 80001a6c: 00178793 addi a5,a5,1 + 80001a70: 00f98733 add a4,s3,a5 + 80001a74: fec70fa3 sb a2,-1(a4) + 80001a78: fed7e8e3 bltu a5,a3,80001a68 <_ntoa_format+0x8c> + 80001a7c: 02050c63 beqz a0,80001ab4 <_ntoa_format+0xd8> + 80001a80: 020c1913 slli s2,s8,0x20 + 80001a84: 02095913 srli s2,s2,0x20 + 80001a88: 0327f663 bgeu a5,s2,80001ab4 <_ntoa_format+0xd8> + 80001a8c: 02000713 li a4,32 + 80001a90: 36e78863 beq a5,a4,80001e00 <_ntoa_format+0x424> + 80001a94: 03000693 li a3,48 + 80001a98: 02000613 li a2,32 + 80001a9c: 0080006f j 80001aa4 <_ntoa_format+0xc8> + 80001aa0: 16c78463 beq a5,a2,80001c08 <_ntoa_format+0x22c> + 80001aa4: 00178793 addi a5,a5,1 + 80001aa8: 00f98733 add a4,s3,a5 + 80001aac: fed70fa3 sb a3,-1(a4) + 80001ab0: ff2798e3 bne a5,s2,80001aa0 <_ntoa_format+0xc4> + 80001ab4: 1a0e8663 beqz t4,80001c60 <_ntoa_format+0x284> + 80001ab8: 40037713 andi a4,t1,1024 + 80001abc: 20071463 bnez a4,80001cc4 <_ntoa_format+0x2e8> + 80001ac0: 1e079663 bnez a5,80001cac <_ntoa_format+0x2d0> + 80001ac4: 01000793 li a5,16 + 80001ac8: 2af88863 beq a7,a5,80001d78 <_ntoa_format+0x39c> + 80001acc: 00200793 li a5,2 + 80001ad0: 2ef88a63 beq a7,a5,80001dc4 <_ntoa_format+0x3e8> + 80001ad4: 03000793 li a5,48 + 80001ad8: 00f98023 sb a5,0(s3) + 80001adc: 00100793 li a5,1 + 80001ae0: 1a080463 beqz a6,80001c88 <_ntoa_format+0x2ac> + 80001ae4: 00f98733 add a4,s3,a5 + 80001ae8: 00178413 addi s0,a5,1 + 80001aec: 02d00793 li a5,45 + 80001af0: 00f70023 sb a5,0(a4) + 80001af4: 00337313 andi t1,t1,3 + 80001af8: 20031263 bnez t1,80001cfc <_ntoa_format+0x320> + 80001afc: 020c1913 slli s2,s8,0x20 + 80001b00: 02095913 srli s2,s2,0x20 + 80001b04: 1f247c63 bgeu s0,s2,80001cfc <_ntoa_format+0x320> + 80001b08: 40890933 sub s2,s2,s0 + 80001b0c: 01790933 add s2,s2,s7 + 80001b10: 000b8493 mv s1,s7 + 80001b14: 00048613 mv a2,s1 + 80001b18: 000b0693 mv a3,s6 + 80001b1c: 00148493 addi s1,s1,1 + 80001b20: 000a8593 mv a1,s5 + 80001b24: 02000513 li a0,32 + 80001b28: 000a00e7 jalr s4 + 80001b2c: ff2494e3 bne s1,s2,80001b14 <_ntoa_format+0x138> + 80001b30: 02040663 beqz s0,80001b5c <_ntoa_format+0x180> + 80001b34: 01240933 add s2,s0,s2 + 80001b38: 012984b3 add s1,s3,s2 + 80001b3c: 00898433 add s0,s3,s0 + 80001b40: fff44503 lbu a0,-1(s0) + 80001b44: 40848633 sub a2,s1,s0 + 80001b48: 000b0693 mv a3,s6 + 80001b4c: fff40413 addi s0,s0,-1 + 80001b50: 000a8593 mv a1,s5 + 80001b54: 000a00e7 jalr s4 + 80001b58: fe8994e3 bne s3,s0,80001b40 <_ntoa_format+0x164> + 80001b5c: 040c8a63 beqz s9,80001bb0 <_ntoa_format+0x1d4> + 80001b60: 020c1c13 slli s8,s8,0x20 + 80001b64: 41790433 sub s0,s2,s7 + 80001b68: 020c5c13 srli s8,s8,0x20 + 80001b6c: 05847263 bgeu s0,s8,80001bb0 <_ntoa_format+0x1d4> + 80001b70: 01740633 add a2,s0,s7 + 80001b74: 000b0693 mv a3,s6 + 80001b78: 00140413 addi s0,s0,1 + 80001b7c: 000a8593 mv a1,s5 + 80001b80: 02000513 li a0,32 + 80001b84: 000a00e7 jalr s4 + 80001b88: ff8464e3 bltu s0,s8,80001b70 <_ntoa_format+0x194> + 80001b8c: 41790733 sub a4,s2,s7 + 80001b90: 00170713 addi a4,a4,1 + 80001b94: 00000793 li a5,0 + 80001b98: 00ec6863 bltu s8,a4,80001ba8 <_ntoa_format+0x1cc> + 80001b9c: fffb8793 addi a5,s7,-1 + 80001ba0: 01878c33 add s8,a5,s8 + 80001ba4: 412c07b3 sub a5,s8,s2 + 80001ba8: 00190913 addi s2,s2,1 + 80001bac: 00f90933 add s2,s2,a5 + 80001bb0: 05813083 ld ra,88(sp) + 80001bb4: 05013403 ld s0,80(sp) + 80001bb8: 04813483 ld s1,72(sp) + 80001bbc: 03813983 ld s3,56(sp) + 80001bc0: 03013a03 ld s4,48(sp) + 80001bc4: 02813a83 ld s5,40(sp) + 80001bc8: 02013b03 ld s6,32(sp) + 80001bcc: 01813b83 ld s7,24(sp) + 80001bd0: 01013c03 ld s8,16(sp) + 80001bd4: 00813c83 ld s9,8(sp) + 80001bd8: 00090513 mv a0,s2 + 80001bdc: 04013903 ld s2,64(sp) + 80001be0: 06010113 addi sp,sp,96 + 80001be4: 00008067 ret + 80001be8: 12050863 beqz a0,80001d18 <_ntoa_format+0x33c> + 80001bec: 00081663 bnez a6,80001bf8 <_ntoa_format+0x21c> + 80001bf0: 00c37713 andi a4,t1,12 + 80001bf4: 00070463 beqz a4,80001bfc <_ntoa_format+0x220> + 80001bf8: fffc0c1b addiw s8,s8,-1 + 80001bfc: e8d7f2e3 bgeu a5,a3,80001a80 <_ntoa_format+0xa4> + 80001c00: 02000713 li a4,32 + 80001c04: e4e79ce3 bne a5,a4,80001a5c <_ntoa_format+0x80> + 80001c08: 0e0e8063 beqz t4,80001ce8 <_ntoa_format+0x30c> + 80001c0c: 40037793 andi a5,t1,1024 + 80001c10: 04079c63 bnez a5,80001c68 <_ntoa_format+0x28c> + 80001c14: 02000793 li a5,32 + 80001c18: 0aff1063 bne t5,a5,80001cb8 <_ntoa_format+0x2dc> + 80001c1c: 02000793 li a5,32 + 80001c20: 01f00713 li a4,31 + 80001c24: 01000693 li a3,16 + 80001c28: 1ad88c63 beq a7,a3,80001de0 <_ntoa_format+0x404> + 80001c2c: 00200693 li a3,2 + 80001c30: 00070793 mv a5,a4 + 80001c34: 00d89e63 bne a7,a3,80001c50 <_ntoa_format+0x274> + 80001c38: 00e986b3 add a3,s3,a4 + 80001c3c: 00170793 addi a5,a4,1 + 80001c40: 06200713 li a4,98 + 80001c44: 00e68023 sb a4,0(a3) + 80001c48: 02000713 li a4,32 + 80001c4c: 00e78e63 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001c50: 00f98733 add a4,s3,a5 + 80001c54: 03000693 li a3,48 + 80001c58: 00d70023 sb a3,0(a4) + 80001c5c: 00178793 addi a5,a5,1 + 80001c60: 02000713 li a4,32 + 80001c64: e6e79ee3 bne a5,a4,80001ae0 <_ntoa_format+0x104> + 80001c68: 00337313 andi t1,t1,3 + 80001c6c: 08031263 bnez t1,80001cf0 <_ntoa_format+0x314> + 80001c70: 02000413 li s0,32 + 80001c74: 020c1913 slli s2,s8,0x20 + 80001c78: 02095913 srli s2,s2,0x20 + 80001c7c: e92466e3 bltu s0,s2,80001b08 <_ntoa_format+0x12c> + 80001c80: 000b8913 mv s2,s7 + 80001c84: eadff06f j 80001b30 <_ntoa_format+0x154> + 80001c88: 00437713 andi a4,t1,4 + 80001c8c: 06071c63 bnez a4,80001d04 <_ntoa_format+0x328> + 80001c90: 00837713 andi a4,t1,8 + 80001c94: 0c071863 bnez a4,80001d64 <_ntoa_format+0x388> + 80001c98: 00337313 andi t1,t1,3 + 80001c9c: 00078413 mv s0,a5 + 80001ca0: fc030ae3 beqz t1,80001c74 <_ntoa_format+0x298> + 80001ca4: 000b8913 mv s2,s7 + 80001ca8: e89ff06f j 80001b30 <_ntoa_format+0x154> + 80001cac: 020f1f13 slli t5,t5,0x20 + 80001cb0: 020f5f13 srli t5,t5,0x20 + 80001cb4: 0aff0263 beq t5,a5,80001d58 <_ntoa_format+0x37c> + 80001cb8: 020c1913 slli s2,s8,0x20 + 80001cbc: 02095913 srli s2,s2,0x20 + 80001cc0: 08f90c63 beq s2,a5,80001d58 <_ntoa_format+0x37c> + 80001cc4: 01000713 li a4,16 + 80001cc8: 06e88663 beq a7,a4,80001d34 <_ntoa_format+0x358> + 80001ccc: 00200713 li a4,2 + 80001cd0: f6e89ce3 bne a7,a4,80001c48 <_ntoa_format+0x26c> + 80001cd4: 02000713 li a4,32 + 80001cd8: f8e788e3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001cdc: 00f986b3 add a3,s3,a5 + 80001ce0: 00178793 addi a5,a5,1 + 80001ce4: f5dff06f j 80001c40 <_ntoa_format+0x264> + 80001ce8: 00337313 andi t1,t1,3 + 80001cec: 0c030063 beqz t1,80001dac <_ntoa_format+0x3d0> + 80001cf0: 000b8913 mv s2,s7 + 80001cf4: 02000413 li s0,32 + 80001cf8: e3dff06f j 80001b34 <_ntoa_format+0x158> + 80001cfc: 000b8913 mv s2,s7 + 80001d00: e35ff06f j 80001b34 <_ntoa_format+0x158> + 80001d04: 00f98733 add a4,s3,a5 + 80001d08: 00178413 addi s0,a5,1 + 80001d0c: 02b00793 li a5,43 + 80001d10: 00f70023 sb a5,0(a4) + 80001d14: de1ff06f j 80001af4 <_ntoa_format+0x118> + 80001d18: d8d7fee3 bgeu a5,a3,80001ab4 <_ntoa_format+0xd8> + 80001d1c: 02000713 li a4,32 + 80001d20: d2e79ee3 bne a5,a4,80001a5c <_ntoa_format+0x80> + 80001d24: 0e0e8c63 beqz t4,80001e1c <_ntoa_format+0x440> + 80001d28: 40037793 andi a5,t1,1024 + 80001d2c: f2079ee3 bnez a5,80001c68 <_ntoa_format+0x28c> + 80001d30: ee5ff06f j 80001c14 <_ntoa_format+0x238> + 80001d34: 02037713 andi a4,t1,32 + 80001d38: 04071c63 bnez a4,80001d90 <_ntoa_format+0x3b4> + 80001d3c: 02000713 li a4,32 + 80001d40: f2e784e3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001d44: 00f98733 add a4,s3,a5 + 80001d48: 00178793 addi a5,a5,1 + 80001d4c: 07800693 li a3,120 + 80001d50: 00d70023 sb a3,0(a4) + 80001d54: ef5ff06f j 80001c48 <_ntoa_format+0x26c> + 80001d58: fff78713 addi a4,a5,-1 + 80001d5c: d60704e3 beqz a4,80001ac4 <_ntoa_format+0xe8> + 80001d60: ec5ff06f j 80001c24 <_ntoa_format+0x248> + 80001d64: 00f98733 add a4,s3,a5 + 80001d68: 00178413 addi s0,a5,1 + 80001d6c: 02000793 li a5,32 + 80001d70: 00f70023 sb a5,0(a4) + 80001d74: d81ff06f j 80001af4 <_ntoa_format+0x118> + 80001d78: 02037793 andi a5,t1,32 + 80001d7c: 02079c63 bnez a5,80001db4 <_ntoa_format+0x3d8> + 80001d80: 07800793 li a5,120 + 80001d84: 00f98023 sb a5,0(s3) + 80001d88: 00100793 li a5,1 + 80001d8c: ec5ff06f j 80001c50 <_ntoa_format+0x274> + 80001d90: 02000713 li a4,32 + 80001d94: ece78ae3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001d98: 00f98733 add a4,s3,a5 + 80001d9c: 05800693 li a3,88 + 80001da0: 00d70023 sb a3,0(a4) + 80001da4: 00178793 addi a5,a5,1 + 80001da8: ea1ff06f j 80001c48 <_ntoa_format+0x26c> + 80001dac: 02000413 li s0,32 + 80001db0: d4dff06f j 80001afc <_ntoa_format+0x120> + 80001db4: 05800793 li a5,88 + 80001db8: 00f98023 sb a5,0(s3) + 80001dbc: 00100793 li a5,1 + 80001dc0: e91ff06f j 80001c50 <_ntoa_format+0x274> + 80001dc4: 06200793 li a5,98 + 80001dc8: 00f98023 sb a5,0(s3) + 80001dcc: 00100793 li a5,1 + 80001dd0: e81ff06f j 80001c50 <_ntoa_format+0x274> + 80001dd4: e2051ae3 bnez a0,80001c08 <_ntoa_format+0x22c> + 80001dd8: 000c8c13 mv s8,s9 + 80001ddc: f49ff06f j 80001d24 <_ntoa_format+0x348> + 80001de0: 02037693 andi a3,t1,32 + 80001de4: ffe78713 addi a4,a5,-2 + 80001de8: 00069863 bnez a3,80001df8 <_ntoa_format+0x41c> + 80001dec: 00e98733 add a4,s3,a4 + 80001df0: fff78793 addi a5,a5,-1 + 80001df4: f59ff06f j 80001d4c <_ntoa_format+0x370> + 80001df8: 00070793 mv a5,a4 + 80001dfc: f9dff06f j 80001d98 <_ntoa_format+0x3bc> + 80001e00: 000e8e63 beqz t4,80001e1c <_ntoa_format+0x440> + 80001e04: 40037713 andi a4,t1,1024 + 80001e08: 00071e63 bnez a4,80001e24 <_ntoa_format+0x448> + 80001e0c: 02ff0e63 beq t5,a5,80001e48 <_ntoa_format+0x46c> + 80001e10: e4fc1ce3 bne s8,a5,80001c68 <_ntoa_format+0x28c> + 80001e14: 01f00713 li a4,31 + 80001e18: e0dff06f j 80001c24 <_ntoa_format+0x248> + 80001e1c: 02000413 li s0,32 + 80001e20: cd5ff06f j 80001af4 <_ntoa_format+0x118> + 80001e24: 01000793 li a5,16 + 80001e28: 02f88c63 beq a7,a5,80001e60 <_ntoa_format+0x484> + 80001e2c: 00200793 li a5,2 + 80001e30: e2f88ce3 beq a7,a5,80001c68 <_ntoa_format+0x28c> + 80001e34: 00337313 andi t1,t1,3 + 80001e38: ea031ce3 bnez t1,80001cf0 <_ntoa_format+0x314> + 80001e3c: 02000413 li s0,32 + 80001e40: cd2464e3 bltu s0,s2,80001b08 <_ntoa_format+0x12c> + 80001e44: e3dff06f j 80001c80 <_ntoa_format+0x2a4> + 80001e48: 01000713 li a4,16 + 80001e4c: f8e88ae3 beq a7,a4,80001de0 <_ntoa_format+0x404> + 80001e50: 00200793 li a5,2 + 80001e54: 00f88c63 beq a7,a5,80001e6c <_ntoa_format+0x490> + 80001e58: 01f00793 li a5,31 + 80001e5c: df5ff06f j 80001c50 <_ntoa_format+0x274> + 80001e60: 02037793 andi a5,t1,32 + 80001e64: fc0788e3 beqz a5,80001e34 <_ntoa_format+0x458> + 80001e68: e01ff06f j 80001c68 <_ntoa_format+0x28c> + 80001e6c: 01f00713 li a4,31 + 80001e70: dc9ff06f j 80001c38 <_ntoa_format+0x25c> + +0000000080001e74 <_ntoa_long>: + 80001e74: f4010113 addi sp,sp,-192 + 80001e78: 09413823 sd s4,144(sp) + 80001e7c: 09513423 sd s5,136(sp) + 80001e80: 09613023 sd s6,128(sp) + 80001e84: 07713c23 sd s7,120(sp) + 80001e88: 07813823 sd s8,112(sp) + 80001e8c: 07913423 sd s9,104(sp) + 80001e90: 05b13c23 sd s11,88(sp) + 80001e94: 0a113c23 sd ra,184(sp) + 80001e98: 0a813823 sd s0,176(sp) + 80001e9c: 0a913423 sd s1,168(sp) + 80001ea0: 0b213023 sd s2,160(sp) + 80001ea4: 09313c23 sd s3,152(sp) + 80001ea8: 07a13023 sd s10,96(sp) + 80001eac: 02a13023 sd a0,32(sp) + 80001eb0: 03113423 sd a7,40(sp) + 80001eb4: 0c812a03 lw s4,200(sp) + 80001eb8: 00070d93 mv s11,a4 + 80001ebc: 00058b13 mv s6,a1 + 80001ec0: 00060b93 mv s7,a2 + 80001ec4: 00068c13 mv s8,a3 + 80001ec8: 00078c93 mv s9,a5 + 80001ecc: 00080a93 mv s5,a6 + 80001ed0: 00071863 bnez a4,80001ee0 <_ntoa_long+0x6c> + 80001ed4: 400a7793 andi a5,s4,1024 + 80001ed8: fefa7a13 andi s4,s4,-17 + 80001edc: 0e079663 bnez a5,80001fc8 <_ntoa_long+0x154> + 80001ee0: 020a7793 andi a5,s4,32 + 80001ee4: 06100413 li s0,97 + 80001ee8: 0c079c63 bnez a5,80001fc0 <_ntoa_long+0x14c> + 80001eec: 00000d13 li s10,0 + 80001ef0: 03010493 addi s1,sp,48 + 80001ef4: 00900913 li s2,9 + 80001ef8: ff64041b addiw s0,s0,-10 + 80001efc: 02000993 li s3,32 + 80001f00: 00c0006f j 80001f0c <_ntoa_long+0x98> + 80001f04: 053d0463 beq s10,s3,80001f4c <_ntoa_long+0xd8> + 80001f08: 00050d93 mv s11,a0 + 80001f0c: 000a8593 mv a1,s5 + 80001f10: 000d8513 mv a0,s11 + 80001f14: a88ff0ef jal ra,8000119c <__umoddi3> + 80001f18: 0ff57313 andi t1,a0,255 + 80001f1c: 0303071b addiw a4,t1,48 + 80001f20: 0064033b addw t1,s0,t1 + 80001f24: 0ff37313 andi t1,t1,255 + 80001f28: 00a96463 bltu s2,a0,80001f30 <_ntoa_long+0xbc> + 80001f2c: 0ff77313 andi t1,a4,255 + 80001f30: 001d0d13 addi s10,s10,1 + 80001f34: 01a48733 add a4,s1,s10 + 80001f38: 000d8513 mv a0,s11 + 80001f3c: 000a8593 mv a1,s5 + 80001f40: fe670fa3 sb t1,-1(a4) + 80001f44: a10ff0ef jal ra,80001154 <__udivdi3> + 80001f48: fb5dfee3 bgeu s11,s5,80001f04 <_ntoa_long+0x90> + 80001f4c: 0c012703 lw a4,192(sp) + 80001f50: 02813783 ld a5,40(sp) + 80001f54: 02013503 ld a0,32(sp) + 80001f58: 01413823 sd s4,16(sp) + 80001f5c: 00e13423 sd a4,8(sp) + 80001f60: 00f13023 sd a5,0(sp) + 80001f64: 000a889b sext.w a7,s5 + 80001f68: 000c8813 mv a6,s9 + 80001f6c: 000d0793 mv a5,s10 + 80001f70: 00048713 mv a4,s1 + 80001f74: 000c0693 mv a3,s8 + 80001f78: 000b8613 mv a2,s7 + 80001f7c: 000b0593 mv a1,s6 + 80001f80: a5dff0ef jal ra,800019dc <_ntoa_format> + 80001f84: 0b813083 ld ra,184(sp) + 80001f88: 0b013403 ld s0,176(sp) + 80001f8c: 0a813483 ld s1,168(sp) + 80001f90: 0a013903 ld s2,160(sp) + 80001f94: 09813983 ld s3,152(sp) + 80001f98: 09013a03 ld s4,144(sp) + 80001f9c: 08813a83 ld s5,136(sp) + 80001fa0: 08013b03 ld s6,128(sp) + 80001fa4: 07813b83 ld s7,120(sp) + 80001fa8: 07013c03 ld s8,112(sp) + 80001fac: 06813c83 ld s9,104(sp) + 80001fb0: 06013d03 ld s10,96(sp) + 80001fb4: 05813d83 ld s11,88(sp) + 80001fb8: 0c010113 addi sp,sp,192 + 80001fbc: 00008067 ret + 80001fc0: 04100413 li s0,65 + 80001fc4: f29ff06f j 80001eec <_ntoa_long+0x78> + 80001fc8: 00000d13 li s10,0 + 80001fcc: 03010493 addi s1,sp,48 + 80001fd0: f7dff06f j 80001f4c <_ntoa_long+0xd8> + +0000000080001fd4 <_ntoa_long_long>: + 80001fd4: f4010113 addi sp,sp,-192 + 80001fd8: 09413823 sd s4,144(sp) + 80001fdc: 09513423 sd s5,136(sp) + 80001fe0: 09613023 sd s6,128(sp) + 80001fe4: 07713c23 sd s7,120(sp) + 80001fe8: 07813823 sd s8,112(sp) + 80001fec: 07913423 sd s9,104(sp) + 80001ff0: 05b13c23 sd s11,88(sp) + 80001ff4: 0a113c23 sd ra,184(sp) + 80001ff8: 0a813823 sd s0,176(sp) + 80001ffc: 0a913423 sd s1,168(sp) + 80002000: 0b213023 sd s2,160(sp) + 80002004: 09313c23 sd s3,152(sp) + 80002008: 07a13023 sd s10,96(sp) + 8000200c: 02a13023 sd a0,32(sp) + 80002010: 03113423 sd a7,40(sp) + 80002014: 0c812a03 lw s4,200(sp) + 80002018: 00070d93 mv s11,a4 + 8000201c: 00058b13 mv s6,a1 + 80002020: 00060b93 mv s7,a2 + 80002024: 00068c13 mv s8,a3 + 80002028: 00078c93 mv s9,a5 + 8000202c: 00080a93 mv s5,a6 + 80002030: 00071863 bnez a4,80002040 <_ntoa_long_long+0x6c> + 80002034: 400a7793 andi a5,s4,1024 + 80002038: fefa7a13 andi s4,s4,-17 + 8000203c: 0e079663 bnez a5,80002128 <_ntoa_long_long+0x154> + 80002040: 020a7793 andi a5,s4,32 + 80002044: 06100413 li s0,97 + 80002048: 0c079c63 bnez a5,80002120 <_ntoa_long_long+0x14c> + 8000204c: 00000d13 li s10,0 + 80002050: 03010493 addi s1,sp,48 + 80002054: 00900913 li s2,9 + 80002058: ff64041b addiw s0,s0,-10 + 8000205c: 02000993 li s3,32 + 80002060: 00c0006f j 8000206c <_ntoa_long_long+0x98> + 80002064: 053d0463 beq s10,s3,800020ac <_ntoa_long_long+0xd8> + 80002068: 00050d93 mv s11,a0 + 8000206c: 000a8593 mv a1,s5 + 80002070: 000d8513 mv a0,s11 + 80002074: 928ff0ef jal ra,8000119c <__umoddi3> + 80002078: 0ff57313 andi t1,a0,255 + 8000207c: 0303071b addiw a4,t1,48 + 80002080: 0064033b addw t1,s0,t1 + 80002084: 0ff37313 andi t1,t1,255 + 80002088: 00a96463 bltu s2,a0,80002090 <_ntoa_long_long+0xbc> + 8000208c: 0ff77313 andi t1,a4,255 + 80002090: 001d0d13 addi s10,s10,1 + 80002094: 01a48733 add a4,s1,s10 + 80002098: 000d8513 mv a0,s11 + 8000209c: 000a8593 mv a1,s5 + 800020a0: fe670fa3 sb t1,-1(a4) + 800020a4: 8b0ff0ef jal ra,80001154 <__udivdi3> + 800020a8: fb5dfee3 bgeu s11,s5,80002064 <_ntoa_long_long+0x90> + 800020ac: 0c012703 lw a4,192(sp) + 800020b0: 02813783 ld a5,40(sp) + 800020b4: 02013503 ld a0,32(sp) + 800020b8: 01413823 sd s4,16(sp) + 800020bc: 00e13423 sd a4,8(sp) + 800020c0: 00f13023 sd a5,0(sp) + 800020c4: 000a889b sext.w a7,s5 + 800020c8: 000c8813 mv a6,s9 + 800020cc: 000d0793 mv a5,s10 + 800020d0: 00048713 mv a4,s1 + 800020d4: 000c0693 mv a3,s8 + 800020d8: 000b8613 mv a2,s7 + 800020dc: 000b0593 mv a1,s6 + 800020e0: 8fdff0ef jal ra,800019dc <_ntoa_format> + 800020e4: 0b813083 ld ra,184(sp) + 800020e8: 0b013403 ld s0,176(sp) + 800020ec: 0a813483 ld s1,168(sp) + 800020f0: 0a013903 ld s2,160(sp) + 800020f4: 09813983 ld s3,152(sp) + 800020f8: 09013a03 ld s4,144(sp) + 800020fc: 08813a83 ld s5,136(sp) + 80002100: 08013b03 ld s6,128(sp) + 80002104: 07813b83 ld s7,120(sp) + 80002108: 07013c03 ld s8,112(sp) + 8000210c: 06813c83 ld s9,104(sp) + 80002110: 06013d03 ld s10,96(sp) + 80002114: 05813d83 ld s11,88(sp) + 80002118: 0c010113 addi sp,sp,192 + 8000211c: 00008067 ret + 80002120: 04100413 li s0,65 + 80002124: f29ff06f j 8000204c <_ntoa_long_long+0x78> + 80002128: 00000d13 li s10,0 + 8000212c: 03010493 addi s1,sp,48 + 80002130: f7dff06f j 800020ac <_ntoa_long_long+0xd8> + +0000000080002134 <_vsnprintf>: + 80002134: f6010113 addi sp,sp,-160 + 80002138: 08913423 sd s1,136(sp) + 8000213c: 09213023 sd s2,128(sp) + 80002140: 07313c23 sd s3,120(sp) + 80002144: 05713c23 sd s7,88(sp) + 80002148: 05813823 sd s8,80(sp) + 8000214c: 08113c23 sd ra,152(sp) + 80002150: 08813823 sd s0,144(sp) + 80002154: 07413823 sd s4,112(sp) + 80002158: 07513423 sd s5,104(sp) + 8000215c: 07613023 sd s6,96(sp) + 80002160: 05913423 sd s9,72(sp) + 80002164: 05a13023 sd s10,64(sp) + 80002168: 03b13c23 sd s11,56(sp) + 8000216c: 00058493 mv s1,a1 + 80002170: 00060c13 mv s8,a2 + 80002174: 00068b93 mv s7,a3 + 80002178: 00070993 mv s3,a4 + 8000217c: 00000917 auipc s2,0x0 + 80002180: 85c90913 addi s2,s2,-1956 # 800019d8 <_out_null> + 80002184: 00058463 beqz a1,8000218c <_vsnprintf+0x58> + 80002188: 00050913 mv s2,a0 + 8000218c: 000bc503 lbu a0,0(s7) + 80002190: 00000d13 li s10,0 + 80002194: 66050663 beqz a0,80002800 <_vsnprintf+0x6cc> + 80002198: 000107b7 lui a5,0x10 + 8000219c: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800021a0: 02500a13 li s4,37 + 800021a4: 00002417 auipc s0,0x2 + 800021a8: 39040413 addi s0,s0,912 # 80004534 + 800021ac: 00f13c23 sd a5,24(sp) + 800021b0: 0200006f j 800021d0 <_vsnprintf+0x9c> + 800021b4: 000d0613 mv a2,s10 + 800021b8: 000c0693 mv a3,s8 + 800021bc: 00048593 mv a1,s1 + 800021c0: 001d0d13 addi s10,s10,1 + 800021c4: 000900e7 jalr s2 + 800021c8: 000bc503 lbu a0,0(s7) + 800021cc: 1c050263 beqz a0,80002390 <_vsnprintf+0x25c> + 800021d0: 001b8b93 addi s7,s7,1 + 800021d4: ff4510e3 bne a0,s4,800021b4 <_vsnprintf+0x80> + 800021d8: 00000593 li a1,0 + 800021dc: 01000813 li a6,16 + 800021e0: 000bc503 lbu a0,0(s7) + 800021e4: 001b8713 addi a4,s7,1 + 800021e8: 00070613 mv a2,a4 + 800021ec: fe05079b addiw a5,a0,-32 + 800021f0: 0ff7f793 andi a5,a5,255 + 800021f4: 00f86c63 bltu a6,a5,8000220c <_vsnprintf+0xd8> + 800021f8: 00279793 slli a5,a5,0x2 + 800021fc: 008787b3 add a5,a5,s0 + 80002200: 0007a783 lw a5,0(a5) + 80002204: 008787b3 add a5,a5,s0 + 80002208: 00078067 jr a5 + 8000220c: fd05079b addiw a5,a0,-48 + 80002210: 0ff7f793 andi a5,a5,255 + 80002214: 00900813 li a6,9 + 80002218: 0ef87c63 bgeu a6,a5,80002310 <_vsnprintf+0x1dc> + 8000221c: 02a00793 li a5,42 + 80002220: 20f50463 beq a0,a5,80002428 <_vsnprintf+0x2f4> + 80002224: 000b8613 mv a2,s7 + 80002228: 00000d93 li s11,0 + 8000222c: 00070b93 mv s7,a4 + 80002230: 02e00793 li a5,46 + 80002234: 00000c93 li s9,0 + 80002238: 12f50463 beq a0,a5,80002360 <_vsnprintf+0x22c> + 8000223c: f985079b addiw a5,a0,-104 + 80002240: 0ff7f793 andi a5,a5,255 + 80002244: 01200713 li a4,18 + 80002248: 08f76463 bltu a4,a5,800022d0 <_vsnprintf+0x19c> + 8000224c: 00002717 auipc a4,0x2 + 80002250: 32c70713 addi a4,a4,812 # 80004578 + 80002254: 00279793 slli a5,a5,0x2 + 80002258: 00e787b3 add a5,a5,a4 + 8000225c: 0007a783 lw a5,0(a5) + 80002260: 00e787b3 add a5,a5,a4 + 80002264: 00078067 jr a5 + 80002268: 0015e593 ori a1,a1,1 + 8000226c: 0005859b sext.w a1,a1 + 80002270: 00070b93 mv s7,a4 + 80002274: f6dff06f j 800021e0 <_vsnprintf+0xac> + 80002278: 0025e593 ori a1,a1,2 + 8000227c: 0005859b sext.w a1,a1 + 80002280: 00070b93 mv s7,a4 + 80002284: f5dff06f j 800021e0 <_vsnprintf+0xac> + 80002288: 0045e593 ori a1,a1,4 + 8000228c: 0005859b sext.w a1,a1 + 80002290: 00070b93 mv s7,a4 + 80002294: f4dff06f j 800021e0 <_vsnprintf+0xac> + 80002298: 0105e593 ori a1,a1,16 + 8000229c: 0005859b sext.w a1,a1 + 800022a0: 00070b93 mv s7,a4 + 800022a4: f3dff06f j 800021e0 <_vsnprintf+0xac> + 800022a8: 0085e593 ori a1,a1,8 + 800022ac: 0005859b sext.w a1,a1 + 800022b0: 00070b93 mv s7,a4 + 800022b4: f2dff06f j 800021e0 <_vsnprintf+0xac> + 800022b8: 00164503 lbu a0,1(a2) + 800022bc: 06800793 li a5,104 + 800022c0: 52f50663 beq a0,a5,800027ec <_vsnprintf+0x6b8> + 800022c4: 0805e593 ori a1,a1,128 + 800022c8: 0005859b sext.w a1,a1 + 800022cc: 001b8b93 addi s7,s7,1 + 800022d0: fdb5079b addiw a5,a0,-37 + 800022d4: 0ff7f793 andi a5,a5,255 + 800022d8: 05300713 li a4,83 + 800022dc: ecf76ce3 bltu a4,a5,800021b4 <_vsnprintf+0x80> + 800022e0: 00002717 auipc a4,0x2 + 800022e4: 2e470713 addi a4,a4,740 # 800045c4 + 800022e8: 00279793 slli a5,a5,0x2 + 800022ec: 00e787b3 add a5,a5,a4 + 800022f0: 0007a783 lw a5,0(a5) + 800022f4: 00e787b3 add a5,a5,a4 + 800022f8: 00078067 jr a5 + 800022fc: 00164503 lbu a0,1(a2) + 80002300: 1005e593 ori a1,a1,256 + 80002304: 0005859b sext.w a1,a1 + 80002308: 001b8b93 addi s7,s7,1 + 8000230c: fc5ff06f j 800022d0 <_vsnprintf+0x19c> + 80002310: 00000d93 li s11,0 + 80002314: 00900813 li a6,9 + 80002318: 0080006f j 80002320 <_vsnprintf+0x1ec> + 8000231c: 00170713 addi a4,a4,1 + 80002320: 002d979b slliw a5,s11,0x2 + 80002324: 01b787bb addw a5,a5,s11 + 80002328: 0017979b slliw a5,a5,0x1 + 8000232c: 00a787bb addw a5,a5,a0 + 80002330: 00074503 lbu a0,0(a4) + 80002334: 000b8893 mv a7,s7 + 80002338: fd078d9b addiw s11,a5,-48 + 8000233c: fd05061b addiw a2,a0,-48 + 80002340: 0ff67613 andi a2,a2,255 + 80002344: 00070b93 mv s7,a4 + 80002348: fcc87ae3 bgeu a6,a2,8000231c <_vsnprintf+0x1e8> + 8000234c: 02e00793 li a5,46 + 80002350: 00070613 mv a2,a4 + 80002354: 00288b93 addi s7,a7,2 + 80002358: 00000c93 li s9,0 + 8000235c: eef510e3 bne a0,a5,8000223c <_vsnprintf+0x108> + 80002360: 00164503 lbu a0,1(a2) + 80002364: 4005e593 ori a1,a1,1024 + 80002368: 00900713 li a4,9 + 8000236c: fd05079b addiw a5,a0,-48 + 80002370: 0ff7f793 andi a5,a5,255 + 80002374: 0005859b sext.w a1,a1 + 80002378: 06f77c63 bgeu a4,a5,800023f0 <_vsnprintf+0x2bc> + 8000237c: 02a00793 li a5,42 + 80002380: 32f50e63 beq a0,a5,800026bc <_vsnprintf+0x588> + 80002384: 000b8613 mv a2,s7 + 80002388: 001b8b93 addi s7,s7,1 + 8000238c: eb1ff06f j 8000223c <_vsnprintf+0x108> + 80002390: 000d041b sext.w s0,s10 + 80002394: 018d6463 bltu s10,s8,8000239c <_vsnprintf+0x268> + 80002398: fffc0d13 addi s10,s8,-1 + 8000239c: 000c0693 mv a3,s8 + 800023a0: 000d0613 mv a2,s10 + 800023a4: 00048593 mv a1,s1 + 800023a8: 00000513 li a0,0 + 800023ac: 000900e7 jalr s2 + 800023b0: 09813083 ld ra,152(sp) + 800023b4: 00040513 mv a0,s0 + 800023b8: 09013403 ld s0,144(sp) + 800023bc: 08813483 ld s1,136(sp) + 800023c0: 08013903 ld s2,128(sp) + 800023c4: 07813983 ld s3,120(sp) + 800023c8: 07013a03 ld s4,112(sp) + 800023cc: 06813a83 ld s5,104(sp) + 800023d0: 06013b03 ld s6,96(sp) + 800023d4: 05813b83 ld s7,88(sp) + 800023d8: 05013c03 ld s8,80(sp) + 800023dc: 04813c83 ld s9,72(sp) + 800023e0: 04013d03 ld s10,64(sp) + 800023e4: 03813d83 ld s11,56(sp) + 800023e8: 0a010113 addi sp,sp,160 + 800023ec: 00008067 ret + 800023f0: 002c979b slliw a5,s9,0x2 + 800023f4: 019788bb addw a7,a5,s9 + 800023f8: 000b8813 mv a6,s7 + 800023fc: 0018989b slliw a7,a7,0x1 + 80002400: 001b8b93 addi s7,s7,1 + 80002404: 00a888bb addw a7,a7,a0 + 80002408: 000bc503 lbu a0,0(s7) + 8000240c: fd088c9b addiw s9,a7,-48 + 80002410: fd05079b addiw a5,a0,-48 + 80002414: 0ff7f793 andi a5,a5,255 + 80002418: fcf77ce3 bgeu a4,a5,800023f0 <_vsnprintf+0x2bc> + 8000241c: 000b8613 mv a2,s7 + 80002420: 00280b93 addi s7,a6,2 + 80002424: e19ff06f j 8000223c <_vsnprintf+0x108> + 80002428: 0009a783 lw a5,0(s3) + 8000242c: 00898993 addi s3,s3,8 + 80002430: 00078d9b sext.w s11,a5 + 80002434: 0007c863 bltz a5,80002444 <_vsnprintf+0x310> + 80002438: 001bc503 lbu a0,1(s7) + 8000243c: 002b8b93 addi s7,s7,2 + 80002440: df1ff06f j 80002230 <_vsnprintf+0xfc> + 80002444: 0025e593 ori a1,a1,2 + 80002448: 001bc503 lbu a0,1(s7) + 8000244c: 0005859b sext.w a1,a1 + 80002450: 40f00dbb negw s11,a5 + 80002454: 002b8b93 addi s7,s7,2 + 80002458: dd9ff06f j 80002230 <_vsnprintf+0xfc> + 8000245c: 07800793 li a5,120 + 80002460: 00898a93 addi s5,s3,8 + 80002464: 56f50063 beq a0,a5,800029c4 <_vsnprintf+0x890> + 80002468: 05800793 li a5,88 + 8000246c: 28f50463 beq a0,a5,800026f4 <_vsnprintf+0x5c0> + 80002470: 06f00793 li a5,111 + 80002474: 4af50c63 beq a0,a5,8000292c <_vsnprintf+0x7f8> + 80002478: 06200793 li a5,98 + 8000247c: 54f50063 beq a0,a5,800029bc <_vsnprintf+0x888> + 80002480: fef5ff13 andi t5,a1,-17 + 80002484: 4005f793 andi a5,a1,1024 + 80002488: 06900713 li a4,105 + 8000248c: 000f0f1b sext.w t5,t5 + 80002490: 0007879b sext.w a5,a5 + 80002494: 5ae51063 bne a0,a4,80002a34 <_vsnprintf+0x900> + 80002498: 48079663 bnez a5,80002924 <_vsnprintf+0x7f0> + 8000249c: 2005f593 andi a1,a1,512 + 800024a0: 0005879b sext.w a5,a1 + 800024a4: 00a00813 li a6,10 + 800024a8: 42079663 bnez a5,800028d4 <_vsnprintf+0x7a0> + 800024ac: 100f7793 andi a5,t5,256 + 800024b0: 000f0613 mv a2,t5 + 800024b4: 4e079063 bnez a5,80002994 <_vsnprintf+0x860> + 800024b8: 040f7713 andi a4,t5,64 + 800024bc: 0009a783 lw a5,0(s3) + 800024c0: 4a071a63 bnez a4,80002974 <_vsnprintf+0x840> + 800024c4: 08067613 andi a2,a2,128 + 800024c8: 52060a63 beqz a2,800029fc <_vsnprintf+0x8c8> + 800024cc: 0107979b slliw a5,a5,0x10 + 800024d0: 4107d79b sraiw a5,a5,0x10 + 800024d4: 40f7d61b sraiw a2,a5,0xf + 800024d8: 00c7c733 xor a4,a5,a2 + 800024dc: 40c7073b subw a4,a4,a2 + 800024e0: 03071713 slli a4,a4,0x30 + 800024e4: 03075713 srli a4,a4,0x30 + 800024e8: 000d0613 mv a2,s10 + 800024ec: 01e13423 sd t5,8(sp) + 800024f0: 01b13023 sd s11,0(sp) + 800024f4: 000c8893 mv a7,s9 + 800024f8: 01f7d79b srliw a5,a5,0x1f + 800024fc: 000c0693 mv a3,s8 + 80002500: 00048593 mv a1,s1 + 80002504: 00090513 mv a0,s2 + 80002508: 96dff0ef jal ra,80001e74 <_ntoa_long> + 8000250c: 00050d13 mv s10,a0 + 80002510: 000a8993 mv s3,s5 + 80002514: cb5ff06f j 800021c8 <_vsnprintf+0x94> + 80002518: 00164503 lbu a0,1(a2) + 8000251c: 06c00793 li a5,108 + 80002520: def510e3 bne a0,a5,80002300 <_vsnprintf+0x1cc> + 80002524: 3005e593 ori a1,a1,768 + 80002528: 00264503 lbu a0,2(a2) + 8000252c: 0005859b sext.w a1,a1 + 80002530: 00360b93 addi s7,a2,3 + 80002534: d9dff06f j 800022d0 <_vsnprintf+0x19c> + 80002538: 00898793 addi a5,s3,8 + 8000253c: 001d0813 addi a6,s10,1 + 80002540: 0025f593 andi a1,a1,2 + 80002544: 02f13023 sd a5,32(sp) + 80002548: 00080b13 mv s6,a6 + 8000254c: 32058263 beqz a1,80002870 <_vsnprintf+0x73c> + 80002550: 0009c503 lbu a0,0(s3) + 80002554: 000c0693 mv a3,s8 + 80002558: 000d0613 mv a2,s10 + 8000255c: 00048593 mv a1,s1 + 80002560: 000900e7 jalr s2 + 80002564: 00100793 li a5,1 + 80002568: 43b7f063 bgeu a5,s11,80002988 <_vsnprintf+0x854> + 8000256c: ffed879b addiw a5,s11,-2 + 80002570: 02079a93 slli s5,a5,0x20 + 80002574: 020ada93 srli s5,s5,0x20 + 80002578: 002d0d13 addi s10,s10,2 + 8000257c: 015d0cb3 add s9,s10,s5 + 80002580: 000b0613 mv a2,s6 + 80002584: 000c0693 mv a3,s8 + 80002588: 001b0b13 addi s6,s6,1 + 8000258c: 00048593 mv a1,s1 + 80002590: 02000513 li a0,32 + 80002594: 000900e7 jalr s2 + 80002598: ff6c94e3 bne s9,s6,80002580 <_vsnprintf+0x44c> + 8000259c: 02013983 ld s3,32(sp) + 800025a0: 015d0d33 add s10,s10,s5 + 800025a4: c25ff06f j 800021c8 <_vsnprintf+0x94> + 800025a8: 000d0613 mv a2,s10 + 800025ac: 000c0693 mv a3,s8 + 800025b0: 00048593 mv a1,s1 + 800025b4: 02500513 li a0,37 + 800025b8: 001d0d13 addi s10,s10,1 + 800025bc: 000900e7 jalr s2 + 800025c0: c09ff06f j 800021c8 <_vsnprintf+0x94> + 800025c4: 0009ba83 ld s5,0(s3) + 800025c8: 00898793 addi a5,s3,8 + 800025cc: 02f13023 sd a5,32(sp) + 800025d0: 000ac503 lbu a0,0(s5) + 800025d4: 100c9663 bnez s9,800026e0 <_vsnprintf+0x5ac> + 800025d8: ffe00793 li a5,-2 + 800025dc: 42050863 beqz a0,80002a0c <_vsnprintf+0x8d8> + 800025e0: 00178693 addi a3,a5,1 + 800025e4: 00da8633 add a2,s5,a3 + 800025e8: 000a8793 mv a5,s5 + 800025ec: 0080006f j 800025f4 <_vsnprintf+0x4c0> + 800025f0: 1ec78863 beq a5,a2,800027e0 <_vsnprintf+0x6ac> + 800025f4: 0017c703 lbu a4,1(a5) + 800025f8: 00178793 addi a5,a5,1 + 800025fc: fe071ae3 bnez a4,800025f0 <_vsnprintf+0x4bc> + 80002600: 415787bb subw a5,a5,s5 + 80002604: 00f13823 sd a5,16(sp) + 80002608: 4005f793 andi a5,a1,1024 + 8000260c: 0007899b sext.w s3,a5 + 80002610: 00078c63 beqz a5,80002628 <_vsnprintf+0x4f4> + 80002614: 01013703 ld a4,16(sp) + 80002618: 000c879b sext.w a5,s9 + 8000261c: 01977463 bgeu a4,s9,80002624 <_vsnprintf+0x4f0> + 80002620: 0007079b sext.w a5,a4 + 80002624: 00f13823 sd a5,16(sp) + 80002628: 0025f593 andi a1,a1,2 + 8000262c: 0005879b sext.w a5,a1 + 80002630: 02f13423 sd a5,40(sp) + 80002634: 1c058a63 beqz a1,80002808 <_vsnprintf+0x6d4> + 80002638: 14050863 beqz a0,80002788 <_vsnprintf+0x654> + 8000263c: 000d0613 mv a2,s10 + 80002640: 00098863 beqz s3,80002650 <_vsnprintf+0x51c> + 80002644: fffc879b addiw a5,s9,-1 + 80002648: 120c8863 beqz s9,80002778 <_vsnprintf+0x644> + 8000264c: 00078c93 mv s9,a5 + 80002650: 000c0693 mv a3,s8 + 80002654: 00048593 mv a1,s1 + 80002658: 00160b13 addi s6,a2,1 + 8000265c: 000900e7 jalr s2 + 80002660: 41ab07b3 sub a5,s6,s10 + 80002664: 00fa87b3 add a5,s5,a5 + 80002668: 0007c503 lbu a0,0(a5) + 8000266c: 10050863 beqz a0,8000277c <_vsnprintf+0x648> + 80002670: 000b0613 mv a2,s6 + 80002674: fcdff06f j 80002640 <_vsnprintf+0x50c> + 80002678: 0009b703 ld a4,0(s3) + 8000267c: 0215e593 ori a1,a1,33 + 80002680: 0005859b sext.w a1,a1 + 80002684: 01000793 li a5,16 + 80002688: 000d0613 mv a2,s10 + 8000268c: 00b13423 sd a1,8(sp) + 80002690: 00f13023 sd a5,0(sp) + 80002694: 000c8893 mv a7,s9 + 80002698: 01000813 li a6,16 + 8000269c: 00000793 li a5,0 + 800026a0: 000c0693 mv a3,s8 + 800026a4: 00048593 mv a1,s1 + 800026a8: 00090513 mv a0,s2 + 800026ac: 929ff0ef jal ra,80001fd4 <_ntoa_long_long> + 800026b0: 00898993 addi s3,s3,8 + 800026b4: 00050d13 mv s10,a0 + 800026b8: b11ff06f j 800021c8 <_vsnprintf+0x94> + 800026bc: 0009a883 lw a7,0(s3) + 800026c0: 00264503 lbu a0,2(a2) + 800026c4: 00360b93 addi s7,a2,3 + 800026c8: fff8c793 not a5,a7 + 800026cc: 43f7d793 srai a5,a5,0x3f + 800026d0: 00f8fcb3 and s9,a7,a5 + 800026d4: 00898993 addi s3,s3,8 + 800026d8: 00260613 addi a2,a2,2 + 800026dc: b61ff06f j 8000223c <_vsnprintf+0x108> + 800026e0: 020c9793 slli a5,s9,0x20 + 800026e4: 0207d793 srli a5,a5,0x20 + 800026e8: 32050263 beqz a0,80002a0c <_vsnprintf+0x8d8> + 800026ec: fff78793 addi a5,a5,-1 + 800026f0: ef1ff06f j 800025e0 <_vsnprintf+0x4ac> + 800026f4: ff35ff13 andi t5,a1,-13 + 800026f8: 000f0f1b sext.w t5,t5 + 800026fc: 4005f793 andi a5,a1,1024 + 80002700: 020f6f13 ori t5,t5,32 + 80002704: 20078863 beqz a5,80002914 <_vsnprintf+0x7e0> + 80002708: 01000813 li a6,16 + 8000270c: ffef7f13 andi t5,t5,-2 + 80002710: 000f0f1b sext.w t5,t5 + 80002714: 200f7793 andi a5,t5,512 + 80002718: 06900713 li a4,105 + 8000271c: 0007879b sext.w a5,a5 + 80002720: d8e504e3 beq a0,a4,800024a8 <_vsnprintf+0x374> + 80002724: 06400713 li a4,100 + 80002728: d8e500e3 beq a0,a4,800024a8 <_vsnprintf+0x374> + 8000272c: 22079663 bnez a5,80002958 <_vsnprintf+0x824> + 80002730: 100f7793 andi a5,t5,256 + 80002734: 000f0713 mv a4,t5 + 80002738: 2a079463 bnez a5,800029e0 <_vsnprintf+0x8ac> + 8000273c: 040f7793 andi a5,t5,64 + 80002740: 24079063 bnez a5,80002980 <_vsnprintf+0x84c> + 80002744: 08077713 andi a4,a4,128 + 80002748: 2c070663 beqz a4,80002a14 <_vsnprintf+0x8e0> + 8000274c: 0009a703 lw a4,0(s3) + 80002750: 01813783 ld a5,24(sp) + 80002754: 00e7f733 and a4,a5,a4 + 80002758: 02071713 slli a4,a4,0x20 + 8000275c: 000d0613 mv a2,s10 + 80002760: 01e13423 sd t5,8(sp) + 80002764: 01b13023 sd s11,0(sp) + 80002768: 000c8893 mv a7,s9 + 8000276c: 00000793 li a5,0 + 80002770: 02075713 srli a4,a4,0x20 + 80002774: d89ff06f j 800024fc <_vsnprintf+0x3c8> + 80002778: 00060b13 mv s6,a2 + 8000277c: 02813783 ld a5,40(sp) + 80002780: 04078a63 beqz a5,800027d4 <_vsnprintf+0x6a0> + 80002784: 000b0d13 mv s10,s6 + 80002788: 01013703 ld a4,16(sp) + 8000278c: 0db77e63 bgeu a4,s11,80002868 <_vsnprintf+0x734> + 80002790: fffd879b addiw a5,s11,-1 + 80002794: 40e7873b subw a4,a5,a4 + 80002798: 02071713 slli a4,a4,0x20 + 8000279c: 02075713 srli a4,a4,0x20 + 800027a0: 001d0813 addi a6,s10,1 + 800027a4: 01070b33 add s6,a4,a6 + 800027a8: 0080006f j 800027b0 <_vsnprintf+0x67c> + 800027ac: 00180813 addi a6,a6,1 + 800027b0: 000d0613 mv a2,s10 + 800027b4: 01013823 sd a6,16(sp) + 800027b8: 000c0693 mv a3,s8 + 800027bc: 00048593 mv a1,s1 + 800027c0: 02000513 li a0,32 + 800027c4: 00080d13 mv s10,a6 + 800027c8: 000900e7 jalr s2 + 800027cc: 01013803 ld a6,16(sp) + 800027d0: fd0b1ee3 bne s6,a6,800027ac <_vsnprintf+0x678> + 800027d4: 02013983 ld s3,32(sp) + 800027d8: 000b0d13 mv s10,s6 + 800027dc: 9edff06f j 800021c8 <_vsnprintf+0x94> + 800027e0: 0006879b sext.w a5,a3 + 800027e4: 00f13823 sd a5,16(sp) + 800027e8: e21ff06f j 80002608 <_vsnprintf+0x4d4> + 800027ec: 0c05e593 ori a1,a1,192 + 800027f0: 00264503 lbu a0,2(a2) + 800027f4: 0005859b sext.w a1,a1 + 800027f8: 00360b93 addi s7,a2,3 + 800027fc: ad5ff06f j 800022d0 <_vsnprintf+0x19c> + 80002800: 00000413 li s0,0 + 80002804: b91ff06f j 80002394 <_vsnprintf+0x260> + 80002808: 01013703 ld a4,16(sp) + 8000280c: 0017079b addiw a5,a4,1 + 80002810: 21b77c63 bgeu a4,s11,80002a28 <_vsnprintf+0x8f4> + 80002814: fffd879b addiw a5,s11,-1 + 80002818: 40e787bb subw a5,a5,a4 + 8000281c: 02079793 slli a5,a5,0x20 + 80002820: 0207d793 srli a5,a5,0x20 + 80002824: 001d0813 addi a6,s10,1 + 80002828: 01078b33 add s6,a5,a6 + 8000282c: 00c0006f j 80002838 <_vsnprintf+0x704> + 80002830: 01013803 ld a6,16(sp) + 80002834: 00180813 addi a6,a6,1 + 80002838: 000d0613 mv a2,s10 + 8000283c: 000c0693 mv a3,s8 + 80002840: 00080d13 mv s10,a6 + 80002844: 01013823 sd a6,16(sp) + 80002848: 00048593 mv a1,s1 + 8000284c: 02000513 li a0,32 + 80002850: 000900e7 jalr s2 + 80002854: fd6d1ee3 bne s10,s6,80002830 <_vsnprintf+0x6fc> + 80002858: 000ac503 lbu a0,0(s5) + 8000285c: 001d879b addiw a5,s11,1 + 80002860: 00f13823 sd a5,16(sp) + 80002864: dc051ce3 bnez a0,8000263c <_vsnprintf+0x508> + 80002868: 000d0b13 mv s6,s10 + 8000286c: f69ff06f j 800027d4 <_vsnprintf+0x6a0> + 80002870: 00100793 li a5,1 + 80002874: 1bb7f463 bgeu a5,s11,80002a1c <_vsnprintf+0x8e8> + 80002878: ffed879b addiw a5,s11,-2 + 8000287c: 02079a93 slli s5,a5,0x20 + 80002880: 020ada93 srli s5,s5,0x20 + 80002884: 010a8ab3 add s5,s5,a6 + 80002888: 00c0006f j 80002894 <_vsnprintf+0x760> + 8000288c: 01013803 ld a6,16(sp) + 80002890: 00180813 addi a6,a6,1 + 80002894: 000d0613 mv a2,s10 + 80002898: 000c0693 mv a3,s8 + 8000289c: 00080d13 mv s10,a6 + 800028a0: 01013823 sd a6,16(sp) + 800028a4: 00048593 mv a1,s1 + 800028a8: 02000513 li a0,32 + 800028ac: 000900e7 jalr s2 + 800028b0: fdaa9ee3 bne s5,s10,8000288c <_vsnprintf+0x758> + 800028b4: 001a8d13 addi s10,s5,1 + 800028b8: 0009c503 lbu a0,0(s3) + 800028bc: 000c0693 mv a3,s8 + 800028c0: 000a8613 mv a2,s5 + 800028c4: 00048593 mv a1,s1 + 800028c8: 000900e7 jalr s2 + 800028cc: 02013983 ld s3,32(sp) + 800028d0: 8f9ff06f j 800021c8 <_vsnprintf+0x94> + 800028d4: 0009b783 ld a5,0(s3) + 800028d8: 000d0613 mv a2,s10 + 800028dc: 01e13423 sd t5,8(sp) + 800028e0: 43f7d713 srai a4,a5,0x3f + 800028e4: 00f745b3 xor a1,a4,a5 + 800028e8: 01b13023 sd s11,0(sp) + 800028ec: 000c8893 mv a7,s9 + 800028f0: 03f7d793 srli a5,a5,0x3f + 800028f4: 40e58733 sub a4,a1,a4 + 800028f8: 000c0693 mv a3,s8 + 800028fc: 00048593 mv a1,s1 + 80002900: 00090513 mv a0,s2 + 80002904: ed0ff0ef jal ra,80001fd4 <_ntoa_long_long> + 80002908: 00050d13 mv s10,a0 + 8000290c: 000a8993 mv s3,s5 + 80002910: 8b9ff06f j 800021c8 <_vsnprintf+0x94> + 80002914: 2005f793 andi a5,a1,512 + 80002918: 0007879b sext.w a5,a5 + 8000291c: 01000813 li a6,16 + 80002920: e0dff06f j 8000272c <_vsnprintf+0x5f8> + 80002924: 00a00813 li a6,10 + 80002928: de5ff06f j 8000270c <_vsnprintf+0x5d8> + 8000292c: 00800813 li a6,8 + 80002930: 00058f13 mv t5,a1 + 80002934: 400f7713 andi a4,t5,1024 + 80002938: 06400613 li a2,100 + 8000293c: 000f0793 mv a5,t5 + 80002940: 0007071b sext.w a4,a4 + 80002944: 0ec51c63 bne a0,a2,80002a3c <_vsnprintf+0x908> + 80002948: dc0712e3 bnez a4,8000270c <_vsnprintf+0x5d8> + 8000294c: 2007f793 andi a5,a5,512 + 80002950: 0007879b sext.w a5,a5 + 80002954: b55ff06f j 800024a8 <_vsnprintf+0x374> + 80002958: 0009b703 ld a4,0(s3) + 8000295c: 000d0613 mv a2,s10 + 80002960: 01e13423 sd t5,8(sp) + 80002964: 01b13023 sd s11,0(sp) + 80002968: 000c8893 mv a7,s9 + 8000296c: 00000793 li a5,0 + 80002970: f89ff06f j 800028f8 <_vsnprintf+0x7c4> + 80002974: 0ff7f793 andi a5,a5,255 + 80002978: 00078713 mv a4,a5 + 8000297c: b6dff06f j 800024e8 <_vsnprintf+0x3b4> + 80002980: 0009c703 lbu a4,0(s3) + 80002984: dd5ff06f j 80002758 <_vsnprintf+0x624> + 80002988: 02013983 ld s3,32(sp) + 8000298c: 000b0d13 mv s10,s6 + 80002990: 839ff06f j 800021c8 <_vsnprintf+0x94> + 80002994: 0009b783 ld a5,0(s3) + 80002998: 000d0613 mv a2,s10 + 8000299c: 01e13423 sd t5,8(sp) + 800029a0: 43f7d713 srai a4,a5,0x3f + 800029a4: 00f745b3 xor a1,a4,a5 + 800029a8: 01b13023 sd s11,0(sp) + 800029ac: 000c8893 mv a7,s9 + 800029b0: 03f7d793 srli a5,a5,0x3f + 800029b4: 40e58733 sub a4,a1,a4 + 800029b8: b45ff06f j 800024fc <_vsnprintf+0x3c8> + 800029bc: 00200813 li a6,2 + 800029c0: f71ff06f j 80002930 <_vsnprintf+0x7fc> + 800029c4: 4005f713 andi a4,a1,1024 + 800029c8: 0007071b sext.w a4,a4 + 800029cc: 01000813 li a6,16 + 800029d0: ff35f593 andi a1,a1,-13 + 800029d4: 00058f1b sext.w t5,a1 + 800029d8: d2071ae3 bnez a4,8000270c <_vsnprintf+0x5d8> + 800029dc: d39ff06f j 80002714 <_vsnprintf+0x5e0> + 800029e0: 0009b703 ld a4,0(s3) + 800029e4: 000d0613 mv a2,s10 + 800029e8: 01e13423 sd t5,8(sp) + 800029ec: 01b13023 sd s11,0(sp) + 800029f0: 000c8893 mv a7,s9 + 800029f4: 00000793 li a5,0 + 800029f8: b05ff06f j 800024fc <_vsnprintf+0x3c8> + 800029fc: 41f7d61b sraiw a2,a5,0x1f + 80002a00: 00c7c733 xor a4,a5,a2 + 80002a04: 40c7073b subw a4,a4,a2 + 80002a08: ae1ff06f j 800024e8 <_vsnprintf+0x3b4> + 80002a0c: 00013823 sd zero,16(sp) + 80002a10: bf9ff06f j 80002608 <_vsnprintf+0x4d4> + 80002a14: 0009a703 lw a4,0(s3) + 80002a18: d41ff06f j 80002758 <_vsnprintf+0x624> + 80002a1c: 000d0a93 mv s5,s10 + 80002a20: 00080d13 mv s10,a6 + 80002a24: e95ff06f j 800028b8 <_vsnprintf+0x784> + 80002a28: 00f13823 sd a5,16(sp) + 80002a2c: c00518e3 bnez a0,8000263c <_vsnprintf+0x508> + 80002a30: e39ff06f j 80002868 <_vsnprintf+0x734> + 80002a34: 00a00813 li a6,10 + 80002a38: efdff06f j 80002934 <_vsnprintf+0x800> + 80002a3c: 000f0593 mv a1,t5 + 80002a40: f91ff06f j 800029d0 <_vsnprintf+0x89c> + +0000000080002a44 <_out_char>: + 80002a44: 00051463 bnez a0,80002a4c <_out_char+0x8> + 80002a48: 00008067 ret + 80002a4c: e48fe06f j 80001094 + +0000000080002a50 : + 80002a50: fa010113 addi sp,sp,-96 + 80002a54: 02810313 addi t1,sp,40 + 80002a58: 02b13423 sd a1,40(sp) + 80002a5c: 02c13823 sd a2,48(sp) + 80002a60: 02d13c23 sd a3,56(sp) + 80002a64: 04e13023 sd a4,64(sp) + 80002a68: 00050693 mv a3,a0 + 80002a6c: 00010593 mv a1,sp + 80002a70: 00030713 mv a4,t1 + 80002a74: fff00613 li a2,-1 + 80002a78: 00000517 auipc a0,0x0 + 80002a7c: fcc50513 addi a0,a0,-52 # 80002a44 <_out_char> + 80002a80: 00113c23 sd ra,24(sp) + 80002a84: 04f13423 sd a5,72(sp) + 80002a88: 05013823 sd a6,80(sp) + 80002a8c: 05113c23 sd a7,88(sp) + 80002a90: 00613423 sd t1,8(sp) + 80002a94: ea0ff0ef jal ra,80002134 <_vsnprintf> + 80002a98: 01813083 ld ra,24(sp) + 80002a9c: 06010113 addi sp,sp,96 + 80002aa0: 00008067 ret + +0000000080002aa4 : + 80002aa4: 00300793 li a5,3 + 80002aa8: 06c7f863 bgeu a5,a2,80002b18 + 80002aac: 00054783 lbu a5,0(a0) + 80002ab0: ffc67693 andi a3,a2,-4 + 80002ab4: 0005c703 lbu a4,0(a1) + 80002ab8: 00d506b3 add a3,a0,a3 + 80002abc: 04078863 beqz a5,80002b0c + 80002ac0: 0ae79463 bne a5,a4,80002b68 + 80002ac4: 00154783 lbu a5,1(a0) + 80002ac8: 0015c703 lbu a4,1(a1) + 80002acc: 04078063 beqz a5,80002b0c + 80002ad0: 08e79c63 bne a5,a4,80002b68 + 80002ad4: 00254783 lbu a5,2(a0) + 80002ad8: 00450513 addi a0,a0,4 + 80002adc: 00458593 addi a1,a1,4 + 80002ae0: ffe5c703 lbu a4,-2(a1) + 80002ae4: 02078463 beqz a5,80002b0c + 80002ae8: 08e79063 bne a5,a4,80002b68 + 80002aec: fff54703 lbu a4,-1(a0) + 80002af0: fff5c783 lbu a5,-1(a1) + 80002af4: 04070a63 beqz a4,80002b48 + 80002af8: 04f71e63 bne a4,a5,80002b54 + 80002afc: 06d50c63 beq a0,a3,80002b74 + 80002b00: 00054783 lbu a5,0(a0) + 80002b04: 0005c703 lbu a4,0(a1) + 80002b08: fa079ce3 bnez a5,80002ac0 + 80002b0c: 00000793 li a5,0 + 80002b10: 40e7853b subw a0,a5,a4 + 80002b14: 00008067 ret + 80002b18: 00000793 li a5,0 + 80002b1c: 00000713 li a4,0 + 80002b20: 04060063 beqz a2,80002b60 + 80002b24: 00c50633 add a2,a0,a2 + 80002b28: 00c0006f j 80002b34 + 80002b2c: 02f71463 bne a4,a5,80002b54 + 80002b30: 02a60863 beq a2,a0,80002b60 + 80002b34: 00054703 lbu a4,0(a0) + 80002b38: 00158593 addi a1,a1,1 + 80002b3c: 00150513 addi a0,a0,1 + 80002b40: fff5c783 lbu a5,-1(a1) + 80002b44: fe0714e3 bnez a4,80002b2c + 80002b48: 00000513 li a0,0 + 80002b4c: 40f5053b subw a0,a0,a5 + 80002b50: 00008067 ret + 80002b54: 0007051b sext.w a0,a4 + 80002b58: 40f5053b subw a0,a0,a5 + 80002b5c: 00008067 ret + 80002b60: 40f7053b subw a0,a4,a5 + 80002b64: 00008067 ret + 80002b68: 0007879b sext.w a5,a5 + 80002b6c: 40e7853b subw a0,a5,a4 + 80002b70: 00008067 ret + 80002b74: 00367613 andi a2,a2,3 + 80002b78: fa9ff06f j 80002b20 + +0000000080002b7c : + 80002b7c: 00700713 li a4,7 + 80002b80: 00050793 mv a5,a0 + 80002b84: 0ac77a63 bgeu a4,a2,80002c38 + 80002b88: 0ff5f893 andi a7,a1,255 + 80002b8c: 00889693 slli a3,a7,0x8 + 80002b90: 0116e6b3 or a3,a3,a7 + 80002b94: 01069713 slli a4,a3,0x10 + 80002b98: 00d766b3 or a3,a4,a3 + 80002b9c: 02069713 slli a4,a3,0x20 + 80002ba0: 00757813 andi a6,a0,7 + 80002ba4: 00d76733 or a4,a4,a3 + 80002ba8: 0a080663 beqz a6,80002c54 + 80002bac: 00c50633 add a2,a0,a2 + 80002bb0: 01178023 sb a7,0(a5) + 80002bb4: 00178793 addi a5,a5,1 + 80002bb8: 0077f813 andi a6,a5,7 + 80002bbc: 40f606b3 sub a3,a2,a5 + 80002bc0: fe0818e3 bnez a6,80002bb0 + 80002bc4: 0066d813 srli a6,a3,0x6 + 80002bc8: 04080063 beqz a6,80002c08 + 80002bcc: 00681613 slli a2,a6,0x6 + 80002bd0: 00078893 mv a7,a5 + 80002bd4: 00f60633 add a2,a2,a5 + 80002bd8: 00e7b023 sd a4,0(a5) + 80002bdc: 00e7b423 sd a4,8(a5) + 80002be0: 00e7b823 sd a4,16(a5) + 80002be4: 00e7bc23 sd a4,24(a5) + 80002be8: 02e7b023 sd a4,32(a5) + 80002bec: 02e7b423 sd a4,40(a5) + 80002bf0: 02e7b823 sd a4,48(a5) + 80002bf4: 02e7bc23 sd a4,56(a5) + 80002bf8: 04078793 addi a5,a5,64 + 80002bfc: fcf61ee3 bne a2,a5,80002bd8 + 80002c00: 00681793 slli a5,a6,0x6 + 80002c04: 011787b3 add a5,a5,a7 + 80002c08: 0036d813 srli a6,a3,0x3 + 80002c0c: 00787813 andi a6,a6,7 + 80002c10: 02080263 beqz a6,80002c34 + 80002c14: 00381613 slli a2,a6,0x3 + 80002c18: 00078893 mv a7,a5 + 80002c1c: 00f60633 add a2,a2,a5 + 80002c20: 00e7b023 sd a4,0(a5) + 80002c24: 00878793 addi a5,a5,8 + 80002c28: fef61ce3 bne a2,a5,80002c20 + 80002c2c: 00381793 slli a5,a6,0x3 + 80002c30: 011787b3 add a5,a5,a7 + 80002c34: 0076f613 andi a2,a3,7 + 80002c38: 0ff5f593 andi a1,a1,255 + 80002c3c: 00c78733 add a4,a5,a2 + 80002c40: 00060863 beqz a2,80002c50 + 80002c44: 00b78023 sb a1,0(a5) + 80002c48: 00178793 addi a5,a5,1 + 80002c4c: fef71ce3 bne a4,a5,80002c44 + 80002c50: 00008067 ret + 80002c54: 00060693 mv a3,a2 + 80002c58: f6dff06f j 80002bc4 + +0000000080002c5c : + 80002c5c: fd010113 addi sp,sp,-48 + 80002c60: 02813023 sd s0,32(sp) + 80002c64: 01213823 sd s2,16(sp) + 80002c68: 02113423 sd ra,40(sp) + 80002c6c: 00913c23 sd s1,24(sp) + 80002c70: 01313423 sd s3,8(sp) + 80002c74: 00f00793 li a5,15 + 80002c78: 00050913 mv s2,a0 + 80002c7c: 00050713 mv a4,a0 + 80002c80: 00058413 mv s0,a1 + 80002c84: 04c7fe63 bgeu a5,a2,80002ce0 + 80002c88: 40a00533 neg a0,a0 + 80002c8c: 00757513 andi a0,a0,7 + 80002c90: 40a604b3 sub s1,a2,a0 + 80002c94: 08050e63 beqz a0,80002d30 + 80002c98: 012509b3 add s3,a0,s2 + 80002c9c: 00058793 mv a5,a1 + 80002ca0: 0007c683 lbu a3,0(a5) + 80002ca4: 00170713 addi a4,a4,1 + 80002ca8: 00178793 addi a5,a5,1 + 80002cac: fed70fa3 sb a3,-1(a4) + 80002cb0: fee998e3 bne s3,a4,80002ca0 + 80002cb4: 00a58433 add s0,a1,a0 + 80002cb8: 00747793 andi a5,s0,7 + 80002cbc: 0034d613 srli a2,s1,0x3 + 80002cc0: 00040593 mv a1,s0 + 80002cc4: 00098513 mv a0,s3 + 80002cc8: 06079063 bnez a5,80002d28 + 80002ccc: 240000ef jal ra,80002f0c <_wordcopy_fwd_aligned> + 80002cd0: ff84f713 andi a4,s1,-8 + 80002cd4: 00e40433 add s0,s0,a4 + 80002cd8: 0074f613 andi a2,s1,7 + 80002cdc: 01370733 add a4,a4,s3 + 80002ce0: fff70713 addi a4,a4,-1 + 80002ce4: 00040793 mv a5,s0 + 80002ce8: 008605b3 add a1,a2,s0 + 80002cec: 40870733 sub a4,a4,s0 + 80002cf0: 00060c63 beqz a2,80002d08 + 80002cf4: 0007c603 lbu a2,0(a5) + 80002cf8: 00178793 addi a5,a5,1 + 80002cfc: 00f706b3 add a3,a4,a5 + 80002d00: 00c68023 sb a2,0(a3) + 80002d04: fef598e3 bne a1,a5,80002cf4 + 80002d08: 02813083 ld ra,40(sp) + 80002d0c: 02013403 ld s0,32(sp) + 80002d10: 01813483 ld s1,24(sp) + 80002d14: 00813983 ld s3,8(sp) + 80002d18: 00090513 mv a0,s2 + 80002d1c: 01013903 ld s2,16(sp) + 80002d20: 03010113 addi sp,sp,48 + 80002d24: 00008067 ret + 80002d28: 32c000ef jal ra,80003054 <_wordcopy_fwd_dest_aligned> + 80002d2c: fa5ff06f j 80002cd0 + 80002d30: 00090993 mv s3,s2 + 80002d34: f85ff06f j 80002cb8 + +0000000080002d38 : + 80002d38: 1a050e63 beqz a0,80002ef4 + 80002d3c: fd010113 addi sp,sp,-48 + 80002d40: 02813023 sd s0,32(sp) + 80002d44: 00913c23 sd s1,24(sp) + 80002d48: 01f57793 andi a5,a0,31 + 80002d4c: 02113423 sd ra,40(sp) + 80002d50: 01213823 sd s2,16(sp) + 80002d54: 01313423 sd s3,8(sp) + 80002d58: 01413023 sd s4,0(sp) + 80002d5c: 00050493 mv s1,a0 + 80002d60: 00050413 mv s0,a0 + 80002d64: 00000513 li a0,0 + 80002d68: 14079a63 bnez a5,80002ebc + 80002d6c: 27f00713 li a4,639 + 80002d70: 00058913 mv s2,a1 + 80002d74: 00078513 mv a0,a5 + 80002d78: 14b77263 bgeu a4,a1,80002ebc + 80002d7c: 0074f513 andi a0,s1,7 + 80002d80: 00153513 seqz a0,a0 + 80002d84: 00060a13 mv s4,a2 + 80002d88: 00068993 mv s3,a3 + 80002d8c: b20fe0ef jal ra,800010ac <_assert> + 80002d90: 20048793 addi a5,s1,512 + 80002d94: 2004b023 sd zero,512(s1) + 80002d98: 2144b423 sd s4,520(s1) + 80002d9c: 2134b823 sd s3,528(s1) + 80002da0: 00043023 sd zero,0(s0) + 80002da4: 00840413 addi s0,s0,8 + 80002da8: fe879ce3 bne a5,s0,80002da0 + 80002dac: fff00793 li a5,-1 + 80002db0: dc090413 addi s0,s2,-576 + 80002db4: 03f79793 slli a5,a5,0x3f + 80002db8: 1287f863 bgeu a5,s0,80002ee8 + 80002dbc: 00078413 mv s0,a5 + 80002dc0: 00100513 li a0,1 + 80002dc4: ae8fe0ef jal ra,800010ac <_assert> + 80002dc8: f8300793 li a5,-125 + 80002dcc: 0017d793 srli a5,a5,0x1 + 80002dd0: fc040513 addi a0,s0,-64 + 80002dd4: 00f53533 sltu a0,a0,a5 + 80002dd8: ad4fe0ef jal ra,800010ac <_assert> + 80002ddc: 01f4f513 andi a0,s1,31 + 80002de0: 00153513 seqz a0,a0 + 80002de4: ac8fe0ef jal ra,800010ac <_assert> + 80002de8: 00100513 li a0,1 + 80002dec: 2404b023 sd zero,576(s1) + 80002df0: 2404b423 sd zero,584(s1) + 80002df4: 2484b823 sd s0,592(s1) + 80002df8: 24048c23 sb zero,600(s1) + 80002dfc: 2604b023 sd zero,608(s1) + 80002e00: 2604b423 sd zero,616(s1) + 80002e04: aa8fe0ef jal ra,800010ac <_assert> + 80002e08: 00100513 li a0,1 + 80002e0c: aa0fe0ef jal ra,800010ac <_assert> + 80002e10: 2504b503 ld a0,592(s1) + 80002e14: 24048a13 addi s4,s1,576 + 80002e18: 04053513 sltiu a0,a0,64 + 80002e1c: 00154513 xori a0,a0,1 + 80002e20: 00157513 andi a0,a0,1 + 80002e24: a88fe0ef jal ra,800010ac <_assert> + 80002e28: 2504b503 ld a0,592(s1) + 80002e2c: 03f57513 andi a0,a0,63 + 80002e30: 00153513 seqz a0,a0 + 80002e34: a78fe0ef jal ra,800010ac <_assert> + 80002e38: 2504b703 ld a4,592(s1) + 80002e3c: 00100793 li a5,1 + 80002e40: 00675713 srli a4,a4,0x6 + 80002e44: 0ae7fc63 bgeu a5,a4,80002efc + 80002e48: 00000793 li a5,0 + 80002e4c: 00100693 li a3,1 + 80002e50: 0017879b addiw a5,a5,1 + 80002e54: 00175713 srli a4,a4,0x1 + 80002e58: 0ff7f793 andi a5,a5,255 + 80002e5c: fed71ae3 bne a4,a3,80002e50 + 80002e60: 00078993 mv s3,a5 + 80002e64: 0407b513 sltiu a0,a5,64 + 80002e68: 00f71933 sll s2,a4,a5 + 80002e6c: a40fe0ef jal ra,800010ac <_assert> + 80002e70: 00399793 slli a5,s3,0x3 + 80002e74: 00f487b3 add a5,s1,a5 + 80002e78: 0007b703 ld a4,0(a5) + 80002e7c: 2604b423 sd zero,616(s1) + 80002e80: 26e4b023 sd a4,608(s1) + 80002e84: 00070463 beqz a4,80002e8c + 80002e88: 03473423 sd s4,40(a4) + 80002e8c: 0147b023 sd s4,0(a5) + 80002e90: 2004b503 ld a0,512(s1) + 80002e94: 00a96533 or a0,s2,a0 + 80002e98: 20a4b023 sd a0,512(s1) + 80002e9c: 00a03533 snez a0,a0 + 80002ea0: a0cfe0ef jal ra,800010ac <_assert> + 80002ea4: 00048513 mv a0,s1 + 80002ea8: 2084bc23 sd s0,536(s1) + 80002eac: 2204b023 sd zero,544(s1) + 80002eb0: 2204b423 sd zero,552(s1) + 80002eb4: 2204b823 sd zero,560(s1) + 80002eb8: 2204bc23 sd zero,568(s1) + 80002ebc: 02813083 ld ra,40(sp) + 80002ec0: 02013403 ld s0,32(sp) + 80002ec4: 01813483 ld s1,24(sp) + 80002ec8: 01013903 ld s2,16(sp) + 80002ecc: 00813983 ld s3,8(sp) + 80002ed0: 00013a03 ld s4,0(sp) + 80002ed4: 03010113 addi sp,sp,48 + 80002ed8: 00008067 ret + 80002edc: 00100513 li a0,1 + 80002ee0: fff40413 addi s0,s0,-1 + 80002ee4: 9c8fe0ef jal ra,800010ac <_assert> + 80002ee8: 03f47793 andi a5,s0,63 + 80002eec: fe0798e3 bnez a5,80002edc + 80002ef0: ed1ff06f j 80002dc0 + 80002ef4: 00000513 li a0,0 + 80002ef8: 00008067 ret + 80002efc: 00100913 li s2,1 + 80002f00: 00000993 li s3,0 + 80002f04: 00100513 li a0,1 + 80002f08: f65ff06f j 80002e6c + +0000000080002f0c <_wordcopy_fwd_aligned>: + 80002f0c: 00767793 andi a5,a2,7 + 80002f10: 00002717 auipc a4,0x2 + 80002f14: 80470713 addi a4,a4,-2044 # 80004714 + 80002f18: 00279793 slli a5,a5,0x2 + 80002f1c: 00e787b3 add a5,a5,a4 + 80002f20: 0007a783 lw a5,0(a5) + 80002f24: 00e787b3 add a5,a5,a4 + 80002f28: 00078067 jr a5 + 80002f2c: 0005b703 ld a4,0(a1) + 80002f30: ff050793 addi a5,a0,-16 + 80002f34: ff858593 addi a1,a1,-8 + 80002f38: 00160613 addi a2,a2,1 + 80002f3c: 0105b803 ld a6,16(a1) + 80002f40: 01878693 addi a3,a5,24 + 80002f44: 00e53023 sd a4,0(a0) + 80002f48: 0185b703 ld a4,24(a1) + 80002f4c: 02078513 addi a0,a5,32 + 80002f50: 0106b023 sd a6,0(a3) + 80002f54: 0205b803 ld a6,32(a1) + 80002f58: 02878693 addi a3,a5,40 + 80002f5c: 00e53023 sd a4,0(a0) + 80002f60: 0285b703 ld a4,40(a1) + 80002f64: 03078513 addi a0,a5,48 + 80002f68: 0106b023 sd a6,0(a3) + 80002f6c: 0305b803 ld a6,48(a1) + 80002f70: 03878693 addi a3,a5,56 + 80002f74: 00e53023 sd a4,0(a0) + 80002f78: 0385b703 ld a4,56(a1) + 80002f7c: 04078793 addi a5,a5,64 + 80002f80: 0106b023 sd a6,0(a3) + 80002f84: ff860613 addi a2,a2,-8 + 80002f88: 00078513 mv a0,a5 + 80002f8c: 04058593 addi a1,a1,64 + 80002f90: 02060063 beqz a2,80002fb0 <_wordcopy_fwd_aligned+0xa4> + 80002f94: 0005b803 ld a6,0(a1) + 80002f98: 00878693 addi a3,a5,8 + 80002f9c: 00e53023 sd a4,0(a0) + 80002fa0: 0085b703 ld a4,8(a1) + 80002fa4: 01078513 addi a0,a5,16 + 80002fa8: 0106b023 sd a6,0(a3) + 80002fac: f91ff06f j 80002f3c <_wordcopy_fwd_aligned+0x30> + 80002fb0: 00e53023 sd a4,0(a0) + 80002fb4: 00008067 ret + 80002fb8: fff60613 addi a2,a2,-1 + 80002fbc: 0005b703 ld a4,0(a1) + 80002fc0: fe0608e3 beqz a2,80002fb0 <_wordcopy_fwd_aligned+0xa4> + 80002fc4: 00858593 addi a1,a1,8 + 80002fc8: 00050793 mv a5,a0 + 80002fcc: fc9ff06f j 80002f94 <_wordcopy_fwd_aligned+0x88> + 80002fd0: 0005b803 ld a6,0(a1) + 80002fd4: 00050693 mv a3,a0 + 80002fd8: fd058593 addi a1,a1,-48 + 80002fdc: fc850793 addi a5,a0,-56 + 80002fe0: 00660613 addi a2,a2,6 + 80002fe4: f95ff06f j 80002f78 <_wordcopy_fwd_aligned+0x6c> + 80002fe8: 0005b703 ld a4,0(a1) + 80002fec: fd050793 addi a5,a0,-48 + 80002ff0: fd858593 addi a1,a1,-40 + 80002ff4: 00560613 addi a2,a2,5 + 80002ff8: f75ff06f j 80002f6c <_wordcopy_fwd_aligned+0x60> + 80002ffc: 0005b803 ld a6,0(a1) + 80003000: 00050693 mv a3,a0 + 80003004: fe058593 addi a1,a1,-32 + 80003008: fd850793 addi a5,a0,-40 + 8000300c: 00460613 addi a2,a2,4 + 80003010: f51ff06f j 80002f60 <_wordcopy_fwd_aligned+0x54> + 80003014: 0005b703 ld a4,0(a1) + 80003018: fe050793 addi a5,a0,-32 + 8000301c: fe858593 addi a1,a1,-24 + 80003020: 00360613 addi a2,a2,3 + 80003024: f31ff06f j 80002f54 <_wordcopy_fwd_aligned+0x48> + 80003028: 0005b803 ld a6,0(a1) + 8000302c: 00050693 mv a3,a0 + 80003030: ff058593 addi a1,a1,-16 + 80003034: fe850793 addi a5,a0,-24 + 80003038: 00260613 addi a2,a2,2 + 8000303c: f0dff06f j 80002f48 <_wordcopy_fwd_aligned+0x3c> + 80003040: f6060ae3 beqz a2,80002fb4 <_wordcopy_fwd_aligned+0xa8> + 80003044: 0005b803 ld a6,0(a1) + 80003048: 00050693 mv a3,a0 + 8000304c: ff850793 addi a5,a0,-8 + 80003050: f51ff06f j 80002fa0 <_wordcopy_fwd_aligned+0x94> + +0000000080003054 <_wordcopy_fwd_dest_aligned>: + 80003054: 0075f713 andi a4,a1,7 + 80003058: 0037179b slliw a5,a4,0x3 + 8000305c: 00371313 slli t1,a4,0x3 + 80003060: 00367693 andi a3,a2,3 + 80003064: 04000713 li a4,64 + 80003068: 00200813 li a6,2 + 8000306c: 40f707bb subw a5,a4,a5 + 80003070: ff85f593 andi a1,a1,-8 + 80003074: 0d068e63 beq a3,a6,80003150 <_wordcopy_fwd_dest_aligned+0xfc> + 80003078: 00300713 li a4,3 + 8000307c: 02e68c63 beq a3,a4,800030b4 <_wordcopy_fwd_dest_aligned+0x60> + 80003080: 00100713 li a4,1 + 80003084: 00e68663 beq a3,a4,80003090 <_wordcopy_fwd_dest_aligned+0x3c> + 80003088: 0e061863 bnez a2,80003178 <_wordcopy_fwd_dest_aligned+0x124> + 8000308c: 00008067 ret + 80003090: fff60613 addi a2,a2,-1 + 80003094: 0005b703 ld a4,0(a1) + 80003098: 0085b803 ld a6,8(a1) + 8000309c: 0c061863 bnez a2,8000316c <_wordcopy_fwd_dest_aligned+0x118> + 800030a0: 00675733 srl a4,a4,t1 + 800030a4: 00f817b3 sll a5,a6,a5 + 800030a8: 00f76733 or a4,a4,a5 + 800030ac: 00e53023 sd a4,0(a0) + 800030b0: 00008067 ret + 800030b4: 0005b703 ld a4,0(a1) + 800030b8: 0085b683 ld a3,8(a1) + 800030bc: 00058893 mv a7,a1 + 800030c0: 00160613 addi a2,a2,1 + 800030c4: ff050593 addi a1,a0,-16 + 800030c8: 0340006f j 800030fc <_wordcopy_fwd_dest_aligned+0xa8> + 800030cc: 006756b3 srl a3,a4,t1 + 800030d0: 00f81733 sll a4,a6,a5 + 800030d4: 00e6e6b3 or a3,a3,a4 + 800030d8: 00858e13 addi t3,a1,8 + 800030dc: 0008b703 ld a4,0(a7) + 800030e0: 00d53023 sd a3,0(a0) + 800030e4: 00f716b3 sll a3,a4,a5 + 800030e8: 00685833 srl a6,a6,t1 + 800030ec: 00d86833 or a6,a6,a3 + 800030f0: 01058513 addi a0,a1,16 + 800030f4: 0088b683 ld a3,8(a7) + 800030f8: 010e3023 sd a6,0(t3) + 800030fc: 00675733 srl a4,a4,t1 + 80003100: 00f69833 sll a6,a3,a5 + 80003104: 01076833 or a6,a4,a6 + 80003108: 01858e13 addi t3,a1,24 + 8000310c: 0108b703 ld a4,16(a7) + 80003110: 01053023 sd a6,0(a0) + 80003114: 00f71533 sll a0,a4,a5 + 80003118: 0066d6b3 srl a3,a3,t1 + 8000311c: 00a6e6b3 or a3,a3,a0 + 80003120: 0188b803 ld a6,24(a7) + 80003124: 02058593 addi a1,a1,32 + 80003128: 00de3023 sd a3,0(t3) + 8000312c: ffc60613 addi a2,a2,-4 + 80003130: 00058513 mv a0,a1 + 80003134: 02088893 addi a7,a7,32 + 80003138: f8061ae3 bnez a2,800030cc <_wordcopy_fwd_dest_aligned+0x78> + 8000313c: 00675733 srl a4,a4,t1 + 80003140: 00f817b3 sll a5,a6,a5 + 80003144: 00f76733 or a4,a4,a5 + 80003148: 00e53023 sd a4,0(a0) + 8000314c: f65ff06f j 800030b0 <_wordcopy_fwd_dest_aligned+0x5c> + 80003150: 0005b683 ld a3,0(a1) + 80003154: 0085b703 ld a4,8(a1) + 80003158: ff858893 addi a7,a1,-8 + 8000315c: 00050e13 mv t3,a0 + 80003160: fe850593 addi a1,a0,-24 + 80003164: 00260613 addi a2,a2,2 + 80003168: fadff06f j 80003114 <_wordcopy_fwd_dest_aligned+0xc0> + 8000316c: 01058893 addi a7,a1,16 + 80003170: 00050593 mv a1,a0 + 80003174: f59ff06f j 800030cc <_wordcopy_fwd_dest_aligned+0x78> + 80003178: 0005b803 ld a6,0(a1) + 8000317c: 0085b703 ld a4,8(a1) + 80003180: 00858893 addi a7,a1,8 + 80003184: 00050e13 mv t3,a0 + 80003188: ff850593 addi a1,a0,-8 + 8000318c: f59ff06f j 800030e4 <_wordcopy_fwd_dest_aligned+0x90> diff --git a/bin/custom-output/interrupt-test/amtest-interrupt-test.bin b/bin/custom-output/interrupt-test/amtest-interrupt-test.bin new file mode 100755 index 0000000..fe7a42c Binary files /dev/null and b/bin/custom-output/interrupt-test/amtest-interrupt-test.bin differ diff --git a/bin/custom-output/interrupt-test/amtest-interrupt-test.elf b/bin/custom-output/interrupt-test/amtest-interrupt-test.elf new file mode 100755 index 0000000..fae12eb Binary files /dev/null and b/bin/custom-output/interrupt-test/amtest-interrupt-test.elf differ diff --git a/bin/custom-output/interrupt-test/amtest-interrupt-test.txt b/bin/custom-output/interrupt-test/amtest-interrupt-test.txt new file mode 100755 index 0000000..5d0e740 --- /dev/null +++ b/bin/custom-output/interrupt-test/amtest-interrupt-test.txt @@ -0,0 +1,3310 @@ + +/home/hzb/test/am-kernels/tests/am-tests/build/amtest-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0009f117 auipc sp,0x9f + 80000008: ffc10113 addi sp,sp,-4 # 8009f000 <_end> + 8000000c: 0b4010ef jal ra,800010c0 <_trm_init> + +0000000080000010
: + 80000010: fd010113 addi sp,sp,-48 + 80000014: 02113423 sd ra,40(sp) + 80000018: 02813023 sd s0,32(sp) + 8000001c: 00913c23 sd s1,24(sp) + 80000020: 01213823 sd s2,16(sp) + 80000024: 01313423 sd s3,8(sp) + 80000028: 00054783 lbu a5,0(a0) + 8000002c: 01500713 li a4,21 + 80000030: f9f7879b addiw a5,a5,-97 + 80000034: 0ff7f793 andi a5,a5,255 + 80000038: 02f76063 bltu a4,a5,80000058 + 8000003c: 00003717 auipc a4,0x3 + 80000040: 18070713 addi a4,a4,384 # 800031bc <_etext+0x30> + 80000044: 00279793 slli a5,a5,0x2 + 80000048: 00e787b3 add a5,a5,a4 + 8000004c: 0007a783 lw a5,0(a5) + 80000050: 00e787b3 add a5,a5,a4 + 80000054: 00078067 jr a5 + 80000058: 00003517 auipc a0,0x3 + 8000005c: 13850513 addi a0,a0,312 # 80003190 <_etext+0x4> + 80000060: 1ed020ef jal ra,80002a4c + 80000064: 00003497 auipc s1,0x3 + 80000068: 28448493 addi s1,s1,644 # 800032e8 + 8000006c: 00000413 li s0,0 + 80000070: 10000913 li s2,256 + 80000074: 00003997 auipc s3,0x3 + 80000078: 13c98993 addi s3,s3,316 # 800031b0 <_etext+0x24> + 8000007c: 0014041b addiw s0,s0,1 + 80000080: 03240c63 beq s0,s2,800000b8 + 80000084: 0004b603 ld a2,0(s1) + 80000088: 00060863 beqz a2,80000098 + 8000008c: 00040593 mv a1,s0 + 80000090: 00098513 mv a0,s3 + 80000094: 1b9020ef jal ra,80002a4c + 80000098: 00848493 addi s1,s1,8 + 8000009c: fe1ff06f j 8000007c + 800000a0: 00000797 auipc a5,0x0 + 800000a4: 13c78793 addi a5,a5,316 # 800001dc + 800000a8: 00097717 auipc a4,0x97 + 800000ac: b0f73023 sd a5,-1280(a4) # 80096ba8 + 800000b0: 1dc010ef jal ra,8000128c + 800000b4: 128000ef jal ra,800001dc + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00000513 li a0,0 + 800000d0: 03010113 addi sp,sp,48 + 800000d4: 00008067 ret + 800000d8: 00001797 auipc a5,0x1 + 800000dc: ac078793 addi a5,a5,-1344 # 80000b98 + 800000e0: 00097717 auipc a4,0x97 + 800000e4: acf73423 sd a5,-1336(a4) # 80096ba8 + 800000e8: 1a4010ef jal ra,8000128c + 800000ec: 2ad000ef jal ra,80000b98 + 800000f0: fc9ff06f j 800000b8 + 800000f4: 00001797 auipc a5,0x1 + 800000f8: d2c78793 addi a5,a5,-724 # 80000e20 + 800000fc: 00097717 auipc a4,0x97 + 80000100: aaf73623 sd a5,-1364(a4) # 80096ba8 + 80000104: 51d000ef jal ra,80000e20 + 80000108: fb1ff06f j 800000b8 + 8000010c: 00001797 auipc a5,0x1 + 80000110: ef078793 addi a5,a5,-272 # 80000ffc + 80000114: 00097717 auipc a4,0x97 + 80000118: a8f73a23 sd a5,-1388(a4) # 80096ba8 + 8000011c: 170010ef jal ra,8000128c + 80000120: 00001517 auipc a0,0x1 + 80000124: e4850513 addi a0,a0,-440 # 80000f68 + 80000128: 630010ef jal ra,80001758 + 8000012c: 6d1000ef jal ra,80000ffc + 80000130: f89ff06f j 800000b8 + 80000134: 00001797 auipc a5,0x1 + 80000138: d3878793 addi a5,a5,-712 # 80000e6c + 8000013c: 00097717 auipc a4,0x97 + 80000140: a6f73623 sd a5,-1428(a4) # 80096ba8 + 80000144: 148010ef jal ra,8000128c + 80000148: 525000ef jal ra,80000e6c + 8000014c: f6dff06f j 800000b8 + 80000150: 00001517 auipc a0,0x1 + 80000154: f1850513 addi a0,a0,-232 # 80001068 + 80000158: 00097797 auipc a5,0x97 + 8000015c: a4a7b823 sd a0,-1456(a5) # 80096ba8 + 80000160: 7a0010ef jal ra,80001900 + 80000164: 705000ef jal ra,80001068 + 80000168: f51ff06f j 800000b8 + 8000016c: 00000797 auipc a5,0x0 + 80000170: 3b478793 addi a5,a5,948 # 80000520 + 80000174: 00000517 auipc a0,0x0 + 80000178: 22c50513 addi a0,a0,556 # 800003a0 + 8000017c: 00097717 auipc a4,0x97 + 80000180: a2f73623 sd a5,-1492(a4) # 80096ba8 + 80000184: 5d4010ef jal ra,80001758 + 80000188: 00000597 auipc a1,0x0 + 8000018c: 21458593 addi a1,a1,532 # 8000039c + 80000190: 00000517 auipc a0,0x0 + 80000194: 18450513 addi a0,a0,388 # 80000314 + 80000198: 748010ef jal ra,800018e0 + 8000019c: 384000ef jal ra,80000520 + 800001a0: f19ff06f j 800000b8 + 800001a4: 00000797 auipc a5,0x0 + 800001a8: 51478793 addi a5,a5,1300 # 800006b8 + 800001ac: 00097717 auipc a4,0x97 + 800001b0: 9ef73e23 sd a5,-1540(a4) # 80096ba8 + 800001b4: 0d8010ef jal ra,8000128c + 800001b8: 500000ef jal ra,800006b8 + 800001bc: efdff06f j 800000b8 + 800001c0: 00001797 auipc a5,0x1 + 800001c4: 94078793 addi a5,a5,-1728 # 80000b00 + 800001c8: 00097717 auipc a4,0x97 + 800001cc: 9ef73023 sd a5,-1568(a4) # 80096ba8 + 800001d0: 0bc010ef jal ra,8000128c + 800001d4: 12d000ef jal ra,80000b00 + 800001d8: ee1ff06f j 800000b8 + +00000000800001dc : + 800001dc: fc010113 addi sp,sp,-64 + 800001e0: 00010593 mv a1,sp + 800001e4: 00e00513 li a0,14 + 800001e8: 02113c23 sd ra,56(sp) + 800001ec: 02813823 sd s0,48(sp) + 800001f0: 02913423 sd s1,40(sp) + 800001f4: 03213023 sd s2,32(sp) + 800001f8: 01313c23 sd s3,24(sp) + 800001fc: 01413823 sd s4,16(sp) + 80000200: 0e0010ef jal ra,800012e0 + 80000204: 00014783 lbu a5,0(sp) + 80000208: 0c078c63 beqz a5,800002e0 + 8000020c: 040007b7 lui a5,0x4000 + 80000210: 07d78793 addi a5,a5,125 # 400007d <_entry_offset+0x400007d> + 80000214: 00679793 slli a5,a5,0x6 + 80000218: 00004497 auipc s1,0x4 + 8000021c: 53048493 addi s1,s1,1328 # 80004748 + 80000220: 00012917 auipc s2,0x12 + 80000224: 33490913 addi s2,s2,820 # 80012554 <_data> + 80000228: 00f13023 sd a5,0(sp) + 8000022c: 00010593 mv a1,sp + 80000230: 40000793 li a5,1024 + 80000234: 00f00513 li a0,15 + 80000238: 4099093b subw s2,s2,s1 + 8000023c: 00f12423 sw a5,8(sp) + 80000240: 0bc010ef jal ra,800012fc + 80000244: 06090463 beqz s2,800002ac + 80000248: 00000413 li s0,0 + 8000024c: 00000793 li a5,0 + 80000250: 00001a37 lui s4,0x1 + 80000254: 00004997 auipc s3,0x4 + 80000258: 8c498993 addi s3,s3,-1852 # 80003b18 + 8000025c: 40f907bb subw a5,s2,a5 + 80000260: 0007869b sext.w a3,a5 + 80000264: 00048713 mv a4,s1 + 80000268: 00010593 mv a1,sp + 8000026c: 01100513 li a0,17 + 80000270: 00da7463 bgeu s4,a3,80000278 + 80000274: 000017b7 lui a5,0x1 + 80000278: 02079693 slli a3,a5,0x20 + 8000027c: 0206d693 srli a3,a3,0x20 + 80000280: 00d484b3 add s1,s1,a3 + 80000284: 0087843b addw s0,a5,s0 + 80000288: 00e13023 sd a4,0(sp) + 8000028c: 00913423 sd s1,8(sp) + 80000290: 06c010ef jal ra,800012fc + 80000294: 00090613 mv a2,s2 + 80000298: 00040593 mv a1,s0 + 8000029c: 00098513 mv a0,s3 + 800002a0: 7ac020ef jal ra,80002a4c + 800002a4: 00040793 mv a5,s0 + 800002a8: fb246ae3 bltu s0,s2,8000025c + 800002ac: 00010593 mv a1,sp + 800002b0: 01000513 li a0,16 + 800002b4: 02c010ef jal ra,800012e0 + 800002b8: 00012783 lw a5,0(sp) + 800002bc: fef048e3 bgtz a5,800002ac + 800002c0: 03813083 ld ra,56(sp) + 800002c4: 03013403 ld s0,48(sp) + 800002c8: 02813483 ld s1,40(sp) + 800002cc: 02013903 ld s2,32(sp) + 800002d0: 01813983 ld s3,24(sp) + 800002d4: 01013a03 ld s4,16(sp) + 800002d8: 04010113 addi sp,sp,64 + 800002dc: 00008067 ret + 800002e0: 00004597 auipc a1,0x4 + 800002e4: 80058593 addi a1,a1,-2048 # 80003ae0 + 800002e8: 00004517 auipc a0,0x4 + 800002ec: 80850513 addi a0,a0,-2040 # 80003af0 + 800002f0: 75c020ef jal ra,80002a4c + 800002f4: 03813083 ld ra,56(sp) + 800002f8: 03013403 ld s0,48(sp) + 800002fc: 02813483 ld s1,40(sp) + 80000300: 02013903 ld s2,32(sp) + 80000304: 01813983 ld s3,24(sp) + 80000308: 01013a03 ld s4,16(sp) + 8000030c: 04010113 addi sp,sp,64 + 80000310: 00008067 ret + +0000000080000314 : + 80000314: fd010113 addi sp,sp,-48 + 80000318: 01313423 sd s3,8(sp) + 8000031c: 00097997 auipc s3,0x97 + 80000320: 89498993 addi s3,s3,-1900 # 80096bb0 + 80000324: 01213823 sd s2,16(sp) + 80000328: 0009b903 ld s2,0(s3) + 8000032c: 00913c23 sd s1,24(sp) + 80000330: 02113423 sd ra,40(sp) + 80000334: 02813023 sd s0,32(sp) + 80000338: 00050493 mv s1,a0 + 8000033c: 00091663 bnez s2,80000348 + 80000340: 00012917 auipc s2,0x12 + 80000344: 23093903 ld s2,560(s2) # 80012570 + 80000348: 00048593 mv a1,s1 + 8000034c: 00090513 mv a0,s2 + 80000350: 649000ef jal ra,80001198 <__umoddi3> + 80000354: 02050063 beqz a0,80000374 + 80000358: 00190413 addi s0,s2,1 + 8000035c: 00040513 mv a0,s0 + 80000360: 00048593 mv a1,s1 + 80000364: 635000ef jal ra,80001198 <__umoddi3> + 80000368: 00040913 mv s2,s0 + 8000036c: 00140413 addi s0,s0,1 + 80000370: fe0516e3 bnez a0,8000035c + 80000374: 02813083 ld ra,40(sp) + 80000378: 02013403 ld s0,32(sp) + 8000037c: 012484b3 add s1,s1,s2 + 80000380: 0099b023 sd s1,0(s3) + 80000384: 00090513 mv a0,s2 + 80000388: 01813483 ld s1,24(sp) + 8000038c: 01013903 ld s2,16(sp) + 80000390: 00813983 ld s3,8(sp) + 80000394: 03010113 addi sp,sp,48 + 80000398: 00008067 ret + +000000008000039c : + 8000039c: 00008067 ret + +00000000800003a0 : + 800003a0: 00052783 lw a5,0(a0) + 800003a4: fe010113 addi sp,sp,-32 + 800003a8: 00813823 sd s0,16(sp) + 800003ac: 00113c23 sd ra,24(sp) + 800003b0: 00300713 li a4,3 + 800003b4: 00058413 mv s0,a1 + 800003b8: 0ce78663 beq a5,a4,80000484 + 800003bc: 08f76663 bltu a4,a5,80000448 + 800003c0: 00100713 li a4,1 + 800003c4: 0ae78063 beq a5,a4,80000464 + 800003c8: 00200713 li a4,2 + 800003cc: 12e79a63 bne a5,a4,80000500 + 800003d0: 00100513 li a0,1 + 800003d4: 3b0010ef jal ra,80001784 + 800003d8: 00012623 sw zero,12(sp) + 800003dc: 00c12783 lw a5,12(sp) + 800003e0: 000f4737 lui a4,0xf4 + 800003e4: 23f70713 addi a4,a4,575 # f423f <_entry_offset+0xf423f> + 800003e8: 0007879b sext.w a5,a5 + 800003ec: 00f74e63 blt a4,a5,80000408 + 800003f0: 00c12783 lw a5,12(sp) + 800003f4: 0017879b addiw a5,a5,1 + 800003f8: 00f12623 sw a5,12(sp) + 800003fc: 00c12783 lw a5,12(sp) + 80000400: 0007879b sext.w a5,a5 + 80000404: fef756e3 bge a4,a5,800003f0 + 80000408: 05043583 ld a1,80(s0) + 8000040c: 00003517 auipc a0,0x3 + 80000410: 78450513 addi a0,a0,1924 # 80003b90 + 80000414: 638020ef jal ra,80002a4c + 80000418: 00012797 auipc a5,0x12 + 8000041c: 1507a783 lw a5,336(a5) # 80012568 + 80000420: 00078a63 beqz a5,80000434 + 80000424: 00012797 auipc a5,0x12 + 80000428: 1407a223 sw zero,324(a5) # 80012568 + 8000042c: 00096417 auipc s0,0x96 + 80000430: 78c43403 ld s0,1932(s0) # 80096bb8 + 80000434: 01813083 ld ra,24(sp) + 80000438: 00040513 mv a0,s0 + 8000043c: 01013403 ld s0,16(sp) + 80000440: 02010113 addi sp,sp,32 + 80000444: 00008067 ret + 80000448: ffb7879b addiw a5,a5,-5 + 8000044c: 00100713 li a4,1 + 80000450: 0af76863 bltu a4,a5,80000500 + 80000454: 01853583 ld a1,24(a0) + 80000458: 00003517 auipc a0,0x3 + 8000045c: 70850513 addi a0,a0,1800 # 80003b60 + 80000460: 5ec020ef jal ra,80002a4c + 80000464: 00012797 auipc a5,0x12 + 80000468: 1047a783 lw a5,260(a5) # 80012568 + 8000046c: fc0784e3 beqz a5,80000434 + 80000470: 00012797 auipc a5,0x12 + 80000474: 0e07ac23 sw zero,248(a5) # 80012568 + 80000478: 00096417 auipc s0,0x96 + 8000047c: 74043403 ld s0,1856(s0) # 80096bb8 + 80000480: fb5ff06f j 80000434 + 80000484: 00853783 ld a5,8(a0) + 80000488: 01053583 ld a1,16(a0) + 8000048c: 00003697 auipc a3,0x3 + 80000490: 6b468693 addi a3,a3,1716 # 80003b40 + 80000494: 0017f713 andi a4,a5,1 + 80000498: 04070663 beqz a4,800004e4 + 8000049c: 0027f793 andi a5,a5,2 + 800004a0: 00003717 auipc a4,0x3 + 800004a4: 6b070713 addi a4,a4,1712 # 80003b50 + 800004a8: 00078e63 beqz a5,800004c4 + 800004ac: 00003617 auipc a2,0x3 + 800004b0: 73c60613 addi a2,a2,1852 # 80003be8 + 800004b4: 00003517 auipc a0,0x3 + 800004b8: 6cc50513 addi a0,a0,1740 # 80003b80 + 800004bc: 590020ef jal ra,80002a4c + 800004c0: fa5ff06f j 80000464 + 800004c4: 00003717 auipc a4,0x3 + 800004c8: 72470713 addi a4,a4,1828 # 80003be8 + 800004cc: 00003617 auipc a2,0x3 + 800004d0: 71c60613 addi a2,a2,1820 # 80003be8 + 800004d4: 00003517 auipc a0,0x3 + 800004d8: 6ac50513 addi a0,a0,1708 # 80003b80 + 800004dc: 570020ef jal ra,80002a4c + 800004e0: f85ff06f j 80000464 + 800004e4: 0027f793 andi a5,a5,2 + 800004e8: 00003697 auipc a3,0x3 + 800004ec: 70068693 addi a3,a3,1792 # 80003be8 + 800004f0: 00003717 auipc a4,0x3 + 800004f4: 66070713 addi a4,a4,1632 # 80003b50 + 800004f8: fa079ae3 bnez a5,800004ac + 800004fc: fc9ff06f j 800004c4 + 80000500: 02800613 li a2,40 + 80000504: 00003597 auipc a1,0x3 + 80000508: 69458593 addi a1,a1,1684 # 80003b98 + 8000050c: 00003517 auipc a0,0x3 + 80000510: 6c450513 addi a0,a0,1732 # 80003bd0 + 80000514: 538020ef jal ra,80002a4c + 80000518: 00100513 li a0,1 + 8000051c: 381000ef jal ra,8000109c + +0000000080000520 : + 80000520: fc010113 addi sp,sp,-64 + 80000524: 00300613 li a2,3 + 80000528: 00003597 auipc a1,0x3 + 8000052c: 6c858593 addi a1,a1,1736 # 80003bf0 + 80000530: 00003517 auipc a0,0x3 + 80000534: 6c850513 addi a0,a0,1736 # 80003bf8 + 80000538: 02113c23 sd ra,56(sp) + 8000053c: 02813823 sd s0,48(sp) + 80000540: 02913423 sd s1,40(sp) + 80000544: 03213023 sd s2,32(sp) + 80000548: 01313c23 sd s3,24(sp) + 8000054c: 01413823 sd s4,16(sp) + 80000550: 550020ef jal ra,80002aa0 + 80000554: 00050e63 beqz a0,80000570 + 80000558: 00003597 auipc a1,0x3 + 8000055c: 6a858593 addi a1,a1,1704 # 80003c00 + 80000560: 00003517 auipc a0,0x3 + 80000564: 69850513 addi a0,a0,1688 # 80003bf8 + 80000568: 3a8010ef jal ra,80001910 + 8000056c: 12051263 bnez a0,80000690 + 80000570: 00012417 auipc s0,0x12 + 80000574: 41840413 addi s0,s0,1048 # 80012988 + 80000578: 00040513 mv a0,s0 + 8000057c: 36c010ef jal ra,800018e8 + 80000580: 01043603 ld a2,16(s0) + 80000584: 00843583 ld a1,8(s0) + 80000588: 00003517 auipc a0,0x3 + 8000058c: 6a050513 addi a0,a0,1696 # 80003c28 + 80000590: 00096a17 auipc s4,0x96 + 80000594: 620a0a13 addi s4,s4,1568 # 80096bb0 + 80000598: 4b4020ef jal ra,80002a4c + 8000059c: 00843783 ld a5,8(s0) + 800005a0: 01043983 ld s3,16(s0) + 800005a4: 000a3483 ld s1,0(s4) + 800005a8: 00042903 lw s2,0(s0) + 800005ac: 40f989b3 sub s3,s3,a5 + 800005b0: 0019d993 srli s3,s3,0x1 + 800005b4: 00f989b3 add s3,s3,a5 + 800005b8: 0c048663 beqz s1,80000684 + 800005bc: 00090593 mv a1,s2 + 800005c0: 00048513 mv a0,s1 + 800005c4: 3d5000ef jal ra,80001198 <__umoddi3> + 800005c8: 02050063 beqz a0,800005e8 + 800005cc: 00148413 addi s0,s1,1 + 800005d0: 00040513 mv a0,s0 + 800005d4: 00090593 mv a1,s2 + 800005d8: 3c1000ef jal ra,80001198 <__umoddi3> + 800005dc: 00040493 mv s1,s0 + 800005e0: 00140413 addi s0,s0,1 + 800005e4: fe0516e3 bnez a0,800005d0 + 800005e8: 00990933 add s2,s2,s1 + 800005ec: 00f00613 li a2,15 + 800005f0: 00012597 auipc a1,0x12 + 800005f4: f6858593 addi a1,a1,-152 # 80012558 + 800005f8: 00048513 mv a0,s1 + 800005fc: 012a3023 sd s2,0(s4) + 80000600: 658020ef jal ra,80002c58 + 80000604: 00300693 li a3,3 + 80000608: 00048613 mv a2,s1 + 8000060c: 00098593 mv a1,s3 + 80000610: 00012517 auipc a0,0x12 + 80000614: 37850513 addi a0,a0,888 # 80012988 + 80000618: 2d4010ef jal ra,800018ec + 8000061c: 00048613 mv a2,s1 + 80000620: 00098593 mv a1,s3 + 80000624: 00003517 auipc a0,0x3 + 80000628: 62c50513 addi a0,a0,1580 # 80003c50 + 8000062c: 420020ef jal ra,80002a4c + 80000630: 00098693 mv a3,s3 + 80000634: 00012597 auipc a1,0x12 + 80000638: 37458593 addi a1,a1,884 # 800129a8 + 8000063c: 00013617 auipc a2,0x13 + 80000640: 36c60613 addi a2,a2,876 # 800139a8 + 80000644: 00012517 auipc a0,0x12 + 80000648: 34450513 addi a0,a0,836 # 80012988 + 8000064c: 2a4010ef jal ra,800018f0 + 80000650: 00050793 mv a5,a0 + 80000654: 00100513 li a0,1 + 80000658: 00096717 auipc a4,0x96 + 8000065c: 56f73023 sd a5,1376(a4) # 80096bb8 + 80000660: 124010ef jal ra,80001784 + 80000664: 03013403 ld s0,48(sp) + 80000668: 03813083 ld ra,56(sp) + 8000066c: 02813483 ld s1,40(sp) + 80000670: 02013903 ld s2,32(sp) + 80000674: 01813983 ld s3,24(sp) + 80000678: 01013a03 ld s4,16(sp) + 8000067c: 04010113 addi sp,sp,64 + 80000680: 0f80106f j 80001778 + 80000684: 00012497 auipc s1,0x12 + 80000688: eec4b483 ld s1,-276(s1) # 80012570 + 8000068c: f31ff06f j 800005bc + 80000690: 03013403 ld s0,48(sp) + 80000694: 03813083 ld ra,56(sp) + 80000698: 02813483 ld s1,40(sp) + 8000069c: 02013903 ld s2,32(sp) + 800006a0: 01813983 ld s3,24(sp) + 800006a4: 01013a03 ld s4,16(sp) + 800006a8: 00003517 auipc a0,0x3 + 800006ac: 56050513 addi a0,a0,1376 # 80003c08 + 800006b0: 04010113 addi sp,sp,64 + 800006b4: 3980206f j 80002a4c + +00000000800006b8 : + 800006b8: fa010113 addi sp,sp,-96 + 800006bc: 04913423 sd s1,72(sp) + 800006c0: 000f44b7 lui s1,0xf4 + 800006c4: 04813823 sd s0,80(sp) + 800006c8: 03313c23 sd s3,56(sp) + 800006cc: 03413823 sd s4,48(sp) + 800006d0: 03513423 sd s5,40(sp) + 800006d4: 03613023 sd s6,32(sp) + 800006d8: 04113c23 sd ra,88(sp) + 800006dc: 05213023 sd s2,64(sp) + 800006e0: 00100413 li s0,1 + 800006e4: 24048493 addi s1,s1,576 # f4240 <_entry_offset+0xf4240> + 800006e8: 00003a17 auipc s4,0x3 + 800006ec: 598a0a13 addi s4,s4,1432 # 80003c80 + 800006f0: 00100993 li s3,1 + 800006f4: 00003b17 auipc s6,0x3 + 800006f8: 5bcb0b13 addi s6,s6,1468 # 80003cb0 + 800006fc: 00003a97 auipc s5,0x3 + 80000700: 5a4a8a93 addi s5,s5,1444 # 80003ca0 + 80000704: 0004091b sext.w s2,s0 + 80000708: 00600513 li a0,6 + 8000070c: 00810593 addi a1,sp,8 + 80000710: 3d1000ef jal ra,800012e0 + 80000714: 00813503 ld a0,8(sp) + 80000718: 00048593 mv a1,s1 + 8000071c: 235000ef jal ra,80001150 <__udivdi3> + 80000720: fe8564e3 bltu a0,s0,80000708 + 80000724: 00810593 addi a1,sp,8 + 80000728: 00500513 li a0,5 + 8000072c: 3b5000ef jal ra,800012e0 + 80000730: 01c12803 lw a6,28(sp) + 80000734: 01812783 lw a5,24(sp) + 80000738: 01412703 lw a4,20(sp) + 8000073c: 01012683 lw a3,16(sp) + 80000740: 00c12603 lw a2,12(sp) + 80000744: 00812583 lw a1,8(sp) + 80000748: 000a0513 mv a0,s4 + 8000074c: 300020ef jal ra,80002a4c + 80000750: 01341c63 bne s0,s3,80000768 + 80000754: 00100593 li a1,1 + 80000758: 000a8513 mv a0,s5 + 8000075c: 2f0020ef jal ra,80002a4c + 80000760: 00140413 addi s0,s0,1 + 80000764: fa1ff06f j 80000704 + 80000768: 00090593 mv a1,s2 + 8000076c: 000b0513 mv a0,s6 + 80000770: 2dc020ef jal ra,80002a4c + 80000774: 00140413 addi s0,s0,1 + 80000778: f8dff06f j 80000704 + +000000008000077c : + 8000077c: f7010113 addi sp,sp,-144 + 80000780: 00010593 mv a1,sp + 80000784: 00900513 li a0,9 + 80000788: 08113423 sd ra,136(sp) + 8000078c: 07213823 sd s2,112(sp) + 80000790: 07413023 sd s4,96(sp) + 80000794: 08813023 sd s0,128(sp) + 80000798: 06913c23 sd s1,120(sp) + 8000079c: 07313423 sd s3,104(sp) + 800007a0: 05513c23 sd s5,88(sp) + 800007a4: 05613823 sd s6,80(sp) + 800007a8: 05713423 sd s7,72(sp) + 800007ac: 05813023 sd s8,64(sp) + 800007b0: 03913c23 sd s9,56(sp) + 800007b4: 03a13823 sd s10,48(sp) + 800007b8: 03b13423 sd s11,40(sp) + 800007bc: 325000ef jal ra,800012e0 + 800007c0: 00412783 lw a5,4(sp) + 800007c4: 00010593 mv a1,sp + 800007c8: 00900513 li a0,9 + 800007cc: 41f7da1b sraiw s4,a5,0x1f + 800007d0: 01ba5a1b srliw s4,s4,0x1b + 800007d4: 00fa0a3b addw s4,s4,a5 + 800007d8: 309000ef jal ra,800012e0 + 800007dc: 00812783 lw a5,8(sp) + 800007e0: 405a5a1b sraiw s4,s4,0x5 + 800007e4: 000a0513 mv a0,s4 + 800007e8: 41f7d91b sraiw s2,a5,0x1f + 800007ec: 01b9591b srliw s2,s2,0x1b + 800007f0: 00f9093b addw s2,s2,a5 + 800007f4: 4059591b sraiw s2,s2,0x5 + 800007f8: 00090593 mv a1,s2 + 800007fc: 0e9000ef jal ra,800010e4 <__muldi3> + 80000800: 0005079b sext.w a5,a0 + 80000804: 40000713 li a4,1024 + 80000808: 0ef76e63 bltu a4,a5,80000904 + 8000080c: fff7841b addiw s0,a5,-1 + 80000810: 02041413 slli s0,s0,0x20 + 80000814: 00078a93 mv s5,a5 + 80000818: 01e45413 srli s0,s0,0x1e + 8000081c: 00014797 auipc a5,0x14 + 80000820: 19078793 addi a5,a5,400 # 800149ac + 80000824: 00013997 auipc s3,0x13 + 80000828: 20498993 addi s3,s3,516 # 80013a28 + 8000082c: 00014c17 auipc s8,0x14 + 80000830: 1fcc0c13 addi s8,s8,508 # 80014a28 + 80000834: 00014497 auipc s1,0x14 + 80000838: 17448493 addi s1,s1,372 # 800149a8 + 8000083c: 00f40433 add s0,s0,a5 + 80000840: 00000c93 li s9,0 + 80000844: 000a0b9b sext.w s7,s4 + 80000848: 000c8b1b sext.w s6,s9 + 8000084c: f8098d13 addi s10,s3,-128 + 80000850: 00000d93 li s11,0 + 80000854: 000a8c63 beqz s5,8000086c + 80000858: 000d2703 lw a4,0(s10) + 8000085c: 00048793 mv a5,s1 + 80000860: 00e7a023 sw a4,0(a5) + 80000864: 00478793 addi a5,a5,4 + 80000868: fe879ce3 bne a5,s0,80000860 + 8000086c: 004d0d13 addi s10,s10,4 + 80000870: 00010593 mv a1,sp + 80000874: 00b00513 li a0,11 + 80000878: 01b12023 sw s11,0(sp) + 8000087c: 01612223 sw s6,4(sp) + 80000880: 00913423 sd s1,8(sp) + 80000884: 01412823 sw s4,16(sp) + 80000888: 01212a23 sw s2,20(sp) + 8000088c: 00010c23 sb zero,24(sp) + 80000890: 017d8dbb addw s11,s11,s7 + 80000894: 269000ef jal ra,800012fc + 80000898: fb3d1ee3 bne s10,s3,80000854 + 8000089c: 080d0993 addi s3,s10,128 + 800008a0: 01990cbb addw s9,s2,s9 + 800008a4: fb8992e3 bne s3,s8,80000848 + 800008a8: 00010593 mv a1,sp + 800008ac: 00100793 li a5,1 + 800008b0: 00b00513 li a0,11 + 800008b4: 00013023 sd zero,0(sp) + 800008b8: 00013423 sd zero,8(sp) + 800008bc: 00013823 sd zero,16(sp) + 800008c0: 00f10c23 sb a5,24(sp) + 800008c4: 239000ef jal ra,800012fc + 800008c8: 08813083 ld ra,136(sp) + 800008cc: 08013403 ld s0,128(sp) + 800008d0: 07813483 ld s1,120(sp) + 800008d4: 07013903 ld s2,112(sp) + 800008d8: 06813983 ld s3,104(sp) + 800008dc: 06013a03 ld s4,96(sp) + 800008e0: 05813a83 ld s5,88(sp) + 800008e4: 05013b03 ld s6,80(sp) + 800008e8: 04813b83 ld s7,72(sp) + 800008ec: 04013c03 ld s8,64(sp) + 800008f0: 03813c83 ld s9,56(sp) + 800008f4: 03013d03 ld s10,48(sp) + 800008f8: 02813d83 ld s11,40(sp) + 800008fc: 09010113 addi sp,sp,144 + 80000900: 00008067 ret + 80000904: 01600613 li a2,22 + 80000908: 00003597 auipc a1,0x3 + 8000090c: 3b858593 addi a1,a1,952 # 80003cc0 + 80000910: 00003517 auipc a0,0x3 + 80000914: 2c050513 addi a0,a0,704 # 80003bd0 + 80000918: 134020ef jal ra,80002a4c + 8000091c: 00100513 li a0,1 + 80000920: 77c000ef jal ra,8000109c + +0000000080000924 : + 80000924: 00096617 auipc a2,0x96 + 80000928: 29c60613 addi a2,a2,668 # 80096bc0 + 8000092c: 00062783 lw a5,0(a2) + 80000930: fe010113 addi sp,sp,-32 + 80000934: 00813c23 sd s0,24(sp) + 80000938: 00913823 sd s1,16(sp) + 8000093c: 01213423 sd s2,8(sp) + 80000940: 01313023 sd s3,0(sp) + 80000944: 0017839b addiw t2,a5,1 + 80000948: 00015717 auipc a4,0x15 + 8000094c: 0e070713 addi a4,a4,224 # 80015a28 + 80000950: 00016697 auipc a3,0x16 + 80000954: 0d868693 addi a3,a3,216 # 80016a28 + 80000958: 00762023 sw t2,0(a2) + 8000095c: f8070793 addi a5,a4,-128 + 80000960: 0007a023 sw zero,0(a5) + 80000964: 00478793 addi a5,a5,4 + 80000968: fee79ce3 bne a5,a4,80000960 + 8000096c: 08078713 addi a4,a5,128 + 80000970: fee696e3 bne a3,a4,8000095c + 80000974: 0ff3f693 andi a3,t2,255 + 80000978: 0016979b slliw a5,a3,0x1 + 8000097c: 00d787bb addw a5,a5,a3 + 80000980: 0036971b slliw a4,a3,0x3 + 80000984: 40d7073b subw a4,a4,a3 + 80000988: 0017979b slliw a5,a5,0x1 + 8000098c: 0ff7f793 andi a5,a5,255 + 80000990: 0ff77713 andi a4,a4,255 + 80000994: 0087171b slliw a4,a4,0x8 + 80000998: 0107979b slliw a5,a5,0x10 + 8000099c: 00e7e7b3 or a5,a5,a4 + 800009a0: 0ff3f713 andi a4,t2,255 + 800009a4: 00e7e7b3 or a5,a5,a4 + 800009a8: 00013417 auipc s0,0x13 + 800009ac: 00040413 mv s0,s0 + 800009b0: 00015f97 auipc t6,0x15 + 800009b4: ff8f8f93 addi t6,t6,-8 # 800159a8 + 800009b8: 00f42023 sw a5,0(s0) # 800139a8 + 800009bc: 00100793 li a5,1 + 800009c0: 00ffa023 sw a5,0(t6) + 800009c4: 00100693 li a3,1 + 800009c8: 00000713 li a4,0 + 800009cc: 00100f13 li t5,1 + 800009d0: 00000593 li a1,0 + 800009d4: 00000e93 li t4,0 + 800009d8: 00000e13 li t3,0 + 800009dc: 01f00813 li a6,31 + 800009e0: 00003317 auipc t1,0x3 + 800009e4: 33030313 addi t1,t1,816 # 80003d10 + 800009e8: 00003897 auipc a7,0x3 + 800009ec: 33888893 addi a7,a7,824 # 80003d20 + 800009f0: 00100493 li s1,1 + 800009f4: 40000293 li t0,1024 + 800009f8: 00400613 li a2,4 + 800009fc: 00ee07bb addw a5,t3,a4 + 80000a00: fff6061b addiw a2,a2,-1 + 80000a04: 00158713 addi a4,a1,1 + 80000a08: 00de86bb addw a3,t4,a3 + 80000a0c: 02f86063 bltu a6,a5,80000a2c + 80000a10: 00579513 slli a0,a5,0x5 + 80000a14: 00d50533 add a0,a0,a3 + 80000a18: 00251513 slli a0,a0,0x2 + 80000a1c: 00af8933 add s2,t6,a0 + 80000a20: 00d86663 bltu a6,a3,80000a2c + 80000a24: 00092983 lw s3,0(s2) + 80000a28: 06098463 beqz s3,80000a90 + 80000a2c: 00377593 andi a1,a4,3 + 80000a30: 00259793 slli a5,a1,0x2 + 80000a34: 00f30733 add a4,t1,a5 + 80000a38: 00f887b3 add a5,a7,a5 + 80000a3c: 02060a63 beqz a2,80000a70 + 80000a40: 00072703 lw a4,0(a4) + 80000a44: 0007a683 lw a3,0(a5) + 80000a48: fff6061b addiw a2,a2,-1 + 80000a4c: 00ee07bb addw a5,t3,a4 + 80000a50: 00de86bb addw a3,t4,a3 + 80000a54: 00158713 addi a4,a1,1 + 80000a58: faf87ce3 bgeu a6,a5,80000a10 + 80000a5c: 00377593 andi a1,a4,3 + 80000a60: 00259793 slli a5,a1,0x2 + 80000a64: 00f30733 add a4,t1,a5 + 80000a68: 00f887b3 add a5,a7,a5 + 80000a6c: fc061ae3 bnez a2,80000a40 + 80000a70: 001f0f1b addiw t5,t5,1 + 80000a74: 065f0a63 beq t5,t0,80000ae8 + 80000a78: 00259793 slli a5,a1,0x2 + 80000a7c: 00f30733 add a4,t1,a5 + 80000a80: 00f887b3 add a5,a7,a5 + 80000a84: 00072703 lw a4,0(a4) + 80000a88: 0007a683 lw a3,0(a5) + 80000a8c: f6dff06f j 800009f8 + 80000a90: 401f5e1b sraiw t3,t5,0x1 + 80000a94: 007e0e3b addw t3,t3,t2 + 80000a98: 0ffe7e93 andi t4,t3,255 + 80000a9c: 001e971b slliw a4,t4,0x1 + 80000aa0: 01d7073b addw a4,a4,t4 + 80000aa4: 003e961b slliw a2,t4,0x3 + 80000aa8: 41d6063b subw a2,a2,t4 + 80000aac: 0017171b slliw a4,a4,0x1 + 80000ab0: 0ff77713 andi a4,a4,255 + 80000ab4: 0ff67613 andi a2,a2,255 + 80000ab8: 0107171b slliw a4,a4,0x10 + 80000abc: 0086161b slliw a2,a2,0x8 + 80000ac0: 0ffe7e13 andi t3,t3,255 + 80000ac4: 00c76733 or a4,a4,a2 + 80000ac8: 01c76733 or a4,a4,t3 + 80000acc: 00a40533 add a0,s0,a0 + 80000ad0: 00992023 sw s1,0(s2) + 80000ad4: 00e52023 sw a4,0(a0) + 80000ad8: 001f0f1b addiw t5,t5,1 + 80000adc: 00068e93 mv t4,a3 + 80000ae0: 00078e13 mv t3,a5 + 80000ae4: f85f1ae3 bne t5,t0,80000a78 + 80000ae8: 01813403 ld s0,24(sp) + 80000aec: 01013483 ld s1,16(sp) + 80000af0: 00813903 ld s2,8(sp) + 80000af4: 00013983 ld s3,0(sp) + 80000af8: 02010113 addi sp,sp,32 + 80000afc: 00008067 ret + +0000000080000b00 : + 80000b00: fb010113 addi sp,sp,-80 + 80000b04: 02913c23 sd s1,56(sp) + 80000b08: 03213823 sd s2,48(sp) + 80000b0c: 03313423 sd s3,40(sp) + 80000b10: 03413023 sd s4,32(sp) + 80000b14: 01513c23 sd s5,24(sp) + 80000b18: 04113423 sd ra,72(sp) + 80000b1c: 04813023 sd s0,64(sp) + 80000b20: 00000993 li s3,0 + 80000b24: 00000913 li s2,0 + 80000b28: 00000493 li s1,0 + 80000b2c: 02100a13 li s4,33 + 80000b30: 00003a97 auipc s5,0x3 + 80000b34: 1d0a8a93 addi s5,s5,464 # 80003d00 + 80000b38: 00810593 addi a1,sp,8 + 80000b3c: 00600513 li a0,6 + 80000b40: 7a0000ef jal ra,800012e0 + 80000b44: 00813503 ld a0,8(sp) + 80000b48: 3e800593 li a1,1000 + 80000b4c: 604000ef jal ra,80001150 <__udivdi3> + 80000b50: 409507b3 sub a5,a0,s1 + 80000b54: 00050413 mv s0,a0 + 80000b58: 02fa6663 bltu s4,a5,80000b84 + 80000b5c: 412407b3 sub a5,s0,s2 + 80000b60: 3e800713 li a4,1000 + 80000b64: fcf77ae3 bgeu a4,a5,80000b38 + 80000b68: 00098613 mv a2,s3 + 80000b6c: 00040593 mv a1,s0 + 80000b70: 000a8513 mv a0,s5 + 80000b74: 6d9010ef jal ra,80002a4c + 80000b78: 00040913 mv s2,s0 + 80000b7c: 00000993 li s3,0 + 80000b80: fb9ff06f j 80000b38 + 80000b84: da1ff0ef jal ra,80000924 + 80000b88: 0019899b addiw s3,s3,1 + 80000b8c: bf1ff0ef jal ra,8000077c + 80000b90: 00040493 mv s1,s0 + 80000b94: fc9ff06f j 80000b5c + +0000000080000b98 : + 80000b98: 00012797 auipc a5,0x12 + 80000b9c: 9d878793 addi a5,a5,-1576 # 80012570 + 80000ba0: 0007b583 ld a1,0(a5) + 80000ba4: 0087b603 ld a2,8(a5) + 80000ba8: fb010113 addi sp,sp,-80 + 80000bac: 00003517 auipc a0,0x3 + 80000bb0: 18450513 addi a0,a0,388 # 80003d30 + 80000bb4: 04113423 sd ra,72(sp) + 80000bb8: 02913c23 sd s1,56(sp) + 80000bbc: 04813023 sd s0,64(sp) + 80000bc0: 03213823 sd s2,48(sp) + 80000bc4: 03313423 sd s3,40(sp) + 80000bc8: 03413023 sd s4,32(sp) + 80000bcc: 681010ef jal ra,80002a4c + 80000bd0: 00003517 auipc a0,0x3 + 80000bd4: 17850513 addi a0,a0,376 # 80003d48 + 80000bd8: 675010ef jal ra,80002a4c + 80000bdc: 00810593 addi a1,sp,8 + 80000be0: 00600513 li a0,6 + 80000be4: 6fc000ef jal ra,800012e0 + 80000be8: 00813503 ld a0,8(sp) + 80000bec: 3e800593 li a1,1000 + 80000bf0: 560000ef jal ra,80001150 <__udivdi3> + 80000bf4: 00012223 sw zero,4(sp) + 80000bf8: 00412703 lw a4,4(sp) + 80000bfc: 009897b7 lui a5,0x989 + 80000c00: 67f78793 addi a5,a5,1663 # 98967f <_entry_offset+0x98967f> + 80000c04: 0007071b sext.w a4,a4 + 80000c08: 0005049b sext.w s1,a0 + 80000c0c: 00e7ce63 blt a5,a4,80000c28 + 80000c10: 00412703 lw a4,4(sp) + 80000c14: 0017071b addiw a4,a4,1 + 80000c18: 00e12223 sw a4,4(sp) + 80000c1c: 00412703 lw a4,4(sp) + 80000c20: 0007071b sext.w a4,a4 + 80000c24: fee7d6e3 bge a5,a4,80000c10 + 80000c28: 00810593 addi a1,sp,8 + 80000c2c: 00600513 li a0,6 + 80000c30: 6b0000ef jal ra,800012e0 + 80000c34: 00813503 ld a0,8(sp) + 80000c38: 3e800593 li a1,1000 + 80000c3c: 00016417 auipc s0,0x16 + 80000c40: 03c40413 addi s0,s0,60 # 80016c78 + 80000c44: 50c000ef jal ra,80001150 <__udivdi3> + 80000c48: 409505bb subw a1,a0,s1 + 80000c4c: 00003517 auipc a0,0x3 + 80000c50: 11c50513 addi a0,a0,284 # 80003d68 + 80000c54: 5f9010ef jal ra,80002a4c + 80000c58: 00810593 addi a1,sp,8 + 80000c5c: 00900513 li a0,9 + 80000c60: 680000ef jal ra,800012e0 + 80000c64: 00c12983 lw s3,12(sp) + 80000c68: 01012903 lw s2,16(sp) + 80000c6c: 00003517 auipc a0,0x3 + 80000c70: 11c50513 addi a0,a0,284 # 80003d88 + 80000c74: 00098593 mv a1,s3 + 80000c78: 00090613 mv a2,s2 + 80000c7c: 5d1010ef jal ra,80002a4c + 80000c80: 00096497 auipc s1,0x96 + 80000c84: f2848493 addi s1,s1,-216 # 80096ba8 + 80000c88: 4b1000ef jal ra,80001938 + 80000c8c: 00a40023 sb a0,0(s0) + 80000c90: 00140413 addi s0,s0,1 + 80000c94: fe941ae3 bne s0,s1,80000c88 + 80000c98: 41f9d71b sraiw a4,s3,0x1f + 80000c9c: 01e7571b srliw a4,a4,0x1e + 80000ca0: 0137073b addw a4,a4,s3 + 80000ca4: 00016797 auipc a5,0x16 + 80000ca8: f0478793 addi a5,a5,-252 # 80016ba8 + 80000cac: 4027571b sraiw a4,a4,0x2 + 80000cb0: 00e79323 sh a4,6(a5) + 80000cb4: 00016717 auipc a4,0x16 + 80000cb8: ee071e23 sh zero,-260(a4) # 80016bb0 + 80000cbc: 01f9d69b srliw a3,s3,0x1f + 80000cc0: 02500713 li a4,37 + 80000cc4: ffff0637 lui a2,0xffff0 + 80000cc8: 00e79923 sh a4,18(a5) + 80000ccc: 013686bb addw a3,a3,s3 + 80000cd0: 0016061b addiw a2,a2,1 + 80000cd4: 00a00713 li a4,10 + 80000cd8: fff00813 li a6,-1 + 80000cdc: 4016d69b sraiw a3,a3,0x1 + 80000ce0: 00c7a023 sw a2,0(a5) + 80000ce4: 00e79a23 sh a4,20(a5) + 80000ce8: fff00613 li a2,-1 + 80000cec: 0d000713 li a4,208 + 80000cf0: f9c9091b addiw s2,s2,-100 + 80000cf4: 00e79b23 sh a4,22(a5) + 80000cf8: 00d79523 sh a3,10(a5) + 80000cfc: 01079723 sh a6,14(a5) + 80000d00: 01079823 sh a6,16(a5) + 80000d04: 00c79223 sh a2,4(a5) + 80000d08: 01279623 sh s2,12(a5) + 80000d0c: 00810593 addi a1,sp,8 + 80000d10: 00c00513 li a0,12 + 80000d14: 00f13823 sd a5,16(sp) + 80000d18: 000807b7 lui a5,0x80 + 80000d1c: 00f12c23 sw a5,24(sp) + 80000d20: 00016717 auipc a4,0x16 + 80000d24: ea071023 sh zero,-352(a4) # 80016bc0 + 80000d28: 00012423 sw zero,8(sp) + 80000d2c: 5d0000ef jal ra,800012fc + 80000d30: 00d00513 li a0,13 + 80000d34: 00810593 addi a1,sp,8 + 80000d38: 00012423 sw zero,8(sp) + 80000d3c: 5c0000ef jal ra,800012fc + 80000d40: 00810593 addi a1,sp,8 + 80000d44: 01200513 li a0,18 + 80000d48: 598000ef jal ra,800012e0 + 80000d4c: 00c12483 lw s1,12(sp) + 80000d50: 01012583 lw a1,16(sp) + 80000d54: 00003517 auipc a0,0x3 + 80000d58: 04c50513 addi a0,a0,76 # 80003da0 + 80000d5c: 00048613 mv a2,s1 + 80000d60: 4ed010ef jal ra,80002a4c + 80000d64: 00016417 auipc s0,0x16 + 80000d68: c4440413 addi s0,s0,-956 # 800169a8 + 80000d6c: 00048593 mv a1,s1 + 80000d70: 20000513 li a0,512 + 80000d74: 00813823 sd s0,16(sp) + 80000d78: 00010423 sb zero,8(sp) + 80000d7c: 00012c23 sw zero,24(sp) + 80000d80: 3c8000ef jal ra,80001148 <__divdi3> + 80000d84: 00050793 mv a5,a0 + 80000d88: 00810593 addi a1,sp,8 + 80000d8c: 01400513 li a0,20 + 80000d90: 00f12e23 sw a5,28(sp) + 80000d94: 568000ef jal ra,800012fc + 80000d98: 00144603 lbu a2,1(s0) + 80000d9c: 00044583 lbu a1,0(s0) + 80000da0: 00003517 auipc a0,0x3 + 80000da4: 03850513 addi a0,a0,56 # 80003dd8 + 80000da8: 00016417 auipc s0,0x16 + 80000dac: c0240413 addi s0,s0,-1022 # 800169aa + 80000db0: 49d010ef jal ra,80002a4c + 80000db4: 00003917 auipc s2,0x3 + 80000db8: 02490913 addi s2,s2,36 # 80003dd8 + 80000dbc: 00400493 li s1,4 + 80000dc0: 00016997 auipc s3,0x16 + 80000dc4: de898993 addi s3,s3,-536 # 80016ba8 + 80000dc8: 408484bb subw s1,s1,s0 + 80000dcc: 00003a17 auipc s4,0x3 + 80000dd0: d6ca0a13 addi s4,s4,-660 # 80003b38 + 80000dd4: 00090513 mv a0,s2 + 80000dd8: 03340463 beq s0,s3,80000e00 + 80000ddc: 00144603 lbu a2,1(s0) + 80000de0: 00044583 lbu a1,0(s0) + 80000de4: 469010ef jal ra,80002a4c + 80000de8: 008487bb addw a5,s1,s0 + 80000dec: 01f7f793 andi a5,a5,31 + 80000df0: 02078063 beqz a5,80000e10 + 80000df4: 00240413 addi s0,s0,2 + 80000df8: 00090513 mv a0,s2 + 80000dfc: ff3410e3 bne s0,s3,80000ddc + 80000e00: 00003517 auipc a0,0x3 + 80000e04: fe850513 addi a0,a0,-24 # 80003de8 + 80000e08: 445010ef jal ra,80002a4c + 80000e0c: 0000006f j 80000e0c + 80000e10: 000a0513 mv a0,s4 + 80000e14: 439010ef jal ra,80002a4c + 80000e18: 00240413 addi s0,s0,2 + 80000e1c: fddff06f j 80000df8 + +0000000080000e20 : + 80000e20: fe010113 addi sp,sp,-32 + 80000e24: 00913423 sd s1,8(sp) + 80000e28: 00113c23 sd ra,24(sp) + 80000e2c: 00813823 sd s0,16(sp) + 80000e30: 00a00493 li s1,10 + 80000e34: 00003417 auipc s0,0x3 + 80000e38: fc440413 addi s0,s0,-60 # 80003df8 + 80000e3c: 04800513 li a0,72 + 80000e40: 00140413 addi s0,s0,1 + 80000e44: 24c000ef jal ra,80001090 + 80000e48: 00044503 lbu a0,0(s0) + 80000e4c: fe051ae3 bnez a0,80000e40 + 80000e50: fff4849b addiw s1,s1,-1 + 80000e54: fe0490e3 bnez s1,80000e34 + 80000e58: 01813083 ld ra,24(sp) + 80000e5c: 01013403 ld s0,16(sp) + 80000e60: 00813483 ld s1,8(sp) + 80000e64: 02010113 addi sp,sp,32 + 80000e68: 00008067 ret + +0000000080000e6c : + 80000e6c: fb010113 addi sp,sp,-80 + 80000e70: 00003517 auipc a0,0x3 + 80000e74: fb850513 addi a0,a0,-72 # 80003e28 + 80000e78: 04113423 sd ra,72(sp) + 80000e7c: 04813023 sd s0,64(sp) + 80000e80: 02913c23 sd s1,56(sp) + 80000e84: 03213823 sd s2,48(sp) + 80000e88: 03313423 sd s3,40(sp) + 80000e8c: 03413023 sd s4,32(sp) + 80000e90: 01513c23 sd s5,24(sp) + 80000e94: 3b9010ef jal ra,80002a4c + 80000e98: 00810593 addi a1,sp,8 + 80000e9c: 00100513 li a0,1 + 80000ea0: 440000ef jal ra,800012e0 + 80000ea4: 00814783 lbu a5,8(sp) + 80000ea8: 00096497 auipc s1,0x96 + 80000eac: d1d48493 addi s1,s1,-739 # 80096bc5 + 80000eb0: 00810593 addi a1,sp,8 + 80000eb4: 00700513 li a0,7 + 80000eb8: 00f48023 sb a5,0(s1) + 80000ebc: 424000ef jal ra,800012e0 + 80000ec0: 00814783 lbu a5,8(sp) + 80000ec4: 00096417 auipc s0,0x96 + 80000ec8: d0040413 addi s0,s0,-768 # 80096bc4 + 80000ecc: 00003a97 auipc s5,0x3 + 80000ed0: 26ca8a93 addi s5,s5,620 # 80004138 + 80000ed4: 00f40023 sb a5,0(s0) + 80000ed8: 00003a17 auipc s4,0x3 + 80000edc: f40a0a13 addi s4,s4,-192 # 80003e18 + 80000ee0: 00003997 auipc s3,0x3 + 80000ee4: f4098993 addi s3,s3,-192 # 80003e20 + 80000ee8: 00003917 auipc s2,0x3 + 80000eec: f8890913 addi s2,s2,-120 # 80003e70 + 80000ef0: 0004c703 lbu a4,0(s1) + 80000ef4: 02070663 beqz a4,80000f20 + 80000ef8: 00003417 auipc s0,0x3 + 80000efc: f6040413 addi s0,s0,-160 # 80003e58 + 80000f00: 00810593 addi a1,sp,8 + 80000f04: 00300513 li a0,3 + 80000f08: 3d8000ef jal ra,800012e0 + 80000f0c: 00814603 lbu a2,8(sp) + 80000f10: 00040513 mv a0,s0 + 80000f14: 00060593 mv a1,a2 + 80000f18: 335010ef jal ra,80002a4c + 80000f1c: fe5ff06f j 80000f00 + 80000f20: 00079e63 bnez a5,80000f3c + 80000f24: 0000006f j 80000f24 + 80000f28: 0007b583 ld a1,0(a5) # 80000 <_entry_offset+0x80000> + 80000f2c: 000a0693 mv a3,s4 + 80000f30: 00071463 bnez a4,80000f38 + 80000f34: 00098693 mv a3,s3 + 80000f38: 315010ef jal ra,80002a4c + 80000f3c: 00800513 li a0,8 + 80000f40: 00810593 addi a1,sp,8 + 80000f44: 39c000ef jal ra,800012e0 + 80000f48: 00c12603 lw a2,12(sp) + 80000f4c: 00814703 lbu a4,8(sp) + 80000f50: 00090513 mv a0,s2 + 80000f54: 00361793 slli a5,a2,0x3 + 80000f58: 00fa87b3 add a5,s5,a5 + 80000f5c: fc0616e3 bnez a2,80000f28 + 80000f60: 00044783 lbu a5,0(s0) + 80000f64: f8dff06f j 80000ef0 + +0000000080000f68 : + 80000f68: 00052783 lw a5,0(a0) + 80000f6c: ff010113 addi sp,sp,-16 + 80000f70: 00813023 sd s0,0(sp) + 80000f74: 00113423 sd ra,8(sp) + 80000f78: 00500713 li a4,5 + 80000f7c: 00058413 mv s0,a1 + 80000f80: 02e78463 beq a5,a4,80000fa8 + 80000f84: 00600713 li a4,6 + 80000f88: 04e78c63 beq a5,a4,80000fe0 + 80000f8c: 00100713 li a4,1 + 80000f90: 02e78a63 beq a5,a4,80000fc4 + 80000f94: 00813083 ld ra,8(sp) + 80000f98: 00040513 mv a0,s0 + 80000f9c: 00013403 ld s0,0(sp) + 80000fa0: 01010113 addi sp,sp,16 + 80000fa4: 00008067 ret + 80000fa8: 07400513 li a0,116 + 80000fac: 0e4000ef jal ra,80001090 + 80000fb0: 00813083 ld ra,8(sp) + 80000fb4: 00040513 mv a0,s0 + 80000fb8: 00013403 ld s0,0(sp) + 80000fbc: 01010113 addi sp,sp,16 + 80000fc0: 00008067 ret + 80000fc4: 07900513 li a0,121 + 80000fc8: 0c8000ef jal ra,80001090 + 80000fcc: 00813083 ld ra,8(sp) + 80000fd0: 00040513 mv a0,s0 + 80000fd4: 00013403 ld s0,0(sp) + 80000fd8: 01010113 addi sp,sp,16 + 80000fdc: 00008067 ret + 80000fe0: 06400513 li a0,100 + 80000fe4: 0ac000ef jal ra,80001090 + 80000fe8: 00813083 ld ra,8(sp) + 80000fec: 00040513 mv a0,s0 + 80000ff0: 00013403 ld s0,0(sp) + 80000ff4: 01010113 addi sp,sp,16 + 80000ff8: 00008067 ret + +0000000080000ffc : + 80000ffc: fe010113 addi sp,sp,-32 + 80001000: 00003517 auipc a0,0x3 + 80001004: df850513 addi a0,a0,-520 # 80003df8 + 80001008: 00113c23 sd ra,24(sp) + 8000100c: 00813823 sd s0,16(sp) + 80001010: 23d010ef jal ra,80002a4c + 80001014: 00003517 auipc a0,0x3 + 80001018: 3bc50513 addi a0,a0,956 # 800043d0 + 8000101c: 231010ef jal ra,80002a4c + 80001020: 00810593 addi a1,sp,8 + 80001024: 00700513 li a0,7 + 80001028: 2b8000ef jal ra,800012e0 + 8000102c: 00100513 li a0,1 + 80001030: 754000ef jal ra,80001784 + 80001034: 3e700413 li s0,999 + 80001038: 00012423 sw zero,8(sp) + 8000103c: 00812783 lw a5,8(sp) + 80001040: 0007879b sext.w a5,a5 + 80001044: 00f44e63 blt s0,a5,80001060 + 80001048: 00812783 lw a5,8(sp) + 8000104c: 0017879b addiw a5,a5,1 + 80001050: 00f12423 sw a5,8(sp) + 80001054: 00812783 lw a5,8(sp) + 80001058: 0007879b sext.w a5,a5 + 8000105c: fef456e3 bge s0,a5,80001048 + 80001060: 718000ef jal ra,80001778 + 80001064: fd5ff06f j 80001038 + +0000000080001068 : + 80001068: ff010113 addi sp,sp,-16 + 8000106c: 00813023 sd s0,0(sp) + 80001070: 00113423 sd ra,8(sp) + 80001074: 00003417 auipc s0,0x3 + 80001078: 38440413 addi s0,s0,900 # 800043f8 + 8000107c: 08d000ef jal ra,80001908 + 80001080: 00050593 mv a1,a0 + 80001084: 00040513 mv a0,s0 + 80001088: 1c5010ef jal ra,80002a4c + 8000108c: ff1ff06f j 8000107c + +0000000080001090 : + 80001090: 00050513 mv a0,a0 + 80001094: 0000007b 0x7b + 80001098: 00008067 ret + +000000008000109c : + 8000109c: 00050513 mv a0,a0 + 800010a0: 0000006b 0x6b + 800010a4: 0000006f j 800010a4 + +00000000800010a8 <_assert>: + 800010a8: 00051a63 bnez a0,800010bc <_assert+0x14> + 800010ac: 00100793 li a5,1 + 800010b0: 00078513 mv a0,a5 + 800010b4: 0000006b 0x6b + 800010b8: 0000006f j 800010b8 <_assert+0x10> + 800010bc: 00008067 ret + +00000000800010c0 <_trm_init>: + 800010c0: ff010113 addi sp,sp,-16 + 800010c4: 00113423 sd ra,8(sp) + 800010c8: 0d1000ef jal ra,80001998 + 800010cc: 00003517 auipc a0,0x3 + 800010d0: 66c50513 addi a0,a0,1644 # 80004738 + 800010d4: f3dfe0ef jal ra,80000010
+ 800010d8: 00050513 mv a0,a0 + 800010dc: 0000006b 0x6b + 800010e0: 0000006f j 800010e0 <_trm_init+0x20> + +00000000800010e4 <__muldi3>: + 800010e4: 00050613 mv a2,a0 + 800010e8: 00000513 li a0,0 + 800010ec: 0015f693 andi a3,a1,1 + 800010f0: 00068463 beqz a3,800010f8 <__muldi3+0x14> + 800010f4: 00c50533 add a0,a0,a2 + 800010f8: 0015d593 srli a1,a1,0x1 + 800010fc: 00161613 slli a2,a2,0x1 + 80001100: fe0596e3 bnez a1,800010ec <__muldi3+0x8> + 80001104: 00008067 ret + +0000000080001108 <__udivsi3>: + 80001108: 02051513 slli a0,a0,0x20 + 8000110c: 02059593 slli a1,a1,0x20 + 80001110: 00008293 mv t0,ra + 80001114: 03c000ef jal ra,80001150 <__udivdi3> + 80001118: 0005051b sext.w a0,a0 + 8000111c: 00028067 jr t0 + +0000000080001120 <__umodsi3>: + 80001120: 02051513 slli a0,a0,0x20 + 80001124: 02059593 slli a1,a1,0x20 + 80001128: 02055513 srli a0,a0,0x20 + 8000112c: 0205d593 srli a1,a1,0x20 + 80001130: 00008293 mv t0,ra + 80001134: 01c000ef jal ra,80001150 <__udivdi3> + 80001138: 0005851b sext.w a0,a1 + 8000113c: 00028067 jr t0 + +0000000080001140 <__divsi3>: + 80001140: fff00293 li t0,-1 + 80001144: 0a558c63 beq a1,t0,800011fc <__moddi3+0x30> + +0000000080001148 <__divdi3>: + 80001148: 06054063 bltz a0,800011a8 <__umoddi3+0x10> + 8000114c: 0605c663 bltz a1,800011b8 <__umoddi3+0x20> + +0000000080001150 <__udivdi3>: + 80001150: 00058613 mv a2,a1 + 80001154: 00050593 mv a1,a0 + 80001158: fff00513 li a0,-1 + 8000115c: 02060c63 beqz a2,80001194 <__udivdi3+0x44> + 80001160: 00100693 li a3,1 + 80001164: 00b67a63 bgeu a2,a1,80001178 <__udivdi3+0x28> + 80001168: 00c05863 blez a2,80001178 <__udivdi3+0x28> + 8000116c: 00161613 slli a2,a2,0x1 + 80001170: 00169693 slli a3,a3,0x1 + 80001174: feb66ae3 bltu a2,a1,80001168 <__udivdi3+0x18> + 80001178: 00000513 li a0,0 + 8000117c: 00c5e663 bltu a1,a2,80001188 <__udivdi3+0x38> + 80001180: 40c585b3 sub a1,a1,a2 + 80001184: 00d56533 or a0,a0,a3 + 80001188: 0016d693 srli a3,a3,0x1 + 8000118c: 00165613 srli a2,a2,0x1 + 80001190: fe0696e3 bnez a3,8000117c <__udivdi3+0x2c> + 80001194: 00008067 ret + +0000000080001198 <__umoddi3>: + 80001198: 00008293 mv t0,ra + 8000119c: fb5ff0ef jal ra,80001150 <__udivdi3> + 800011a0: 00058513 mv a0,a1 + 800011a4: 00028067 jr t0 + 800011a8: 40a00533 neg a0,a0 + 800011ac: 00b04863 bgtz a1,800011bc <__umoddi3+0x24> + 800011b0: 40b005b3 neg a1,a1 + 800011b4: f9dff06f j 80001150 <__udivdi3> + 800011b8: 40b005b3 neg a1,a1 + 800011bc: 00008293 mv t0,ra + 800011c0: f91ff0ef jal ra,80001150 <__udivdi3> + 800011c4: 40a00533 neg a0,a0 + 800011c8: 00028067 jr t0 + +00000000800011cc <__moddi3>: + 800011cc: 00008293 mv t0,ra + 800011d0: 0005ca63 bltz a1,800011e4 <__moddi3+0x18> + 800011d4: 00054c63 bltz a0,800011ec <__moddi3+0x20> + 800011d8: f79ff0ef jal ra,80001150 <__udivdi3> + 800011dc: 00058513 mv a0,a1 + 800011e0: 00028067 jr t0 + 800011e4: 40b005b3 neg a1,a1 + 800011e8: fe0558e3 bgez a0,800011d8 <__moddi3+0xc> + 800011ec: 40a00533 neg a0,a0 + 800011f0: f61ff0ef jal ra,80001150 <__udivdi3> + 800011f4: 40b00533 neg a0,a1 + 800011f8: 00028067 jr t0 + 800011fc: 01f29293 slli t0,t0,0x1f + 80001200: f45514e3 bne a0,t0,80001148 <__divdi3> + 80001204: 00008067 ret + +0000000080001208 <__am_timer_config>: + 80001208: 00100793 li a5,1 + 8000120c: 00f50023 sb a5,0(a0) + 80001210: 00f500a3 sb a5,1(a0) + 80001214: 00008067 ret + +0000000080001218 <__am_input_config>: + 80001218: 00100793 li a5,1 + 8000121c: 00f50023 sb a5,0(a0) + 80001220: 00008067 ret + +0000000080001224 : + 80001224: ff010113 addi sp,sp,-16 + 80001228: 00813023 sd s0,0(sp) + 8000122c: 00113423 sd ra,8(sp) + 80001230: 00003417 auipc s0,0x3 + 80001234: 1d040413 addi s0,s0,464 # 80004400 + 80001238: 04100513 li a0,65 + 8000123c: 00140413 addi s0,s0,1 + 80001240: e51ff0ef jal ra,80001090 + 80001244: 00044503 lbu a0,0(s0) + 80001248: fe051ae3 bnez a0,8000123c + 8000124c: 00003417 auipc s0,0x3 + 80001250: 1c440413 addi s0,s0,452 # 80004410 + 80001254: 06100513 li a0,97 + 80001258: 00140413 addi s0,s0,1 + 8000125c: e35ff0ef jal ra,80001090 + 80001260: 00044503 lbu a0,0(s0) + 80001264: fe051ae3 bnez a0,80001258 + 80001268: 00003417 auipc s0,0x3 + 8000126c: 1c840413 addi s0,s0,456 # 80004430 + 80001270: 02000513 li a0,32 + 80001274: 00140413 addi s0,s0,1 + 80001278: e19ff0ef jal ra,80001090 + 8000127c: 00044503 lbu a0,0(s0) + 80001280: fe051ae3 bnez a0,80001274 + 80001284: 00100513 li a0,1 + 80001288: e15ff0ef jal ra,8000109c + +000000008000128c : + 8000128c: ff010113 addi sp,sp,-16 + 80001290: 00113423 sd ra,8(sp) + 80001294: 00011797 auipc a5,0x11 + 80001298: 2ec78793 addi a5,a5,748 # 80012580 + 8000129c: 00011697 auipc a3,0x11 + 800012a0: 6e468693 addi a3,a3,1764 # 80012980 + 800012a4: 00000617 auipc a2,0x0 + 800012a8: f8060613 addi a2,a2,-128 # 80001224 + 800012ac: 00c0006f j 800012b8 + 800012b0: 00878793 addi a5,a5,8 + 800012b4: 00d78c63 beq a5,a3,800012cc + 800012b8: 0007b703 ld a4,0(a5) + 800012bc: fe071ae3 bnez a4,800012b0 + 800012c0: 00c7b023 sd a2,0(a5) + 800012c4: 00878793 addi a5,a5,8 + 800012c8: fed798e3 bne a5,a3,800012b8 + 800012cc: 034000ef jal ra,80001300 <__am_timer_init> + 800012d0: 00813083 ld ra,8(sp) + 800012d4: 00100513 li a0,1 + 800012d8: 01010113 addi sp,sp,16 + 800012dc: 00008067 ret + +00000000800012e0 : + 800012e0: 00351793 slli a5,a0,0x3 + 800012e4: 00011517 auipc a0,0x11 + 800012e8: 29c50513 addi a0,a0,668 # 80012580 + 800012ec: 00f50533 add a0,a0,a5 + 800012f0: 00053303 ld t1,0(a0) + 800012f4: 00058513 mv a0,a1 + 800012f8: 00030067 jr t1 + +00000000800012fc : + 800012fc: fe5ff06f j 800012e0 + +0000000080001300 <__am_timer_init>: + 80001300: 00008067 ret + +0000000080001304 <__am_timer_uptime>: + 80001304: fd010113 addi sp,sp,-48 + 80001308: 00913c23 sd s1,24(sp) + 8000130c: 02113423 sd ra,40(sp) + 80001310: 02813023 sd s0,32(sp) + 80001314: 01213823 sd s2,16(sp) + 80001318: 01313423 sd s3,8(sp) + 8000131c: 00050493 mv s1,a0 + 80001320: b0002973 csrr s2,mcycle + 80001324: 000f49b7 lui s3,0xf4 + 80001328: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 8000132c: 00090513 mv a0,s2 + 80001330: e21ff0ef jal ra,80001150 <__udivdi3> + 80001334: 00551413 slli s0,a0,0x5 + 80001338: 40a407b3 sub a5,s0,a0 + 8000133c: 00679413 slli s0,a5,0x6 + 80001340: 40f40433 sub s0,s0,a5 + 80001344: 00341413 slli s0,s0,0x3 + 80001348: 00a40433 add s0,s0,a0 + 8000134c: 24098593 addi a1,s3,576 + 80001350: 00090513 mv a0,s2 + 80001354: e45ff0ef jal ra,80001198 <__umoddi3> + 80001358: 00641413 slli s0,s0,0x6 + 8000135c: 00a40433 add s0,s0,a0 + 80001360: 0084b023 sd s0,0(s1) + 80001364: 02813083 ld ra,40(sp) + 80001368: 02013403 ld s0,32(sp) + 8000136c: 01813483 ld s1,24(sp) + 80001370: 01013903 ld s2,16(sp) + 80001374: 00813983 ld s3,8(sp) + 80001378: 03010113 addi sp,sp,48 + 8000137c: 00008067 ret + +0000000080001380 : + 80001380: fc010113 addi sp,sp,-64 + 80001384: 01313c23 sd s3,24(sp) + 80001388: 00058993 mv s3,a1 + 8000138c: 00050793 mv a5,a0 + 80001390: 03800613 li a2,56 + 80001394: 00000593 li a1,0 + 80001398: 00098513 mv a0,s3 + 8000139c: 02813823 sd s0,48(sp) + 800013a0: 03213023 sd s2,32(sp) + 800013a4: 0007b403 ld s0,0(a5) + 800013a8: 01413823 sd s4,16(sp) + 800013ac: 02113c23 sd ra,56(sp) + 800013b0: 02913423 sd s1,40(sp) + 800013b4: 01513423 sd s5,8(sp) + 800013b8: 7c0010ef jal ra,80002b78 + 800013bc: 7b200793 li a5,1970 + 800013c0: 00f9aa23 sw a5,20(s3) + 800013c4: 7b200913 li s2,1970 + 800013c8: 00003a17 auipc s4,0x3 + 800013cc: 378a0a13 addi s4,s4,888 # 80004740 + 800013d0: 0100006f j 800013e0 + 800013d4: 40e40433 sub s0,s0,a4 + 800013d8: 00d9aa23 sw a3,20(s3) + 800013dc: 00068913 mv s2,a3 + 800013e0: 0009049b sext.w s1,s2 + 800013e4: 06400593 li a1,100 + 800013e8: 00048513 mv a0,s1 + 800013ec: de1ff0ef jal ra,800011cc <__moddi3> + 800013f0: 00050793 mv a5,a0 + 800013f4: 0007879b sext.w a5,a5 + 800013f8: 00048513 mv a0,s1 + 800013fc: 00397493 andi s1,s2,3 + 80001400: 19000593 li a1,400 + 80001404: 0014b493 seqz s1,s1 + 80001408: 00079863 bnez a5,80001418 + 8000140c: dc1ff0ef jal ra,800011cc <__moddi3> + 80001410: 0005049b sext.w s1,a0 + 80001414: 0014b493 seqz s1,s1 + 80001418: 00249793 slli a5,s1,0x2 + 8000141c: 00fa07b3 add a5,s4,a5 + 80001420: 0007e703 lwu a4,0(a5) + 80001424: 0019069b addiw a3,s2,1 + 80001428: fae456e3 bge s0,a4,800013d4 + 8000142c: 000155b7 lui a1,0x15 + 80001430: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001434: 00040513 mv a0,s0 + 80001438: d11ff0ef jal ra,80001148 <__divdi3> + 8000143c: 00149793 slli a5,s1,0x1 + 80001440: 009784b3 add s1,a5,s1 + 80001444: 00449793 slli a5,s1,0x4 + 80001448: 00003497 auipc s1,0x3 + 8000144c: 02848493 addi s1,s1,40 # 80004470 + 80001450: 00f484b3 add s1,s1,a5 + 80001454: 0004e783 lwu a5,0(s1) + 80001458: 0005051b sext.w a0,a0 + 8000145c: 00a9ae23 sw a0,28(s3) + 80001460: 14f44463 blt s0,a5,800015a8 + 80001464: 00100713 li a4,1 + 80001468: 40f40433 sub s0,s0,a5 + 8000146c: 0044e783 lwu a5,4(s1) + 80001470: 00070a1b sext.w s4,a4 + 80001474: 00448493 addi s1,s1,4 + 80001478: 00170713 addi a4,a4,1 + 8000147c: fef456e3 bge s0,a5,80001468 + 80001480: 000155b7 lui a1,0x15 + 80001484: 0149a823 sw s4,16(s3) + 80001488: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 8000148c: 00040513 mv a0,s0 + 80001490: cb9ff0ef jal ra,80001148 <__divdi3> + 80001494: 0005051b sext.w a0,a0 + 80001498: 0015079b addiw a5,a0,1 + 8000149c: 000155b7 lui a1,0x15 + 800014a0: 00f9a623 sw a5,12(s3) + 800014a4: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800014a8: 00040513 mv a0,s0 + 800014ac: 00001ab7 lui s5,0x1 + 800014b0: 0007841b sext.w s0,a5 + 800014b4: d19ff0ef jal ra,800011cc <__moddi3> + 800014b8: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 800014bc: 00050493 mv s1,a0 + 800014c0: c89ff0ef jal ra,80001148 <__divdi3> + 800014c4: e10a8593 addi a1,s5,-496 + 800014c8: 00a9a423 sw a0,8(s3) + 800014cc: 00048513 mv a0,s1 + 800014d0: cfdff0ef jal ra,800011cc <__moddi3> + 800014d4: 03c00593 li a1,60 + 800014d8: 00050493 mv s1,a0 + 800014dc: c6dff0ef jal ra,80001148 <__divdi3> + 800014e0: 00a9a223 sw a0,4(s3) + 800014e4: 03c00593 li a1,60 + 800014e8: 00048513 mv a0,s1 + 800014ec: ce1ff0ef jal ra,800011cc <__moddi3> + 800014f0: 001a0a1b addiw s4,s4,1 + 800014f4: 0ffa7793 andi a5,s4,255 + 800014f8: 0037b793 sltiu a5,a5,3 + 800014fc: 40f9093b subw s2,s2,a5 + 80001500: 03091913 slli s2,s2,0x30 + 80001504: 03095913 srli s2,s2,0x30 + 80001508: 00a9a023 sw a0,0(s3) + 8000150c: 06400593 li a1,100 + 80001510: 00090513 mv a0,s2 + 80001514: c3dff0ef jal ra,80001150 <__udivdi3> + 80001518: 0029549b srliw s1,s2,0x2 + 8000151c: 0105179b slliw a5,a0,0x10 + 80001520: 0107d79b srliw a5,a5,0x10 + 80001524: 012484bb addw s1,s1,s2 + 80001528: 19000593 li a1,400 + 8000152c: 00090513 mv a0,s2 + 80001530: 0ffa7a13 andi s4,s4,255 + 80001534: 40f484bb subw s1,s1,a5 + 80001538: fffa0a1b addiw s4,s4,-1 + 8000153c: c15ff0ef jal ra,80001150 <__udivdi3> + 80001540: 00003797 auipc a5,0x3 + 80001544: f9078793 addi a5,a5,-112 # 800044d0 + 80001548: 002a1a13 slli s4,s4,0x2 + 8000154c: 01478a33 add s4,a5,s4 + 80001550: 000a2783 lw a5,0(s4) + 80001554: 0105151b slliw a0,a0,0x10 + 80001558: 0105551b srliw a0,a0,0x10 + 8000155c: 00a484bb addw s1,s1,a0 + 80001560: 00f484bb addw s1,s1,a5 + 80001564: 0ff47513 andi a0,s0,255 + 80001568: 00a4853b addw a0,s1,a0 + 8000156c: 00700593 li a1,7 + 80001570: c5dff0ef jal ra,800011cc <__moddi3> + 80001574: 03813083 ld ra,56(sp) + 80001578: 03013403 ld s0,48(sp) + 8000157c: 0ff57513 andi a0,a0,255 + 80001580: 00a9ac23 sw a0,24(s3) + 80001584: 0209a023 sw zero,32(s3) + 80001588: 02813483 ld s1,40(sp) + 8000158c: 02013903 ld s2,32(sp) + 80001590: 01013a03 ld s4,16(sp) + 80001594: 00813a83 ld s5,8(sp) + 80001598: 00098513 mv a0,s3 + 8000159c: 01813983 ld s3,24(sp) + 800015a0: 04010113 addi sp,sp,64 + 800015a4: 00008067 ret + 800015a8: 00000a13 li s4,0 + 800015ac: eedff06f j 80001498 + +00000000800015b0 <__am_timer_rtc>: + 800015b0: f9010113 addi sp,sp,-112 + 800015b4: 06813023 sd s0,96(sp) + 800015b8: 06113423 sd ra,104(sp) + 800015bc: 04913c23 sd s1,88(sp) + 800015c0: 05213823 sd s2,80(sp) + 800015c4: 00050413 mv s0,a0 + 800015c8: b00024f3 csrr s1,mcycle + 800015cc: 000f4937 lui s2,0xf4 + 800015d0: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 800015d4: 00048513 mv a0,s1 + 800015d8: b79ff0ef jal ra,80001150 <__udivdi3> + 800015dc: 00050793 mv a5,a0 + 800015e0: 24090593 addi a1,s2,576 + 800015e4: 00048513 mv a0,s1 + 800015e8: 00f13423 sd a5,8(sp) + 800015ec: badff0ef jal ra,80001198 <__umoddi3> + 800015f0: 00050793 mv a5,a0 + 800015f4: 01810593 addi a1,sp,24 + 800015f8: 00810513 addi a0,sp,8 + 800015fc: 00f13823 sd a5,16(sp) + 80001600: d81ff0ef jal ra,80001380 + 80001604: 01812703 lw a4,24(sp) + 80001608: 02812783 lw a5,40(sp) + 8000160c: 06813083 ld ra,104(sp) + 80001610: 00e42a23 sw a4,20(s0) + 80001614: 01c12703 lw a4,28(sp) + 80001618: 0017879b addiw a5,a5,1 + 8000161c: 00f42223 sw a5,4(s0) + 80001620: 00e42823 sw a4,16(s0) + 80001624: 02012703 lw a4,32(sp) + 80001628: 02c12783 lw a5,44(sp) + 8000162c: 05813483 ld s1,88(sp) + 80001630: 00e42623 sw a4,12(s0) + 80001634: 02412703 lw a4,36(sp) + 80001638: 00f42023 sw a5,0(s0) + 8000163c: 05013903 ld s2,80(sp) + 80001640: 00e42423 sw a4,8(s0) + 80001644: 06013403 ld s0,96(sp) + 80001648: 07010113 addi sp,sp,112 + 8000164c: 00008067 ret + +0000000080001650 <__am_input_keybrd>: + 80001650: 00050023 sb zero,0(a0) + 80001654: 00052223 sw zero,4(a0) + 80001658: 00008067 ret + +000000008000165c <__am_irq_handle>: + 8000165c: 00095717 auipc a4,0x95 + 80001660: 56c73703 ld a4,1388(a4) # 80096bc8 + 80001664: 00050593 mv a1,a0 + 80001668: 0a070a63 beqz a4,8000171c <__am_irq_handle+0xc0> + 8000166c: 10053683 ld a3,256(a0) + 80001670: fb010113 addi sp,sp,-80 + 80001674: 04113423 sd ra,72(sp) + 80001678: 02013023 sd zero,32(sp) + 8000167c: 02013423 sd zero,40(sp) + 80001680: 02013823 sd zero,48(sp) + 80001684: 02013c23 sd zero,56(sp) + 80001688: 00b00793 li a5,11 + 8000168c: 06f68c63 beq a3,a5,80001704 <__am_irq_handle+0xa8> + 80001690: fff00793 li a5,-1 + 80001694: 03f79793 slli a5,a5,0x3f + 80001698: 00778793 addi a5,a5,7 + 8000169c: 04f69e63 bne a3,a5,800016f8 <__am_irq_handle+0x9c> + 800016a0: 02004637 lui a2,0x2004 + 800016a4: 00063783 ld a5,0(a2) # 2004000 <_entry_offset+0x2004000> + 800016a8: 000f46b7 lui a3,0xf4 + 800016ac: 24068693 addi a3,a3,576 # f4240 <_entry_offset+0xf4240> + 800016b0: 00d787b3 add a5,a5,a3 + 800016b4: 00f63023 sd a5,0(a2) + 800016b8: 00500793 li a5,5 + 800016bc: 02f12023 sw a5,32(sp) + 800016c0: 02013783 ld a5,32(sp) + 800016c4: 00010513 mv a0,sp + 800016c8: 00f13023 sd a5,0(sp) + 800016cc: 02813783 ld a5,40(sp) + 800016d0: 00f13423 sd a5,8(sp) + 800016d4: 03013783 ld a5,48(sp) + 800016d8: 00f13823 sd a5,16(sp) + 800016dc: 03813783 ld a5,56(sp) + 800016e0: 00f13c23 sd a5,24(sp) + 800016e4: 000700e7 jalr a4 + 800016e8: 04050863 beqz a0,80001738 <__am_irq_handle+0xdc> + 800016ec: 04813083 ld ra,72(sp) + 800016f0: 05010113 addi sp,sp,80 + 800016f4: 00008067 ret + 800016f8: 00400793 li a5,4 + 800016fc: 02f12023 sw a5,32(sp) + 80001700: fc1ff06f j 800016c0 <__am_irq_handle+0x64> + 80001704: 08853683 ld a3,136(a0) + 80001708: fff00793 li a5,-1 + 8000170c: 00f68a63 beq a3,a5,80001720 <__am_irq_handle+0xc4> + 80001710: 00200793 li a5,2 + 80001714: 02f12023 sw a5,32(sp) + 80001718: fa9ff06f j 800016c0 <__am_irq_handle+0x64> + 8000171c: 00008067 ret + 80001720: 11053783 ld a5,272(a0) + 80001724: 00100693 li a3,1 + 80001728: 02d12023 sw a3,32(sp) + 8000172c: 00478793 addi a5,a5,4 + 80001730: 10f53823 sd a5,272(a0) + 80001734: f8dff06f j 800016c0 <__am_irq_handle+0x64> + 80001738: 02700613 li a2,39 + 8000173c: 00003597 auipc a1,0x3 + 80001740: dc458593 addi a1,a1,-572 # 80004500 + 80001744: 00002517 auipc a0,0x2 + 80001748: 48c50513 addi a0,a0,1164 # 80003bd0 + 8000174c: 300010ef jal ra,80002a4c + 80001750: 00100513 li a0,1 + 80001754: 949ff0ef jal ra,8000109c + +0000000080001758 : + 80001758: 00050793 mv a5,a0 + 8000175c: 00000717 auipc a4,0x0 + 80001760: 04c70713 addi a4,a4,76 # 800017a8 <__am_asm_trap> + 80001764: 30571073 csrw mtvec,a4 + 80001768: 00100513 li a0,1 + 8000176c: 00095717 auipc a4,0x95 + 80001770: 44f73e23 sd a5,1116(a4) # 80096bc8 + 80001774: 00008067 ret + +0000000080001778 : + 80001778: fff00893 li a7,-1 + 8000177c: 00000073 ecall + 80001780: 00008067 ret + +0000000080001784 : + 80001784: 00050a63 beqz a0,80001798 + 80001788: 300467f3 csrrsi a5,mstatus,8 + 8000178c: 08000793 li a5,128 + 80001790: 3047a7f3 csrrs a5,mie,a5 + 80001794: 00008067 ret + 80001798: 300477f3 csrrci a5,mstatus,8 + 8000179c: 08000793 li a5,128 + 800017a0: 3047b7f3 csrrc a5,mie,a5 + 800017a4: 00008067 ret + +00000000800017a8 <__am_asm_trap>: + 800017a8: ee810113 addi sp,sp,-280 + 800017ac: 00113423 sd ra,8(sp) + 800017b0: 00313c23 sd gp,24(sp) + 800017b4: 02413023 sd tp,32(sp) + 800017b8: 02513423 sd t0,40(sp) + 800017bc: 02613823 sd t1,48(sp) + 800017c0: 02713c23 sd t2,56(sp) + 800017c4: 04813023 sd s0,64(sp) + 800017c8: 04913423 sd s1,72(sp) + 800017cc: 04a13823 sd a0,80(sp) + 800017d0: 04b13c23 sd a1,88(sp) + 800017d4: 06c13023 sd a2,96(sp) + 800017d8: 06d13423 sd a3,104(sp) + 800017dc: 06e13823 sd a4,112(sp) + 800017e0: 06f13c23 sd a5,120(sp) + 800017e4: 09013023 sd a6,128(sp) + 800017e8: 09113423 sd a7,136(sp) + 800017ec: 09213823 sd s2,144(sp) + 800017f0: 09313c23 sd s3,152(sp) + 800017f4: 0b413023 sd s4,160(sp) + 800017f8: 0b513423 sd s5,168(sp) + 800017fc: 0b613823 sd s6,176(sp) + 80001800: 0b713c23 sd s7,184(sp) + 80001804: 0d813023 sd s8,192(sp) + 80001808: 0d913423 sd s9,200(sp) + 8000180c: 0da13823 sd s10,208(sp) + 80001810: 0db13c23 sd s11,216(sp) + 80001814: 0fc13023 sd t3,224(sp) + 80001818: 0fd13423 sd t4,232(sp) + 8000181c: 0fe13823 sd t5,240(sp) + 80001820: 0ff13c23 sd t6,248(sp) + 80001824: 00010293 mv t0,sp + 80001828: 11828293 addi t0,t0,280 + 8000182c: 00513823 sd t0,16(sp) + 80001830: 342022f3 csrr t0,mcause + 80001834: 30002373 csrr t1,mstatus + 80001838: 341023f3 csrr t2,mepc + 8000183c: 10513023 sd t0,256(sp) + 80001840: 10613423 sd t1,264(sp) + 80001844: 10713823 sd t2,272(sp) + 80001848: 00010513 mv a0,sp + 8000184c: e11ff0ef jal ra,8000165c <__am_irq_handle> + 80001850: 10813303 ld t1,264(sp) + 80001854: 11013383 ld t2,272(sp) + 80001858: 30031073 csrw mstatus,t1 + 8000185c: 34139073 csrw mepc,t2 + 80001860: 00813083 ld ra,8(sp) + 80001864: 01813183 ld gp,24(sp) + 80001868: 02013203 ld tp,32(sp) + 8000186c: 02813283 ld t0,40(sp) + 80001870: 03013303 ld t1,48(sp) + 80001874: 03813383 ld t2,56(sp) + 80001878: 04013403 ld s0,64(sp) + 8000187c: 04813483 ld s1,72(sp) + 80001880: 05013503 ld a0,80(sp) + 80001884: 05813583 ld a1,88(sp) + 80001888: 06013603 ld a2,96(sp) + 8000188c: 06813683 ld a3,104(sp) + 80001890: 07013703 ld a4,112(sp) + 80001894: 07813783 ld a5,120(sp) + 80001898: 08013803 ld a6,128(sp) + 8000189c: 08813883 ld a7,136(sp) + 800018a0: 09013903 ld s2,144(sp) + 800018a4: 09813983 ld s3,152(sp) + 800018a8: 0a013a03 ld s4,160(sp) + 800018ac: 0a813a83 ld s5,168(sp) + 800018b0: 0b013b03 ld s6,176(sp) + 800018b4: 0b813b83 ld s7,184(sp) + 800018b8: 0c013c03 ld s8,192(sp) + 800018bc: 0c813c83 ld s9,200(sp) + 800018c0: 0d013d03 ld s10,208(sp) + 800018c4: 0d813d83 ld s11,216(sp) + 800018c8: 0e013e03 ld t3,224(sp) + 800018cc: 0e813e83 ld t4,232(sp) + 800018d0: 0f013f03 ld t5,240(sp) + 800018d4: 0f813f83 ld t6,248(sp) + 800018d8: 11810113 addi sp,sp,280 + 800018dc: 30200073 mret + +00000000800018e0 : + 800018e0: 00000513 li a0,0 + 800018e4: 00008067 ret + +00000000800018e8 : + 800018e8: 00008067 ret + +00000000800018ec : + 800018ec: 00008067 ret + +00000000800018f0 : + 800018f0: ff010113 addi sp,sp,-16 + 800018f4: 00000513 li a0,0 + 800018f8: 01010113 addi sp,sp,16 + 800018fc: 00008067 ret + +0000000080001900 : + 80001900: 00000513 li a0,0 + 80001904: 00008067 ret + +0000000080001908 : + 80001908: 00000513 li a0,0 + 8000190c: 00008067 ret + +0000000080001910 : + 80001910: 00054783 lbu a5,0(a0) + 80001914: 00158593 addi a1,a1,1 + 80001918: 00150513 addi a0,a0,1 + 8000191c: fff5c703 lbu a4,-1(a1) + 80001920: 00078863 beqz a5,80001930 + 80001924: fee786e3 beq a5,a4,80001910 + 80001928: 40e7853b subw a0,a5,a4 + 8000192c: 00008067 ret + 80001930: 40e0053b negw a0,a4 + 80001934: 00008067 ret + +0000000080001938 : + 80001938: 00011617 auipc a2,0x11 + 8000193c: 04860613 addi a2,a2,72 # 80012980 + 80001940: 00063683 ld a3,0(a2) + 80001944: 00869713 slli a4,a3,0x8 + 80001948: 40d70733 sub a4,a4,a3 + 8000194c: 00371713 slli a4,a4,0x3 + 80001950: 00d70733 add a4,a4,a3 + 80001954: 00771713 slli a4,a4,0x7 + 80001958: 00d70733 add a4,a4,a3 + 8000195c: 00371713 slli a4,a4,0x3 + 80001960: 40d70733 sub a4,a4,a3 + 80001964: 00571793 slli a5,a4,0x5 + 80001968: 00f707b3 add a5,a4,a5 + 8000196c: 00279793 slli a5,a5,0x2 + 80001970: 40d787b3 sub a5,a5,a3 + 80001974: 00279793 slli a5,a5,0x2 + 80001978: 00003737 lui a4,0x3 + 8000197c: 00d787b3 add a5,a5,a3 + 80001980: 03970713 addi a4,a4,57 # 3039 <_entry_offset+0x3039> + 80001984: 00e787b3 add a5,a5,a4 + 80001988: 02179513 slli a0,a5,0x21 + 8000198c: 00f63023 sd a5,0(a2) + 80001990: 03155513 srli a0,a0,0x31 + 80001994: 00008067 ret + +0000000080001998 : + 80001998: 00011797 auipc a5,0x11 + 8000199c: bd878793 addi a5,a5,-1064 # 80012570 + 800019a0: 0007b503 ld a0,0(a5) + 800019a4: 0087b583 ld a1,8(a5) + 800019a8: ff010113 addi sp,sp,-16 + 800019ac: 00000693 li a3,0 + 800019b0: 00000613 li a2,0 + 800019b4: 40a585b3 sub a1,a1,a0 + 800019b8: 00113423 sd ra,8(sp) + 800019bc: 378010ef jal ra,80002d34 + 800019c0: 00813083 ld ra,8(sp) + 800019c4: 00095797 auipc a5,0x95 + 800019c8: 20a7b623 sd a0,524(a5) # 80096bd0 + 800019cc: 01010113 addi sp,sp,16 + 800019d0: 00008067 ret + +00000000800019d4 <_out_null>: + 800019d4: 00008067 ret + +00000000800019d8 <_ntoa_format>: + 800019d8: fa010113 addi sp,sp,-96 + 800019dc: 07012303 lw t1,112(sp) + 800019e0: 03313c23 sd s3,56(sp) + 800019e4: 03413823 sd s4,48(sp) + 800019e8: 03513423 sd s5,40(sp) + 800019ec: 03613023 sd s6,32(sp) + 800019f0: 01713c23 sd s7,24(sp) + 800019f4: 01813823 sd s8,16(sp) + 800019f8: 01913423 sd s9,8(sp) + 800019fc: 00237e13 andi t3,t1,2 + 80001a00: 01037e93 andi t4,t1,16 + 80001a04: 04113c23 sd ra,88(sp) + 80001a08: 04813823 sd s0,80(sp) + 80001a0c: 04913423 sd s1,72(sp) + 80001a10: 05213023 sd s2,64(sp) + 80001a14: 06012f03 lw t5,96(sp) + 80001a18: 06812c03 lw s8,104(sp) + 80001a1c: 00050a13 mv s4,a0 + 80001a20: 00058a93 mv s5,a1 + 80001a24: 00060b93 mv s7,a2 + 80001a28: 00068b13 mv s6,a3 + 80001a2c: 00070993 mv s3,a4 + 80001a30: 000e0c9b sext.w s9,t3 + 80001a34: 000e8e9b sext.w t4,t4 + 80001a38: 060e1c63 bnez t3,80001ab0 <_ntoa_format+0xd8> + 80001a3c: 020f1693 slli a3,t5,0x20 + 80001a40: 00137513 andi a0,t1,1 + 80001a44: 0206d693 srli a3,a3,0x20 + 80001a48: 180c1e63 bnez s8,80001be4 <_ntoa_format+0x20c> + 80001a4c: 02d7f663 bgeu a5,a3,80001a78 <_ntoa_format+0xa0> + 80001a50: 02000713 li a4,32 + 80001a54: 36e78e63 beq a5,a4,80001dd0 <_ntoa_format+0x3f8> + 80001a58: 03000613 li a2,48 + 80001a5c: 02000593 li a1,32 + 80001a60: 0080006f j 80001a68 <_ntoa_format+0x90> + 80001a64: 00b78a63 beq a5,a1,80001a78 <_ntoa_format+0xa0> + 80001a68: 00178793 addi a5,a5,1 + 80001a6c: 00f98733 add a4,s3,a5 + 80001a70: fec70fa3 sb a2,-1(a4) + 80001a74: fed7e8e3 bltu a5,a3,80001a64 <_ntoa_format+0x8c> + 80001a78: 02050c63 beqz a0,80001ab0 <_ntoa_format+0xd8> + 80001a7c: 020c1913 slli s2,s8,0x20 + 80001a80: 02095913 srli s2,s2,0x20 + 80001a84: 0327f663 bgeu a5,s2,80001ab0 <_ntoa_format+0xd8> + 80001a88: 02000713 li a4,32 + 80001a8c: 36e78863 beq a5,a4,80001dfc <_ntoa_format+0x424> + 80001a90: 03000693 li a3,48 + 80001a94: 02000613 li a2,32 + 80001a98: 0080006f j 80001aa0 <_ntoa_format+0xc8> + 80001a9c: 16c78463 beq a5,a2,80001c04 <_ntoa_format+0x22c> + 80001aa0: 00178793 addi a5,a5,1 + 80001aa4: 00f98733 add a4,s3,a5 + 80001aa8: fed70fa3 sb a3,-1(a4) + 80001aac: ff2798e3 bne a5,s2,80001a9c <_ntoa_format+0xc4> + 80001ab0: 1a0e8663 beqz t4,80001c5c <_ntoa_format+0x284> + 80001ab4: 40037713 andi a4,t1,1024 + 80001ab8: 20071463 bnez a4,80001cc0 <_ntoa_format+0x2e8> + 80001abc: 1e079663 bnez a5,80001ca8 <_ntoa_format+0x2d0> + 80001ac0: 01000793 li a5,16 + 80001ac4: 2af88863 beq a7,a5,80001d74 <_ntoa_format+0x39c> + 80001ac8: 00200793 li a5,2 + 80001acc: 2ef88a63 beq a7,a5,80001dc0 <_ntoa_format+0x3e8> + 80001ad0: 03000793 li a5,48 + 80001ad4: 00f98023 sb a5,0(s3) + 80001ad8: 00100793 li a5,1 + 80001adc: 1a080463 beqz a6,80001c84 <_ntoa_format+0x2ac> + 80001ae0: 00f98733 add a4,s3,a5 + 80001ae4: 00178413 addi s0,a5,1 + 80001ae8: 02d00793 li a5,45 + 80001aec: 00f70023 sb a5,0(a4) + 80001af0: 00337313 andi t1,t1,3 + 80001af4: 20031263 bnez t1,80001cf8 <_ntoa_format+0x320> + 80001af8: 020c1913 slli s2,s8,0x20 + 80001afc: 02095913 srli s2,s2,0x20 + 80001b00: 1f247c63 bgeu s0,s2,80001cf8 <_ntoa_format+0x320> + 80001b04: 40890933 sub s2,s2,s0 + 80001b08: 01790933 add s2,s2,s7 + 80001b0c: 000b8493 mv s1,s7 + 80001b10: 00048613 mv a2,s1 + 80001b14: 000b0693 mv a3,s6 + 80001b18: 00148493 addi s1,s1,1 + 80001b1c: 000a8593 mv a1,s5 + 80001b20: 02000513 li a0,32 + 80001b24: 000a00e7 jalr s4 + 80001b28: ff2494e3 bne s1,s2,80001b10 <_ntoa_format+0x138> + 80001b2c: 02040663 beqz s0,80001b58 <_ntoa_format+0x180> + 80001b30: 01240933 add s2,s0,s2 + 80001b34: 012984b3 add s1,s3,s2 + 80001b38: 00898433 add s0,s3,s0 + 80001b3c: fff44503 lbu a0,-1(s0) + 80001b40: 40848633 sub a2,s1,s0 + 80001b44: 000b0693 mv a3,s6 + 80001b48: fff40413 addi s0,s0,-1 + 80001b4c: 000a8593 mv a1,s5 + 80001b50: 000a00e7 jalr s4 + 80001b54: fe8994e3 bne s3,s0,80001b3c <_ntoa_format+0x164> + 80001b58: 040c8a63 beqz s9,80001bac <_ntoa_format+0x1d4> + 80001b5c: 020c1c13 slli s8,s8,0x20 + 80001b60: 41790433 sub s0,s2,s7 + 80001b64: 020c5c13 srli s8,s8,0x20 + 80001b68: 05847263 bgeu s0,s8,80001bac <_ntoa_format+0x1d4> + 80001b6c: 01740633 add a2,s0,s7 + 80001b70: 000b0693 mv a3,s6 + 80001b74: 00140413 addi s0,s0,1 + 80001b78: 000a8593 mv a1,s5 + 80001b7c: 02000513 li a0,32 + 80001b80: 000a00e7 jalr s4 + 80001b84: ff8464e3 bltu s0,s8,80001b6c <_ntoa_format+0x194> + 80001b88: 41790733 sub a4,s2,s7 + 80001b8c: 00170713 addi a4,a4,1 + 80001b90: 00000793 li a5,0 + 80001b94: 00ec6863 bltu s8,a4,80001ba4 <_ntoa_format+0x1cc> + 80001b98: fffb8793 addi a5,s7,-1 + 80001b9c: 01878c33 add s8,a5,s8 + 80001ba0: 412c07b3 sub a5,s8,s2 + 80001ba4: 00190913 addi s2,s2,1 + 80001ba8: 00f90933 add s2,s2,a5 + 80001bac: 05813083 ld ra,88(sp) + 80001bb0: 05013403 ld s0,80(sp) + 80001bb4: 04813483 ld s1,72(sp) + 80001bb8: 03813983 ld s3,56(sp) + 80001bbc: 03013a03 ld s4,48(sp) + 80001bc0: 02813a83 ld s5,40(sp) + 80001bc4: 02013b03 ld s6,32(sp) + 80001bc8: 01813b83 ld s7,24(sp) + 80001bcc: 01013c03 ld s8,16(sp) + 80001bd0: 00813c83 ld s9,8(sp) + 80001bd4: 00090513 mv a0,s2 + 80001bd8: 04013903 ld s2,64(sp) + 80001bdc: 06010113 addi sp,sp,96 + 80001be0: 00008067 ret + 80001be4: 12050863 beqz a0,80001d14 <_ntoa_format+0x33c> + 80001be8: 00081663 bnez a6,80001bf4 <_ntoa_format+0x21c> + 80001bec: 00c37713 andi a4,t1,12 + 80001bf0: 00070463 beqz a4,80001bf8 <_ntoa_format+0x220> + 80001bf4: fffc0c1b addiw s8,s8,-1 + 80001bf8: e8d7f2e3 bgeu a5,a3,80001a7c <_ntoa_format+0xa4> + 80001bfc: 02000713 li a4,32 + 80001c00: e4e79ce3 bne a5,a4,80001a58 <_ntoa_format+0x80> + 80001c04: 0e0e8063 beqz t4,80001ce4 <_ntoa_format+0x30c> + 80001c08: 40037793 andi a5,t1,1024 + 80001c0c: 04079c63 bnez a5,80001c64 <_ntoa_format+0x28c> + 80001c10: 02000793 li a5,32 + 80001c14: 0aff1063 bne t5,a5,80001cb4 <_ntoa_format+0x2dc> + 80001c18: 02000793 li a5,32 + 80001c1c: 01f00713 li a4,31 + 80001c20: 01000693 li a3,16 + 80001c24: 1ad88c63 beq a7,a3,80001ddc <_ntoa_format+0x404> + 80001c28: 00200693 li a3,2 + 80001c2c: 00070793 mv a5,a4 + 80001c30: 00d89e63 bne a7,a3,80001c4c <_ntoa_format+0x274> + 80001c34: 00e986b3 add a3,s3,a4 + 80001c38: 00170793 addi a5,a4,1 + 80001c3c: 06200713 li a4,98 + 80001c40: 00e68023 sb a4,0(a3) + 80001c44: 02000713 li a4,32 + 80001c48: 00e78e63 beq a5,a4,80001c64 <_ntoa_format+0x28c> + 80001c4c: 00f98733 add a4,s3,a5 + 80001c50: 03000693 li a3,48 + 80001c54: 00d70023 sb a3,0(a4) + 80001c58: 00178793 addi a5,a5,1 + 80001c5c: 02000713 li a4,32 + 80001c60: e6e79ee3 bne a5,a4,80001adc <_ntoa_format+0x104> + 80001c64: 00337313 andi t1,t1,3 + 80001c68: 08031263 bnez t1,80001cec <_ntoa_format+0x314> + 80001c6c: 02000413 li s0,32 + 80001c70: 020c1913 slli s2,s8,0x20 + 80001c74: 02095913 srli s2,s2,0x20 + 80001c78: e92466e3 bltu s0,s2,80001b04 <_ntoa_format+0x12c> + 80001c7c: 000b8913 mv s2,s7 + 80001c80: eadff06f j 80001b2c <_ntoa_format+0x154> + 80001c84: 00437713 andi a4,t1,4 + 80001c88: 06071c63 bnez a4,80001d00 <_ntoa_format+0x328> + 80001c8c: 00837713 andi a4,t1,8 + 80001c90: 0c071863 bnez a4,80001d60 <_ntoa_format+0x388> + 80001c94: 00337313 andi t1,t1,3 + 80001c98: 00078413 mv s0,a5 + 80001c9c: fc030ae3 beqz t1,80001c70 <_ntoa_format+0x298> + 80001ca0: 000b8913 mv s2,s7 + 80001ca4: e89ff06f j 80001b2c <_ntoa_format+0x154> + 80001ca8: 020f1f13 slli t5,t5,0x20 + 80001cac: 020f5f13 srli t5,t5,0x20 + 80001cb0: 0aff0263 beq t5,a5,80001d54 <_ntoa_format+0x37c> + 80001cb4: 020c1913 slli s2,s8,0x20 + 80001cb8: 02095913 srli s2,s2,0x20 + 80001cbc: 08f90c63 beq s2,a5,80001d54 <_ntoa_format+0x37c> + 80001cc0: 01000713 li a4,16 + 80001cc4: 06e88663 beq a7,a4,80001d30 <_ntoa_format+0x358> + 80001cc8: 00200713 li a4,2 + 80001ccc: f6e89ce3 bne a7,a4,80001c44 <_ntoa_format+0x26c> + 80001cd0: 02000713 li a4,32 + 80001cd4: f8e788e3 beq a5,a4,80001c64 <_ntoa_format+0x28c> + 80001cd8: 00f986b3 add a3,s3,a5 + 80001cdc: 00178793 addi a5,a5,1 + 80001ce0: f5dff06f j 80001c3c <_ntoa_format+0x264> + 80001ce4: 00337313 andi t1,t1,3 + 80001ce8: 0c030063 beqz t1,80001da8 <_ntoa_format+0x3d0> + 80001cec: 000b8913 mv s2,s7 + 80001cf0: 02000413 li s0,32 + 80001cf4: e3dff06f j 80001b30 <_ntoa_format+0x158> + 80001cf8: 000b8913 mv s2,s7 + 80001cfc: e35ff06f j 80001b30 <_ntoa_format+0x158> + 80001d00: 00f98733 add a4,s3,a5 + 80001d04: 00178413 addi s0,a5,1 + 80001d08: 02b00793 li a5,43 + 80001d0c: 00f70023 sb a5,0(a4) + 80001d10: de1ff06f j 80001af0 <_ntoa_format+0x118> + 80001d14: d8d7fee3 bgeu a5,a3,80001ab0 <_ntoa_format+0xd8> + 80001d18: 02000713 li a4,32 + 80001d1c: d2e79ee3 bne a5,a4,80001a58 <_ntoa_format+0x80> + 80001d20: 0e0e8c63 beqz t4,80001e18 <_ntoa_format+0x440> + 80001d24: 40037793 andi a5,t1,1024 + 80001d28: f2079ee3 bnez a5,80001c64 <_ntoa_format+0x28c> + 80001d2c: ee5ff06f j 80001c10 <_ntoa_format+0x238> + 80001d30: 02037713 andi a4,t1,32 + 80001d34: 04071c63 bnez a4,80001d8c <_ntoa_format+0x3b4> + 80001d38: 02000713 li a4,32 + 80001d3c: f2e784e3 beq a5,a4,80001c64 <_ntoa_format+0x28c> + 80001d40: 00f98733 add a4,s3,a5 + 80001d44: 00178793 addi a5,a5,1 + 80001d48: 07800693 li a3,120 + 80001d4c: 00d70023 sb a3,0(a4) + 80001d50: ef5ff06f j 80001c44 <_ntoa_format+0x26c> + 80001d54: fff78713 addi a4,a5,-1 + 80001d58: d60704e3 beqz a4,80001ac0 <_ntoa_format+0xe8> + 80001d5c: ec5ff06f j 80001c20 <_ntoa_format+0x248> + 80001d60: 00f98733 add a4,s3,a5 + 80001d64: 00178413 addi s0,a5,1 + 80001d68: 02000793 li a5,32 + 80001d6c: 00f70023 sb a5,0(a4) + 80001d70: d81ff06f j 80001af0 <_ntoa_format+0x118> + 80001d74: 02037793 andi a5,t1,32 + 80001d78: 02079c63 bnez a5,80001db0 <_ntoa_format+0x3d8> + 80001d7c: 07800793 li a5,120 + 80001d80: 00f98023 sb a5,0(s3) + 80001d84: 00100793 li a5,1 + 80001d88: ec5ff06f j 80001c4c <_ntoa_format+0x274> + 80001d8c: 02000713 li a4,32 + 80001d90: ece78ae3 beq a5,a4,80001c64 <_ntoa_format+0x28c> + 80001d94: 00f98733 add a4,s3,a5 + 80001d98: 05800693 li a3,88 + 80001d9c: 00d70023 sb a3,0(a4) + 80001da0: 00178793 addi a5,a5,1 + 80001da4: ea1ff06f j 80001c44 <_ntoa_format+0x26c> + 80001da8: 02000413 li s0,32 + 80001dac: d4dff06f j 80001af8 <_ntoa_format+0x120> + 80001db0: 05800793 li a5,88 + 80001db4: 00f98023 sb a5,0(s3) + 80001db8: 00100793 li a5,1 + 80001dbc: e91ff06f j 80001c4c <_ntoa_format+0x274> + 80001dc0: 06200793 li a5,98 + 80001dc4: 00f98023 sb a5,0(s3) + 80001dc8: 00100793 li a5,1 + 80001dcc: e81ff06f j 80001c4c <_ntoa_format+0x274> + 80001dd0: e2051ae3 bnez a0,80001c04 <_ntoa_format+0x22c> + 80001dd4: 000c8c13 mv s8,s9 + 80001dd8: f49ff06f j 80001d20 <_ntoa_format+0x348> + 80001ddc: 02037693 andi a3,t1,32 + 80001de0: ffe78713 addi a4,a5,-2 + 80001de4: 00069863 bnez a3,80001df4 <_ntoa_format+0x41c> + 80001de8: 00e98733 add a4,s3,a4 + 80001dec: fff78793 addi a5,a5,-1 + 80001df0: f59ff06f j 80001d48 <_ntoa_format+0x370> + 80001df4: 00070793 mv a5,a4 + 80001df8: f9dff06f j 80001d94 <_ntoa_format+0x3bc> + 80001dfc: 000e8e63 beqz t4,80001e18 <_ntoa_format+0x440> + 80001e00: 40037713 andi a4,t1,1024 + 80001e04: 00071e63 bnez a4,80001e20 <_ntoa_format+0x448> + 80001e08: 02ff0e63 beq t5,a5,80001e44 <_ntoa_format+0x46c> + 80001e0c: e4fc1ce3 bne s8,a5,80001c64 <_ntoa_format+0x28c> + 80001e10: 01f00713 li a4,31 + 80001e14: e0dff06f j 80001c20 <_ntoa_format+0x248> + 80001e18: 02000413 li s0,32 + 80001e1c: cd5ff06f j 80001af0 <_ntoa_format+0x118> + 80001e20: 01000793 li a5,16 + 80001e24: 02f88c63 beq a7,a5,80001e5c <_ntoa_format+0x484> + 80001e28: 00200793 li a5,2 + 80001e2c: e2f88ce3 beq a7,a5,80001c64 <_ntoa_format+0x28c> + 80001e30: 00337313 andi t1,t1,3 + 80001e34: ea031ce3 bnez t1,80001cec <_ntoa_format+0x314> + 80001e38: 02000413 li s0,32 + 80001e3c: cd2464e3 bltu s0,s2,80001b04 <_ntoa_format+0x12c> + 80001e40: e3dff06f j 80001c7c <_ntoa_format+0x2a4> + 80001e44: 01000713 li a4,16 + 80001e48: f8e88ae3 beq a7,a4,80001ddc <_ntoa_format+0x404> + 80001e4c: 00200793 li a5,2 + 80001e50: 00f88c63 beq a7,a5,80001e68 <_ntoa_format+0x490> + 80001e54: 01f00793 li a5,31 + 80001e58: df5ff06f j 80001c4c <_ntoa_format+0x274> + 80001e5c: 02037793 andi a5,t1,32 + 80001e60: fc0788e3 beqz a5,80001e30 <_ntoa_format+0x458> + 80001e64: e01ff06f j 80001c64 <_ntoa_format+0x28c> + 80001e68: 01f00713 li a4,31 + 80001e6c: dc9ff06f j 80001c34 <_ntoa_format+0x25c> + +0000000080001e70 <_ntoa_long>: + 80001e70: f4010113 addi sp,sp,-192 + 80001e74: 09413823 sd s4,144(sp) + 80001e78: 09513423 sd s5,136(sp) + 80001e7c: 09613023 sd s6,128(sp) + 80001e80: 07713c23 sd s7,120(sp) + 80001e84: 07813823 sd s8,112(sp) + 80001e88: 07913423 sd s9,104(sp) + 80001e8c: 05b13c23 sd s11,88(sp) + 80001e90: 0a113c23 sd ra,184(sp) + 80001e94: 0a813823 sd s0,176(sp) + 80001e98: 0a913423 sd s1,168(sp) + 80001e9c: 0b213023 sd s2,160(sp) + 80001ea0: 09313c23 sd s3,152(sp) + 80001ea4: 07a13023 sd s10,96(sp) + 80001ea8: 02a13023 sd a0,32(sp) + 80001eac: 03113423 sd a7,40(sp) + 80001eb0: 0c812a03 lw s4,200(sp) + 80001eb4: 00070d93 mv s11,a4 + 80001eb8: 00058b13 mv s6,a1 + 80001ebc: 00060b93 mv s7,a2 + 80001ec0: 00068c13 mv s8,a3 + 80001ec4: 00078c93 mv s9,a5 + 80001ec8: 00080a93 mv s5,a6 + 80001ecc: 00071863 bnez a4,80001edc <_ntoa_long+0x6c> + 80001ed0: 400a7793 andi a5,s4,1024 + 80001ed4: fefa7a13 andi s4,s4,-17 + 80001ed8: 0e079663 bnez a5,80001fc4 <_ntoa_long+0x154> + 80001edc: 020a7793 andi a5,s4,32 + 80001ee0: 06100413 li s0,97 + 80001ee4: 0c079c63 bnez a5,80001fbc <_ntoa_long+0x14c> + 80001ee8: 00000d13 li s10,0 + 80001eec: 03010493 addi s1,sp,48 + 80001ef0: 00900913 li s2,9 + 80001ef4: ff64041b addiw s0,s0,-10 + 80001ef8: 02000993 li s3,32 + 80001efc: 00c0006f j 80001f08 <_ntoa_long+0x98> + 80001f00: 053d0463 beq s10,s3,80001f48 <_ntoa_long+0xd8> + 80001f04: 00050d93 mv s11,a0 + 80001f08: 000a8593 mv a1,s5 + 80001f0c: 000d8513 mv a0,s11 + 80001f10: a88ff0ef jal ra,80001198 <__umoddi3> + 80001f14: 0ff57313 andi t1,a0,255 + 80001f18: 0303071b addiw a4,t1,48 + 80001f1c: 0064033b addw t1,s0,t1 + 80001f20: 0ff37313 andi t1,t1,255 + 80001f24: 00a96463 bltu s2,a0,80001f2c <_ntoa_long+0xbc> + 80001f28: 0ff77313 andi t1,a4,255 + 80001f2c: 001d0d13 addi s10,s10,1 + 80001f30: 01a48733 add a4,s1,s10 + 80001f34: 000d8513 mv a0,s11 + 80001f38: 000a8593 mv a1,s5 + 80001f3c: fe670fa3 sb t1,-1(a4) + 80001f40: a10ff0ef jal ra,80001150 <__udivdi3> + 80001f44: fb5dfee3 bgeu s11,s5,80001f00 <_ntoa_long+0x90> + 80001f48: 0c012703 lw a4,192(sp) + 80001f4c: 02813783 ld a5,40(sp) + 80001f50: 02013503 ld a0,32(sp) + 80001f54: 01413823 sd s4,16(sp) + 80001f58: 00e13423 sd a4,8(sp) + 80001f5c: 00f13023 sd a5,0(sp) + 80001f60: 000a889b sext.w a7,s5 + 80001f64: 000c8813 mv a6,s9 + 80001f68: 000d0793 mv a5,s10 + 80001f6c: 00048713 mv a4,s1 + 80001f70: 000c0693 mv a3,s8 + 80001f74: 000b8613 mv a2,s7 + 80001f78: 000b0593 mv a1,s6 + 80001f7c: a5dff0ef jal ra,800019d8 <_ntoa_format> + 80001f80: 0b813083 ld ra,184(sp) + 80001f84: 0b013403 ld s0,176(sp) + 80001f88: 0a813483 ld s1,168(sp) + 80001f8c: 0a013903 ld s2,160(sp) + 80001f90: 09813983 ld s3,152(sp) + 80001f94: 09013a03 ld s4,144(sp) + 80001f98: 08813a83 ld s5,136(sp) + 80001f9c: 08013b03 ld s6,128(sp) + 80001fa0: 07813b83 ld s7,120(sp) + 80001fa4: 07013c03 ld s8,112(sp) + 80001fa8: 06813c83 ld s9,104(sp) + 80001fac: 06013d03 ld s10,96(sp) + 80001fb0: 05813d83 ld s11,88(sp) + 80001fb4: 0c010113 addi sp,sp,192 + 80001fb8: 00008067 ret + 80001fbc: 04100413 li s0,65 + 80001fc0: f29ff06f j 80001ee8 <_ntoa_long+0x78> + 80001fc4: 00000d13 li s10,0 + 80001fc8: 03010493 addi s1,sp,48 + 80001fcc: f7dff06f j 80001f48 <_ntoa_long+0xd8> + +0000000080001fd0 <_ntoa_long_long>: + 80001fd0: f4010113 addi sp,sp,-192 + 80001fd4: 09413823 sd s4,144(sp) + 80001fd8: 09513423 sd s5,136(sp) + 80001fdc: 09613023 sd s6,128(sp) + 80001fe0: 07713c23 sd s7,120(sp) + 80001fe4: 07813823 sd s8,112(sp) + 80001fe8: 07913423 sd s9,104(sp) + 80001fec: 05b13c23 sd s11,88(sp) + 80001ff0: 0a113c23 sd ra,184(sp) + 80001ff4: 0a813823 sd s0,176(sp) + 80001ff8: 0a913423 sd s1,168(sp) + 80001ffc: 0b213023 sd s2,160(sp) + 80002000: 09313c23 sd s3,152(sp) + 80002004: 07a13023 sd s10,96(sp) + 80002008: 02a13023 sd a0,32(sp) + 8000200c: 03113423 sd a7,40(sp) + 80002010: 0c812a03 lw s4,200(sp) + 80002014: 00070d93 mv s11,a4 + 80002018: 00058b13 mv s6,a1 + 8000201c: 00060b93 mv s7,a2 + 80002020: 00068c13 mv s8,a3 + 80002024: 00078c93 mv s9,a5 + 80002028: 00080a93 mv s5,a6 + 8000202c: 00071863 bnez a4,8000203c <_ntoa_long_long+0x6c> + 80002030: 400a7793 andi a5,s4,1024 + 80002034: fefa7a13 andi s4,s4,-17 + 80002038: 0e079663 bnez a5,80002124 <_ntoa_long_long+0x154> + 8000203c: 020a7793 andi a5,s4,32 + 80002040: 06100413 li s0,97 + 80002044: 0c079c63 bnez a5,8000211c <_ntoa_long_long+0x14c> + 80002048: 00000d13 li s10,0 + 8000204c: 03010493 addi s1,sp,48 + 80002050: 00900913 li s2,9 + 80002054: ff64041b addiw s0,s0,-10 + 80002058: 02000993 li s3,32 + 8000205c: 00c0006f j 80002068 <_ntoa_long_long+0x98> + 80002060: 053d0463 beq s10,s3,800020a8 <_ntoa_long_long+0xd8> + 80002064: 00050d93 mv s11,a0 + 80002068: 000a8593 mv a1,s5 + 8000206c: 000d8513 mv a0,s11 + 80002070: 928ff0ef jal ra,80001198 <__umoddi3> + 80002074: 0ff57313 andi t1,a0,255 + 80002078: 0303071b addiw a4,t1,48 + 8000207c: 0064033b addw t1,s0,t1 + 80002080: 0ff37313 andi t1,t1,255 + 80002084: 00a96463 bltu s2,a0,8000208c <_ntoa_long_long+0xbc> + 80002088: 0ff77313 andi t1,a4,255 + 8000208c: 001d0d13 addi s10,s10,1 + 80002090: 01a48733 add a4,s1,s10 + 80002094: 000d8513 mv a0,s11 + 80002098: 000a8593 mv a1,s5 + 8000209c: fe670fa3 sb t1,-1(a4) + 800020a0: 8b0ff0ef jal ra,80001150 <__udivdi3> + 800020a4: fb5dfee3 bgeu s11,s5,80002060 <_ntoa_long_long+0x90> + 800020a8: 0c012703 lw a4,192(sp) + 800020ac: 02813783 ld a5,40(sp) + 800020b0: 02013503 ld a0,32(sp) + 800020b4: 01413823 sd s4,16(sp) + 800020b8: 00e13423 sd a4,8(sp) + 800020bc: 00f13023 sd a5,0(sp) + 800020c0: 000a889b sext.w a7,s5 + 800020c4: 000c8813 mv a6,s9 + 800020c8: 000d0793 mv a5,s10 + 800020cc: 00048713 mv a4,s1 + 800020d0: 000c0693 mv a3,s8 + 800020d4: 000b8613 mv a2,s7 + 800020d8: 000b0593 mv a1,s6 + 800020dc: 8fdff0ef jal ra,800019d8 <_ntoa_format> + 800020e0: 0b813083 ld ra,184(sp) + 800020e4: 0b013403 ld s0,176(sp) + 800020e8: 0a813483 ld s1,168(sp) + 800020ec: 0a013903 ld s2,160(sp) + 800020f0: 09813983 ld s3,152(sp) + 800020f4: 09013a03 ld s4,144(sp) + 800020f8: 08813a83 ld s5,136(sp) + 800020fc: 08013b03 ld s6,128(sp) + 80002100: 07813b83 ld s7,120(sp) + 80002104: 07013c03 ld s8,112(sp) + 80002108: 06813c83 ld s9,104(sp) + 8000210c: 06013d03 ld s10,96(sp) + 80002110: 05813d83 ld s11,88(sp) + 80002114: 0c010113 addi sp,sp,192 + 80002118: 00008067 ret + 8000211c: 04100413 li s0,65 + 80002120: f29ff06f j 80002048 <_ntoa_long_long+0x78> + 80002124: 00000d13 li s10,0 + 80002128: 03010493 addi s1,sp,48 + 8000212c: f7dff06f j 800020a8 <_ntoa_long_long+0xd8> + +0000000080002130 <_vsnprintf>: + 80002130: f6010113 addi sp,sp,-160 + 80002134: 08913423 sd s1,136(sp) + 80002138: 09213023 sd s2,128(sp) + 8000213c: 07313c23 sd s3,120(sp) + 80002140: 05713c23 sd s7,88(sp) + 80002144: 05813823 sd s8,80(sp) + 80002148: 08113c23 sd ra,152(sp) + 8000214c: 08813823 sd s0,144(sp) + 80002150: 07413823 sd s4,112(sp) + 80002154: 07513423 sd s5,104(sp) + 80002158: 07613023 sd s6,96(sp) + 8000215c: 05913423 sd s9,72(sp) + 80002160: 05a13023 sd s10,64(sp) + 80002164: 03b13c23 sd s11,56(sp) + 80002168: 00058493 mv s1,a1 + 8000216c: 00060c13 mv s8,a2 + 80002170: 00068b93 mv s7,a3 + 80002174: 00070993 mv s3,a4 + 80002178: 00000917 auipc s2,0x0 + 8000217c: 85c90913 addi s2,s2,-1956 # 800019d4 <_out_null> + 80002180: 00058463 beqz a1,80002188 <_vsnprintf+0x58> + 80002184: 00050913 mv s2,a0 + 80002188: 000bc503 lbu a0,0(s7) + 8000218c: 00000d13 li s10,0 + 80002190: 66050663 beqz a0,800027fc <_vsnprintf+0x6cc> + 80002194: 000107b7 lui a5,0x10 + 80002198: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000219c: 02500a13 li s4,37 + 800021a0: 00002417 auipc s0,0x2 + 800021a4: 39440413 addi s0,s0,916 # 80004534 + 800021a8: 00f13c23 sd a5,24(sp) + 800021ac: 0200006f j 800021cc <_vsnprintf+0x9c> + 800021b0: 000d0613 mv a2,s10 + 800021b4: 000c0693 mv a3,s8 + 800021b8: 00048593 mv a1,s1 + 800021bc: 001d0d13 addi s10,s10,1 + 800021c0: 000900e7 jalr s2 + 800021c4: 000bc503 lbu a0,0(s7) + 800021c8: 1c050263 beqz a0,8000238c <_vsnprintf+0x25c> + 800021cc: 001b8b93 addi s7,s7,1 + 800021d0: ff4510e3 bne a0,s4,800021b0 <_vsnprintf+0x80> + 800021d4: 00000593 li a1,0 + 800021d8: 01000813 li a6,16 + 800021dc: 000bc503 lbu a0,0(s7) + 800021e0: 001b8713 addi a4,s7,1 + 800021e4: 00070613 mv a2,a4 + 800021e8: fe05079b addiw a5,a0,-32 + 800021ec: 0ff7f793 andi a5,a5,255 + 800021f0: 00f86c63 bltu a6,a5,80002208 <_vsnprintf+0xd8> + 800021f4: 00279793 slli a5,a5,0x2 + 800021f8: 008787b3 add a5,a5,s0 + 800021fc: 0007a783 lw a5,0(a5) + 80002200: 008787b3 add a5,a5,s0 + 80002204: 00078067 jr a5 + 80002208: fd05079b addiw a5,a0,-48 + 8000220c: 0ff7f793 andi a5,a5,255 + 80002210: 00900813 li a6,9 + 80002214: 0ef87c63 bgeu a6,a5,8000230c <_vsnprintf+0x1dc> + 80002218: 02a00793 li a5,42 + 8000221c: 20f50463 beq a0,a5,80002424 <_vsnprintf+0x2f4> + 80002220: 000b8613 mv a2,s7 + 80002224: 00000d93 li s11,0 + 80002228: 00070b93 mv s7,a4 + 8000222c: 02e00793 li a5,46 + 80002230: 00000c93 li s9,0 + 80002234: 12f50463 beq a0,a5,8000235c <_vsnprintf+0x22c> + 80002238: f985079b addiw a5,a0,-104 + 8000223c: 0ff7f793 andi a5,a5,255 + 80002240: 01200713 li a4,18 + 80002244: 08f76463 bltu a4,a5,800022cc <_vsnprintf+0x19c> + 80002248: 00002717 auipc a4,0x2 + 8000224c: 33070713 addi a4,a4,816 # 80004578 + 80002250: 00279793 slli a5,a5,0x2 + 80002254: 00e787b3 add a5,a5,a4 + 80002258: 0007a783 lw a5,0(a5) + 8000225c: 00e787b3 add a5,a5,a4 + 80002260: 00078067 jr a5 + 80002264: 0015e593 ori a1,a1,1 + 80002268: 0005859b sext.w a1,a1 + 8000226c: 00070b93 mv s7,a4 + 80002270: f6dff06f j 800021dc <_vsnprintf+0xac> + 80002274: 0025e593 ori a1,a1,2 + 80002278: 0005859b sext.w a1,a1 + 8000227c: 00070b93 mv s7,a4 + 80002280: f5dff06f j 800021dc <_vsnprintf+0xac> + 80002284: 0045e593 ori a1,a1,4 + 80002288: 0005859b sext.w a1,a1 + 8000228c: 00070b93 mv s7,a4 + 80002290: f4dff06f j 800021dc <_vsnprintf+0xac> + 80002294: 0105e593 ori a1,a1,16 + 80002298: 0005859b sext.w a1,a1 + 8000229c: 00070b93 mv s7,a4 + 800022a0: f3dff06f j 800021dc <_vsnprintf+0xac> + 800022a4: 0085e593 ori a1,a1,8 + 800022a8: 0005859b sext.w a1,a1 + 800022ac: 00070b93 mv s7,a4 + 800022b0: f2dff06f j 800021dc <_vsnprintf+0xac> + 800022b4: 00164503 lbu a0,1(a2) + 800022b8: 06800793 li a5,104 + 800022bc: 52f50663 beq a0,a5,800027e8 <_vsnprintf+0x6b8> + 800022c0: 0805e593 ori a1,a1,128 + 800022c4: 0005859b sext.w a1,a1 + 800022c8: 001b8b93 addi s7,s7,1 + 800022cc: fdb5079b addiw a5,a0,-37 + 800022d0: 0ff7f793 andi a5,a5,255 + 800022d4: 05300713 li a4,83 + 800022d8: ecf76ce3 bltu a4,a5,800021b0 <_vsnprintf+0x80> + 800022dc: 00002717 auipc a4,0x2 + 800022e0: 2e870713 addi a4,a4,744 # 800045c4 + 800022e4: 00279793 slli a5,a5,0x2 + 800022e8: 00e787b3 add a5,a5,a4 + 800022ec: 0007a783 lw a5,0(a5) + 800022f0: 00e787b3 add a5,a5,a4 + 800022f4: 00078067 jr a5 + 800022f8: 00164503 lbu a0,1(a2) + 800022fc: 1005e593 ori a1,a1,256 + 80002300: 0005859b sext.w a1,a1 + 80002304: 001b8b93 addi s7,s7,1 + 80002308: fc5ff06f j 800022cc <_vsnprintf+0x19c> + 8000230c: 00000d93 li s11,0 + 80002310: 00900813 li a6,9 + 80002314: 0080006f j 8000231c <_vsnprintf+0x1ec> + 80002318: 00170713 addi a4,a4,1 + 8000231c: 002d979b slliw a5,s11,0x2 + 80002320: 01b787bb addw a5,a5,s11 + 80002324: 0017979b slliw a5,a5,0x1 + 80002328: 00a787bb addw a5,a5,a0 + 8000232c: 00074503 lbu a0,0(a4) + 80002330: 000b8893 mv a7,s7 + 80002334: fd078d9b addiw s11,a5,-48 + 80002338: fd05061b addiw a2,a0,-48 + 8000233c: 0ff67613 andi a2,a2,255 + 80002340: 00070b93 mv s7,a4 + 80002344: fcc87ae3 bgeu a6,a2,80002318 <_vsnprintf+0x1e8> + 80002348: 02e00793 li a5,46 + 8000234c: 00070613 mv a2,a4 + 80002350: 00288b93 addi s7,a7,2 + 80002354: 00000c93 li s9,0 + 80002358: eef510e3 bne a0,a5,80002238 <_vsnprintf+0x108> + 8000235c: 00164503 lbu a0,1(a2) + 80002360: 4005e593 ori a1,a1,1024 + 80002364: 00900713 li a4,9 + 80002368: fd05079b addiw a5,a0,-48 + 8000236c: 0ff7f793 andi a5,a5,255 + 80002370: 0005859b sext.w a1,a1 + 80002374: 06f77c63 bgeu a4,a5,800023ec <_vsnprintf+0x2bc> + 80002378: 02a00793 li a5,42 + 8000237c: 32f50e63 beq a0,a5,800026b8 <_vsnprintf+0x588> + 80002380: 000b8613 mv a2,s7 + 80002384: 001b8b93 addi s7,s7,1 + 80002388: eb1ff06f j 80002238 <_vsnprintf+0x108> + 8000238c: 000d041b sext.w s0,s10 + 80002390: 018d6463 bltu s10,s8,80002398 <_vsnprintf+0x268> + 80002394: fffc0d13 addi s10,s8,-1 + 80002398: 000c0693 mv a3,s8 + 8000239c: 000d0613 mv a2,s10 + 800023a0: 00048593 mv a1,s1 + 800023a4: 00000513 li a0,0 + 800023a8: 000900e7 jalr s2 + 800023ac: 09813083 ld ra,152(sp) + 800023b0: 00040513 mv a0,s0 + 800023b4: 09013403 ld s0,144(sp) + 800023b8: 08813483 ld s1,136(sp) + 800023bc: 08013903 ld s2,128(sp) + 800023c0: 07813983 ld s3,120(sp) + 800023c4: 07013a03 ld s4,112(sp) + 800023c8: 06813a83 ld s5,104(sp) + 800023cc: 06013b03 ld s6,96(sp) + 800023d0: 05813b83 ld s7,88(sp) + 800023d4: 05013c03 ld s8,80(sp) + 800023d8: 04813c83 ld s9,72(sp) + 800023dc: 04013d03 ld s10,64(sp) + 800023e0: 03813d83 ld s11,56(sp) + 800023e4: 0a010113 addi sp,sp,160 + 800023e8: 00008067 ret + 800023ec: 002c979b slliw a5,s9,0x2 + 800023f0: 019788bb addw a7,a5,s9 + 800023f4: 000b8813 mv a6,s7 + 800023f8: 0018989b slliw a7,a7,0x1 + 800023fc: 001b8b93 addi s7,s7,1 + 80002400: 00a888bb addw a7,a7,a0 + 80002404: 000bc503 lbu a0,0(s7) + 80002408: fd088c9b addiw s9,a7,-48 + 8000240c: fd05079b addiw a5,a0,-48 + 80002410: 0ff7f793 andi a5,a5,255 + 80002414: fcf77ce3 bgeu a4,a5,800023ec <_vsnprintf+0x2bc> + 80002418: 000b8613 mv a2,s7 + 8000241c: 00280b93 addi s7,a6,2 + 80002420: e19ff06f j 80002238 <_vsnprintf+0x108> + 80002424: 0009a783 lw a5,0(s3) + 80002428: 00898993 addi s3,s3,8 + 8000242c: 00078d9b sext.w s11,a5 + 80002430: 0007c863 bltz a5,80002440 <_vsnprintf+0x310> + 80002434: 001bc503 lbu a0,1(s7) + 80002438: 002b8b93 addi s7,s7,2 + 8000243c: df1ff06f j 8000222c <_vsnprintf+0xfc> + 80002440: 0025e593 ori a1,a1,2 + 80002444: 001bc503 lbu a0,1(s7) + 80002448: 0005859b sext.w a1,a1 + 8000244c: 40f00dbb negw s11,a5 + 80002450: 002b8b93 addi s7,s7,2 + 80002454: dd9ff06f j 8000222c <_vsnprintf+0xfc> + 80002458: 07800793 li a5,120 + 8000245c: 00898a93 addi s5,s3,8 + 80002460: 56f50063 beq a0,a5,800029c0 <_vsnprintf+0x890> + 80002464: 05800793 li a5,88 + 80002468: 28f50463 beq a0,a5,800026f0 <_vsnprintf+0x5c0> + 8000246c: 06f00793 li a5,111 + 80002470: 4af50c63 beq a0,a5,80002928 <_vsnprintf+0x7f8> + 80002474: 06200793 li a5,98 + 80002478: 54f50063 beq a0,a5,800029b8 <_vsnprintf+0x888> + 8000247c: fef5ff13 andi t5,a1,-17 + 80002480: 4005f793 andi a5,a1,1024 + 80002484: 06900713 li a4,105 + 80002488: 000f0f1b sext.w t5,t5 + 8000248c: 0007879b sext.w a5,a5 + 80002490: 5ae51063 bne a0,a4,80002a30 <_vsnprintf+0x900> + 80002494: 48079663 bnez a5,80002920 <_vsnprintf+0x7f0> + 80002498: 2005f593 andi a1,a1,512 + 8000249c: 0005879b sext.w a5,a1 + 800024a0: 00a00813 li a6,10 + 800024a4: 42079663 bnez a5,800028d0 <_vsnprintf+0x7a0> + 800024a8: 100f7793 andi a5,t5,256 + 800024ac: 000f0613 mv a2,t5 + 800024b0: 4e079063 bnez a5,80002990 <_vsnprintf+0x860> + 800024b4: 040f7713 andi a4,t5,64 + 800024b8: 0009a783 lw a5,0(s3) + 800024bc: 4a071a63 bnez a4,80002970 <_vsnprintf+0x840> + 800024c0: 08067613 andi a2,a2,128 + 800024c4: 52060a63 beqz a2,800029f8 <_vsnprintf+0x8c8> + 800024c8: 0107979b slliw a5,a5,0x10 + 800024cc: 4107d79b sraiw a5,a5,0x10 + 800024d0: 40f7d61b sraiw a2,a5,0xf + 800024d4: 00c7c733 xor a4,a5,a2 + 800024d8: 40c7073b subw a4,a4,a2 + 800024dc: 03071713 slli a4,a4,0x30 + 800024e0: 03075713 srli a4,a4,0x30 + 800024e4: 000d0613 mv a2,s10 + 800024e8: 01e13423 sd t5,8(sp) + 800024ec: 01b13023 sd s11,0(sp) + 800024f0: 000c8893 mv a7,s9 + 800024f4: 01f7d79b srliw a5,a5,0x1f + 800024f8: 000c0693 mv a3,s8 + 800024fc: 00048593 mv a1,s1 + 80002500: 00090513 mv a0,s2 + 80002504: 96dff0ef jal ra,80001e70 <_ntoa_long> + 80002508: 00050d13 mv s10,a0 + 8000250c: 000a8993 mv s3,s5 + 80002510: cb5ff06f j 800021c4 <_vsnprintf+0x94> + 80002514: 00164503 lbu a0,1(a2) + 80002518: 06c00793 li a5,108 + 8000251c: def510e3 bne a0,a5,800022fc <_vsnprintf+0x1cc> + 80002520: 3005e593 ori a1,a1,768 + 80002524: 00264503 lbu a0,2(a2) + 80002528: 0005859b sext.w a1,a1 + 8000252c: 00360b93 addi s7,a2,3 + 80002530: d9dff06f j 800022cc <_vsnprintf+0x19c> + 80002534: 00898793 addi a5,s3,8 + 80002538: 001d0813 addi a6,s10,1 + 8000253c: 0025f593 andi a1,a1,2 + 80002540: 02f13023 sd a5,32(sp) + 80002544: 00080b13 mv s6,a6 + 80002548: 32058263 beqz a1,8000286c <_vsnprintf+0x73c> + 8000254c: 0009c503 lbu a0,0(s3) + 80002550: 000c0693 mv a3,s8 + 80002554: 000d0613 mv a2,s10 + 80002558: 00048593 mv a1,s1 + 8000255c: 000900e7 jalr s2 + 80002560: 00100793 li a5,1 + 80002564: 43b7f063 bgeu a5,s11,80002984 <_vsnprintf+0x854> + 80002568: ffed879b addiw a5,s11,-2 + 8000256c: 02079a93 slli s5,a5,0x20 + 80002570: 020ada93 srli s5,s5,0x20 + 80002574: 002d0d13 addi s10,s10,2 + 80002578: 015d0cb3 add s9,s10,s5 + 8000257c: 000b0613 mv a2,s6 + 80002580: 000c0693 mv a3,s8 + 80002584: 001b0b13 addi s6,s6,1 + 80002588: 00048593 mv a1,s1 + 8000258c: 02000513 li a0,32 + 80002590: 000900e7 jalr s2 + 80002594: ff6c94e3 bne s9,s6,8000257c <_vsnprintf+0x44c> + 80002598: 02013983 ld s3,32(sp) + 8000259c: 015d0d33 add s10,s10,s5 + 800025a0: c25ff06f j 800021c4 <_vsnprintf+0x94> + 800025a4: 000d0613 mv a2,s10 + 800025a8: 000c0693 mv a3,s8 + 800025ac: 00048593 mv a1,s1 + 800025b0: 02500513 li a0,37 + 800025b4: 001d0d13 addi s10,s10,1 + 800025b8: 000900e7 jalr s2 + 800025bc: c09ff06f j 800021c4 <_vsnprintf+0x94> + 800025c0: 0009ba83 ld s5,0(s3) + 800025c4: 00898793 addi a5,s3,8 + 800025c8: 02f13023 sd a5,32(sp) + 800025cc: 000ac503 lbu a0,0(s5) + 800025d0: 100c9663 bnez s9,800026dc <_vsnprintf+0x5ac> + 800025d4: ffe00793 li a5,-2 + 800025d8: 42050863 beqz a0,80002a08 <_vsnprintf+0x8d8> + 800025dc: 00178693 addi a3,a5,1 + 800025e0: 00da8633 add a2,s5,a3 + 800025e4: 000a8793 mv a5,s5 + 800025e8: 0080006f j 800025f0 <_vsnprintf+0x4c0> + 800025ec: 1ec78863 beq a5,a2,800027dc <_vsnprintf+0x6ac> + 800025f0: 0017c703 lbu a4,1(a5) + 800025f4: 00178793 addi a5,a5,1 + 800025f8: fe071ae3 bnez a4,800025ec <_vsnprintf+0x4bc> + 800025fc: 415787bb subw a5,a5,s5 + 80002600: 00f13823 sd a5,16(sp) + 80002604: 4005f793 andi a5,a1,1024 + 80002608: 0007899b sext.w s3,a5 + 8000260c: 00078c63 beqz a5,80002624 <_vsnprintf+0x4f4> + 80002610: 01013703 ld a4,16(sp) + 80002614: 000c879b sext.w a5,s9 + 80002618: 01977463 bgeu a4,s9,80002620 <_vsnprintf+0x4f0> + 8000261c: 0007079b sext.w a5,a4 + 80002620: 00f13823 sd a5,16(sp) + 80002624: 0025f593 andi a1,a1,2 + 80002628: 0005879b sext.w a5,a1 + 8000262c: 02f13423 sd a5,40(sp) + 80002630: 1c058a63 beqz a1,80002804 <_vsnprintf+0x6d4> + 80002634: 14050863 beqz a0,80002784 <_vsnprintf+0x654> + 80002638: 000d0613 mv a2,s10 + 8000263c: 00098863 beqz s3,8000264c <_vsnprintf+0x51c> + 80002640: fffc879b addiw a5,s9,-1 + 80002644: 120c8863 beqz s9,80002774 <_vsnprintf+0x644> + 80002648: 00078c93 mv s9,a5 + 8000264c: 000c0693 mv a3,s8 + 80002650: 00048593 mv a1,s1 + 80002654: 00160b13 addi s6,a2,1 + 80002658: 000900e7 jalr s2 + 8000265c: 41ab07b3 sub a5,s6,s10 + 80002660: 00fa87b3 add a5,s5,a5 + 80002664: 0007c503 lbu a0,0(a5) + 80002668: 10050863 beqz a0,80002778 <_vsnprintf+0x648> + 8000266c: 000b0613 mv a2,s6 + 80002670: fcdff06f j 8000263c <_vsnprintf+0x50c> + 80002674: 0009b703 ld a4,0(s3) + 80002678: 0215e593 ori a1,a1,33 + 8000267c: 0005859b sext.w a1,a1 + 80002680: 01000793 li a5,16 + 80002684: 000d0613 mv a2,s10 + 80002688: 00b13423 sd a1,8(sp) + 8000268c: 00f13023 sd a5,0(sp) + 80002690: 000c8893 mv a7,s9 + 80002694: 01000813 li a6,16 + 80002698: 00000793 li a5,0 + 8000269c: 000c0693 mv a3,s8 + 800026a0: 00048593 mv a1,s1 + 800026a4: 00090513 mv a0,s2 + 800026a8: 929ff0ef jal ra,80001fd0 <_ntoa_long_long> + 800026ac: 00898993 addi s3,s3,8 + 800026b0: 00050d13 mv s10,a0 + 800026b4: b11ff06f j 800021c4 <_vsnprintf+0x94> + 800026b8: 0009a883 lw a7,0(s3) + 800026bc: 00264503 lbu a0,2(a2) + 800026c0: 00360b93 addi s7,a2,3 + 800026c4: fff8c793 not a5,a7 + 800026c8: 43f7d793 srai a5,a5,0x3f + 800026cc: 00f8fcb3 and s9,a7,a5 + 800026d0: 00898993 addi s3,s3,8 + 800026d4: 00260613 addi a2,a2,2 + 800026d8: b61ff06f j 80002238 <_vsnprintf+0x108> + 800026dc: 020c9793 slli a5,s9,0x20 + 800026e0: 0207d793 srli a5,a5,0x20 + 800026e4: 32050263 beqz a0,80002a08 <_vsnprintf+0x8d8> + 800026e8: fff78793 addi a5,a5,-1 + 800026ec: ef1ff06f j 800025dc <_vsnprintf+0x4ac> + 800026f0: ff35ff13 andi t5,a1,-13 + 800026f4: 000f0f1b sext.w t5,t5 + 800026f8: 4005f793 andi a5,a1,1024 + 800026fc: 020f6f13 ori t5,t5,32 + 80002700: 20078863 beqz a5,80002910 <_vsnprintf+0x7e0> + 80002704: 01000813 li a6,16 + 80002708: ffef7f13 andi t5,t5,-2 + 8000270c: 000f0f1b sext.w t5,t5 + 80002710: 200f7793 andi a5,t5,512 + 80002714: 06900713 li a4,105 + 80002718: 0007879b sext.w a5,a5 + 8000271c: d8e504e3 beq a0,a4,800024a4 <_vsnprintf+0x374> + 80002720: 06400713 li a4,100 + 80002724: d8e500e3 beq a0,a4,800024a4 <_vsnprintf+0x374> + 80002728: 22079663 bnez a5,80002954 <_vsnprintf+0x824> + 8000272c: 100f7793 andi a5,t5,256 + 80002730: 000f0713 mv a4,t5 + 80002734: 2a079463 bnez a5,800029dc <_vsnprintf+0x8ac> + 80002738: 040f7793 andi a5,t5,64 + 8000273c: 24079063 bnez a5,8000297c <_vsnprintf+0x84c> + 80002740: 08077713 andi a4,a4,128 + 80002744: 2c070663 beqz a4,80002a10 <_vsnprintf+0x8e0> + 80002748: 0009a703 lw a4,0(s3) + 8000274c: 01813783 ld a5,24(sp) + 80002750: 00e7f733 and a4,a5,a4 + 80002754: 02071713 slli a4,a4,0x20 + 80002758: 000d0613 mv a2,s10 + 8000275c: 01e13423 sd t5,8(sp) + 80002760: 01b13023 sd s11,0(sp) + 80002764: 000c8893 mv a7,s9 + 80002768: 00000793 li a5,0 + 8000276c: 02075713 srli a4,a4,0x20 + 80002770: d89ff06f j 800024f8 <_vsnprintf+0x3c8> + 80002774: 00060b13 mv s6,a2 + 80002778: 02813783 ld a5,40(sp) + 8000277c: 04078a63 beqz a5,800027d0 <_vsnprintf+0x6a0> + 80002780: 000b0d13 mv s10,s6 + 80002784: 01013703 ld a4,16(sp) + 80002788: 0db77e63 bgeu a4,s11,80002864 <_vsnprintf+0x734> + 8000278c: fffd879b addiw a5,s11,-1 + 80002790: 40e7873b subw a4,a5,a4 + 80002794: 02071713 slli a4,a4,0x20 + 80002798: 02075713 srli a4,a4,0x20 + 8000279c: 001d0813 addi a6,s10,1 + 800027a0: 01070b33 add s6,a4,a6 + 800027a4: 0080006f j 800027ac <_vsnprintf+0x67c> + 800027a8: 00180813 addi a6,a6,1 + 800027ac: 000d0613 mv a2,s10 + 800027b0: 01013823 sd a6,16(sp) + 800027b4: 000c0693 mv a3,s8 + 800027b8: 00048593 mv a1,s1 + 800027bc: 02000513 li a0,32 + 800027c0: 00080d13 mv s10,a6 + 800027c4: 000900e7 jalr s2 + 800027c8: 01013803 ld a6,16(sp) + 800027cc: fd0b1ee3 bne s6,a6,800027a8 <_vsnprintf+0x678> + 800027d0: 02013983 ld s3,32(sp) + 800027d4: 000b0d13 mv s10,s6 + 800027d8: 9edff06f j 800021c4 <_vsnprintf+0x94> + 800027dc: 0006879b sext.w a5,a3 + 800027e0: 00f13823 sd a5,16(sp) + 800027e4: e21ff06f j 80002604 <_vsnprintf+0x4d4> + 800027e8: 0c05e593 ori a1,a1,192 + 800027ec: 00264503 lbu a0,2(a2) + 800027f0: 0005859b sext.w a1,a1 + 800027f4: 00360b93 addi s7,a2,3 + 800027f8: ad5ff06f j 800022cc <_vsnprintf+0x19c> + 800027fc: 00000413 li s0,0 + 80002800: b91ff06f j 80002390 <_vsnprintf+0x260> + 80002804: 01013703 ld a4,16(sp) + 80002808: 0017079b addiw a5,a4,1 + 8000280c: 21b77c63 bgeu a4,s11,80002a24 <_vsnprintf+0x8f4> + 80002810: fffd879b addiw a5,s11,-1 + 80002814: 40e787bb subw a5,a5,a4 + 80002818: 02079793 slli a5,a5,0x20 + 8000281c: 0207d793 srli a5,a5,0x20 + 80002820: 001d0813 addi a6,s10,1 + 80002824: 01078b33 add s6,a5,a6 + 80002828: 00c0006f j 80002834 <_vsnprintf+0x704> + 8000282c: 01013803 ld a6,16(sp) + 80002830: 00180813 addi a6,a6,1 + 80002834: 000d0613 mv a2,s10 + 80002838: 000c0693 mv a3,s8 + 8000283c: 00080d13 mv s10,a6 + 80002840: 01013823 sd a6,16(sp) + 80002844: 00048593 mv a1,s1 + 80002848: 02000513 li a0,32 + 8000284c: 000900e7 jalr s2 + 80002850: fd6d1ee3 bne s10,s6,8000282c <_vsnprintf+0x6fc> + 80002854: 000ac503 lbu a0,0(s5) + 80002858: 001d879b addiw a5,s11,1 + 8000285c: 00f13823 sd a5,16(sp) + 80002860: dc051ce3 bnez a0,80002638 <_vsnprintf+0x508> + 80002864: 000d0b13 mv s6,s10 + 80002868: f69ff06f j 800027d0 <_vsnprintf+0x6a0> + 8000286c: 00100793 li a5,1 + 80002870: 1bb7f463 bgeu a5,s11,80002a18 <_vsnprintf+0x8e8> + 80002874: ffed879b addiw a5,s11,-2 + 80002878: 02079a93 slli s5,a5,0x20 + 8000287c: 020ada93 srli s5,s5,0x20 + 80002880: 010a8ab3 add s5,s5,a6 + 80002884: 00c0006f j 80002890 <_vsnprintf+0x760> + 80002888: 01013803 ld a6,16(sp) + 8000288c: 00180813 addi a6,a6,1 + 80002890: 000d0613 mv a2,s10 + 80002894: 000c0693 mv a3,s8 + 80002898: 00080d13 mv s10,a6 + 8000289c: 01013823 sd a6,16(sp) + 800028a0: 00048593 mv a1,s1 + 800028a4: 02000513 li a0,32 + 800028a8: 000900e7 jalr s2 + 800028ac: fdaa9ee3 bne s5,s10,80002888 <_vsnprintf+0x758> + 800028b0: 001a8d13 addi s10,s5,1 + 800028b4: 0009c503 lbu a0,0(s3) + 800028b8: 000c0693 mv a3,s8 + 800028bc: 000a8613 mv a2,s5 + 800028c0: 00048593 mv a1,s1 + 800028c4: 000900e7 jalr s2 + 800028c8: 02013983 ld s3,32(sp) + 800028cc: 8f9ff06f j 800021c4 <_vsnprintf+0x94> + 800028d0: 0009b783 ld a5,0(s3) + 800028d4: 000d0613 mv a2,s10 + 800028d8: 01e13423 sd t5,8(sp) + 800028dc: 43f7d713 srai a4,a5,0x3f + 800028e0: 00f745b3 xor a1,a4,a5 + 800028e4: 01b13023 sd s11,0(sp) + 800028e8: 000c8893 mv a7,s9 + 800028ec: 03f7d793 srli a5,a5,0x3f + 800028f0: 40e58733 sub a4,a1,a4 + 800028f4: 000c0693 mv a3,s8 + 800028f8: 00048593 mv a1,s1 + 800028fc: 00090513 mv a0,s2 + 80002900: ed0ff0ef jal ra,80001fd0 <_ntoa_long_long> + 80002904: 00050d13 mv s10,a0 + 80002908: 000a8993 mv s3,s5 + 8000290c: 8b9ff06f j 800021c4 <_vsnprintf+0x94> + 80002910: 2005f793 andi a5,a1,512 + 80002914: 0007879b sext.w a5,a5 + 80002918: 01000813 li a6,16 + 8000291c: e0dff06f j 80002728 <_vsnprintf+0x5f8> + 80002920: 00a00813 li a6,10 + 80002924: de5ff06f j 80002708 <_vsnprintf+0x5d8> + 80002928: 00800813 li a6,8 + 8000292c: 00058f13 mv t5,a1 + 80002930: 400f7713 andi a4,t5,1024 + 80002934: 06400613 li a2,100 + 80002938: 000f0793 mv a5,t5 + 8000293c: 0007071b sext.w a4,a4 + 80002940: 0ec51c63 bne a0,a2,80002a38 <_vsnprintf+0x908> + 80002944: dc0712e3 bnez a4,80002708 <_vsnprintf+0x5d8> + 80002948: 2007f793 andi a5,a5,512 + 8000294c: 0007879b sext.w a5,a5 + 80002950: b55ff06f j 800024a4 <_vsnprintf+0x374> + 80002954: 0009b703 ld a4,0(s3) + 80002958: 000d0613 mv a2,s10 + 8000295c: 01e13423 sd t5,8(sp) + 80002960: 01b13023 sd s11,0(sp) + 80002964: 000c8893 mv a7,s9 + 80002968: 00000793 li a5,0 + 8000296c: f89ff06f j 800028f4 <_vsnprintf+0x7c4> + 80002970: 0ff7f793 andi a5,a5,255 + 80002974: 00078713 mv a4,a5 + 80002978: b6dff06f j 800024e4 <_vsnprintf+0x3b4> + 8000297c: 0009c703 lbu a4,0(s3) + 80002980: dd5ff06f j 80002754 <_vsnprintf+0x624> + 80002984: 02013983 ld s3,32(sp) + 80002988: 000b0d13 mv s10,s6 + 8000298c: 839ff06f j 800021c4 <_vsnprintf+0x94> + 80002990: 0009b783 ld a5,0(s3) + 80002994: 000d0613 mv a2,s10 + 80002998: 01e13423 sd t5,8(sp) + 8000299c: 43f7d713 srai a4,a5,0x3f + 800029a0: 00f745b3 xor a1,a4,a5 + 800029a4: 01b13023 sd s11,0(sp) + 800029a8: 000c8893 mv a7,s9 + 800029ac: 03f7d793 srli a5,a5,0x3f + 800029b0: 40e58733 sub a4,a1,a4 + 800029b4: b45ff06f j 800024f8 <_vsnprintf+0x3c8> + 800029b8: 00200813 li a6,2 + 800029bc: f71ff06f j 8000292c <_vsnprintf+0x7fc> + 800029c0: 4005f713 andi a4,a1,1024 + 800029c4: 0007071b sext.w a4,a4 + 800029c8: 01000813 li a6,16 + 800029cc: ff35f593 andi a1,a1,-13 + 800029d0: 00058f1b sext.w t5,a1 + 800029d4: d2071ae3 bnez a4,80002708 <_vsnprintf+0x5d8> + 800029d8: d39ff06f j 80002710 <_vsnprintf+0x5e0> + 800029dc: 0009b703 ld a4,0(s3) + 800029e0: 000d0613 mv a2,s10 + 800029e4: 01e13423 sd t5,8(sp) + 800029e8: 01b13023 sd s11,0(sp) + 800029ec: 000c8893 mv a7,s9 + 800029f0: 00000793 li a5,0 + 800029f4: b05ff06f j 800024f8 <_vsnprintf+0x3c8> + 800029f8: 41f7d61b sraiw a2,a5,0x1f + 800029fc: 00c7c733 xor a4,a5,a2 + 80002a00: 40c7073b subw a4,a4,a2 + 80002a04: ae1ff06f j 800024e4 <_vsnprintf+0x3b4> + 80002a08: 00013823 sd zero,16(sp) + 80002a0c: bf9ff06f j 80002604 <_vsnprintf+0x4d4> + 80002a10: 0009a703 lw a4,0(s3) + 80002a14: d41ff06f j 80002754 <_vsnprintf+0x624> + 80002a18: 000d0a93 mv s5,s10 + 80002a1c: 00080d13 mv s10,a6 + 80002a20: e95ff06f j 800028b4 <_vsnprintf+0x784> + 80002a24: 00f13823 sd a5,16(sp) + 80002a28: c00518e3 bnez a0,80002638 <_vsnprintf+0x508> + 80002a2c: e39ff06f j 80002864 <_vsnprintf+0x734> + 80002a30: 00a00813 li a6,10 + 80002a34: efdff06f j 80002930 <_vsnprintf+0x800> + 80002a38: 000f0593 mv a1,t5 + 80002a3c: f91ff06f j 800029cc <_vsnprintf+0x89c> + +0000000080002a40 <_out_char>: + 80002a40: 00051463 bnez a0,80002a48 <_out_char+0x8> + 80002a44: 00008067 ret + 80002a48: e48fe06f j 80001090 + +0000000080002a4c : + 80002a4c: fa010113 addi sp,sp,-96 + 80002a50: 02810313 addi t1,sp,40 + 80002a54: 02b13423 sd a1,40(sp) + 80002a58: 02c13823 sd a2,48(sp) + 80002a5c: 02d13c23 sd a3,56(sp) + 80002a60: 04e13023 sd a4,64(sp) + 80002a64: 00050693 mv a3,a0 + 80002a68: 00010593 mv a1,sp + 80002a6c: 00030713 mv a4,t1 + 80002a70: fff00613 li a2,-1 + 80002a74: 00000517 auipc a0,0x0 + 80002a78: fcc50513 addi a0,a0,-52 # 80002a40 <_out_char> + 80002a7c: 00113c23 sd ra,24(sp) + 80002a80: 04f13423 sd a5,72(sp) + 80002a84: 05013823 sd a6,80(sp) + 80002a88: 05113c23 sd a7,88(sp) + 80002a8c: 00613423 sd t1,8(sp) + 80002a90: ea0ff0ef jal ra,80002130 <_vsnprintf> + 80002a94: 01813083 ld ra,24(sp) + 80002a98: 06010113 addi sp,sp,96 + 80002a9c: 00008067 ret + +0000000080002aa0 : + 80002aa0: 00300793 li a5,3 + 80002aa4: 06c7f863 bgeu a5,a2,80002b14 + 80002aa8: 00054783 lbu a5,0(a0) + 80002aac: ffc67693 andi a3,a2,-4 + 80002ab0: 0005c703 lbu a4,0(a1) + 80002ab4: 00d506b3 add a3,a0,a3 + 80002ab8: 04078863 beqz a5,80002b08 + 80002abc: 0ae79463 bne a5,a4,80002b64 + 80002ac0: 00154783 lbu a5,1(a0) + 80002ac4: 0015c703 lbu a4,1(a1) + 80002ac8: 04078063 beqz a5,80002b08 + 80002acc: 08e79c63 bne a5,a4,80002b64 + 80002ad0: 00254783 lbu a5,2(a0) + 80002ad4: 00450513 addi a0,a0,4 + 80002ad8: 00458593 addi a1,a1,4 + 80002adc: ffe5c703 lbu a4,-2(a1) + 80002ae0: 02078463 beqz a5,80002b08 + 80002ae4: 08e79063 bne a5,a4,80002b64 + 80002ae8: fff54703 lbu a4,-1(a0) + 80002aec: fff5c783 lbu a5,-1(a1) + 80002af0: 04070a63 beqz a4,80002b44 + 80002af4: 04f71e63 bne a4,a5,80002b50 + 80002af8: 06d50c63 beq a0,a3,80002b70 + 80002afc: 00054783 lbu a5,0(a0) + 80002b00: 0005c703 lbu a4,0(a1) + 80002b04: fa079ce3 bnez a5,80002abc + 80002b08: 00000793 li a5,0 + 80002b0c: 40e7853b subw a0,a5,a4 + 80002b10: 00008067 ret + 80002b14: 00000793 li a5,0 + 80002b18: 00000713 li a4,0 + 80002b1c: 04060063 beqz a2,80002b5c + 80002b20: 00c50633 add a2,a0,a2 + 80002b24: 00c0006f j 80002b30 + 80002b28: 02f71463 bne a4,a5,80002b50 + 80002b2c: 02a60863 beq a2,a0,80002b5c + 80002b30: 00054703 lbu a4,0(a0) + 80002b34: 00158593 addi a1,a1,1 + 80002b38: 00150513 addi a0,a0,1 + 80002b3c: fff5c783 lbu a5,-1(a1) + 80002b40: fe0714e3 bnez a4,80002b28 + 80002b44: 00000513 li a0,0 + 80002b48: 40f5053b subw a0,a0,a5 + 80002b4c: 00008067 ret + 80002b50: 0007051b sext.w a0,a4 + 80002b54: 40f5053b subw a0,a0,a5 + 80002b58: 00008067 ret + 80002b5c: 40f7053b subw a0,a4,a5 + 80002b60: 00008067 ret + 80002b64: 0007879b sext.w a5,a5 + 80002b68: 40e7853b subw a0,a5,a4 + 80002b6c: 00008067 ret + 80002b70: 00367613 andi a2,a2,3 + 80002b74: fa9ff06f j 80002b1c + +0000000080002b78 : + 80002b78: 00700713 li a4,7 + 80002b7c: 00050793 mv a5,a0 + 80002b80: 0ac77a63 bgeu a4,a2,80002c34 + 80002b84: 0ff5f893 andi a7,a1,255 + 80002b88: 00889693 slli a3,a7,0x8 + 80002b8c: 0116e6b3 or a3,a3,a7 + 80002b90: 01069713 slli a4,a3,0x10 + 80002b94: 00d766b3 or a3,a4,a3 + 80002b98: 02069713 slli a4,a3,0x20 + 80002b9c: 00757813 andi a6,a0,7 + 80002ba0: 00d76733 or a4,a4,a3 + 80002ba4: 0a080663 beqz a6,80002c50 + 80002ba8: 00c50633 add a2,a0,a2 + 80002bac: 01178023 sb a7,0(a5) + 80002bb0: 00178793 addi a5,a5,1 + 80002bb4: 0077f813 andi a6,a5,7 + 80002bb8: 40f606b3 sub a3,a2,a5 + 80002bbc: fe0818e3 bnez a6,80002bac + 80002bc0: 0066d813 srli a6,a3,0x6 + 80002bc4: 04080063 beqz a6,80002c04 + 80002bc8: 00681613 slli a2,a6,0x6 + 80002bcc: 00078893 mv a7,a5 + 80002bd0: 00f60633 add a2,a2,a5 + 80002bd4: 00e7b023 sd a4,0(a5) + 80002bd8: 00e7b423 sd a4,8(a5) + 80002bdc: 00e7b823 sd a4,16(a5) + 80002be0: 00e7bc23 sd a4,24(a5) + 80002be4: 02e7b023 sd a4,32(a5) + 80002be8: 02e7b423 sd a4,40(a5) + 80002bec: 02e7b823 sd a4,48(a5) + 80002bf0: 02e7bc23 sd a4,56(a5) + 80002bf4: 04078793 addi a5,a5,64 + 80002bf8: fcf61ee3 bne a2,a5,80002bd4 + 80002bfc: 00681793 slli a5,a6,0x6 + 80002c00: 011787b3 add a5,a5,a7 + 80002c04: 0036d813 srli a6,a3,0x3 + 80002c08: 00787813 andi a6,a6,7 + 80002c0c: 02080263 beqz a6,80002c30 + 80002c10: 00381613 slli a2,a6,0x3 + 80002c14: 00078893 mv a7,a5 + 80002c18: 00f60633 add a2,a2,a5 + 80002c1c: 00e7b023 sd a4,0(a5) + 80002c20: 00878793 addi a5,a5,8 + 80002c24: fef61ce3 bne a2,a5,80002c1c + 80002c28: 00381793 slli a5,a6,0x3 + 80002c2c: 011787b3 add a5,a5,a7 + 80002c30: 0076f613 andi a2,a3,7 + 80002c34: 0ff5f593 andi a1,a1,255 + 80002c38: 00c78733 add a4,a5,a2 + 80002c3c: 00060863 beqz a2,80002c4c + 80002c40: 00b78023 sb a1,0(a5) + 80002c44: 00178793 addi a5,a5,1 + 80002c48: fef71ce3 bne a4,a5,80002c40 + 80002c4c: 00008067 ret + 80002c50: 00060693 mv a3,a2 + 80002c54: f6dff06f j 80002bc0 + +0000000080002c58 : + 80002c58: fd010113 addi sp,sp,-48 + 80002c5c: 02813023 sd s0,32(sp) + 80002c60: 01213823 sd s2,16(sp) + 80002c64: 02113423 sd ra,40(sp) + 80002c68: 00913c23 sd s1,24(sp) + 80002c6c: 01313423 sd s3,8(sp) + 80002c70: 00f00793 li a5,15 + 80002c74: 00050913 mv s2,a0 + 80002c78: 00050713 mv a4,a0 + 80002c7c: 00058413 mv s0,a1 + 80002c80: 04c7fe63 bgeu a5,a2,80002cdc + 80002c84: 40a00533 neg a0,a0 + 80002c88: 00757513 andi a0,a0,7 + 80002c8c: 40a604b3 sub s1,a2,a0 + 80002c90: 08050e63 beqz a0,80002d2c + 80002c94: 012509b3 add s3,a0,s2 + 80002c98: 00058793 mv a5,a1 + 80002c9c: 0007c683 lbu a3,0(a5) + 80002ca0: 00170713 addi a4,a4,1 + 80002ca4: 00178793 addi a5,a5,1 + 80002ca8: fed70fa3 sb a3,-1(a4) + 80002cac: fee998e3 bne s3,a4,80002c9c + 80002cb0: 00a58433 add s0,a1,a0 + 80002cb4: 00747793 andi a5,s0,7 + 80002cb8: 0034d613 srli a2,s1,0x3 + 80002cbc: 00040593 mv a1,s0 + 80002cc0: 00098513 mv a0,s3 + 80002cc4: 06079063 bnez a5,80002d24 + 80002cc8: 240000ef jal ra,80002f08 <_wordcopy_fwd_aligned> + 80002ccc: ff84f713 andi a4,s1,-8 + 80002cd0: 00e40433 add s0,s0,a4 + 80002cd4: 0074f613 andi a2,s1,7 + 80002cd8: 01370733 add a4,a4,s3 + 80002cdc: fff70713 addi a4,a4,-1 + 80002ce0: 00040793 mv a5,s0 + 80002ce4: 008605b3 add a1,a2,s0 + 80002ce8: 40870733 sub a4,a4,s0 + 80002cec: 00060c63 beqz a2,80002d04 + 80002cf0: 0007c603 lbu a2,0(a5) + 80002cf4: 00178793 addi a5,a5,1 + 80002cf8: 00f706b3 add a3,a4,a5 + 80002cfc: 00c68023 sb a2,0(a3) + 80002d00: fef598e3 bne a1,a5,80002cf0 + 80002d04: 02813083 ld ra,40(sp) + 80002d08: 02013403 ld s0,32(sp) + 80002d0c: 01813483 ld s1,24(sp) + 80002d10: 00813983 ld s3,8(sp) + 80002d14: 00090513 mv a0,s2 + 80002d18: 01013903 ld s2,16(sp) + 80002d1c: 03010113 addi sp,sp,48 + 80002d20: 00008067 ret + 80002d24: 32c000ef jal ra,80003050 <_wordcopy_fwd_dest_aligned> + 80002d28: fa5ff06f j 80002ccc + 80002d2c: 00090993 mv s3,s2 + 80002d30: f85ff06f j 80002cb4 + +0000000080002d34 : + 80002d34: 1a050e63 beqz a0,80002ef0 + 80002d38: fd010113 addi sp,sp,-48 + 80002d3c: 02813023 sd s0,32(sp) + 80002d40: 00913c23 sd s1,24(sp) + 80002d44: 01f57793 andi a5,a0,31 + 80002d48: 02113423 sd ra,40(sp) + 80002d4c: 01213823 sd s2,16(sp) + 80002d50: 01313423 sd s3,8(sp) + 80002d54: 01413023 sd s4,0(sp) + 80002d58: 00050493 mv s1,a0 + 80002d5c: 00050413 mv s0,a0 + 80002d60: 00000513 li a0,0 + 80002d64: 14079a63 bnez a5,80002eb8 + 80002d68: 27f00713 li a4,639 + 80002d6c: 00058913 mv s2,a1 + 80002d70: 00078513 mv a0,a5 + 80002d74: 14b77263 bgeu a4,a1,80002eb8 + 80002d78: 0074f513 andi a0,s1,7 + 80002d7c: 00153513 seqz a0,a0 + 80002d80: 00060a13 mv s4,a2 + 80002d84: 00068993 mv s3,a3 + 80002d88: b20fe0ef jal ra,800010a8 <_assert> + 80002d8c: 20048793 addi a5,s1,512 + 80002d90: 2004b023 sd zero,512(s1) + 80002d94: 2144b423 sd s4,520(s1) + 80002d98: 2134b823 sd s3,528(s1) + 80002d9c: 00043023 sd zero,0(s0) + 80002da0: 00840413 addi s0,s0,8 + 80002da4: fe879ce3 bne a5,s0,80002d9c + 80002da8: fff00793 li a5,-1 + 80002dac: dc090413 addi s0,s2,-576 + 80002db0: 03f79793 slli a5,a5,0x3f + 80002db4: 1287f863 bgeu a5,s0,80002ee4 + 80002db8: 00078413 mv s0,a5 + 80002dbc: 00100513 li a0,1 + 80002dc0: ae8fe0ef jal ra,800010a8 <_assert> + 80002dc4: f8300793 li a5,-125 + 80002dc8: 0017d793 srli a5,a5,0x1 + 80002dcc: fc040513 addi a0,s0,-64 + 80002dd0: 00f53533 sltu a0,a0,a5 + 80002dd4: ad4fe0ef jal ra,800010a8 <_assert> + 80002dd8: 01f4f513 andi a0,s1,31 + 80002ddc: 00153513 seqz a0,a0 + 80002de0: ac8fe0ef jal ra,800010a8 <_assert> + 80002de4: 00100513 li a0,1 + 80002de8: 2404b023 sd zero,576(s1) + 80002dec: 2404b423 sd zero,584(s1) + 80002df0: 2484b823 sd s0,592(s1) + 80002df4: 24048c23 sb zero,600(s1) + 80002df8: 2604b023 sd zero,608(s1) + 80002dfc: 2604b423 sd zero,616(s1) + 80002e00: aa8fe0ef jal ra,800010a8 <_assert> + 80002e04: 00100513 li a0,1 + 80002e08: aa0fe0ef jal ra,800010a8 <_assert> + 80002e0c: 2504b503 ld a0,592(s1) + 80002e10: 24048a13 addi s4,s1,576 + 80002e14: 04053513 sltiu a0,a0,64 + 80002e18: 00154513 xori a0,a0,1 + 80002e1c: 00157513 andi a0,a0,1 + 80002e20: a88fe0ef jal ra,800010a8 <_assert> + 80002e24: 2504b503 ld a0,592(s1) + 80002e28: 03f57513 andi a0,a0,63 + 80002e2c: 00153513 seqz a0,a0 + 80002e30: a78fe0ef jal ra,800010a8 <_assert> + 80002e34: 2504b703 ld a4,592(s1) + 80002e38: 00100793 li a5,1 + 80002e3c: 00675713 srli a4,a4,0x6 + 80002e40: 0ae7fc63 bgeu a5,a4,80002ef8 + 80002e44: 00000793 li a5,0 + 80002e48: 00100693 li a3,1 + 80002e4c: 0017879b addiw a5,a5,1 + 80002e50: 00175713 srli a4,a4,0x1 + 80002e54: 0ff7f793 andi a5,a5,255 + 80002e58: fed71ae3 bne a4,a3,80002e4c + 80002e5c: 00078993 mv s3,a5 + 80002e60: 0407b513 sltiu a0,a5,64 + 80002e64: 00f71933 sll s2,a4,a5 + 80002e68: a40fe0ef jal ra,800010a8 <_assert> + 80002e6c: 00399793 slli a5,s3,0x3 + 80002e70: 00f487b3 add a5,s1,a5 + 80002e74: 0007b703 ld a4,0(a5) + 80002e78: 2604b423 sd zero,616(s1) + 80002e7c: 26e4b023 sd a4,608(s1) + 80002e80: 00070463 beqz a4,80002e88 + 80002e84: 03473423 sd s4,40(a4) + 80002e88: 0147b023 sd s4,0(a5) + 80002e8c: 2004b503 ld a0,512(s1) + 80002e90: 00a96533 or a0,s2,a0 + 80002e94: 20a4b023 sd a0,512(s1) + 80002e98: 00a03533 snez a0,a0 + 80002e9c: a0cfe0ef jal ra,800010a8 <_assert> + 80002ea0: 00048513 mv a0,s1 + 80002ea4: 2084bc23 sd s0,536(s1) + 80002ea8: 2204b023 sd zero,544(s1) + 80002eac: 2204b423 sd zero,552(s1) + 80002eb0: 2204b823 sd zero,560(s1) + 80002eb4: 2204bc23 sd zero,568(s1) + 80002eb8: 02813083 ld ra,40(sp) + 80002ebc: 02013403 ld s0,32(sp) + 80002ec0: 01813483 ld s1,24(sp) + 80002ec4: 01013903 ld s2,16(sp) + 80002ec8: 00813983 ld s3,8(sp) + 80002ecc: 00013a03 ld s4,0(sp) + 80002ed0: 03010113 addi sp,sp,48 + 80002ed4: 00008067 ret + 80002ed8: 00100513 li a0,1 + 80002edc: fff40413 addi s0,s0,-1 + 80002ee0: 9c8fe0ef jal ra,800010a8 <_assert> + 80002ee4: 03f47793 andi a5,s0,63 + 80002ee8: fe0798e3 bnez a5,80002ed8 + 80002eec: ed1ff06f j 80002dbc + 80002ef0: 00000513 li a0,0 + 80002ef4: 00008067 ret + 80002ef8: 00100913 li s2,1 + 80002efc: 00000993 li s3,0 + 80002f00: 00100513 li a0,1 + 80002f04: f65ff06f j 80002e68 + +0000000080002f08 <_wordcopy_fwd_aligned>: + 80002f08: 00767793 andi a5,a2,7 + 80002f0c: 00002717 auipc a4,0x2 + 80002f10: 80870713 addi a4,a4,-2040 # 80004714 + 80002f14: 00279793 slli a5,a5,0x2 + 80002f18: 00e787b3 add a5,a5,a4 + 80002f1c: 0007a783 lw a5,0(a5) + 80002f20: 00e787b3 add a5,a5,a4 + 80002f24: 00078067 jr a5 + 80002f28: 0005b703 ld a4,0(a1) + 80002f2c: ff050793 addi a5,a0,-16 + 80002f30: ff858593 addi a1,a1,-8 + 80002f34: 00160613 addi a2,a2,1 + 80002f38: 0105b803 ld a6,16(a1) + 80002f3c: 01878693 addi a3,a5,24 + 80002f40: 00e53023 sd a4,0(a0) + 80002f44: 0185b703 ld a4,24(a1) + 80002f48: 02078513 addi a0,a5,32 + 80002f4c: 0106b023 sd a6,0(a3) + 80002f50: 0205b803 ld a6,32(a1) + 80002f54: 02878693 addi a3,a5,40 + 80002f58: 00e53023 sd a4,0(a0) + 80002f5c: 0285b703 ld a4,40(a1) + 80002f60: 03078513 addi a0,a5,48 + 80002f64: 0106b023 sd a6,0(a3) + 80002f68: 0305b803 ld a6,48(a1) + 80002f6c: 03878693 addi a3,a5,56 + 80002f70: 00e53023 sd a4,0(a0) + 80002f74: 0385b703 ld a4,56(a1) + 80002f78: 04078793 addi a5,a5,64 + 80002f7c: 0106b023 sd a6,0(a3) + 80002f80: ff860613 addi a2,a2,-8 + 80002f84: 00078513 mv a0,a5 + 80002f88: 04058593 addi a1,a1,64 + 80002f8c: 02060063 beqz a2,80002fac <_wordcopy_fwd_aligned+0xa4> + 80002f90: 0005b803 ld a6,0(a1) + 80002f94: 00878693 addi a3,a5,8 + 80002f98: 00e53023 sd a4,0(a0) + 80002f9c: 0085b703 ld a4,8(a1) + 80002fa0: 01078513 addi a0,a5,16 + 80002fa4: 0106b023 sd a6,0(a3) + 80002fa8: f91ff06f j 80002f38 <_wordcopy_fwd_aligned+0x30> + 80002fac: 00e53023 sd a4,0(a0) + 80002fb0: 00008067 ret + 80002fb4: fff60613 addi a2,a2,-1 + 80002fb8: 0005b703 ld a4,0(a1) + 80002fbc: fe0608e3 beqz a2,80002fac <_wordcopy_fwd_aligned+0xa4> + 80002fc0: 00858593 addi a1,a1,8 + 80002fc4: 00050793 mv a5,a0 + 80002fc8: fc9ff06f j 80002f90 <_wordcopy_fwd_aligned+0x88> + 80002fcc: 0005b803 ld a6,0(a1) + 80002fd0: 00050693 mv a3,a0 + 80002fd4: fd058593 addi a1,a1,-48 + 80002fd8: fc850793 addi a5,a0,-56 + 80002fdc: 00660613 addi a2,a2,6 + 80002fe0: f95ff06f j 80002f74 <_wordcopy_fwd_aligned+0x6c> + 80002fe4: 0005b703 ld a4,0(a1) + 80002fe8: fd050793 addi a5,a0,-48 + 80002fec: fd858593 addi a1,a1,-40 + 80002ff0: 00560613 addi a2,a2,5 + 80002ff4: f75ff06f j 80002f68 <_wordcopy_fwd_aligned+0x60> + 80002ff8: 0005b803 ld a6,0(a1) + 80002ffc: 00050693 mv a3,a0 + 80003000: fe058593 addi a1,a1,-32 + 80003004: fd850793 addi a5,a0,-40 + 80003008: 00460613 addi a2,a2,4 + 8000300c: f51ff06f j 80002f5c <_wordcopy_fwd_aligned+0x54> + 80003010: 0005b703 ld a4,0(a1) + 80003014: fe050793 addi a5,a0,-32 + 80003018: fe858593 addi a1,a1,-24 + 8000301c: 00360613 addi a2,a2,3 + 80003020: f31ff06f j 80002f50 <_wordcopy_fwd_aligned+0x48> + 80003024: 0005b803 ld a6,0(a1) + 80003028: 00050693 mv a3,a0 + 8000302c: ff058593 addi a1,a1,-16 + 80003030: fe850793 addi a5,a0,-24 + 80003034: 00260613 addi a2,a2,2 + 80003038: f0dff06f j 80002f44 <_wordcopy_fwd_aligned+0x3c> + 8000303c: f6060ae3 beqz a2,80002fb0 <_wordcopy_fwd_aligned+0xa8> + 80003040: 0005b803 ld a6,0(a1) + 80003044: 00050693 mv a3,a0 + 80003048: ff850793 addi a5,a0,-8 + 8000304c: f51ff06f j 80002f9c <_wordcopy_fwd_aligned+0x94> + +0000000080003050 <_wordcopy_fwd_dest_aligned>: + 80003050: 0075f713 andi a4,a1,7 + 80003054: 0037179b slliw a5,a4,0x3 + 80003058: 00371313 slli t1,a4,0x3 + 8000305c: 00367693 andi a3,a2,3 + 80003060: 04000713 li a4,64 + 80003064: 00200813 li a6,2 + 80003068: 40f707bb subw a5,a4,a5 + 8000306c: ff85f593 andi a1,a1,-8 + 80003070: 0d068e63 beq a3,a6,8000314c <_wordcopy_fwd_dest_aligned+0xfc> + 80003074: 00300713 li a4,3 + 80003078: 02e68c63 beq a3,a4,800030b0 <_wordcopy_fwd_dest_aligned+0x60> + 8000307c: 00100713 li a4,1 + 80003080: 00e68663 beq a3,a4,8000308c <_wordcopy_fwd_dest_aligned+0x3c> + 80003084: 0e061863 bnez a2,80003174 <_wordcopy_fwd_dest_aligned+0x124> + 80003088: 00008067 ret + 8000308c: fff60613 addi a2,a2,-1 + 80003090: 0005b703 ld a4,0(a1) + 80003094: 0085b803 ld a6,8(a1) + 80003098: 0c061863 bnez a2,80003168 <_wordcopy_fwd_dest_aligned+0x118> + 8000309c: 00675733 srl a4,a4,t1 + 800030a0: 00f817b3 sll a5,a6,a5 + 800030a4: 00f76733 or a4,a4,a5 + 800030a8: 00e53023 sd a4,0(a0) + 800030ac: 00008067 ret + 800030b0: 0005b703 ld a4,0(a1) + 800030b4: 0085b683 ld a3,8(a1) + 800030b8: 00058893 mv a7,a1 + 800030bc: 00160613 addi a2,a2,1 + 800030c0: ff050593 addi a1,a0,-16 + 800030c4: 0340006f j 800030f8 <_wordcopy_fwd_dest_aligned+0xa8> + 800030c8: 006756b3 srl a3,a4,t1 + 800030cc: 00f81733 sll a4,a6,a5 + 800030d0: 00e6e6b3 or a3,a3,a4 + 800030d4: 00858e13 addi t3,a1,8 + 800030d8: 0008b703 ld a4,0(a7) + 800030dc: 00d53023 sd a3,0(a0) + 800030e0: 00f716b3 sll a3,a4,a5 + 800030e4: 00685833 srl a6,a6,t1 + 800030e8: 00d86833 or a6,a6,a3 + 800030ec: 01058513 addi a0,a1,16 + 800030f0: 0088b683 ld a3,8(a7) + 800030f4: 010e3023 sd a6,0(t3) + 800030f8: 00675733 srl a4,a4,t1 + 800030fc: 00f69833 sll a6,a3,a5 + 80003100: 01076833 or a6,a4,a6 + 80003104: 01858e13 addi t3,a1,24 + 80003108: 0108b703 ld a4,16(a7) + 8000310c: 01053023 sd a6,0(a0) + 80003110: 00f71533 sll a0,a4,a5 + 80003114: 0066d6b3 srl a3,a3,t1 + 80003118: 00a6e6b3 or a3,a3,a0 + 8000311c: 0188b803 ld a6,24(a7) + 80003120: 02058593 addi a1,a1,32 + 80003124: 00de3023 sd a3,0(t3) + 80003128: ffc60613 addi a2,a2,-4 + 8000312c: 00058513 mv a0,a1 + 80003130: 02088893 addi a7,a7,32 + 80003134: f8061ae3 bnez a2,800030c8 <_wordcopy_fwd_dest_aligned+0x78> + 80003138: 00675733 srl a4,a4,t1 + 8000313c: 00f817b3 sll a5,a6,a5 + 80003140: 00f76733 or a4,a4,a5 + 80003144: 00e53023 sd a4,0(a0) + 80003148: f65ff06f j 800030ac <_wordcopy_fwd_dest_aligned+0x5c> + 8000314c: 0005b683 ld a3,0(a1) + 80003150: 0085b703 ld a4,8(a1) + 80003154: ff858893 addi a7,a1,-8 + 80003158: 00050e13 mv t3,a0 + 8000315c: fe850593 addi a1,a0,-24 + 80003160: 00260613 addi a2,a2,2 + 80003164: fadff06f j 80003110 <_wordcopy_fwd_dest_aligned+0xc0> + 80003168: 01058893 addi a7,a1,16 + 8000316c: 00050593 mv a1,a0 + 80003170: f59ff06f j 800030c8 <_wordcopy_fwd_dest_aligned+0x78> + 80003174: 0005b803 ld a6,0(a1) + 80003178: 0085b703 ld a4,8(a1) + 8000317c: 00858893 addi a7,a1,8 + 80003180: 00050e13 mv t3,a0 + 80003184: ff850593 addi a1,a0,-8 + 80003188: f59ff06f j 800030e0 <_wordcopy_fwd_dest_aligned+0x90> diff --git a/bin/custom-output/mario/fceux-mario.bin b/bin/custom-output/mario/fceux-mario.bin new file mode 100755 index 0000000..01bc34f Binary files /dev/null and b/bin/custom-output/mario/fceux-mario.bin differ diff --git a/bin/custom-output/mario/fceux-mario.elf b/bin/custom-output/mario/fceux-mario.elf new file mode 100755 index 0000000..39797ba Binary files /dev/null and b/bin/custom-output/mario/fceux-mario.elf differ diff --git a/bin/custom-output/mario/fceux-mario.txt b/bin/custom-output/mario/fceux-mario.txt new file mode 100755 index 0000000..56accda --- /dev/null +++ b/bin/custom-output/mario/fceux-mario.txt @@ -0,0 +1,65084 @@ + +/home/hzb/test/fceux-am/build/fceux-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 001d5117 auipc sp,0x1d5 + 80000008: ffc10113 addi sp,sp,-4 # 801d5000 <_end> + 8000000c: 58d390ef jal ra,80039d98 <_trm_init> + +0000000080000010 <_Z10AddExStatePvjiPKc>: + 80000010: 00008067 ret + +0000000080000014 <_Z16FCEU_CheatAddRAMijPh>: + 80000014: 00008067 ret + +0000000080000018 <_ZL6JPReadj>: + 80000018: 00157793 andi a5,a0,1 + 8000001c: 00579713 slli a4,a5,0x5 + 80000020: 00057797 auipc a5,0x57 + 80000024: 5f878793 addi a5,a5,1528 # 80057618 + 80000028: 00e787b3 add a5,a5,a4 + 8000002c: 0187b783 ld a5,24(a5) + 80000030: ff010113 addi sp,sp,-16 + 80000034: 00813023 sd s0,0(sp) + 80000038: 0007b783 ld a5,0(a5) + 8000003c: 00113423 sd ra,8(sp) + 80000040: 00157413 andi s0,a0,1 + 80000044: 04078a63 beqz a5,80000098 <_ZL6JPReadj+0x80> + 80000048: 00040513 mv a0,s0 + 8000004c: 000780e7 jalr a5 + 80000050: 00050593 mv a1,a0 + 80000054: 00057797 auipc a5,0x57 + 80000058: 6147b783 ld a5,1556(a5) # 80057668 + 8000005c: 00078c63 beqz a5,80000074 <_ZL6JPReadj+0x5c> + 80000060: 0007b783 ld a5,0(a5) + 80000064: 00078863 beqz a5,80000074 <_ZL6JPReadj+0x5c> + 80000068: 00040513 mv a0,s0 + 8000006c: 000780e7 jalr a5 + 80000070: 00050593 mv a1,a0 + 80000074: 00813083 ld ra,8(sp) + 80000078: 00013403 ld s0,0(sp) + 8000007c: 00057517 auipc a0,0x57 + 80000080: 7ec54503 lbu a0,2028(a0) # 80057868 + 80000084: fc057513 andi a0,a0,-64 + 80000088: 00a5e533 or a0,a1,a0 + 8000008c: 0ff57513 andi a0,a0,255 + 80000090: 01010113 addi sp,sp,16 + 80000094: 00008067 ret + 80000098: 00000593 li a1,0 + 8000009c: fb9ff06f j 80000054 <_ZL6JPReadj+0x3c> + +00000000800000a0 <_ZL5B4016jh>: + 800000a0: fd010113 addi sp,sp,-48 + 800000a4: 01413023 sd s4,0(sp) + 800000a8: 00057a17 auipc s4,0x57 + 800000ac: 5b0a0a13 addi s4,s4,1456 # 80057658 + 800000b0: 010a3783 ld a5,16(s4) + 800000b4: 02813023 sd s0,32(sp) + 800000b8: 02113423 sd ra,40(sp) + 800000bc: 00913c23 sd s1,24(sp) + 800000c0: 01213823 sd s2,16(sp) + 800000c4: 01313423 sd s3,8(sp) + 800000c8: 00058413 mv s0,a1 + 800000cc: 00078a63 beqz a5,800000e0 <_ZL5B4016jh+0x40> + 800000d0: 0087b783 ld a5,8(a5) + 800000d4: 00078663 beqz a5,800000e0 <_ZL5B4016jh+0x40> + 800000d8: 0075f513 andi a0,a1,7 + 800000dc: 000780e7 jalr a5 + 800000e0: 00057917 auipc s2,0x57 + 800000e4: 53890913 addi s2,s2,1336 # 80057618 + 800000e8: 01893783 ld a5,24(s2) + 800000ec: 00147493 andi s1,s0,1 + 800000f0: 0087b783 ld a5,8(a5) + 800000f4: 00078663 beqz a5,80000100 <_ZL5B4016jh+0x60> + 800000f8: 00048513 mv a0,s1 + 800000fc: 000780e7 jalr a5 + 80000100: 03893783 ld a5,56(s2) + 80000104: 0087b783 ld a5,8(a5) + 80000108: 00078663 beqz a5,80000114 <_ZL5B4016jh+0x74> + 8000010c: 00048513 mv a0,s1 + 80000110: 000780e7 jalr a5 + 80000114: 001cc997 auipc s3,0x1cc + 80000118: 9d698993 addi s3,s3,-1578 # 801cbaea <_ZL10LastStrobe> + 8000011c: 0009c783 lbu a5,0(s3) + 80000120: 0017f793 andi a5,a5,1 + 80000124: 04078263 beqz a5,80000168 <_ZL5B4016jh+0xc8> + 80000128: 04049063 bnez s1,80000168 <_ZL5B4016jh+0xc8> + 8000012c: 01893783 ld a5,24(s2) + 80000130: 0107b783 ld a5,16(a5) + 80000134: 00078663 beqz a5,80000140 <_ZL5B4016jh+0xa0> + 80000138: 00000513 li a0,0 + 8000013c: 000780e7 jalr a5 + 80000140: 03893783 ld a5,56(s2) + 80000144: 0107b783 ld a5,16(a5) + 80000148: 00078663 beqz a5,80000154 <_ZL5B4016jh+0xb4> + 8000014c: 00100513 li a0,1 + 80000150: 000780e7 jalr a5 + 80000154: 010a3783 ld a5,16(s4) + 80000158: 00078863 beqz a5,80000168 <_ZL5B4016jh+0xc8> + 8000015c: 0107b783 ld a5,16(a5) + 80000160: 00078463 beqz a5,80000168 <_ZL5B4016jh+0xc8> + 80000164: 000780e7 jalr a5 + 80000168: 02813083 ld ra,40(sp) + 8000016c: 001cc797 auipc a5,0x1cc + 80000170: 96878e23 sb s0,-1668(a5) # 801cbae8 + 80000174: 02013403 ld s0,32(sp) + 80000178: 00998023 sb s1,0(s3) + 8000017c: 01013903 ld s2,16(sp) + 80000180: 01813483 ld s1,24(sp) + 80000184: 00813983 ld s3,8(sp) + 80000188: 00013a03 ld s4,0(sp) + 8000018c: 03010113 addi sp,sp,48 + 80000190: 00008067 ret + +0000000080000194 <_ZL6ReadGPi>: + 80000194: 001cc697 auipc a3,0x1cc + 80000198: 95c68693 addi a3,a3,-1700 # 801cbaf0 <_ZL11joy_readbit> + 8000019c: 00a68733 add a4,a3,a0 + 800001a0: 00074703 lbu a4,0(a4) + 800001a4: 00700593 li a1,7 + 800001a8: 00050793 mv a5,a0 + 800001ac: 001cc617 auipc a2,0x1cc + 800001b0: 93d64603 lbu a2,-1731(a2) # 801cbae9 <_ZL10FSAttached> + 800001b4: 04e5fc63 bgeu a1,a4,8000020c <_ZL6ReadGPi+0x78> + 800001b8: 0025051b addiw a0,a0,2 + 800001bc: 001cc597 auipc a1,0x1cc + 800001c0: 93c58593 addi a1,a1,-1732 # 801cbaf8 + 800001c4: 00a585b3 add a1,a1,a0 + 800001c8: 00f00813 li a6,15 + 800001cc: 0005c583 lbu a1,0(a1) + 800001d0: 00000513 li a0,0 + 800001d4: 06e87663 bgeu a6,a4,80000240 <_ZL6ReadGPi+0xac> + 800001d8: 0007059b sext.w a1,a4 + 800001dc: 04060e63 beqz a2,80000238 <_ZL6ReadGPi+0xa4> + 800001e0: 01300613 li a2,19 + 800001e4: 40f6063b subw a2,a2,a5 + 800001e8: 04b60863 beq a2,a1,80000238 <_ZL6ReadGPi+0xa4> + 800001ec: 001cc617 auipc a2,0x1cc + 800001f0: 59862603 lw a2,1432(a2) # 801cc784 + 800001f4: 00061a63 bnez a2,80000208 <_ZL6ReadGPi+0x74> + 800001f8: 00f687b3 add a5,a3,a5 + 800001fc: 0017071b addiw a4,a4,1 + 80000200: 00e78023 sb a4,0(a5) + 80000204: 00008067 ret + 80000208: 00008067 ret + 8000020c: 001cc597 auipc a1,0x1cc + 80000210: 8ec58593 addi a1,a1,-1812 # 801cbaf8 + 80000214: 00a585b3 add a1,a1,a0 + 80000218: 0005c503 lbu a0,0(a1) + 8000021c: 0007059b sext.w a1,a4 + 80000220: 40e5553b sraw a0,a0,a4 + 80000224: 00157513 andi a0,a0,1 + 80000228: fc0602e3 beqz a2,800001ec <_ZL6ReadGPi+0x58> + 8000022c: 01300613 li a2,19 + 80000230: 40f6063b subw a2,a2,a5 + 80000234: fab61ce3 bne a2,a1,800001ec <_ZL6ReadGPi+0x58> + 80000238: 00100513 li a0,1 + 8000023c: fb1ff06f j 800001ec <_ZL6ReadGPi+0x58> + 80000240: 00777513 andi a0,a4,7 + 80000244: 40a5d5bb sraw a1,a1,a0 + 80000248: 0015f513 andi a0,a1,1 + 8000024c: f8dff06f j 800001d8 <_ZL6ReadGPi+0x44> + +0000000080000250 <_ZL8StrobeGPi>: + 80000250: 001cc797 auipc a5,0x1cc + 80000254: 8a078793 addi a5,a5,-1888 # 801cbaf0 <_ZL11joy_readbit> + 80000258: 00a787b3 add a5,a5,a0 + 8000025c: 00078023 sb zero,0(a5) + 80000260: 00008067 ret + +0000000080000264 <_ZL8UpdateGPiPvi>: + 80000264: 02051463 bnez a0,8000028c <_ZL8UpdateGPiPvi+0x28> + 80000268: 00057717 auipc a4,0x57 + 8000026c: 3c073703 ld a4,960(a4) # 80057628 + 80000270: 00072683 lw a3,0(a4) + 80000274: 001cc797 auipc a5,0x1cc + 80000278: 88478793 addi a5,a5,-1916 # 801cbaf8 + 8000027c: 00d78023 sb a3,0(a5) + 80000280: 00275703 lhu a4,2(a4) + 80000284: 00e78123 sb a4,2(a5) + 80000288: 00008067 ret + 8000028c: 00057697 auipc a3,0x57 + 80000290: 3bc6b683 ld a3,956(a3) # 80057648 + 80000294: 0006a783 lw a5,0(a3) + 80000298: 001cc717 auipc a4,0x1cc + 8000029c: 86070713 addi a4,a4,-1952 # 801cbaf8 + 800002a0: 0087d79b srliw a5,a5,0x8 + 800002a4: 00f700a3 sb a5,1(a4) + 800002a8: 0036c783 lbu a5,3(a3) + 800002ac: 00f701a3 sb a5,3(a4) + 800002b0: 00008067 ret + +00000000800002b4 <_Z16FCEU_UpdateInputv>: + 800002b4: ff010113 addi sp,sp,-16 + 800002b8: 00813023 sd s0,0(sp) + 800002bc: 00057417 auipc s0,0x57 + 800002c0: 35c40413 addi s0,s0,860 # 80057618 + 800002c4: 01843783 ld a5,24(s0) + 800002c8: 00113423 sd ra,8(sp) + 800002cc: 0187b783 ld a5,24(a5) + 800002d0: 00078a63 beqz a5,800002e4 <_Z16FCEU_UpdateInputv+0x30> + 800002d4: 00442603 lw a2,4(s0) + 800002d8: 01043583 ld a1,16(s0) + 800002dc: 00000513 li a0,0 + 800002e0: 000780e7 jalr a5 + 800002e4: 03843783 ld a5,56(s0) + 800002e8: 0187b783 ld a5,24(a5) + 800002ec: 00078a63 beqz a5,80000300 <_Z16FCEU_UpdateInputv+0x4c> + 800002f0: 02442603 lw a2,36(s0) + 800002f4: 03043583 ld a1,48(s0) + 800002f8: 00100513 li a0,1 + 800002fc: 000780e7 jalr a5 + 80000300: 00057797 auipc a5,0x57 + 80000304: 35878793 addi a5,a5,856 # 80057658 + 80000308: 0107b703 ld a4,16(a5) + 8000030c: 01873303 ld t1,24(a4) + 80000310: 00030e63 beqz t1,8000032c <_Z16FCEU_UpdateInputv+0x78> + 80000314: 00013403 ld s0,0(sp) + 80000318: 00813083 ld ra,8(sp) + 8000031c: 0007a583 lw a1,0(a5) + 80000320: 0087b503 ld a0,8(a5) + 80000324: 01010113 addi sp,sp,16 + 80000328: 00030067 jr t1 + 8000032c: 00813083 ld ra,8(sp) + 80000330: 00013403 ld s0,0(sp) + 80000334: 01010113 addi sp,sp,16 + 80000338: 00008067 ret + +000000008000033c <_Z14FCEUI_SetInputi3ESIPvi>: + 8000033c: 00551793 slli a5,a0,0x5 + 80000340: 00057517 auipc a0,0x57 + 80000344: 2d850513 addi a0,a0,728 # 80057618 + 80000348: 00f50533 add a0,a0,a5 + 8000034c: 00d52223 sw a3,4(a0) + 80000350: 00b52423 sw a1,8(a0) + 80000354: 00c53823 sd a2,16(a0) + 80000358: 00058e63 beqz a1,80000374 <_Z14FCEUI_SetInputi3ESIPvi+0x38> + 8000035c: 00100793 li a5,1 + 80000360: 02f59063 bne a1,a5,80000380 <_Z14FCEUI_SetInputi3ESIPvi+0x44> + 80000364: 00046797 auipc a5,0x46 + 80000368: 69478793 addi a5,a5,1684 # 800469f8 <_ZL3GPC> + 8000036c: 00f53c23 sd a5,24(a0) + 80000370: 00008067 ret + 80000374: 00057797 auipc a5,0x57 + 80000378: 24478793 addi a5,a5,580 # 800575b8 <_ZL10DummyJPort> + 8000037c: 00f53c23 sd a5,24(a0) + 80000380: 00008067 ret + +0000000080000384 <_Z16FCEUI_SetInputFC5ESIFCPvi>: + 80000384: 00057797 auipc a5,0x57 + 80000388: 2d478793 addi a5,a5,724 # 80057658 + 8000038c: 00c7a023 sw a2,0(a5) + 80000390: 00a7a223 sw a0,4(a5) + 80000394: 00b7b423 sd a1,8(a5) + 80000398: 00051863 bnez a0,800003a8 <_Z16FCEUI_SetInputFC5ESIFCPvi+0x24> + 8000039c: 00057717 auipc a4,0x57 + 800003a0: 24c70713 addi a4,a4,588 # 800575e8 <_ZL11DummyPortFC> + 800003a4: 00e7b823 sd a4,16(a5) + 800003a8: 00008067 ret + +00000000800003ac <_Z15InitializeInputv>: + 800003ac: ff010113 addi sp,sp,-16 + 800003b0: 00200613 li a2,2 + 800003b4: 00000593 li a1,0 + 800003b8: 001cb517 auipc a0,0x1cb + 800003bc: 73850513 addi a0,a0,1848 # 801cbaf0 <_ZL11joy_readbit> + 800003c0: 00113423 sd ra,8(sp) + 800003c4: 00813023 sd s0,0(sp) + 800003c8: 6603b0ef jal ra,8003ba28 + 800003cc: 00400613 li a2,4 + 800003d0: 00000593 li a1,0 + 800003d4: 001cb517 auipc a0,0x1cb + 800003d8: 72450513 addi a0,a0,1828 # 801cbaf8 + 800003dc: 64c3b0ef jal ra,8003ba28 + 800003e0: 00004437 lui s0,0x4 + 800003e4: 00000617 auipc a2,0x0 + 800003e8: c3460613 addi a2,a2,-972 # 80000018 <_ZL6JPReadj> + 800003ec: 01740593 addi a1,s0,23 # 4017 <_entry_offset+0x4017> + 800003f0: 01640513 addi a0,s0,22 + 800003f4: 001cb797 auipc a5,0x1cb + 800003f8: 6e078b23 sb zero,1782(a5) # 801cbaea <_ZL10LastStrobe> + 800003fc: 620330ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80000400: 01640593 addi a1,s0,22 + 80000404: 00000617 auipc a2,0x0 + 80000408: c9c60613 addi a2,a2,-868 # 800000a0 <_ZL5B4016jh> + 8000040c: 00058513 mv a0,a1 + 80000410: 718330ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80000414: 00057797 auipc a5,0x57 + 80000418: 20478793 addi a5,a5,516 # 80057618 + 8000041c: 0087a703 lw a4,8(a5) + 80000420: 0a070263 beqz a4,800004c4 <_Z15InitializeInputv+0x118> + 80000424: 00100693 li a3,1 + 80000428: 00d71863 bne a4,a3,80000438 <_Z15InitializeInputv+0x8c> + 8000042c: 00046717 auipc a4,0x46 + 80000430: 5cc70713 addi a4,a4,1484 # 800469f8 <_ZL3GPC> + 80000434: 00e7bc23 sd a4,24(a5) + 80000438: 0287a703 lw a4,40(a5) + 8000043c: 06070463 beqz a4,800004a4 <_Z15InitializeInputv+0xf8> + 80000440: 00100693 li a3,1 + 80000444: 04d70063 beq a4,a3,80000484 <_Z15InitializeInputv+0xd8> + 80000448: 00057797 auipc a5,0x57 + 8000044c: 21078793 addi a5,a5,528 # 80057658 + 80000450: 0047a703 lw a4,4(a5) + 80000454: 00070a63 beqz a4,80000468 <_Z15InitializeInputv+0xbc> + 80000458: 00813083 ld ra,8(sp) + 8000045c: 00013403 ld s0,0(sp) + 80000460: 01010113 addi sp,sp,16 + 80000464: 00008067 ret + 80000468: 00813083 ld ra,8(sp) + 8000046c: 00013403 ld s0,0(sp) + 80000470: 00057717 auipc a4,0x57 + 80000474: 17870713 addi a4,a4,376 # 800575e8 <_ZL11DummyPortFC> + 80000478: 00e7b823 sd a4,16(a5) + 8000047c: 01010113 addi sp,sp,16 + 80000480: 00008067 ret + 80000484: 00046717 auipc a4,0x46 + 80000488: 57470713 addi a4,a4,1396 # 800469f8 <_ZL3GPC> + 8000048c: 02e7bc23 sd a4,56(a5) + 80000490: 00057797 auipc a5,0x57 + 80000494: 1c878793 addi a5,a5,456 # 80057658 + 80000498: 0047a703 lw a4,4(a5) + 8000049c: fa071ee3 bnez a4,80000458 <_Z15InitializeInputv+0xac> + 800004a0: fc9ff06f j 80000468 <_Z15InitializeInputv+0xbc> + 800004a4: 00057717 auipc a4,0x57 + 800004a8: 11470713 addi a4,a4,276 # 800575b8 <_ZL10DummyJPort> + 800004ac: 02e7bc23 sd a4,56(a5) + 800004b0: 00057797 auipc a5,0x57 + 800004b4: 1a878793 addi a5,a5,424 # 80057658 + 800004b8: 0047a703 lw a4,4(a5) + 800004bc: f8071ee3 bnez a4,80000458 <_Z15InitializeInputv+0xac> + 800004c0: fa9ff06f j 80000468 <_Z15InitializeInputv+0xbc> + 800004c4: 00057717 auipc a4,0x57 + 800004c8: 0f470713 addi a4,a4,244 # 800575b8 <_ZL10DummyJPort> + 800004cc: 00e7bc23 sd a4,24(a5) + 800004d0: f69ff06f j 80000438 <_Z15InitializeInputv+0x8c> + +00000000800004d4 <_Z23FCEUI_SetInputFourscoreb>: + 800004d4: 001cb797 auipc a5,0x1cb + 800004d8: 60a78aa3 sb a0,1557(a5) # 801cbae9 <_ZL10FSAttached> + 800004dc: 00008067 ret + +00000000800004e0 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi>: + 800004e0: fe010113 addi sp,sp,-32 + 800004e4: 00113c23 sd ra,24(sp) + 800004e8: 00813823 sd s0,16(sp) + 800004ec: 00913423 sd s1,8(sp) + 800004f0: 01213023 sd s2,0(sp) + 800004f4: 0e059263 bnez a1,800005d8 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xf8> + 800004f8: 0003d597 auipc a1,0x3d + 800004fc: 83858593 addi a1,a1,-1992 # 8003cd30 <_etext+0x4c> + 80000500: 00050913 mv s2,a0 + 80000504: 00060513 mv a0,a2 + 80000508: 00060413 mv s0,a2 + 8000050c: 625390ef jal ra,8003a330 + 80000510: 00050493 mv s1,a0 + 80000514: 0003d597 auipc a1,0x3d + 80000518: 82458593 addi a1,a1,-2012 # 8003cd38 <_etext+0x54> + 8000051c: 00040513 mv a0,s0 + 80000520: 611390ef jal ra,8003a330 + 80000524: 06049663 bnez s1,80000590 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xb0> + 80000528: 0a050063 beqz a0,800005c8 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xe8> + 8000052c: 00040593 mv a1,s0 + 80000530: 00090513 mv a0,s2 + 80000534: 2280e0ef jal ra,8000e75c <_Z18FCEUD_UTF8_fstreamPKcS0_> + 80000538: 00050413 mv s0,a0 + 8000053c: 04050c63 beqz a0,80000594 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xb4> + 80000540: 01800513 li a0,24 + 80000544: 665390ef jal ra,8003a3a8 + 80000548: fff00793 li a5,-1 + 8000054c: 00f53423 sd a5,8(a0) + 80000550: 02842703 lw a4,40(s0) + 80000554: 00853023 sd s0,0(a0) + 80000558: 00000793 li a5,0 + 8000055c: 0007069b sext.w a3,a4 + 80000560: 04d4c863 blt s1,a3,800005b0 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xd0> + 80000564: 00f52823 sw a5,16(a0) + 80000568: 02842783 lw a5,40(s0) + 8000056c: 02042223 sw zero,36(s0) + 80000570: 0207d463 bgez a5,80000598 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xb8> + 80000574: 01813083 ld ra,24(sp) + 80000578: 02f42223 sw a5,36(s0) + 8000057c: 01013403 ld s0,16(sp) + 80000580: 00813483 ld s1,8(sp) + 80000584: 00013903 ld s2,0(sp) + 80000588: 02010113 addi sp,sp,32 + 8000058c: 00008067 ret + 80000590: 02051c63 bnez a0,800005c8 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xe8> + 80000594: 00000513 li a0,0 + 80000598: 01813083 ld ra,24(sp) + 8000059c: 01013403 ld s0,16(sp) + 800005a0: 00813483 ld s1,8(sp) + 800005a4: 00013903 ld s2,0(sp) + 800005a8: 02010113 addi sp,sp,32 + 800005ac: 00008067 ret + 800005b0: 00070793 mv a5,a4 + 800005b4: 00f52823 sw a5,16(a0) + 800005b8: 02842783 lw a5,40(s0) + 800005bc: 02042223 sw zero,36(s0) + 800005c0: fc07dce3 bgez a5,80000598 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xb8> + 800005c4: fb1ff06f j 80000574 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0x94> + 800005c8: 0003c517 auipc a0,0x3c + 800005cc: 77850513 addi a0,a0,1912 # 8003cd40 <_etext+0x5c> + 800005d0: 7793a0ef jal ra,8003b548 + 800005d4: fc1ff06f j 80000594 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi+0xb4> + 800005d8: 02500613 li a2,37 + 800005dc: 0003c597 auipc a1,0x3c + 800005e0: 70c58593 addi a1,a1,1804 # 8003cce8 <_etext+0x4> + 800005e4: 0003c517 auipc a0,0x3c + 800005e8: 72c50513 addi a0,a0,1836 # 8003cd10 <_etext+0x2c> + 800005ec: 75d3a0ef jal ra,8003b548 + 800005f0: 00100513 li a0,1 + 800005f4: 780390ef jal ra,80039d74 + +00000000800005f8 <_Z11FCEU_fcloseP8FCEUFILE>: + 800005f8: ff010113 addi sp,sp,-16 + 800005fc: 00813023 sd s0,0(sp) + 80000600: 00050413 mv s0,a0 + 80000604: 00053503 ld a0,0(a0) + 80000608: 00113423 sd ra,8(sp) + 8000060c: 00050463 beqz a0,80000614 <_Z11FCEU_fcloseP8FCEUFILE+0x1c> + 80000610: 5a9390ef jal ra,8003a3b8 + 80000614: 00040513 mv a0,s0 + 80000618: 5a1390ef jal ra,8003a3b8 + 8000061c: 00813083 ld ra,8(sp) + 80000620: 00013403 ld s0,0(sp) + 80000624: 00100513 li a0,1 + 80000628: 01010113 addi sp,sp,16 + 8000062c: 00008067 ret + +0000000080000630 <_Z10FCEU_freadPvmmP8FCEUFILE>: + 80000630: fd010113 addi sp,sp,-48 + 80000634: 00058793 mv a5,a1 + 80000638: 00913c23 sd s1,24(sp) + 8000063c: 0006b483 ld s1,0(a3) + 80000640: 01313423 sd s3,8(sp) + 80000644: 00060593 mv a1,a2 + 80000648: 00050993 mv s3,a0 + 8000064c: 00078513 mv a0,a5 + 80000650: 02813023 sd s0,32(sp) + 80000654: 01213823 sd s2,16(sp) + 80000658: 02113423 sd ra,40(sp) + 8000065c: 760390ef jal ra,80039dbc <__muldi3> + 80000660: 0244a783 lw a5,36(s1) + 80000664: 0284a403 lw s0,40(s1) + 80000668: 00050913 mv s2,a0 + 8000066c: 40f4043b subw s0,s0,a5 + 80000670: 00857463 bgeu a0,s0,80000678 <_Z10FCEU_freadPvmmP8FCEUFILE+0x48> + 80000674: 00050413 mv s0,a0 + 80000678: 0004b583 ld a1,0(s1) + 8000067c: 00040613 mv a2,s0 + 80000680: 00098513 mv a0,s3 + 80000684: 00f585b3 add a1,a1,a5 + 80000688: 4cc3b0ef jal ra,8003bb54 + 8000068c: 0244a783 lw a5,36(s1) + 80000690: 008787bb addw a5,a5,s0 + 80000694: 02f4a223 sw a5,36(s1) + 80000698: 01247663 bgeu s0,s2,800006a4 <_Z10FCEU_freadPvmmP8FCEUFILE+0x74> + 8000069c: 00100793 li a5,1 + 800006a0: 02f48023 sb a5,32(s1) + 800006a4: 02813083 ld ra,40(sp) + 800006a8: 00040513 mv a0,s0 + 800006ac: 02013403 ld s0,32(sp) + 800006b0: 01813483 ld s1,24(sp) + 800006b4: 01013903 ld s2,16(sp) + 800006b8: 00813983 ld s3,8(sp) + 800006bc: 03010113 addi sp,sp,48 + 800006c0: 00008067 ret + +00000000800006c4 <_Z21SetNESDeemph_OldHackyhi>: + 800006c4: fa010113 addi sp,sp,-96 + 800006c8: 01813823 sd s8,16(sp) + 800006cc: 04113c23 sd ra,88(sp) + 800006d0: 04813823 sd s0,80(sp) + 800006d4: 04913423 sd s1,72(sp) + 800006d8: 05213023 sd s2,64(sp) + 800006dc: 03313c23 sd s3,56(sp) + 800006e0: 03413823 sd s4,48(sp) + 800006e4: 03513423 sd s5,40(sp) + 800006e8: 03613023 sd s6,32(sp) + 800006ec: 01713c23 sd s7,24(sp) + 800006f0: 01913423 sd s9,8(sp) + 800006f4: 01a13023 sd s10,0(sp) + 800006f8: 00050c13 mv s8,a0 + 800006fc: 12059a63 bnez a1,80000830 <_Z21SetNESDeemph_OldHackyhi+0x16c> + 80000700: 001cb797 auipc a5,0x1cb + 80000704: 3fc7c783 lbu a5,1020(a5) # 801cbafc <_ZL5lastd> + 80000708: 0ea78863 beq a5,a0,800007f8 <_Z21SetNESDeemph_OldHackyhi+0x134> + 8000070c: 0e0c0663 beqz s8,800007f8 <_Z21SetNESDeemph_OldHackyhi+0x134> + 80000710: fffc079b addiw a5,s8,-1 + 80000714: 00179793 slli a5,a5,0x1 + 80000718: 0003c617 auipc a2,0x3c + 8000071c: 6c860613 addi a2,a2,1736 # 8003cde0 <_ZZ21SetNESDeemph_OldHackyhiE5rtmul> + 80000720: 0003c697 auipc a3,0x3c + 80000724: 6b068693 addi a3,a3,1712 # 8003cdd0 <_ZZ21SetNESDeemph_OldHackyhiE5gtmul> + 80000728: 0003c717 auipc a4,0x3c + 8000072c: 69870713 addi a4,a4,1688 # 8003cdc0 <_ZZ21SetNESDeemph_OldHackyhiE5btmul> + 80000730: 00f60633 add a2,a2,a5 + 80000734: 00f686b3 add a3,a3,a5 + 80000738: 00f707b3 add a5,a4,a5 + 8000073c: 00065b83 lhu s7,0(a2) + 80000740: 0006db03 lhu s6,0(a3) + 80000744: 0007da83 lhu s5,0(a5) + 80000748: 00000913 li s2,0 + 8000074c: 00000d13 li s10,0 + 80000750: 001cb997 auipc s3,0x1cb + 80000754: 3b898993 addi s3,s3,952 # 801cbb08 + 80000758: 0ff00493 li s1,255 + 8000075c: 04000a13 li s4,64 + 80000760: 0009b403 ld s0,0(s3) + 80000764: 000b8593 mv a1,s7 + 80000768: 01240433 add s0,s0,s2 + 8000076c: 00044503 lbu a0,0(s0) + 80000770: 00390913 addi s2,s2,3 + 80000774: 648390ef jal ra,80039dbc <__muldi3> + 80000778: 00050c93 mv s9,a0 + 8000077c: 00144503 lbu a0,1(s0) + 80000780: 000b0593 mv a1,s6 + 80000784: 00fcdc9b srliw s9,s9,0xf + 80000788: 634390ef jal ra,80039dbc <__muldi3> + 8000078c: 00050613 mv a2,a0 + 80000790: 00244503 lbu a0,2(s0) + 80000794: 000a8593 mv a1,s5 + 80000798: 00f6541b srliw s0,a2,0xf + 8000079c: 620390ef jal ra,80039dbc <__muldi3> + 800007a0: 00050693 mv a3,a0 + 800007a4: 0004071b sext.w a4,s0 + 800007a8: 040d6513 ori a0,s10,64 + 800007ac: 00f6d81b srliw a6,a3,0xf + 800007b0: 00070613 mv a2,a4 + 800007b4: 000c879b sext.w a5,s9 + 800007b8: 0ff57513 andi a0,a0,255 + 800007bc: 001d0d1b addiw s10,s10,1 + 800007c0: 00f6d69b srliw a3,a3,0xf + 800007c4: 0104f463 bgeu s1,a6,800007cc <_Z21SetNESDeemph_OldHackyhi+0x108> + 800007c8: 0ff00693 li a3,255 + 800007cc: 0ff6f693 andi a3,a3,255 + 800007d0: 00e4f463 bgeu s1,a4,800007d8 <_Z21SetNESDeemph_OldHackyhi+0x114> + 800007d4: 0ff00613 li a2,255 + 800007d8: 0ff67613 andi a2,a2,255 + 800007dc: 00f4f463 bgeu s1,a5,800007e4 <_Z21SetNESDeemph_OldHackyhi+0x120> + 800007e0: 0ff00c93 li s9,255 + 800007e4: 0ffcf593 andi a1,s9,255 + 800007e8: 2540e0ef jal ra,8000ea3c <_Z16FCEUD_SetPalettehhhh> + 800007ec: f74d1ae3 bne s10,s4,80000760 <_Z21SetNESDeemph_OldHackyhi+0x9c> + 800007f0: 001cb797 auipc a5,0x1cb + 800007f4: 31878623 sb s8,780(a5) # 801cbafc <_ZL5lastd> + 800007f8: 05813083 ld ra,88(sp) + 800007fc: 05013403 ld s0,80(sp) + 80000800: 04813483 ld s1,72(sp) + 80000804: 04013903 ld s2,64(sp) + 80000808: 03813983 ld s3,56(sp) + 8000080c: 03013a03 ld s4,48(sp) + 80000810: 02813a83 ld s5,40(sp) + 80000814: 02013b03 ld s6,32(sp) + 80000818: 01813b83 ld s7,24(sp) + 8000081c: 01013c03 ld s8,16(sp) + 80000820: 00813c83 ld s9,8(sp) + 80000824: 00013d03 ld s10,0(sp) + 80000828: 06010113 addi sp,sp,96 + 8000082c: 00008067 ret + 80000830: 00000913 li s2,0 + 80000834: 00000493 li s1,0 + 80000838: 001cb997 auipc s3,0x1cb + 8000083c: 2d098993 addi s3,s3,720 # 801cbb08 + 80000840: 04000413 li s0,64 + 80000844: 0009b783 ld a5,0(s3) + 80000848: fc04e513 ori a0,s1,-64 + 8000084c: 0ff57513 andi a0,a0,255 + 80000850: 012787b3 add a5,a5,s2 + 80000854: 0007c803 lbu a6,0(a5) + 80000858: 0017c703 lbu a4,1(a5) + 8000085c: 0027c783 lbu a5,2(a5) + 80000860: 0018159b slliw a1,a6,0x1 + 80000864: 0017161b slliw a2,a4,0x1 + 80000868: 0017969b slliw a3,a5,0x1 + 8000086c: 010585bb addw a1,a1,a6 + 80000870: 00e6063b addw a2,a2,a4 + 80000874: 00f686bb addw a3,a3,a5 + 80000878: 0026d69b srliw a3,a3,0x2 + 8000087c: 0026561b srliw a2,a2,0x2 + 80000880: 0025d59b srliw a1,a1,0x2 + 80000884: 0ff6f693 andi a3,a3,255 + 80000888: 0ff67613 andi a2,a2,255 + 8000088c: 0ff5f593 andi a1,a1,255 + 80000890: 0014849b addiw s1,s1,1 + 80000894: 1a80e0ef jal ra,8000ea3c <_Z16FCEUD_SetPalettehhhh> + 80000898: 00390913 addi s2,s2,3 + 8000089c: fa8494e3 bne s1,s0,80000844 <_Z21SetNESDeemph_OldHackyhi+0x180> + 800008a0: e6dff06f j 8000070c <_Z21SetNESDeemph_OldHackyhi+0x48> + +00000000800008a4 <_Z17FCEU_ResetPalettev.part.0>: + 800008a4: 001cb797 auipc a5,0x1cb + 800008a8: 25c7a783 lw a5,604(a5) # 801cbb00 + 800008ac: 00379713 slli a4,a5,0x3 + 800008b0: 0003c797 auipc a5,0x3c + 800008b4: 4d078793 addi a5,a5,1232 # 8003cd80 <_ZL15default_palette> + 800008b8: 00e787b3 add a5,a5,a4 + 800008bc: 0007b783 ld a5,0(a5) + 800008c0: fd010113 addi sp,sp,-48 + 800008c4: 01213823 sd s2,16(sp) + 800008c8: 001cb917 auipc s2,0x1cb + 800008cc: 24090913 addi s2,s2,576 # 801cbb08 + 800008d0: 02813023 sd s0,32(sp) + 800008d4: 00913c23 sd s1,24(sp) + 800008d8: 01313423 sd s3,8(sp) + 800008dc: 02113423 sd ra,40(sp) + 800008e0: 00046497 auipc s1,0x46 + 800008e4: 74848493 addi s1,s1,1864 # 80047028 + 800008e8: 00f93023 sd a5,0(s2) + 800008ec: 00000413 li s0,0 + 800008f0: 01700993 li s3,23 + 800008f4: 0024c683 lbu a3,2(s1) + 800008f8: 0014c603 lbu a2,1(s1) + 800008fc: 0004c583 lbu a1,0(s1) + 80000900: 0ff47513 andi a0,s0,255 + 80000904: 0014041b addiw s0,s0,1 + 80000908: 1340e0ef jal ra,8000ea3c <_Z16FCEUD_SetPalettehhhh> + 8000090c: 00348493 addi s1,s1,3 + 80000910: ff3412e3 bne s0,s3,800008f4 <_Z17FCEU_ResetPalettev.part.0+0x50> + 80000914: 10000493 li s1,256 + 80000918: 0ff47513 andi a0,s0,255 + 8000091c: 0cd00693 li a3,205 + 80000920: 0014041b addiw s0,s0,1 + 80000924: 0cd00613 li a2,205 + 80000928: 0cd00593 li a1,205 + 8000092c: 1100e0ef jal ra,8000ea3c <_Z16FCEUD_SetPalettehhhh> + 80000930: fe9414e3 bne s0,s1,80000918 <_Z17FCEU_ResetPalettev.part.0+0x74> + 80000934: 08000413 li s0,128 + 80000938: 00000493 li s1,0 + 8000093c: 0c000993 li s3,192 + 80000940: 00093783 ld a5,0(s2) + 80000944: 00040513 mv a0,s0 + 80000948: 0014041b addiw s0,s0,1 + 8000094c: 009787b3 add a5,a5,s1 + 80000950: 0027c683 lbu a3,2(a5) + 80000954: 0017c603 lbu a2,1(a5) + 80000958: 0007c583 lbu a1,0(a5) + 8000095c: 00348493 addi s1,s1,3 + 80000960: 0ff47413 andi s0,s0,255 + 80000964: 0d80e0ef jal ra,8000ea3c <_Z16FCEUD_SetPalettehhhh> + 80000968: fd349ce3 bne s1,s3,80000940 <_Z17FCEU_ResetPalettev.part.0+0x9c> + 8000096c: 02013403 ld s0,32(sp) + 80000970: 02813083 ld ra,40(sp) + 80000974: 01813483 ld s1,24(sp) + 80000978: 01013903 ld s2,16(sp) + 8000097c: 00813983 ld s3,8(sp) + 80000980: 001cb517 auipc a0,0x1cb + 80000984: 17c54503 lbu a0,380(a0) # 801cbafc <_ZL5lastd> + 80000988: 00100593 li a1,1 + 8000098c: 03010113 addi sp,sp,48 + 80000990: d35ff06f j 800006c4 <_Z21SetNESDeemph_OldHackyhi> + +0000000080000994 <_Z20FCEU_LoadGamePalettev>: + 80000994: 001cc797 auipc a5,0x1cc + 80000998: cb47b783 ld a5,-844(a5) # 801cc648 + 8000099c: 00078463 beqz a5,800009a4 <_Z20FCEU_LoadGamePalettev+0x10> + 800009a0: f05ff06f j 800008a4 <_Z17FCEU_ResetPalettev.part.0> + 800009a4: 00008067 ret + +00000000800009a8 <_Z15FCEUI_SetNTSCTHbii>: + 800009a8: 001cc797 auipc a5,0x1cc + 800009ac: ca07b783 ld a5,-864(a5) # 801cc648 + 800009b0: 00078463 beqz a5,800009b8 <_Z15FCEUI_SetNTSCTHbii+0x10> + 800009b4: ef1ff06f j 800008a4 <_Z17FCEU_ResetPalettev.part.0> + 800009b8: 00008067 ret + +00000000800009bc <_Z17FCEU_ResetPalettev>: + 800009bc: 001cc797 auipc a5,0x1cc + 800009c0: c8c7b783 ld a5,-884(a5) # 801cc648 + 800009c4: 00078463 beqz a5,800009cc <_Z17FCEU_ResetPalettev+0x10> + 800009c8: eddff06f j 800008a4 <_Z17FCEU_ResetPalettev.part.0> + 800009cc: 00008067 ret + +00000000800009d0 <_Z7ShowFPSv.part.0>: + 800009d0: fd010113 addi sp,sp,-48 + 800009d4: 00913c23 sd s1,24(sp) + 800009d8: 01213823 sd s2,16(sp) + 800009dc: 01313423 sd s3,8(sp) + 800009e0: 01413023 sd s4,0(sp) + 800009e4: 02113423 sd ra,40(sp) + 800009e8: 02813023 sd s0,32(sp) + 800009ec: 001cb497 auipc s1,0x1cb + 800009f0: 14c48493 addi s1,s1,332 # 801cbb38 <_ZL9boopcount> + 800009f4: 7490d0ef jal ra,8000e93c <_Z13FCEUD_GetTimev> + 800009f8: 0004a683 lw a3,0(s1) + 800009fc: 00057797 auipc a5,0x57 + 80000a00: c7478793 addi a5,a5,-908 # 80057670 <_ZL4boop> + 80000a04: 001cc717 auipc a4,0x1cc + 80000a08: c5c74703 lbu a4,-932(a4) # 801cc660 + 80000a0c: 00369613 slli a2,a3,0x3 + 80000a10: 00c785b3 add a1,a5,a2 + 80000a14: 0005ba03 ld s4,0(a1) + 80000a18: 0005091b sext.w s2,a0 + 80000a1c: 03c00993 li s3,60 + 80000a20: 00070463 beqz a4,80000a28 <_Z7ShowFPSv.part.0+0x58> + 80000a24: 03200993 li s3,50 + 80000a28: 001cb597 auipc a1,0x1cb + 80000a2c: 11458593 addi a1,a1,276 # 801cbb3c <_ZZ7ShowFPSvE3tsc> + 80000a30: 0005a703 lw a4,0(a1) + 80000a34: 02051513 slli a0,a0,0x20 + 80000a38: 00c787b3 add a5,a5,a2 + 80000a3c: 02055513 srli a0,a0,0x20 + 80000a40: 00a7b023 sd a0,0(a5) + 80000a44: 40e907bb subw a5,s2,a4 + 80000a48: 3e800713 li a4,1000 + 80000a4c: 06f77863 bgeu a4,a5,80000abc <_Z7ShowFPSv.part.0+0xec> + 80000a50: 0125a023 sw s2,0(a1) + 80000a54: 02800413 li s0,40 + 80000a58: fff4041b addiw s0,s0,-1 + 80000a5c: 00800513 li a0,8 + 80000a60: 308390ef jal ra,80039d68 + 80000a64: fe041ae3 bnez s0,80000a58 <_Z7ShowFPSv.part.0+0x88> + 80000a68: 7010d0ef jal ra,8000e968 <_Z17FCEUD_GetTimeFreqv> + 80000a6c: 0005059b sext.w a1,a0 + 80000a70: 00098513 mv a0,s3 + 80000a74: 348390ef jal ra,80039dbc <__muldi3> + 80000a78: 414905bb subw a1,s2,s4 + 80000a7c: 02059593 slli a1,a1,0x20 + 80000a80: 02051513 slli a0,a0,0x20 + 80000a84: 0205d593 srli a1,a1,0x20 + 80000a88: 02055513 srli a0,a0,0x20 + 80000a8c: 39c390ef jal ra,80039e28 <__udivdi3> + 80000a90: 0005041b sext.w s0,a0 + 80000a94: 02091513 slli a0,s2,0x20 + 80000a98: 3e800593 li a1,1000 + 80000a9c: 02055513 srli a0,a0,0x20 + 80000aa0: 388390ef jal ra,80039e28 <__udivdi3> + 80000aa4: 0005059b sext.w a1,a0 + 80000aa8: 00040613 mv a2,s0 + 80000aac: 0003c517 auipc a0,0x3c + 80000ab0: 34450513 addi a0,a0,836 # 8003cdf0 <_ZZ21SetNESDeemph_OldHackyhiE5rtmul+0x10> + 80000ab4: 2953a0ef jal ra,8003b548 + 80000ab8: 0004a683 lw a3,0(s1) + 80000abc: 00098593 mv a1,s3 + 80000ac0: 0016851b addiw a0,a3,1 + 80000ac4: 3e0390ef jal ra,80039ea4 <__moddi3> + 80000ac8: 02813083 ld ra,40(sp) + 80000acc: 02013403 ld s0,32(sp) + 80000ad0: 00a4a023 sw a0,0(s1) + 80000ad4: 01013903 ld s2,16(sp) + 80000ad8: 01813483 ld s1,24(sp) + 80000adc: 00813983 ld s3,8(sp) + 80000ae0: 00013a03 ld s4,0(sp) + 80000ae4: 03010113 addi sp,sp,48 + 80000ae8: 00008067 ret + +0000000080000aec <_Z21FCEU_KillVirtualVideov>: + 80000aec: 00008067 ret + +0000000080000af0 <_Z21FCEU_InitVirtualVideov>: + 80000af0: fd010113 addi sp,sp,-48 + 80000af4: 02813023 sd s0,32(sp) + 80000af8: 001cb417 auipc s0,0x1cb + 80000afc: 02840413 addi s0,s0,40 # 801cbb20 + 80000b00: 00043783 ld a5,0(s0) + 80000b04: 02113423 sd ra,40(sp) + 80000b08: 00913c23 sd s1,24(sp) + 80000b0c: 01213823 sd s2,16(sp) + 80000b10: 01313423 sd s3,8(sp) + 80000b14: 00100513 li a0,1 + 80000b18: 02078063 beqz a5,80000b38 <_Z21FCEU_InitVirtualVideov+0x48> + 80000b1c: 02813083 ld ra,40(sp) + 80000b20: 02013403 ld s0,32(sp) + 80000b24: 01813483 ld s1,24(sp) + 80000b28: 01013903 ld s2,16(sp) + 80000b2c: 00813983 ld s3,8(sp) + 80000b30: 03010113 addi sp,sp,48 + 80000b34: 00008067 ret + 80000b38: 000104b7 lui s1,0x10 + 80000b3c: 01048513 addi a0,s1,16 # 10010 <_entry_offset+0x10010> + 80000b40: 1a5110ef jal ra,800124e4 <_Z11FCEU_mallocj> + 80000b44: 00050793 mv a5,a0 + 80000b48: 01048513 addi a0,s1,16 + 80000b4c: 00f43023 sd a5,0(s0) + 80000b50: 195110ef jal ra,800124e4 <_Z11FCEU_mallocj> + 80000b54: 00050793 mv a5,a0 + 80000b58: 001cb917 auipc s2,0x1cb + 80000b5c: fc090913 addi s2,s2,-64 # 801cbb18 + 80000b60: 01048513 addi a0,s1,16 + 80000b64: 00f93023 sd a5,0(s2) + 80000b68: 17d110ef jal ra,800124e4 <_Z11FCEU_mallocj> + 80000b6c: 00050793 mv a5,a0 + 80000b70: 001cb997 auipc s3,0x1cb + 80000b74: fc098993 addi s3,s3,-64 # 801cbb30 + 80000b78: 01048513 addi a0,s1,16 + 80000b7c: 00f9b023 sd a5,0(s3) + 80000b80: 165110ef jal ra,800124e4 <_Z11FCEU_mallocj> + 80000b84: 00043703 ld a4,0(s0) + 80000b88: 001cb697 auipc a3,0x1cb + 80000b8c: faa6b023 sd a0,-96(a3) # 801cbb28 + 80000b90: 00050793 mv a5,a0 + 80000b94: 06070e63 beqz a4,80000c10 <_Z21FCEU_InitVirtualVideov+0x120> + 80000b98: 00093683 ld a3,0(s2) + 80000b9c: 06068a63 beqz a3,80000c10 <_Z21FCEU_InitVirtualVideov+0x120> + 80000ba0: 0009b683 ld a3,0(s3) + 80000ba4: 00000513 li a0,0 + 80000ba8: f6068ae3 beqz a3,80000b1c <_Z21FCEU_InitVirtualVideov+0x2c> + 80000bac: f60788e3 beqz a5,80000b1c <_Z21FCEU_InitVirtualVideov+0x2c> + 80000bb0: 00070513 mv a0,a4 + 80000bb4: 00010637 lui a2,0x10 + 80000bb8: 08000593 li a1,128 + 80000bbc: 66d3a0ef jal ra,8003ba28 + 80000bc0: 00093503 ld a0,0(s2) + 80000bc4: 00010637 lui a2,0x10 + 80000bc8: 08000593 li a1,128 + 80000bcc: 65d3a0ef jal ra,8003ba28 + 80000bd0: 00043503 ld a0,0(s0) + 80000bd4: 00010637 lui a2,0x10 + 80000bd8: 08000593 li a1,128 + 80000bdc: 64d3a0ef jal ra,8003ba28 + 80000be0: 00093503 ld a0,0(s2) + 80000be4: 00010637 lui a2,0x10 + 80000be8: 08000593 li a1,128 + 80000bec: 63d3a0ef jal ra,8003ba28 + 80000bf0: 02813083 ld ra,40(sp) + 80000bf4: 02013403 ld s0,32(sp) + 80000bf8: 01813483 ld s1,24(sp) + 80000bfc: 01013903 ld s2,16(sp) + 80000c00: 00813983 ld s3,8(sp) + 80000c04: 00100513 li a0,1 + 80000c08: 03010113 addi sp,sp,48 + 80000c0c: 00008067 ret + 80000c10: 00000513 li a0,0 + 80000c14: f09ff06f j 80000b1c <_Z21FCEU_InitVirtualVideov+0x2c> + +0000000080000c18 <_Z16FCEUI_SetShowFPSb>: + 80000c18: 001cb797 auipc a5,0x1cb + 80000c1c: eea78c23 sb a0,-264(a5) # 801cbb10 + 80000c20: 00008067 ret + +0000000080000c24 <_Z7ShowFPSv>: + 80000c24: 001cb797 auipc a5,0x1cb + 80000c28: eec7c783 lbu a5,-276(a5) # 801cbb10 + 80000c2c: 00079463 bnez a5,80000c34 <_Z7ShowFPSv+0x10> + 80000c30: 00008067 ret + 80000c34: d9dff06f j 800009d0 <_Z7ShowFPSv.part.0> + +0000000080000c38 <_Z9X6502_DMRj>: + 80000c38: ff010113 addi sp,sp,-16 + 80000c3c: 00813023 sd s0,0(sp) + 80000c40: 001cb617 auipc a2,0x1cb + 80000c44: f0c60613 addi a2,a2,-244 # 801cbb4c + 80000c48: 00057417 auipc s0,0x57 + 80000c4c: c0840413 addi s0,s0,-1016 # 80057850 + 80000c50: 00042683 lw a3,0(s0) + 80000c54: 01042703 lw a4,16(s0) + 80000c58: 00062783 lw a5,0(a2) + 80000c5c: 00113423 sd ra,8(sp) + 80000c60: 0016869b addiw a3,a3,1 + 80000c64: 0017879b addiw a5,a5,1 + 80000c68: fd07071b addiw a4,a4,-48 + 80000c6c: 00f62023 sw a5,0(a2) + 80000c70: 00d42023 sw a3,0(s0) + 80000c74: 00e42823 sw a4,16(s0) + 80000c78: 001cc797 auipc a5,0x1cc + 80000c7c: a157c783 lbu a5,-1515(a5) # 801cc68d + 80000c80: 00079c63 bnez a5,80000c98 <_Z9X6502_DMRj+0x60> + 80000c84: 001cb717 auipc a4,0x1cb + 80000c88: ec470713 addi a4,a4,-316 # 801cbb48 + 80000c8c: 00072783 lw a5,0(a4) + 80000c90: 0017879b addiw a5,a5,1 + 80000c94: 00f72023 sw a5,0(a4) + 80000c98: 02051793 slli a5,a0,0x20 + 80000c9c: 01d7d713 srli a4,a5,0x1d + 80000ca0: 000c8797 auipc a5,0xc8 + 80000ca4: 04078793 addi a5,a5,64 # 800c8ce0 + 80000ca8: 00e787b3 add a5,a5,a4 + 80000cac: 0007b783 ld a5,0(a5) + 80000cb0: 000780e7 jalr a5 + 80000cb4: 00813083 ld ra,8(sp) + 80000cb8: 00a40c23 sb a0,24(s0) + 80000cbc: 00013403 ld s0,0(sp) + 80000cc0: 01010113 addi sp,sp,16 + 80000cc4: 00008067 ret + +0000000080000cc8 <_Z9X6502_DMWjh>: + 80000cc8: 00057797 auipc a5,0x57 + 80000ccc: b8878793 addi a5,a5,-1144 # 80057850 + 80000cd0: 001cb817 auipc a6,0x1cb + 80000cd4: e7c80813 addi a6,a6,-388 # 801cbb4c + 80000cd8: 0007a603 lw a2,0(a5) + 80000cdc: 0107a683 lw a3,16(a5) + 80000ce0: 00082703 lw a4,0(a6) + 80000ce4: 0016061b addiw a2,a2,1 + 80000ce8: fd06869b addiw a3,a3,-48 + 80000cec: 0017071b addiw a4,a4,1 + 80000cf0: 00c7a023 sw a2,0(a5) + 80000cf4: 00d7a823 sw a3,16(a5) + 80000cf8: 00e82023 sw a4,0(a6) + 80000cfc: 001cc797 auipc a5,0x1cc + 80000d00: 9917c783 lbu a5,-1647(a5) # 801cc68d + 80000d04: 00079c63 bnez a5,80000d1c <_Z9X6502_DMWjh+0x54> + 80000d08: 001cb717 auipc a4,0x1cb + 80000d0c: e4070713 addi a4,a4,-448 # 801cbb48 + 80000d10: 00072783 lw a5,0(a4) + 80000d14: 0017879b addiw a5,a5,1 + 80000d18: 00f72023 sw a5,0(a4) + 80000d1c: 02051793 slli a5,a0,0x20 + 80000d20: 01d7d713 srli a4,a5,0x1d + 80000d24: 00148797 auipc a5,0x148 + 80000d28: fbc78793 addi a5,a5,-68 # 80148ce0 + 80000d2c: 00e787b3 add a5,a5,a4 + 80000d30: 0007b303 ld t1,0(a5) + 80000d34: 00030067 jr t1 + +0000000080000d38 <_Z14X6502_IRQBegini>: + 80000d38: 00057797 auipc a5,0x57 + 80000d3c: b1878793 addi a5,a5,-1256 # 80057850 + 80000d40: 0147a703 lw a4,20(a5) + 80000d44: 00e56533 or a0,a0,a4 + 80000d48: 00a7aa23 sw a0,20(a5) + 80000d4c: 00008067 ret + +0000000080000d50 <_Z12X6502_IRQEndi>: + 80000d50: 00057797 auipc a5,0x57 + 80000d54: b0078793 addi a5,a5,-1280 # 80057850 + 80000d58: 0147a703 lw a4,20(a5) + 80000d5c: fff54513 not a0,a0 + 80000d60: 00e57533 and a0,a0,a4 + 80000d64: 00a7aa23 sw a0,20(a5) + 80000d68: 00008067 ret + +0000000080000d6c <_Z10TriggerNMIv>: + 80000d6c: 00057717 auipc a4,0x57 + 80000d70: ae470713 addi a4,a4,-1308 # 80057850 + 80000d74: 01472783 lw a5,20(a4) + 80000d78: 0807e793 ori a5,a5,128 + 80000d7c: 00f72a23 sw a5,20(a4) + 80000d80: 00008067 ret + +0000000080000d84 <_Z11TriggerNMI2v>: + 80000d84: 00057717 auipc a4,0x57 + 80000d88: acc70713 addi a4,a4,-1332 # 80057850 + 80000d8c: 01472783 lw a5,20(a4) + 80000d90: 0407e793 ori a5,a5,64 + 80000d94: 00f72a23 sw a5,20(a4) + 80000d98: 00008067 ret + +0000000080000d9c <_Z10X6502_Initv>: + 80000d9c: ff010113 addi sp,sp,-16 + 80000da0: 02000613 li a2,32 + 80000da4: 00000593 li a1,0 + 80000da8: 00057517 auipc a0,0x57 + 80000dac: aa850513 addi a0,a0,-1368 # 80057850 + 80000db0: 00113423 sd ra,8(sp) + 80000db4: 4753a0ef jal ra,8003ba28 + 80000db8: 00000793 li a5,0 + 80000dbc: 0007871b sext.w a4,a5 + 80000dc0: 00057697 auipc a3,0x57 + 80000dc4: ab068693 addi a3,a3,-1360 # 80057870 <_ZL7ZNTable> + 80000dc8: 0ff00813 li a6,255 + 80000dcc: f8000313 li t1,-128 + 80000dd0: 00200893 li a7,2 + 80000dd4: 08077613 andi a2,a4,128 + 80000dd8: 02078263 beqz a5,80000dfc <_Z10X6502_Initv+0x60> + 80000ddc: 00f685b3 add a1,a3,a5 + 80000de0: 02060a63 beqz a2,80000e14 <_Z10X6502_Initv+0x78> + 80000de4: 00658023 sb t1,0(a1) + 80000de8: 03070063 beq a4,a6,80000e08 <_Z10X6502_Initv+0x6c> + 80000dec: 00178793 addi a5,a5,1 + 80000df0: 0007871b sext.w a4,a5 + 80000df4: 08077613 andi a2,a4,128 + 80000df8: fe0792e3 bnez a5,80000ddc <_Z10X6502_Initv+0x40> + 80000dfc: 01168023 sb a7,0(a3) + 80000e00: 00178793 addi a5,a5,1 + 80000e04: fedff06f j 80000df0 <_Z10X6502_Initv+0x54> + 80000e08: 00813083 ld ra,8(sp) + 80000e0c: 01010113 addi sp,sp,16 + 80000e10: 00008067 ret + 80000e14: 00058023 sb zero,0(a1) + 80000e18: fd071ae3 bne a4,a6,80000dec <_Z10X6502_Initv+0x50> + 80000e1c: fedff06f j 80000e08 <_Z10X6502_Initv+0x6c> + +0000000080000e20 <_Z11X6502_Powerv>: + 80000e20: 00010737 lui a4,0x10 + 80000e24: 00057797 auipc a5,0x57 + 80000e28: a2c78793 addi a5,a5,-1492 # 80057850 + 80000e2c: d007071b addiw a4,a4,-768 + 80000e30: 00e7a423 sw a4,8(a5) + 80000e34: 001cb717 auipc a4,0x1cb + 80000e38: d0072a23 sw zero,-748(a4) # 801cbb48 + 80000e3c: 001cb717 auipc a4,0x1cb + 80000e40: d0072823 sw zero,-752(a4) # 801cbb4c + 80000e44: 00100713 li a4,1 + 80000e48: 02571713 slli a4,a4,0x25 + 80000e4c: 0007b023 sd zero,0(a5) + 80000e50: 00078623 sb zero,12(a5) + 80000e54: 00e7b823 sd a4,16(a5) + 80000e58: 00078c23 sb zero,24(a5) + 80000e5c: 00008067 ret + +0000000080000e60 <_Z14X6502_RunDebugi>: + 80000e60: f9010113 addi sp,sp,-112 + 80000e64: 06113423 sd ra,104(sp) + 80000e68: 06813023 sd s0,96(sp) + 80000e6c: 04913c23 sd s1,88(sp) + 80000e70: 05213823 sd s2,80(sp) + 80000e74: 05313423 sd s3,72(sp) + 80000e78: 05413023 sd s4,64(sp) + 80000e7c: 03513c23 sd s5,56(sp) + 80000e80: 03613823 sd s6,48(sp) + 80000e84: 03713423 sd s7,40(sp) + 80000e88: 03813023 sd s8,32(sp) + 80000e8c: 01913c23 sd s9,24(sp) + 80000e90: 01a13823 sd s10,16(sp) + 80000e94: 01b13423 sd s11,8(sp) + 80000e98: 001cb797 auipc a5,0x1cb + 80000e9c: 7c87c783 lbu a5,1992(a5) # 801cc660 + 80000ea0: 4e078c63 beqz a5,80001398 <_Z14X6502_RunDebugi+0x538> + 80000ea4: 0045179b slliw a5,a0,0x4 + 80000ea8: 40a7853b subw a0,a5,a0 + 80000eac: 00057417 auipc s0,0x57 + 80000eb0: 9a440413 addi s0,s0,-1628 # 80057850 + 80000eb4: 001cc697 auipc a3,0x1cc + 80000eb8: 8f068693 addi a3,a3,-1808 # 801cc7a4 + 80000ebc: 01042783 lw a5,16(s0) + 80000ec0: 0006a703 lw a4,0(a3) + 80000ec4: 00a784bb addw s1,a5,a0 + 80000ec8: 0017079b addiw a5,a4,1 + 80000ecc: 00942823 sw s1,16(s0) + 80000ed0: 00f6a023 sw a5,0(a3) + 80000ed4: 18905e63 blez s1,80001070 <_Z14X6502_RunDebugi+0x210> + 80000ed8: 00010ab7 lui s5,0x10 + 80000edc: fffffb37 lui s6,0xfffff + 80000ee0: ffffdbb7 lui s7,0xffffd + 80000ee4: 7ffb0b13 addi s6,s6,2047 # fffffffffffff7ff <_end+0xffffffff7fe2a7ff> + 80000ee8: 001cb997 auipc s3,0x1cb + 80000eec: c6498993 addi s3,s3,-924 # 801cbb4c + 80000ef0: 001cba17 auipc s4,0x1cb + 80000ef4: 79da0a13 addi s4,s4,1949 # 801cc68d + 80000ef8: f00a8c13 addi s8,s5,-256 # ff00 <_entry_offset+0xff00> + 80000efc: 030b8b9b addiw s7,s7,48 + 80000f00: 01442783 lw a5,20(s0) + 80000f04: 24078c63 beqz a5,8000115c <_Z14X6502_RunDebugi+0x2fc> + 80000f08: 0207f713 andi a4,a5,32 + 80000f0c: 00078693 mv a3,a5 + 80000f10: 28070263 beqz a4,80001194 <_Z14X6502_RunDebugi+0x334> + 80000f14: 00148497 auipc s1,0x148 + 80000f18: dcc48493 addi s1,s1,-564 # 80148ce0 + 80000f1c: fe04b783 ld a5,-32(s1) + 80000f20: ffca8513 addi a0,s5,-4 + 80000f24: 000780e7 jalr a5 + 80000f28: fe84b783 ld a5,-24(s1) + 80000f2c: 00a40c23 sb a0,24(s0) + 80000f30: 00a41223 sh a0,4(s0) + 80000f34: ffda8513 addi a0,s5,-3 + 80000f38: 000780e7 jalr a5 + 80000f3c: 00445703 lhu a4,4(s0) + 80000f40: 00851693 slli a3,a0,0x8 + 80000f44: 01442783 lw a5,20(s0) + 80000f48: 00d76733 or a4,a4,a3 + 80000f4c: 00e41223 sh a4,4(s0) + 80000f50: 00057717 auipc a4,0x57 + 80000f54: 90070623 sb zero,-1780(a4) # 8005785c + 80000f58: 00400713 li a4,4 + 80000f5c: 00e40523 sb a4,10(s0) + 80000f60: 00a40c23 sb a0,24(s0) + 80000f64: fdf7f793 andi a5,a5,-33 + 80000f68: 00400713 li a4,4 + 80000f6c: 01042683 lw a3,16(s0) + 80000f70: 0167f7b3 and a5,a5,s6 + 80000f74: 00f42a23 sw a5,20(s0) + 80000f78: 24d05263 blez a3,800011bc <_Z14X6502_RunDebugi+0x35c> + 80000f7c: 00445503 lhu a0,4(s0) + 80000f80: 000c8917 auipc s2,0xc8 + 80000f84: d6090913 addi s2,s2,-672 # 800c8ce0 + 80000f88: 00e405a3 sb a4,11(s0) + 80000f8c: 02051793 slli a5,a0,0x20 + 80000f90: 01d7d793 srli a5,a5,0x1d + 80000f94: 00f907b3 add a5,s2,a5 + 80000f98: 0007b783 ld a5,0(a5) + 80000f9c: 000780e7 jalr a5 + 80000fa0: 0003c797 auipc a5,0x3c + 80000fa4: 27078793 addi a5,a5,624 # 8003d210 <_ZL8CycTable> + 80000fa8: 00a787b3 add a5,a5,a0 + 80000fac: 0007c703 lbu a4,0(a5) + 80000fb0: 01042603 lw a2,16(s0) + 80000fb4: 0009a683 lw a3,0(s3) + 80000fb8: 0027179b slliw a5,a4,0x2 + 80000fbc: 40f707bb subw a5,a4,a5 + 80000fc0: 00042c83 lw s9,0(s0) + 80000fc4: 0047979b slliw a5,a5,0x4 + 80000fc8: 000a4583 lbu a1,0(s4) + 80000fcc: 00f607bb addw a5,a2,a5 + 80000fd0: 00e686bb addw a3,a3,a4 + 80000fd4: 00a40c23 sb a0,24(s0) + 80000fd8: 00f42823 sw a5,16(s0) + 80000fdc: 00d9a023 sw a3,0(s3) + 80000fe0: 00050493 mv s1,a0 + 80000fe4: 00ec8cbb addw s9,s9,a4 + 80000fe8: 16059e63 bnez a1,80001164 <_Z14X6502_RunDebugi+0x304> + 80000fec: 001cb617 auipc a2,0x1cb + 80000ff0: b5c60613 addi a2,a2,-1188 # 801cbb48 + 80000ff4: 00062683 lw a3,0(a2) + 80000ff8: 00057797 auipc a5,0x57 + 80000ffc: 8407ac23 sw zero,-1960(a5) # 80057850 + 80001000: 001cb797 auipc a5,0x1cb + 80001004: b407b783 ld a5,-1216(a5) # 801cbb40 + 80001008: 00e6873b addw a4,a3,a4 + 8000100c: 00e62023 sw a4,0(a2) + 80001010: 16078c63 beqz a5,80001188 <_Z14X6502_RunDebugi+0x328> + 80001014: 000c8513 mv a0,s9 + 80001018: 000780e7 jalr a5 + 8000101c: 000a4783 lbu a5,0(s4) + 80001020: 16078463 beqz a5,80001188 <_Z14X6502_RunDebugi+0x328> + 80001024: 00445c83 lhu s9,4(s0) + 80001028: 0003c717 auipc a4,0x3c + 8000102c: de470713 addi a4,a4,-540 # 8003ce0c <_ZZ21SetNESDeemph_OldHackyhiE5rtmul+0x2c> + 80001030: 00249493 slli s1,s1,0x2 + 80001034: 00e484b3 add s1,s1,a4 + 80001038: 0004a783 lw a5,0(s1) + 8000103c: 001c851b addiw a0,s9,1 + 80001040: 03051513 slli a0,a0,0x30 + 80001044: 03055513 srli a0,a0,0x30 + 80001048: 00e787b3 add a5,a5,a4 + 8000104c: 00a41223 sh a0,4(s0) + 80001050: 00078067 jr a5 + 80001054: 002c851b addiw a0,s9,2 + 80001058: 03051513 slli a0,a0,0x30 + 8000105c: 01042483 lw s1,16(s0) + 80001060: 03055513 srli a0,a0,0x30 + 80001064: 00a41223 sh a0,4(s0) + 80001068: 08ac8863 beq s9,a0,800010f8 <_Z14X6502_RunDebugi+0x298> + 8000106c: e8904ae3 bgtz s1,80000f00 <_Z14X6502_RunDebugi+0xa0> + 80001070: 06813083 ld ra,104(sp) + 80001074: 06013403 ld s0,96(sp) + 80001078: 05813483 ld s1,88(sp) + 8000107c: 05013903 ld s2,80(sp) + 80001080: 04813983 ld s3,72(sp) + 80001084: 04013a03 ld s4,64(sp) + 80001088: 03813a83 ld s5,56(sp) + 8000108c: 03013b03 ld s6,48(sp) + 80001090: 02813b83 ld s7,40(sp) + 80001094: 02013c03 ld s8,32(sp) + 80001098: 01813c83 ld s9,24(sp) + 8000109c: 01013d03 ld s10,16(sp) + 800010a0: 00813d83 ld s11,8(sp) + 800010a4: 07010113 addi sp,sp,112 + 800010a8: 00008067 ret + 800010ac: 00042703 lw a4,0(s0) + 800010b0: 01042483 lw s1,16(s0) + 800010b4: 0009a783 lw a5,0(s3) + 800010b8: 000a4683 lbu a3,0(s4) + 800010bc: 017484bb addw s1,s1,s7 + 800010c0: 0ff7071b addiw a4,a4,255 + 800010c4: 0ff7879b addiw a5,a5,255 + 800010c8: 00e42023 sw a4,0(s0) + 800010cc: 00942823 sw s1,16(s0) + 800010d0: 00f9a023 sw a5,0(s3) + 800010d4: 00069c63 bnez a3,800010ec <_Z14X6502_RunDebugi+0x28c> + 800010d8: 001cb717 auipc a4,0x1cb + 800010dc: a7070713 addi a4,a4,-1424 # 801cbb48 + 800010e0: 00072783 lw a5,0(a4) + 800010e4: 0ff7879b addiw a5,a5,255 + 800010e8: 00f72023 sw a5,0(a4) + 800010ec: 00100793 li a5,1 + 800010f0: 00f40623 sb a5,12(s0) + 800010f4: 01941223 sh s9,4(s0) + 800010f8: f6905ce3 blez s1,80001070 <_Z14X6502_RunDebugi+0x210> + 800010fc: 00048513 mv a0,s1 + 80001100: 03000593 li a1,48 + 80001104: 51d380ef jal ra,80039e20 <__divdi3> + 80001108: 00042683 lw a3,0(s0) + 8000110c: 0009a703 lw a4,0(s3) + 80001110: 0025179b slliw a5,a0,0x2 + 80001114: 40f507bb subw a5,a0,a5 + 80001118: 0047979b slliw a5,a5,0x4 + 8000111c: 000a4603 lbu a2,0(s4) + 80001120: 009784bb addw s1,a5,s1 + 80001124: 00a686bb addw a3,a3,a0 + 80001128: 00a707bb addw a5,a4,a0 + 8000112c: 00d42023 sw a3,0(s0) + 80001130: 00942823 sw s1,16(s0) + 80001134: 00f9a023 sw a5,0(s3) + 80001138: 0005051b sext.w a0,a0 + 8000113c: f20618e3 bnez a2,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001140: 001cb717 auipc a4,0x1cb + 80001144: a0870713 addi a4,a4,-1528 # 801cbb48 + 80001148: 00072783 lw a5,0(a4) + 8000114c: 00a7853b addw a0,a5,a0 + 80001150: 00a72023 sw a0,0(a4) + 80001154: da9046e3 bgtz s1,80000f00 <_Z14X6502_RunDebugi+0xa0> + 80001158: f19ff06f j 80001070 <_Z14X6502_RunDebugi+0x210> + 8000115c: 00a44703 lbu a4,10(s0) + 80001160: e1dff06f j 80000f7c <_Z14X6502_RunDebugi+0x11c> + 80001164: 00056797 auipc a5,0x56 + 80001168: 6e07a623 sw zero,1772(a5) # 80057850 + 8000116c: 001cb797 auipc a5,0x1cb + 80001170: 9d47b783 ld a5,-1580(a5) # 801cbb40 + 80001174: ea0788e3 beqz a5,80001024 <_Z14X6502_RunDebugi+0x1c4> + 80001178: 000c8513 mv a0,s9 + 8000117c: 000780e7 jalr a5 + 80001180: 000a4783 lbu a5,0(s4) + 80001184: ea0790e3 bnez a5,80001024 <_Z14X6502_RunDebugi+0x1c4> + 80001188: 000c8513 mv a0,s9 + 8000118c: 2fc0c0ef jal ra,8000d488 <_Z17FCEU_SoundCPUHooki> + 80001190: e95ff06f j 80001024 <_Z14X6502_RunDebugi+0x1c4> + 80001194: 0407f613 andi a2,a5,64 + 80001198: 00a44703 lbu a4,10(s0) + 8000119c: 02060463 beqz a2,800011c4 <_Z14X6502_RunDebugi+0x364> + 800011a0: fbf6f793 andi a5,a3,-65 + 800011a4: 0807e793 ori a5,a5,128 + 800011a8: 0007879b sext.w a5,a5 + 800011ac: 01042683 lw a3,16(s0) + 800011b0: 0167f7b3 and a5,a5,s6 + 800011b4: 00f42a23 sw a5,20(s0) + 800011b8: dcd042e3 bgtz a3,80000f7c <_Z14X6502_RunDebugi+0x11c> + 800011bc: 00e405a3 sb a4,11(s0) + 800011c0: eb1ff06f j 80001070 <_Z14X6502_RunDebugi+0x210> + 800011c4: 0806f693 andi a3,a3,128 + 800011c8: 00c44603 lbu a2,12(s0) + 800011cc: 0e068263 beqz a3,800012b0 <_Z14X6502_RunDebugi+0x450> + 800011d0: d8061ee3 bnez a2,80000f6c <_Z14X6502_RunDebugi+0x10c> + 800011d4: 00042683 lw a3,0(s0) + 800011d8: 0009a783 lw a5,0(s3) + 800011dc: 000a4603 lbu a2,0(s4) + 800011e0: 0076869b addiw a3,a3,7 + 800011e4: eb04849b addiw s1,s1,-336 + 800011e8: 0077879b addiw a5,a5,7 + 800011ec: 00d42023 sw a3,0(s0) + 800011f0: 00942823 sw s1,16(s0) + 800011f4: 00f9a023 sw a5,0(s3) + 800011f8: 00061c63 bnez a2,80001210 <_Z14X6502_RunDebugi+0x3b0> + 800011fc: 001cb697 auipc a3,0x1cb + 80001200: 94c68693 addi a3,a3,-1716 # 801cbb48 + 80001204: 0006a783 lw a5,0(a3) + 80001208: 0077879b addiw a5,a5,7 + 8000120c: 00f6a023 sw a5,0(a3) + 80001210: 00944783 lbu a5,9(s0) + 80001214: 00445803 lhu a6,4(s0) + 80001218: 001c8697 auipc a3,0x1c8 + 8000121c: b1068693 addi a3,a3,-1264 # 801c8d28 + 80001220: fff7861b addiw a2,a5,-1 + 80001224: 00f685b3 add a1,a3,a5 + 80001228: 4088589b sraiw a7,a6,0x8 + 8000122c: ffe7851b addiw a0,a5,-2 + 80001230: 00148497 auipc s1,0x148 + 80001234: ab048493 addi s1,s1,-1360 # 80148ce0 + 80001238: 0ff67613 andi a2,a2,255 + 8000123c: 11158023 sb a7,256(a1) + 80001240: 00c68633 add a2,a3,a2 + 80001244: fcf77593 andi a1,a4,-49 + 80001248: fd04b883 ld a7,-48(s1) + 8000124c: 0ff57513 andi a0,a0,255 + 80001250: 00a686b3 add a3,a3,a0 + 80001254: 00476713 ori a4,a4,4 + 80001258: 11060023 sb a6,256(a2) + 8000125c: ffd7879b addiw a5,a5,-3 + 80001260: 0205e613 ori a2,a1,32 + 80001264: 10c68023 sb a2,256(a3) + 80001268: 00e40523 sb a4,10(s0) + 8000126c: 00f404a3 sb a5,9(s0) + 80001270: ffaa8513 addi a0,s5,-6 + 80001274: 000880e7 jalr a7 + 80001278: fd84b783 ld a5,-40(s1) + 8000127c: 00a40c23 sb a0,24(s0) + 80001280: 00a41223 sh a0,4(s0) + 80001284: ffba8513 addi a0,s5,-5 + 80001288: 000780e7 jalr a5 + 8000128c: 00445703 lhu a4,4(s0) + 80001290: 01442783 lw a5,20(s0) + 80001294: 00851693 slli a3,a0,0x8 + 80001298: 00d766b3 or a3,a4,a3 + 8000129c: 00a40c23 sb a0,24(s0) + 800012a0: 00a44703 lbu a4,10(s0) + 800012a4: 00d41223 sh a3,4(s0) + 800012a8: f7f7f793 andi a5,a5,-129 + 800012ac: cc1ff06f j 80000f6c <_Z14X6502_RunDebugi+0x10c> + 800012b0: 00b44683 lbu a3,11(s0) + 800012b4: 0046f693 andi a3,a3,4 + 800012b8: 00d66633 or a2,a2,a3 + 800012bc: ca0618e3 bnez a2,80000f6c <_Z14X6502_RunDebugi+0x10c> + 800012c0: 00042683 lw a3,0(s0) + 800012c4: 0009a783 lw a5,0(s3) + 800012c8: 000a4603 lbu a2,0(s4) + 800012cc: 0076869b addiw a3,a3,7 + 800012d0: eb04849b addiw s1,s1,-336 + 800012d4: 0077879b addiw a5,a5,7 + 800012d8: 00d42023 sw a3,0(s0) + 800012dc: 00942823 sw s1,16(s0) + 800012e0: 00f9a023 sw a5,0(s3) + 800012e4: 00061c63 bnez a2,800012fc <_Z14X6502_RunDebugi+0x49c> + 800012e8: 001cb697 auipc a3,0x1cb + 800012ec: 86068693 addi a3,a3,-1952 # 801cbb48 + 800012f0: 0006a783 lw a5,0(a3) + 800012f4: 0077879b addiw a5,a5,7 + 800012f8: 00f6a023 sw a5,0(a3) + 800012fc: 00944783 lbu a5,9(s0) + 80001300: 00445803 lhu a6,4(s0) + 80001304: 001c8697 auipc a3,0x1c8 + 80001308: a2468693 addi a3,a3,-1500 # 801c8d28 + 8000130c: fff7861b addiw a2,a5,-1 + 80001310: 00f685b3 add a1,a3,a5 + 80001314: 4088589b sraiw a7,a6,0x8 + 80001318: ffe7851b addiw a0,a5,-2 + 8000131c: 00148497 auipc s1,0x148 + 80001320: 9c448493 addi s1,s1,-1596 # 80148ce0 + 80001324: 0ff67613 andi a2,a2,255 + 80001328: 11158023 sb a7,256(a1) + 8000132c: 00c68633 add a2,a3,a2 + 80001330: fcf77593 andi a1,a4,-49 + 80001334: ff04b883 ld a7,-16(s1) + 80001338: 0ff57513 andi a0,a0,255 + 8000133c: 00a686b3 add a3,a3,a0 + 80001340: 00476713 ori a4,a4,4 + 80001344: 11060023 sb a6,256(a2) + 80001348: ffd7879b addiw a5,a5,-3 + 8000134c: 0205e613 ori a2,a1,32 + 80001350: 10c68023 sb a2,256(a3) + 80001354: 00e40523 sb a4,10(s0) + 80001358: 00f404a3 sb a5,9(s0) + 8000135c: ffea8513 addi a0,s5,-2 + 80001360: 000880e7 jalr a7 + 80001364: ff84b783 ld a5,-8(s1) + 80001368: 00a40c23 sb a0,24(s0) + 8000136c: 00a41223 sh a0,4(s0) + 80001370: fffa8513 addi a0,s5,-1 + 80001374: 000780e7 jalr a5 + 80001378: 00445683 lhu a3,4(s0) + 8000137c: 00851613 slli a2,a0,0x8 + 80001380: 01442783 lw a5,20(s0) + 80001384: 00c6e6b3 or a3,a3,a2 + 80001388: 00a44703 lbu a4,10(s0) + 8000138c: 00a40c23 sb a0,24(s0) + 80001390: 00d41223 sh a3,4(s0) + 80001394: bd9ff06f j 80000f6c <_Z14X6502_RunDebugi+0x10c> + 80001398: 0045151b slliw a0,a0,0x4 + 8000139c: b11ff06f j 80000eac <_Z14X6502_RunDebugi+0x4c> + 800013a0: 01042483 lw s1,16(s0) + 800013a4: ccac94e3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800013a8: d51ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800013ac: 00351793 slli a5,a0,0x3 + 800013b0: 00f907b3 add a5,s2,a5 + 800013b4: 0007b783 ld a5,0(a5) + 800013b8: 000780e7 jalr a5 + 800013bc: 00445783 lhu a5,4(s0) + 800013c0: 00050713 mv a4,a0 + 800013c4: 00a40c23 sb a0,24(s0) + 800013c8: 0017879b addiw a5,a5,1 + 800013cc: 03079513 slli a0,a5,0x30 + 800013d0: 03055513 srli a0,a0,0x30 + 800013d4: 00351793 slli a5,a0,0x3 + 800013d8: 00f907b3 add a5,s2,a5 + 800013dc: 0007b783 ld a5,0(a5) + 800013e0: 00a41223 sh a0,4(s0) + 800013e4: 0007049b sext.w s1,a4 + 800013e8: 000780e7 jalr a5 + 800013ec: 00744703 lbu a4,7(s0) + 800013f0: 00445683 lhu a3,4(s0) + 800013f4: 00851793 slli a5,a0,0x8 + 800013f8: 0097e7b3 or a5,a5,s1 + 800013fc: 00f7073b addw a4,a4,a5 + 80001400: 0016869b addiw a3,a3,1 + 80001404: 00e7c7b3 xor a5,a5,a4 + 80001408: 00a40c23 sb a0,24(s0) + 8000140c: 00d41223 sh a3,4(s0) + 80001410: 1007f793 andi a5,a5,256 + 80001414: 0007049b sext.w s1,a4 + 80001418: 06078463 beqz a5,80001480 <_Z14X6502_RunDebugi+0x620> + 8000141c: 03071493 slli s1,a4,0x30 + 80001420: 0304d493 srli s1,s1,0x30 + 80001424: 1004c793 xori a5,s1,256 + 80001428: 00379793 slli a5,a5,0x3 + 8000142c: 00f907b3 add a5,s2,a5 + 80001430: 0007b783 ld a5,0(a5) + 80001434: 1004c513 xori a0,s1,256 + 80001438: 000780e7 jalr a5 + 8000143c: 00042683 lw a3,0(s0) + 80001440: 01042703 lw a4,16(s0) + 80001444: 0009a783 lw a5,0(s3) + 80001448: 000a4603 lbu a2,0(s4) + 8000144c: 0016869b addiw a3,a3,1 + 80001450: fd07071b addiw a4,a4,-48 + 80001454: 0017879b addiw a5,a5,1 + 80001458: 00a40c23 sb a0,24(s0) + 8000145c: 00d42023 sw a3,0(s0) + 80001460: 00e42823 sw a4,16(s0) + 80001464: 00f9a023 sw a5,0(s3) + 80001468: 00061c63 bnez a2,80001480 <_Z14X6502_RunDebugi+0x620> + 8000146c: 001ca717 auipc a4,0x1ca + 80001470: 6dc70713 addi a4,a4,1756 # 801cbb48 + 80001474: 00072783 lw a5,0(a4) + 80001478: 0017879b addiw a5,a5,1 + 8000147c: 00f72023 sw a5,0(a4) + 80001480: 02049793 slli a5,s1,0x20 + 80001484: 01d7d793 srli a5,a5,0x1d + 80001488: 00f90933 add s2,s2,a5 + 8000148c: 00093783 ld a5,0(s2) + 80001490: 00048513 mv a0,s1 + 80001494: 000780e7 jalr a5 + 80001498: 00050793 mv a5,a0 + 8000149c: 00445503 lhu a0,4(s0) + 800014a0: 00f40c23 sb a5,24(s0) + 800014a4: 01042483 lw s1,16(s0) + 800014a8: bcac92e3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800014ac: c4dff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800014b0: 00351793 slli a5,a0,0x3 + 800014b4: 00f90933 add s2,s2,a5 + 800014b8: 00093783 ld a5,0(s2) + 800014bc: 000780e7 jalr a5 + 800014c0: 00a44703 lbu a4,10(s0) + 800014c4: 00644603 lbu a2,6(s0) + 800014c8: 00050593 mv a1,a0 + 800014cc: fff74693 not a3,a4 + 800014d0: 40a607bb subw a5,a2,a0 + 800014d4: 0016f693 andi a3,a3,1 + 800014d8: 40d787bb subw a5,a5,a3 + 800014dc: 0007881b sext.w a6,a5 + 800014e0: 0ff87513 andi a0,a6,255 + 800014e4: 00056697 auipc a3,0x56 + 800014e8: 38c68693 addi a3,a3,908 # 80057870 <_ZL7ZNTable> + 800014ec: 00a686b3 add a3,a3,a0 + 800014f0: 0006c883 lbu a7,0(a3) + 800014f4: 00445503 lhu a0,4(s0) + 800014f8: 4087d69b sraiw a3,a5,0x8 + 800014fc: 00f647b3 xor a5,a2,a5 + 80001500: 00b64633 xor a2,a2,a1 + 80001504: 03c77713 andi a4,a4,60 + 80001508: 0016c693 xori a3,a3,1 + 8000150c: 00c7f7b3 and a5,a5,a2 + 80001510: 01176733 or a4,a4,a7 + 80001514: 0016f693 andi a3,a3,1 + 80001518: 0017d79b srliw a5,a5,0x1 + 8000151c: 0015051b addiw a0,a0,1 + 80001520: 00d76733 or a4,a4,a3 + 80001524: 0407f793 andi a5,a5,64 + 80001528: 03051513 slli a0,a0,0x30 + 8000152c: 03055513 srli a0,a0,0x30 + 80001530: 00f767b3 or a5,a4,a5 + 80001534: 00b40c23 sb a1,24(s0) + 80001538: 00a41223 sh a0,4(s0) + 8000153c: 01040323 sb a6,6(s0) + 80001540: 00f40523 sb a5,10(s0) + 80001544: 01042483 lw s1,16(s0) + 80001548: b2ac92e3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 8000154c: badff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001550: 00351793 slli a5,a0,0x3 + 80001554: 00f90933 add s2,s2,a5 + 80001558: 00093783 ld a5,0(s2) + 8000155c: 000780e7 jalr a5 + 80001560: 00644703 lbu a4,6(s0) + 80001564: 00056617 auipc a2,0x56 + 80001568: 30c60613 addi a2,a2,780 # 80057870 <_ZL7ZNTable> + 8000156c: 00a44783 lbu a5,10(s0) + 80001570: 00e57733 and a4,a0,a4 + 80001574: 00e60633 add a2,a2,a4 + 80001578: 00064603 lbu a2,0(a2) + 8000157c: 00050693 mv a3,a0 + 80001580: 00445503 lhu a0,4(s0) + 80001584: 07d7f793 andi a5,a5,125 + 80001588: 00c7e7b3 or a5,a5,a2 + 8000158c: 0015051b addiw a0,a0,1 + 80001590: ffe7f793 andi a5,a5,-2 + 80001594: 00775613 srli a2,a4,0x7 + 80001598: 03051513 slli a0,a0,0x30 + 8000159c: 03055513 srli a0,a0,0x30 + 800015a0: 00c7e7b3 or a5,a5,a2 + 800015a4: 00d40c23 sb a3,24(s0) + 800015a8: 00a41223 sh a0,4(s0) + 800015ac: 00e40323 sb a4,6(s0) + 800015b0: 00f40523 sb a5,10(s0) + 800015b4: 01042483 lw s1,16(s0) + 800015b8: aaac9ae3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800015bc: b3dff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800015c0: 00744783 lbu a5,7(s0) + 800015c4: 00056697 auipc a3,0x56 + 800015c8: 2ac68693 addi a3,a3,684 # 80057870 <_ZL7ZNTable> + 800015cc: 00a44703 lbu a4,10(s0) + 800015d0: 0017879b addiw a5,a5,1 + 800015d4: 0ff7f793 andi a5,a5,255 + 800015d8: 00f686b3 add a3,a3,a5 + 800015dc: 0006c683 lbu a3,0(a3) + 800015e0: 07d77713 andi a4,a4,125 + 800015e4: 00f403a3 sb a5,7(s0) + 800015e8: 00d76733 or a4,a4,a3 + 800015ec: 00e40523 sb a4,10(s0) + 800015f0: 01042483 lw s1,16(s0) + 800015f4: a6ac9ce3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800015f8: b01ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800015fc: 00351793 slli a5,a0,0x3 + 80001600: 00f90933 add s2,s2,a5 + 80001604: 00093783 ld a5,0(s2) + 80001608: 000780e7 jalr a5 + 8000160c: 02051793 slli a5,a0,0x20 + 80001610: 0207d793 srli a5,a5,0x20 + 80001614: 001c7597 auipc a1,0x1c7 + 80001618: 71458593 addi a1,a1,1812 # 801c8d28 + 8000161c: 00f585b3 add a1,a1,a5 + 80001620: 0005c803 lbu a6,0(a1) + 80001624: 00056617 auipc a2,0x56 + 80001628: 24c60613 addi a2,a2,588 # 80057870 <_ZL7ZNTable> + 8000162c: 00a44703 lbu a4,10(s0) + 80001630: 0018069b addiw a3,a6,1 + 80001634: 0ff6f793 andi a5,a3,255 + 80001638: 00f60533 add a0,a2,a5 + 8000163c: 00054883 lbu a7,0(a0) + 80001640: 07d77713 andi a4,a4,125 + 80001644: 00644503 lbu a0,6(s0) + 80001648: 01176733 or a4,a4,a7 + 8000164c: fff74893 not a7,a4 + 80001650: 40f507bb subw a5,a0,a5 + 80001654: 0018f893 andi a7,a7,1 + 80001658: 411787bb subw a5,a5,a7 + 8000165c: 0007889b sext.w a7,a5 + 80001660: 0ff8f313 andi t1,a7,255 + 80001664: 00660633 add a2,a2,t1 + 80001668: 00064e03 lbu t3,0(a2) + 8000166c: 00445303 lhu t1,4(s0) + 80001670: 0ff6f693 andi a3,a3,255 + 80001674: 4087d61b sraiw a2,a5,0x8 + 80001678: 00f547b3 xor a5,a0,a5 + 8000167c: 00d54533 xor a0,a0,a3 + 80001680: 00a7f7b3 and a5,a5,a0 + 80001684: 03c77713 andi a4,a4,60 + 80001688: 00164613 xori a2,a2,1 + 8000168c: 01c76733 or a4,a4,t3 + 80001690: 00167613 andi a2,a2,1 + 80001694: 0017d79b srliw a5,a5,0x1 + 80001698: 0013051b addiw a0,t1,1 + 8000169c: 00c76733 or a4,a4,a2 + 800016a0: 0407f793 andi a5,a5,64 + 800016a4: 03051513 slli a0,a0,0x30 + 800016a8: 03055513 srli a0,a0,0x30 + 800016ac: 00f767b3 or a5,a4,a5 + 800016b0: 00a41223 sh a0,4(s0) + 800016b4: 01040c23 sb a6,24(s0) + 800016b8: 01140323 sb a7,6(s0) + 800016bc: 00f40523 sb a5,10(s0) + 800016c0: 00d58023 sb a3,0(a1) + 800016c4: 01042483 lw s1,16(s0) + 800016c8: 9aac92e3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800016cc: a2dff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800016d0: 00351793 slli a5,a0,0x3 + 800016d4: 00f907b3 add a5,s2,a5 + 800016d8: 0007b783 ld a5,0(a5) + 800016dc: 000780e7 jalr a5 + 800016e0: 00445783 lhu a5,4(s0) + 800016e4: 00050493 mv s1,a0 + 800016e8: 00a40c23 sb a0,24(s0) + 800016ec: 0017879b addiw a5,a5,1 + 800016f0: 03079513 slli a0,a5,0x30 + 800016f4: 03055513 srli a0,a0,0x30 + 800016f8: 00351793 slli a5,a0,0x3 + 800016fc: 00f907b3 add a5,s2,a5 + 80001700: 0007b783 ld a5,0(a5) + 80001704: 00a41223 sh a0,4(s0) + 80001708: 0004849b sext.w s1,s1 + 8000170c: 000780e7 jalr a5 + 80001710: 00851713 slli a4,a0,0x8 + 80001714: 00976d33 or s10,a4,s1 + 80001718: 00445783 lhu a5,4(s0) + 8000171c: 003d1d13 slli s10,s10,0x3 + 80001720: 01a90933 add s2,s2,s10 + 80001724: 00093683 ld a3,0(s2) + 80001728: 0017879b addiw a5,a5,1 + 8000172c: 00976933 or s2,a4,s1 + 80001730: 00a40c23 sb a0,24(s0) + 80001734: 00f41223 sh a5,4(s0) + 80001738: 00090513 mv a0,s2 + 8000173c: 000680e7 jalr a3 + 80001740: 00147797 auipc a5,0x147 + 80001744: 5a078793 addi a5,a5,1440 # 80148ce0 + 80001748: 01a78d33 add s10,a5,s10 + 8000174c: 000d3783 ld a5,0(s10) + 80001750: 00050493 mv s1,a0 + 80001754: 00050593 mv a1,a0 + 80001758: 00940c23 sb s1,24(s0) + 8000175c: 00090513 mv a0,s2 + 80001760: 000780e7 jalr a5 + 80001764: 00644703 lbu a4,6(s0) + 80001768: 00a44783 lbu a5,10(s0) + 8000176c: 0014d613 srli a2,s1,0x1 + 80001770: 00056697 auipc a3,0x56 + 80001774: 10068693 addi a3,a3,256 # 80057870 <_ZL7ZNTable> + 80001778: 00060593 mv a1,a2 + 8000177c: 00c68633 add a2,a3,a2 + 80001780: 00064503 lbu a0,0(a2) + 80001784: 00e5c733 xor a4,a1,a4 + 80001788: 00e686b3 add a3,a3,a4 + 8000178c: 0014f493 andi s1,s1,1 + 80001790: 07c7f793 andi a5,a5,124 + 80001794: 0006c603 lbu a2,0(a3) + 80001798: 0097e7b3 or a5,a5,s1 + 8000179c: 00a7e7b3 or a5,a5,a0 + 800017a0: 000d3683 ld a3,0(s10) + 800017a4: 07d7f793 andi a5,a5,125 + 800017a8: 00c7e7b3 or a5,a5,a2 + 800017ac: 00090513 mv a0,s2 + 800017b0: 00e40323 sb a4,6(s0) + 800017b4: 00f40523 sb a5,10(s0) + 800017b8: 000680e7 jalr a3 + 800017bc: 00445503 lhu a0,4(s0) + 800017c0: 01042483 lw s1,16(s0) + 800017c4: 8aac94e3 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800017c8: 931ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800017cc: 00351793 slli a5,a0,0x3 + 800017d0: 00f907b3 add a5,s2,a5 + 800017d4: 0007b783 ld a5,0(a5) + 800017d8: 000780e7 jalr a5 + 800017dc: 00445783 lhu a5,4(s0) + 800017e0: 00050493 mv s1,a0 + 800017e4: 00a40c23 sb a0,24(s0) + 800017e8: 0017879b addiw a5,a5,1 + 800017ec: 03079513 slli a0,a5,0x30 + 800017f0: 03055513 srli a0,a0,0x30 + 800017f4: 00351793 slli a5,a0,0x3 + 800017f8: 00f907b3 add a5,s2,a5 + 800017fc: 0007b783 ld a5,0(a5) + 80001800: 00a41223 sh a0,4(s0) + 80001804: 0004849b sext.w s1,s1 + 80001808: 000780e7 jalr a5 + 8000180c: 00851713 slli a4,a0,0x8 + 80001810: 00976d33 or s10,a4,s1 + 80001814: 00445783 lhu a5,4(s0) + 80001818: 003d1d93 slli s11,s10,0x3 + 8000181c: 01b90933 add s2,s2,s11 + 80001820: 00093683 ld a3,0(s2) + 80001824: 0017879b addiw a5,a5,1 + 80001828: 000d0913 mv s2,s10 + 8000182c: 00a40c23 sb a0,24(s0) + 80001830: 00f41223 sh a5,4(s0) + 80001834: 000d0513 mv a0,s10 + 80001838: 00147d17 auipc s10,0x147 + 8000183c: 4a8d0d13 addi s10,s10,1192 # 80148ce0 + 80001840: 000680e7 jalr a3 + 80001844: 01bd0d33 add s10,s10,s11 + 80001848: 000d3783 ld a5,0(s10) + 8000184c: 00050493 mv s1,a0 + 80001850: 00050593 mv a1,a0 + 80001854: 00940c23 sb s1,24(s0) + 80001858: 00090513 mv a0,s2 + 8000185c: 000780e7 jalr a5 + 80001860: 00a44783 lbu a5,10(s0) + 80001864: 0014d593 srli a1,s1,0x1 + 80001868: 00056717 auipc a4,0x56 + 8000186c: 00870713 addi a4,a4,8 # 80057870 <_ZL7ZNTable> + 80001870: 00b70733 add a4,a4,a1 + 80001874: 00074683 lbu a3,0(a4) + 80001878: 0014f493 andi s1,s1,1 + 8000187c: 07c7f793 andi a5,a5,124 + 80001880: 0097e7b3 or a5,a5,s1 + 80001884: 000d3703 ld a4,0(s10) + 80001888: 00d7e7b3 or a5,a5,a3 + 8000188c: 00090513 mv a0,s2 + 80001890: 00f40523 sb a5,10(s0) + 80001894: 000700e7 jalr a4 + 80001898: 00445503 lhu a0,4(s0) + 8000189c: 01042483 lw s1,16(s0) + 800018a0: fcac9663 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800018a4: 855ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800018a8: 00351793 slli a5,a0,0x3 + 800018ac: 00f907b3 add a5,s2,a5 + 800018b0: 0007b783 ld a5,0(a5) + 800018b4: 000780e7 jalr a5 + 800018b8: 00445783 lhu a5,4(s0) + 800018bc: 00050493 mv s1,a0 + 800018c0: 00a40c23 sb a0,24(s0) + 800018c4: 0017879b addiw a5,a5,1 + 800018c8: 03079513 slli a0,a5,0x30 + 800018cc: 03055513 srli a0,a0,0x30 + 800018d0: 00351793 slli a5,a0,0x3 + 800018d4: 00f907b3 add a5,s2,a5 + 800018d8: 0007b783 ld a5,0(a5) + 800018dc: 00a41223 sh a0,4(s0) + 800018e0: 0004849b sext.w s1,s1 + 800018e4: 000780e7 jalr a5 + 800018e8: 00851693 slli a3,a0,0x8 + 800018ec: 0096e7b3 or a5,a3,s1 + 800018f0: 00445703 lhu a4,4(s0) + 800018f4: 00379793 slli a5,a5,0x3 + 800018f8: 00f90933 add s2,s2,a5 + 800018fc: 00093603 ld a2,0(s2) + 80001900: 0017079b addiw a5,a4,1 + 80001904: 00a40c23 sb a0,24(s0) + 80001908: 00f41223 sh a5,4(s0) + 8000190c: 0096e533 or a0,a3,s1 + 80001910: 000600e7 jalr a2 + 80001914: 00056697 auipc a3,0x56 + 80001918: f5c68693 addi a3,a3,-164 # 80057870 <_ZL7ZNTable> + 8000191c: 00a44783 lbu a5,10(s0) + 80001920: 00a686b3 add a3,a3,a0 + 80001924: 0006c683 lbu a3,0(a3) + 80001928: 00050713 mv a4,a0 + 8000192c: 07d7f793 andi a5,a5,125 + 80001930: 00445503 lhu a0,4(s0) + 80001934: 00d7e7b3 or a5,a5,a3 + 80001938: 00e40c23 sb a4,24(s0) + 8000193c: 00e40323 sb a4,6(s0) + 80001940: 00f40523 sb a5,10(s0) + 80001944: 01042483 lw s1,16(s0) + 80001948: f2ac9263 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 8000194c: facff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001950: 00351793 slli a5,a0,0x3 + 80001954: 00f907b3 add a5,s2,a5 + 80001958: 0007b783 ld a5,0(a5) + 8000195c: 000780e7 jalr a5 + 80001960: 00445783 lhu a5,4(s0) + 80001964: 00050493 mv s1,a0 + 80001968: 00a40c23 sb a0,24(s0) + 8000196c: 0017879b addiw a5,a5,1 + 80001970: 03079513 slli a0,a5,0x30 + 80001974: 03055513 srli a0,a0,0x30 + 80001978: 00351793 slli a5,a0,0x3 + 8000197c: 00f907b3 add a5,s2,a5 + 80001980: 0007b783 ld a5,0(a5) + 80001984: 00a41223 sh a0,4(s0) + 80001988: 0004849b sext.w s1,s1 + 8000198c: 000780e7 jalr a5 + 80001990: 00851693 slli a3,a0,0x8 + 80001994: 0096e7b3 or a5,a3,s1 + 80001998: 00445703 lhu a4,4(s0) + 8000199c: 00379793 slli a5,a5,0x3 + 800019a0: 00f90933 add s2,s2,a5 + 800019a4: 00093603 ld a2,0(s2) + 800019a8: 0017079b addiw a5,a4,1 + 800019ac: 00a40c23 sb a0,24(s0) + 800019b0: 00f41223 sh a5,4(s0) + 800019b4: 0096e533 or a0,a3,s1 + 800019b8: 000600e7 jalr a2 + 800019bc: 00056697 auipc a3,0x56 + 800019c0: eb468693 addi a3,a3,-332 # 80057870 <_ZL7ZNTable> + 800019c4: 00a44783 lbu a5,10(s0) + 800019c8: 00a686b3 add a3,a3,a0 + 800019cc: 0006c683 lbu a3,0(a3) + 800019d0: 00050713 mv a4,a0 + 800019d4: 07d7f793 andi a5,a5,125 + 800019d8: 00445503 lhu a0,4(s0) + 800019dc: 00d7e7b3 or a5,a5,a3 + 800019e0: 00e40c23 sb a4,24(s0) + 800019e4: 00e40423 sb a4,8(s0) + 800019e8: 00f40523 sb a5,10(s0) + 800019ec: 01042483 lw s1,16(s0) + 800019f0: e6ac9e63 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 800019f4: f04ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800019f8: 00351793 slli a5,a0,0x3 + 800019fc: 00f90933 add s2,s2,a5 + 80001a00: 00093783 ld a5,0(s2) + 80001a04: 000780e7 jalr a5 + 80001a08: 00050793 mv a5,a0 + 80001a0c: 00056697 auipc a3,0x56 + 80001a10: e6468693 addi a3,a3,-412 # 80057870 <_ZL7ZNTable> + 80001a14: 00445503 lhu a0,4(s0) + 80001a18: 00a44703 lbu a4,10(s0) + 80001a1c: 00f686b3 add a3,a3,a5 + 80001a20: 0006c683 lbu a3,0(a3) + 80001a24: 0015051b addiw a0,a0,1 + 80001a28: 07d77713 andi a4,a4,125 + 80001a2c: 03051513 slli a0,a0,0x30 + 80001a30: 03055513 srli a0,a0,0x30 + 80001a34: 00d76733 or a4,a4,a3 + 80001a38: 00f40c23 sb a5,24(s0) + 80001a3c: 00a41223 sh a0,4(s0) + 80001a40: 00f40323 sb a5,6(s0) + 80001a44: 00e40523 sb a4,10(s0) + 80001a48: 00f403a3 sb a5,7(s0) + 80001a4c: 01042483 lw s1,16(s0) + 80001a50: e0ac9e63 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001a54: ea4ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001a58: 00644683 lbu a3,6(s0) + 80001a5c: 00056717 auipc a4,0x56 + 80001a60: e1470713 addi a4,a4,-492 # 80057870 <_ZL7ZNTable> + 80001a64: 00a44783 lbu a5,10(s0) + 80001a68: 00d70733 add a4,a4,a3 + 80001a6c: 00074703 lbu a4,0(a4) + 80001a70: 07d7f793 andi a5,a5,125 + 80001a74: 00d403a3 sb a3,7(s0) + 80001a78: 00e7e7b3 or a5,a5,a4 + 80001a7c: 00f40523 sb a5,10(s0) + 80001a80: 01042483 lw s1,16(s0) + 80001a84: deac9463 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001a88: e70ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001a8c: 00351793 slli a5,a0,0x3 + 80001a90: 00f90933 add s2,s2,a5 + 80001a94: 00093783 ld a5,0(s2) + 80001a98: 000780e7 jalr a5 + 80001a9c: 00050713 mv a4,a0 + 80001aa0: 00056697 auipc a3,0x56 + 80001aa4: dd068693 addi a3,a3,-560 # 80057870 <_ZL7ZNTable> + 80001aa8: 00445503 lhu a0,4(s0) + 80001aac: 00a44783 lbu a5,10(s0) + 80001ab0: 00e686b3 add a3,a3,a4 + 80001ab4: 0006c683 lbu a3,0(a3) + 80001ab8: 0015051b addiw a0,a0,1 + 80001abc: 07d7f793 andi a5,a5,125 + 80001ac0: 03051513 slli a0,a0,0x30 + 80001ac4: 03055513 srli a0,a0,0x30 + 80001ac8: 00d7e7b3 or a5,a5,a3 + 80001acc: 00e40c23 sb a4,24(s0) + 80001ad0: 00a41223 sh a0,4(s0) + 80001ad4: 00e40323 sb a4,6(s0) + 80001ad8: 00f40523 sb a5,10(s0) + 80001adc: 01042483 lw s1,16(s0) + 80001ae0: d8ac9663 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001ae4: e14ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001ae8: 00644683 lbu a3,6(s0) + 80001aec: 00056717 auipc a4,0x56 + 80001af0: d8470713 addi a4,a4,-636 # 80057870 <_ZL7ZNTable> + 80001af4: 00a44783 lbu a5,10(s0) + 80001af8: 00d70733 add a4,a4,a3 + 80001afc: 00074703 lbu a4,0(a4) + 80001b00: 07d7f793 andi a5,a5,125 + 80001b04: 00d40423 sb a3,8(s0) + 80001b08: 00e7e7b3 or a5,a5,a4 + 80001b0c: 00f40523 sb a5,10(s0) + 80001b10: 01042483 lw s1,16(s0) + 80001b14: d4ac9c63 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001b18: de0ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001b1c: 00351793 slli a5,a0,0x3 + 80001b20: 00f90933 add s2,s2,a5 + 80001b24: 00093783 ld a5,0(s2) + 80001b28: 000780e7 jalr a5 + 80001b2c: 02051713 slli a4,a0,0x20 + 80001b30: 02075713 srli a4,a4,0x20 + 80001b34: 001c7797 auipc a5,0x1c7 + 80001b38: 1f478793 addi a5,a5,500 # 801c8d28 + 80001b3c: 00e787b3 add a5,a5,a4 + 80001b40: 0007c683 lbu a3,0(a5) + 80001b44: 00056717 auipc a4,0x56 + 80001b48: d2c70713 addi a4,a4,-724 # 80057870 <_ZL7ZNTable> + 80001b4c: 00a44783 lbu a5,10(s0) + 80001b50: 00d70733 add a4,a4,a3 + 80001b54: 00074703 lbu a4,0(a4) + 80001b58: 00445503 lhu a0,4(s0) + 80001b5c: 00d40c23 sb a3,24(s0) + 80001b60: 00f767b3 or a5,a4,a5 + 80001b64: 0015051b addiw a0,a0,1 + 80001b68: 07d7f793 andi a5,a5,125 + 80001b6c: 03051513 slli a0,a0,0x30 + 80001b70: 03055513 srli a0,a0,0x30 + 80001b74: 00f767b3 or a5,a4,a5 + 80001b78: 00a41223 sh a0,4(s0) + 80001b7c: 00d40323 sb a3,6(s0) + 80001b80: 00d403a3 sb a3,7(s0) + 80001b84: 00f40523 sb a5,10(s0) + 80001b88: 01042483 lw s1,16(s0) + 80001b8c: ceac9063 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001b90: d68ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001b94: 00351793 slli a5,a0,0x3 + 80001b98: 00f90933 add s2,s2,a5 + 80001b9c: 00093783 ld a5,0(s2) + 80001ba0: 000780e7 jalr a5 + 80001ba4: 02051713 slli a4,a0,0x20 + 80001ba8: 02075713 srli a4,a4,0x20 + 80001bac: 001c7797 auipc a5,0x1c7 + 80001bb0: 17c78793 addi a5,a5,380 # 801c8d28 + 80001bb4: 00e787b3 add a5,a5,a4 + 80001bb8: 0007c703 lbu a4,0(a5) + 80001bbc: 00445503 lhu a0,4(s0) + 80001bc0: 00056697 auipc a3,0x56 + 80001bc4: cb068693 addi a3,a3,-848 # 80057870 <_ZL7ZNTable> + 80001bc8: 00a44783 lbu a5,10(s0) + 80001bcc: 00e686b3 add a3,a3,a4 + 80001bd0: 0006c683 lbu a3,0(a3) + 80001bd4: 0015051b addiw a0,a0,1 + 80001bd8: 07d7f793 andi a5,a5,125 + 80001bdc: 03051513 slli a0,a0,0x30 + 80001be0: 03055513 srli a0,a0,0x30 + 80001be4: 00d7e7b3 or a5,a5,a3 + 80001be8: 00a41223 sh a0,4(s0) + 80001bec: 00e40c23 sb a4,24(s0) + 80001bf0: 00e403a3 sb a4,7(s0) + 80001bf4: 00f40523 sb a5,10(s0) + 80001bf8: 01042483 lw s1,16(s0) + 80001bfc: c6ac9863 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001c00: cf8ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001c04: 00351793 slli a5,a0,0x3 + 80001c08: 00f90933 add s2,s2,a5 + 80001c0c: 00093783 ld a5,0(s2) + 80001c10: 000780e7 jalr a5 + 80001c14: 02051713 slli a4,a0,0x20 + 80001c18: 02075713 srli a4,a4,0x20 + 80001c1c: 001c7797 auipc a5,0x1c7 + 80001c20: 10c78793 addi a5,a5,268 # 801c8d28 + 80001c24: 00e787b3 add a5,a5,a4 + 80001c28: 0007c703 lbu a4,0(a5) + 80001c2c: 00445503 lhu a0,4(s0) + 80001c30: 00056697 auipc a3,0x56 + 80001c34: c4068693 addi a3,a3,-960 # 80057870 <_ZL7ZNTable> + 80001c38: 00a44783 lbu a5,10(s0) + 80001c3c: 00e686b3 add a3,a3,a4 + 80001c40: 0006c683 lbu a3,0(a3) + 80001c44: 0015051b addiw a0,a0,1 + 80001c48: 07d7f793 andi a5,a5,125 + 80001c4c: 03051513 slli a0,a0,0x30 + 80001c50: 03055513 srli a0,a0,0x30 + 80001c54: 00d7e7b3 or a5,a5,a3 + 80001c58: 00a41223 sh a0,4(s0) + 80001c5c: 00e40c23 sb a4,24(s0) + 80001c60: 00e40323 sb a4,6(s0) + 80001c64: 00f40523 sb a5,10(s0) + 80001c68: 01042483 lw s1,16(s0) + 80001c6c: c0ac9063 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001c70: c88ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001c74: 00351793 slli a5,a0,0x3 + 80001c78: 00f90933 add s2,s2,a5 + 80001c7c: 00093783 ld a5,0(s2) + 80001c80: 000780e7 jalr a5 + 80001c84: 02051713 slli a4,a0,0x20 + 80001c88: 02075713 srli a4,a4,0x20 + 80001c8c: 001c7797 auipc a5,0x1c7 + 80001c90: 09c78793 addi a5,a5,156 # 801c8d28 + 80001c94: 00e787b3 add a5,a5,a4 + 80001c98: 0007c703 lbu a4,0(a5) + 80001c9c: 00445503 lhu a0,4(s0) + 80001ca0: 00056697 auipc a3,0x56 + 80001ca4: bd068693 addi a3,a3,-1072 # 80057870 <_ZL7ZNTable> + 80001ca8: 00a44783 lbu a5,10(s0) + 80001cac: 00e686b3 add a3,a3,a4 + 80001cb0: 0006c683 lbu a3,0(a3) + 80001cb4: 0015051b addiw a0,a0,1 + 80001cb8: 07d7f793 andi a5,a5,125 + 80001cbc: 03051513 slli a0,a0,0x30 + 80001cc0: 03055513 srli a0,a0,0x30 + 80001cc4: 00d7e7b3 or a5,a5,a3 + 80001cc8: 00a41223 sh a0,4(s0) + 80001ccc: 00e40c23 sb a4,24(s0) + 80001cd0: 00e40423 sb a4,8(s0) + 80001cd4: 00f40523 sb a5,10(s0) + 80001cd8: 01042483 lw s1,16(s0) + 80001cdc: b8ac9863 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001ce0: c18ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001ce4: 00351793 slli a5,a0,0x3 + 80001ce8: 00f907b3 add a5,s2,a5 + 80001cec: 0007b783 ld a5,0(a5) + 80001cf0: 000780e7 jalr a5 + 80001cf4: 00744783 lbu a5,7(s0) + 80001cf8: 001c7697 auipc a3,0x1c7 + 80001cfc: 03068693 addi a3,a3,48 # 801c8d28 + 80001d00: 00445603 lhu a2,4(s0) + 80001d04: 00a787bb addw a5,a5,a0 + 80001d08: 00178713 addi a4,a5,1 + 80001d0c: 0ff77713 andi a4,a4,255 + 80001d10: 00e68733 add a4,a3,a4 + 80001d14: 0ff7f793 andi a5,a5,255 + 80001d18: 00074703 lbu a4,0(a4) + 80001d1c: 00f687b3 add a5,a3,a5 + 80001d20: 0007c783 lbu a5,0(a5) + 80001d24: 0087151b slliw a0,a4,0x8 + 80001d28: 0016061b addiw a2,a2,1 + 80001d2c: 00f56533 or a0,a0,a5 + 80001d30: 03051793 slli a5,a0,0x30 + 80001d34: 02d7d793 srli a5,a5,0x2d + 80001d38: 00f90933 add s2,s2,a5 + 80001d3c: 00093783 ld a5,0(s2) + 80001d40: 00e40c23 sb a4,24(s0) + 80001d44: 00c41223 sh a2,4(s0) + 80001d48: 0005051b sext.w a0,a0 + 80001d4c: 000780e7 jalr a5 + 80001d50: 00056717 auipc a4,0x56 + 80001d54: b2070713 addi a4,a4,-1248 # 80057870 <_ZL7ZNTable> + 80001d58: 00a70733 add a4,a4,a0 + 80001d5c: 00074683 lbu a3,0(a4) + 80001d60: 00a44703 lbu a4,10(s0) + 80001d64: 00050793 mv a5,a0 + 80001d68: 00445503 lhu a0,4(s0) + 80001d6c: 00e6e733 or a4,a3,a4 + 80001d70: 07d77713 andi a4,a4,125 + 80001d74: 00e6e733 or a4,a3,a4 + 80001d78: 00f40c23 sb a5,24(s0) + 80001d7c: 00f40323 sb a5,6(s0) + 80001d80: 00f403a3 sb a5,7(s0) + 80001d84: 00e40523 sb a4,10(s0) + 80001d88: 01042483 lw s1,16(s0) + 80001d8c: aeac9063 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001d90: b68ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001d94: 00351793 slli a5,a0,0x3 + 80001d98: 00f90933 add s2,s2,a5 + 80001d9c: 00093783 ld a5,0(s2) + 80001da0: 000780e7 jalr a5 + 80001da4: 00050713 mv a4,a0 + 80001da8: 00056697 auipc a3,0x56 + 80001dac: ac868693 addi a3,a3,-1336 # 80057870 <_ZL7ZNTable> + 80001db0: 00445503 lhu a0,4(s0) + 80001db4: 00a44783 lbu a5,10(s0) + 80001db8: 00e686b3 add a3,a3,a4 + 80001dbc: 0006c683 lbu a3,0(a3) + 80001dc0: 0015051b addiw a0,a0,1 + 80001dc4: 07d7f793 andi a5,a5,125 + 80001dc8: 03051513 slli a0,a0,0x30 + 80001dcc: 03055513 srli a0,a0,0x30 + 80001dd0: 00d7e7b3 or a5,a5,a3 + 80001dd4: 00e40c23 sb a4,24(s0) + 80001dd8: 00a41223 sh a0,4(s0) + 80001ddc: 00e403a3 sb a4,7(s0) + 80001de0: 00f40523 sb a5,10(s0) + 80001de4: 01042483 lw s1,16(s0) + 80001de8: a8ac9263 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001dec: b0cff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001df0: 00351793 slli a5,a0,0x3 + 80001df4: 00f907b3 add a5,s2,a5 + 80001df8: 0007b783 ld a5,0(a5) + 80001dfc: 000780e7 jalr a5 + 80001e00: 00744783 lbu a5,7(s0) + 80001e04: 001c7697 auipc a3,0x1c7 + 80001e08: f2468693 addi a3,a3,-220 # 801c8d28 + 80001e0c: 00445603 lhu a2,4(s0) + 80001e10: 00a787bb addw a5,a5,a0 + 80001e14: 00178713 addi a4,a5,1 + 80001e18: 0ff77713 andi a4,a4,255 + 80001e1c: 00e68733 add a4,a3,a4 + 80001e20: 0ff7f793 andi a5,a5,255 + 80001e24: 00074703 lbu a4,0(a4) + 80001e28: 00f687b3 add a5,a3,a5 + 80001e2c: 0007c783 lbu a5,0(a5) + 80001e30: 0087151b slliw a0,a4,0x8 + 80001e34: 0016061b addiw a2,a2,1 + 80001e38: 00f56533 or a0,a0,a5 + 80001e3c: 03051793 slli a5,a0,0x30 + 80001e40: 02d7d793 srli a5,a5,0x2d + 80001e44: 00f90933 add s2,s2,a5 + 80001e48: 00093783 ld a5,0(s2) + 80001e4c: 00e40c23 sb a4,24(s0) + 80001e50: 00c41223 sh a2,4(s0) + 80001e54: 0005051b sext.w a0,a0 + 80001e58: 000780e7 jalr a5 + 80001e5c: 00056697 auipc a3,0x56 + 80001e60: a1468693 addi a3,a3,-1516 # 80057870 <_ZL7ZNTable> + 80001e64: 00a44783 lbu a5,10(s0) + 80001e68: 00a686b3 add a3,a3,a0 + 80001e6c: 0006c683 lbu a3,0(a3) + 80001e70: 00050713 mv a4,a0 + 80001e74: 07d7f793 andi a5,a5,125 + 80001e78: 00445503 lhu a0,4(s0) + 80001e7c: 00d7e7b3 or a5,a5,a3 + 80001e80: 00e40c23 sb a4,24(s0) + 80001e84: 00e40323 sb a4,6(s0) + 80001e88: 00f40523 sb a5,10(s0) + 80001e8c: 01042483 lw s1,16(s0) + 80001e90: 9cac9e63 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001e94: a64ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001e98: 00351793 slli a5,a0,0x3 + 80001e9c: 00f90933 add s2,s2,a5 + 80001ea0: 00093783 ld a5,0(s2) + 80001ea4: 000780e7 jalr a5 + 80001ea8: 00050713 mv a4,a0 + 80001eac: 00056697 auipc a3,0x56 + 80001eb0: 9c468693 addi a3,a3,-1596 # 80057870 <_ZL7ZNTable> + 80001eb4: 00445503 lhu a0,4(s0) + 80001eb8: 00a44783 lbu a5,10(s0) + 80001ebc: 00e686b3 add a3,a3,a4 + 80001ec0: 0006c683 lbu a3,0(a3) + 80001ec4: 0015051b addiw a0,a0,1 + 80001ec8: 07d7f793 andi a5,a5,125 + 80001ecc: 03051513 slli a0,a0,0x30 + 80001ed0: 03055513 srli a0,a0,0x30 + 80001ed4: 00d7e7b3 or a5,a5,a3 + 80001ed8: 00e40c23 sb a4,24(s0) + 80001edc: 00a41223 sh a0,4(s0) + 80001ee0: 00e40423 sb a4,8(s0) + 80001ee4: 00f40523 sb a5,10(s0) + 80001ee8: 01042483 lw s1,16(s0) + 80001eec: 98ac9063 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001ef0: a08ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001ef4: 00351793 slli a5,a0,0x3 + 80001ef8: 00f907b3 add a5,s2,a5 + 80001efc: 0007b783 ld a5,0(a5) + 80001f00: 000780e7 jalr a5 + 80001f04: 00445783 lhu a5,4(s0) + 80001f08: 00050713 mv a4,a0 + 80001f0c: 00a40c23 sb a0,24(s0) + 80001f10: 0017879b addiw a5,a5,1 + 80001f14: 03079513 slli a0,a5,0x30 + 80001f18: 03055513 srli a0,a0,0x30 + 80001f1c: 00351793 slli a5,a0,0x3 + 80001f20: 00f907b3 add a5,s2,a5 + 80001f24: 0007b783 ld a5,0(a5) + 80001f28: 00a41223 sh a0,4(s0) + 80001f2c: 00070d1b sext.w s10,a4 + 80001f30: 000780e7 jalr a5 + 80001f34: 00844483 lbu s1,8(s0) + 80001f38: 00851793 slli a5,a0,0x8 + 80001f3c: 01a7e7b3 or a5,a5,s10 + 80001f40: 00f484bb addw s1,s1,a5 + 80001f44: 0ff4f613 andi a2,s1,255 + 80001f48: 0187f7b3 and a5,a5,s8 + 80001f4c: 00f66733 or a4,a2,a5 + 80001f50: 00445683 lhu a3,4(s0) + 80001f54: 00371713 slli a4,a4,0x3 + 80001f58: 00e90933 add s2,s2,a4 + 80001f5c: 00093583 ld a1,0(s2) + 80001f60: 0016871b addiw a4,a3,1 + 80001f64: 00a40c23 sb a0,24(s0) + 80001f68: 00e41223 sh a4,4(s0) + 80001f6c: 00f66533 or a0,a2,a5 + 80001f70: 000580e7 jalr a1 + 80001f74: 00844783 lbu a5,8(s0) + 80001f78: 03049493 slli s1,s1,0x30 + 80001f7c: 0304d493 srli s1,s1,0x30 + 80001f80: 00644703 lbu a4,6(s0) + 80001f84: 00744603 lbu a2,7(s0) + 80001f88: 00349593 slli a1,s1,0x3 + 80001f8c: 40f487bb subw a5,s1,a5 + 80001f90: 00147697 auipc a3,0x147 + 80001f94: d5068693 addi a3,a3,-688 # 80148ce0 + 80001f98: 00b686b3 add a3,a3,a1 + 80001f9c: 0087d79b srliw a5,a5,0x8 + 80001fa0: 00c77733 and a4,a4,a2 + 80001fa4: 0006b683 ld a3,0(a3) + 80001fa8: 0017879b addiw a5,a5,1 + 80001fac: 00f777b3 and a5,a4,a5 + 80001fb0: 00a40c23 sb a0,24(s0) + 80001fb4: 0ff7f593 andi a1,a5,255 + 80001fb8: 00048513 mv a0,s1 + 80001fbc: 000680e7 jalr a3 + 80001fc0: 00445503 lhu a0,4(s0) + 80001fc4: 01042483 lw s1,16(s0) + 80001fc8: 8aac9263 bne s9,a0,8000106c <_Z14X6502_RunDebugi+0x20c> + 80001fcc: 92cff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80001fd0: 00351793 slli a5,a0,0x3 + 80001fd4: 00f907b3 add a5,s2,a5 + 80001fd8: 0007b783 ld a5,0(a5) + 80001fdc: 000780e7 jalr a5 + 80001fe0: 00445783 lhu a5,4(s0) + 80001fe4: 00050713 mv a4,a0 + 80001fe8: 00a40c23 sb a0,24(s0) + 80001fec: 0017879b addiw a5,a5,1 + 80001ff0: 03079513 slli a0,a5,0x30 + 80001ff4: 03055513 srli a0,a0,0x30 + 80001ff8: 00351793 slli a5,a0,0x3 + 80001ffc: 00f907b3 add a5,s2,a5 + 80002000: 0007b783 ld a5,0(a5) + 80002004: 00a41223 sh a0,4(s0) + 80002008: 00070d1b sext.w s10,a4 + 8000200c: 000780e7 jalr a5 + 80002010: 00844483 lbu s1,8(s0) + 80002014: 00851793 slli a5,a0,0x8 + 80002018: 01a7e7b3 or a5,a5,s10 + 8000201c: 00f484bb addw s1,s1,a5 + 80002020: 0ff4f613 andi a2,s1,255 + 80002024: 0187f7b3 and a5,a5,s8 + 80002028: 00f66733 or a4,a2,a5 + 8000202c: 00445683 lhu a3,4(s0) + 80002030: 00371713 slli a4,a4,0x3 + 80002034: 00e90933 add s2,s2,a4 + 80002038: 00093583 ld a1,0(s2) + 8000203c: 0016871b addiw a4,a3,1 + 80002040: 00a40c23 sb a0,24(s0) + 80002044: 00e41223 sh a4,4(s0) + 80002048: 00f66533 or a0,a2,a5 + 8000204c: 000580e7 jalr a1 + 80002050: 00844783 lbu a5,8(s0) + 80002054: 03049493 slli s1,s1,0x30 + 80002058: 0304d493 srli s1,s1,0x30 + 8000205c: 00349693 slli a3,s1,0x3 + 80002060: 00147717 auipc a4,0x147 + 80002064: c8070713 addi a4,a4,-896 # 80148ce0 + 80002068: 00744583 lbu a1,7(s0) + 8000206c: 40f487bb subw a5,s1,a5 + 80002070: 00d70733 add a4,a4,a3 + 80002074: 00073703 ld a4,0(a4) + 80002078: 0087d79b srliw a5,a5,0x8 + 8000207c: 0017879b addiw a5,a5,1 + 80002080: 00a40c23 sb a0,24(s0) + 80002084: 00f5f5b3 and a1,a1,a5 + 80002088: 00048513 mv a0,s1 + 8000208c: 000700e7 jalr a4 + 80002090: 00445503 lhu a0,4(s0) + 80002094: 01042483 lw s1,16(s0) + 80002098: 00ac8463 beq s9,a0,800020a0 <_Z14X6502_RunDebugi+0x1240> + 8000209c: fd1fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800020a0: 858ff06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800020a4: 00351793 slli a5,a0,0x3 + 800020a8: 00f907b3 add a5,s2,a5 + 800020ac: 0007b783 ld a5,0(a5) + 800020b0: 000780e7 jalr a5 + 800020b4: 00445783 lhu a5,4(s0) + 800020b8: 00050713 mv a4,a0 + 800020bc: 00a40c23 sb a0,24(s0) + 800020c0: 0017879b addiw a5,a5,1 + 800020c4: 03079513 slli a0,a5,0x30 + 800020c8: 03055513 srli a0,a0,0x30 + 800020cc: 00351793 slli a5,a0,0x3 + 800020d0: 00f907b3 add a5,s2,a5 + 800020d4: 0007b783 ld a5,0(a5) + 800020d8: 00a41223 sh a0,4(s0) + 800020dc: 00070d1b sext.w s10,a4 + 800020e0: 000780e7 jalr a5 + 800020e4: 00744483 lbu s1,7(s0) + 800020e8: 00851793 slli a5,a0,0x8 + 800020ec: 01a7e7b3 or a5,a5,s10 + 800020f0: 00f484bb addw s1,s1,a5 + 800020f4: 0ff4f613 andi a2,s1,255 + 800020f8: 0187f7b3 and a5,a5,s8 + 800020fc: 00f66733 or a4,a2,a5 + 80002100: 00445683 lhu a3,4(s0) + 80002104: 00371713 slli a4,a4,0x3 + 80002108: 00e90933 add s2,s2,a4 + 8000210c: 00093583 ld a1,0(s2) + 80002110: 0016871b addiw a4,a3,1 + 80002114: 00a40c23 sb a0,24(s0) + 80002118: 00e41223 sh a4,4(s0) + 8000211c: 00f66533 or a0,a2,a5 + 80002120: 03049493 slli s1,s1,0x30 + 80002124: 000580e7 jalr a1 + 80002128: 0304d493 srli s1,s1,0x30 + 8000212c: 00349713 slli a4,s1,0x3 + 80002130: 00147797 auipc a5,0x147 + 80002134: bb078793 addi a5,a5,-1104 # 80148ce0 + 80002138: 00e787b3 add a5,a5,a4 + 8000213c: 0007b783 ld a5,0(a5) + 80002140: 00644583 lbu a1,6(s0) + 80002144: 00a40c23 sb a0,24(s0) + 80002148: 00048513 mv a0,s1 + 8000214c: 000780e7 jalr a5 + 80002150: 00445503 lhu a0,4(s0) + 80002154: 01042483 lw s1,16(s0) + 80002158: 00ac8463 beq s9,a0,80002160 <_Z14X6502_RunDebugi+0x1300> + 8000215c: f11fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002160: f99fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002164: 00351793 slli a5,a0,0x3 + 80002168: 00f907b3 add a5,s2,a5 + 8000216c: 0007b783 ld a5,0(a5) + 80002170: 000780e7 jalr a5 + 80002174: 00445783 lhu a5,4(s0) + 80002178: 00050713 mv a4,a0 + 8000217c: 00a40c23 sb a0,24(s0) + 80002180: 0017879b addiw a5,a5,1 + 80002184: 03079513 slli a0,a5,0x30 + 80002188: 03055513 srli a0,a0,0x30 + 8000218c: 00351793 slli a5,a0,0x3 + 80002190: 00f907b3 add a5,s2,a5 + 80002194: 0007b783 ld a5,0(a5) + 80002198: 00a41223 sh a0,4(s0) + 8000219c: 00070d1b sext.w s10,a4 + 800021a0: 000780e7 jalr a5 + 800021a4: 00744483 lbu s1,7(s0) + 800021a8: 00851793 slli a5,a0,0x8 + 800021ac: 01a7e7b3 or a5,a5,s10 + 800021b0: 00f484bb addw s1,s1,a5 + 800021b4: 0ff4f613 andi a2,s1,255 + 800021b8: 0187f7b3 and a5,a5,s8 + 800021bc: 00f66733 or a4,a2,a5 + 800021c0: 00445683 lhu a3,4(s0) + 800021c4: 00371713 slli a4,a4,0x3 + 800021c8: 00e90933 add s2,s2,a4 + 800021cc: 00093583 ld a1,0(s2) + 800021d0: 0016871b addiw a4,a3,1 + 800021d4: 00a40c23 sb a0,24(s0) + 800021d8: 00e41223 sh a4,4(s0) + 800021dc: 00f66533 or a0,a2,a5 + 800021e0: 000580e7 jalr a1 + 800021e4: 00744783 lbu a5,7(s0) + 800021e8: 03049493 slli s1,s1,0x30 + 800021ec: 0304d493 srli s1,s1,0x30 + 800021f0: 00349693 slli a3,s1,0x3 + 800021f4: 00147717 auipc a4,0x147 + 800021f8: aec70713 addi a4,a4,-1300 # 80148ce0 + 800021fc: 00844583 lbu a1,8(s0) + 80002200: 40f487bb subw a5,s1,a5 + 80002204: 00d70733 add a4,a4,a3 + 80002208: 00073703 ld a4,0(a4) + 8000220c: 0087d79b srliw a5,a5,0x8 + 80002210: 0017879b addiw a5,a5,1 + 80002214: 00a40c23 sb a0,24(s0) + 80002218: 00f5f5b3 and a1,a1,a5 + 8000221c: 00048513 mv a0,s1 + 80002220: 000700e7 jalr a4 + 80002224: 00445503 lhu a0,4(s0) + 80002228: 01042483 lw s1,16(s0) + 8000222c: 00ac8463 beq s9,a0,80002234 <_Z14X6502_RunDebugi+0x13d4> + 80002230: e3dfe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002234: ec5fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002238: 00351793 slli a5,a0,0x3 + 8000223c: 00f907b3 add a5,s2,a5 + 80002240: 0007b783 ld a5,0(a5) + 80002244: 000780e7 jalr a5 + 80002248: 00445783 lhu a5,4(s0) + 8000224c: 00050493 mv s1,a0 + 80002250: 00a40c23 sb a0,24(s0) + 80002254: 0017879b addiw a5,a5,1 + 80002258: 03079513 slli a0,a5,0x30 + 8000225c: 03055513 srli a0,a0,0x30 + 80002260: 00351793 slli a5,a0,0x3 + 80002264: 00f907b3 add a5,s2,a5 + 80002268: 0007b783 ld a5,0(a5) + 8000226c: 00a41223 sh a0,4(s0) + 80002270: 0004849b sext.w s1,s1 + 80002274: 000780e7 jalr a5 + 80002278: 00851693 slli a3,a0,0x8 + 8000227c: 0096e7b3 or a5,a3,s1 + 80002280: 00445703 lhu a4,4(s0) + 80002284: 00379793 slli a5,a5,0x3 + 80002288: 00f90933 add s2,s2,a5 + 8000228c: 00093603 ld a2,0(s2) + 80002290: 0017079b addiw a5,a4,1 + 80002294: 00a40c23 sb a0,24(s0) + 80002298: 00f41223 sh a5,4(s0) + 8000229c: 0096e533 or a0,a3,s1 + 800022a0: 000600e7 jalr a2 + 800022a4: 00744783 lbu a5,7(s0) + 800022a8: 00055617 auipc a2,0x55 + 800022ac: 5c860613 addi a2,a2,1480 # 80057870 <_ZL7ZNTable> + 800022b0: 00a44703 lbu a4,10(s0) + 800022b4: 40a787bb subw a5,a5,a0 + 800022b8: 0ff7f593 andi a1,a5,255 + 800022bc: 00b60633 add a2,a2,a1 + 800022c0: 00064603 lbu a2,0(a2) + 800022c4: 07d77713 andi a4,a4,125 + 800022c8: 4087d79b sraiw a5,a5,0x8 + 800022cc: 00c76733 or a4,a4,a2 + 800022d0: 0017c793 xori a5,a5,1 + 800022d4: 00050693 mv a3,a0 + 800022d8: ffe77713 andi a4,a4,-2 + 800022dc: 0017f793 andi a5,a5,1 + 800022e0: 00445503 lhu a0,4(s0) + 800022e4: 00f767b3 or a5,a4,a5 + 800022e8: 00d40c23 sb a3,24(s0) + 800022ec: 00f40523 sb a5,10(s0) + 800022f0: 01042483 lw s1,16(s0) + 800022f4: 00ac8463 beq s9,a0,800022fc <_Z14X6502_RunDebugi+0x149c> + 800022f8: d75fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800022fc: dfdfe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002300: 00644703 lbu a4,6(s0) + 80002304: 00a44783 lbu a5,10(s0) + 80002308: 00055697 auipc a3,0x55 + 8000230c: 56868693 addi a3,a3,1384 # 80057870 <_ZL7ZNTable> + 80002310: 0017161b slliw a2,a4,0x1 + 80002314: 0fe67593 andi a1,a2,254 + 80002318: 00b686b3 add a3,a3,a1 + 8000231c: 0006c683 lbu a3,0(a3) + 80002320: ffe7f793 andi a5,a5,-2 + 80002324: 4077571b sraiw a4,a4,0x7 + 80002328: 00e7e7b3 or a5,a5,a4 + 8000232c: 07d7f793 andi a5,a5,125 + 80002330: 00d7e7b3 or a5,a5,a3 + 80002334: 00f40523 sb a5,10(s0) + 80002338: 00c40323 sb a2,6(s0) + 8000233c: 01042483 lw s1,16(s0) + 80002340: 00ac8463 beq s9,a0,80002348 <_Z14X6502_RunDebugi+0x14e8> + 80002344: d29fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002348: db1fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000234c: 00351793 slli a5,a0,0x3 + 80002350: 00f90933 add s2,s2,a5 + 80002354: 00093783 ld a5,0(s2) + 80002358: 000780e7 jalr a5 + 8000235c: 00644703 lbu a4,6(s0) + 80002360: 00050693 mv a3,a0 + 80002364: 00055617 auipc a2,0x55 + 80002368: 50c60613 addi a2,a2,1292 # 80057870 <_ZL7ZNTable> + 8000236c: 00e56733 or a4,a0,a4 + 80002370: 00445503 lhu a0,4(s0) + 80002374: 00a44783 lbu a5,10(s0) + 80002378: 00e60633 add a2,a2,a4 + 8000237c: 00064603 lbu a2,0(a2) + 80002380: 0015051b addiw a0,a0,1 + 80002384: 07d7f793 andi a5,a5,125 + 80002388: 03051513 slli a0,a0,0x30 + 8000238c: 03055513 srli a0,a0,0x30 + 80002390: 00c7e7b3 or a5,a5,a2 + 80002394: 00d40c23 sb a3,24(s0) + 80002398: 00a41223 sh a0,4(s0) + 8000239c: 00e40323 sb a4,6(s0) + 800023a0: 00f40523 sb a5,10(s0) + 800023a4: 01042483 lw s1,16(s0) + 800023a8: 00ac8463 beq s9,a0,800023b0 <_Z14X6502_RunDebugi+0x1550> + 800023ac: cc1fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800023b0: d49fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800023b4: 00944783 lbu a5,9(s0) + 800023b8: 00a44683 lbu a3,10(s0) + 800023bc: 001c7717 auipc a4,0x1c7 + 800023c0: a6c70713 addi a4,a4,-1428 # 801c8e28 + 800023c4: 00e78733 add a4,a5,a4 + 800023c8: 0306e693 ori a3,a3,48 + 800023cc: fff7879b addiw a5,a5,-1 + 800023d0: 00d70023 sb a3,0(a4) + 800023d4: 00f404a3 sb a5,9(s0) + 800023d8: 01042483 lw s1,16(s0) + 800023dc: 00ac8463 beq s9,a0,800023e4 <_Z14X6502_RunDebugi+0x1584> + 800023e0: c8dfe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800023e4: d15fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800023e8: 00351793 slli a5,a0,0x3 + 800023ec: 00f90933 add s2,s2,a5 + 800023f0: 00093783 ld a5,0(s2) + 800023f4: 000780e7 jalr a5 + 800023f8: 02051793 slli a5,a0,0x20 + 800023fc: 0207d793 srli a5,a5,0x20 + 80002400: 001c7597 auipc a1,0x1c7 + 80002404: 92858593 addi a1,a1,-1752 # 801c8d28 + 80002408: 00f585b3 add a1,a1,a5 + 8000240c: 0005c803 lbu a6,0(a1) + 80002410: 00644883 lbu a7,6(s0) + 80002414: 00a44703 lbu a4,10(s0) + 80002418: 0018161b slliw a2,a6,0x1 + 8000241c: 00055697 auipc a3,0x55 + 80002420: 45468693 addi a3,a3,1108 # 80057870 <_ZL7ZNTable> + 80002424: 0fe67793 andi a5,a2,254 + 80002428: 00f687b3 add a5,a3,a5 + 8000242c: 0ff67613 andi a2,a2,255 + 80002430: 00445503 lhu a0,4(s0) + 80002434: 011668b3 or a7,a2,a7 + 80002438: 0007c783 lbu a5,0(a5) + 8000243c: ffe77713 andi a4,a4,-2 + 80002440: 4078531b sraiw t1,a6,0x7 + 80002444: 011686b3 add a3,a3,a7 + 80002448: 0006c683 lbu a3,0(a3) + 8000244c: 00676733 or a4,a4,t1 + 80002450: 00e7e7b3 or a5,a5,a4 + 80002454: 0015051b addiw a0,a0,1 + 80002458: 07d7f793 andi a5,a5,125 + 8000245c: 03051513 slli a0,a0,0x30 + 80002460: 03055513 srli a0,a0,0x30 + 80002464: 00d7e7b3 or a5,a5,a3 + 80002468: 00a41223 sh a0,4(s0) + 8000246c: 01040c23 sb a6,24(s0) + 80002470: 01140323 sb a7,6(s0) + 80002474: 00f40523 sb a5,10(s0) + 80002478: 00c58023 sb a2,0(a1) + 8000247c: 01042483 lw s1,16(s0) + 80002480: 00ac8463 beq s9,a0,80002488 <_Z14X6502_RunDebugi+0x1628> + 80002484: be9fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002488: c71fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000248c: 00351793 slli a5,a0,0x3 + 80002490: 00f90933 add s2,s2,a5 + 80002494: 00093783 ld a5,0(s2) + 80002498: 000780e7 jalr a5 + 8000249c: 02051793 slli a5,a0,0x20 + 800024a0: 0207d793 srli a5,a5,0x20 + 800024a4: 001c7717 auipc a4,0x1c7 + 800024a8: 88470713 addi a4,a4,-1916 # 801c8d28 + 800024ac: 00f70733 add a4,a4,a5 + 800024b0: 00074683 lbu a3,0(a4) + 800024b4: 00a44783 lbu a5,10(s0) + 800024b8: 00445503 lhu a0,4(s0) + 800024bc: 0016959b slliw a1,a3,0x1 + 800024c0: 0fe5f813 andi a6,a1,254 + 800024c4: 00055617 auipc a2,0x55 + 800024c8: 3ac60613 addi a2,a2,940 # 80057870 <_ZL7ZNTable> + 800024cc: 01060633 add a2,a2,a6 + 800024d0: 00064603 lbu a2,0(a2) + 800024d4: ffe7f793 andi a5,a5,-2 + 800024d8: 4076d81b sraiw a6,a3,0x7 + 800024dc: 0107e7b3 or a5,a5,a6 + 800024e0: 0015051b addiw a0,a0,1 + 800024e4: 07d7f793 andi a5,a5,125 + 800024e8: 03051513 slli a0,a0,0x30 + 800024ec: 03055513 srli a0,a0,0x30 + 800024f0: 00c7e7b3 or a5,a5,a2 + 800024f4: 00a41223 sh a0,4(s0) + 800024f8: 00d40c23 sb a3,24(s0) + 800024fc: 00f40523 sb a5,10(s0) + 80002500: 00b70023 sb a1,0(a4) + 80002504: 01042483 lw s1,16(s0) + 80002508: 00ac8463 beq s9,a0,80002510 <_Z14X6502_RunDebugi+0x16b0> + 8000250c: b61fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002510: be9fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002514: 00351793 slli a5,a0,0x3 + 80002518: 00f90933 add s2,s2,a5 + 8000251c: 00093783 ld a5,0(s2) + 80002520: 000780e7 jalr a5 + 80002524: 02051713 slli a4,a0,0x20 + 80002528: 02075713 srli a4,a4,0x20 + 8000252c: 001c6797 auipc a5,0x1c6 + 80002530: 7fc78793 addi a5,a5,2044 # 801c8d28 + 80002534: 00e787b3 add a5,a5,a4 + 80002538: 0007c603 lbu a2,0(a5) + 8000253c: 00644703 lbu a4,6(s0) + 80002540: 00445503 lhu a0,4(s0) + 80002544: 00055697 auipc a3,0x55 + 80002548: 32c68693 addi a3,a3,812 # 80057870 <_ZL7ZNTable> + 8000254c: 00e66733 or a4,a2,a4 + 80002550: 00a44783 lbu a5,10(s0) + 80002554: 00e686b3 add a3,a3,a4 + 80002558: 0006c683 lbu a3,0(a3) + 8000255c: 0015051b addiw a0,a0,1 + 80002560: 07d7f793 andi a5,a5,125 + 80002564: 03051513 slli a0,a0,0x30 + 80002568: 03055513 srli a0,a0,0x30 + 8000256c: 00d7e7b3 or a5,a5,a3 + 80002570: 00a41223 sh a0,4(s0) + 80002574: 00c40c23 sb a2,24(s0) + 80002578: 00e40323 sb a4,6(s0) + 8000257c: 00f40523 sb a5,10(s0) + 80002580: 01042483 lw s1,16(s0) + 80002584: 00ac8463 beq s9,a0,8000258c <_Z14X6502_RunDebugi+0x172c> + 80002588: ae5fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000258c: b6dfe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002590: 00351793 slli a5,a0,0x3 + 80002594: 00f907b3 add a5,s2,a5 + 80002598: 0007b783 ld a5,0(a5) + 8000259c: 00146d17 auipc s10,0x146 + 800025a0: 744d0d13 addi s10,s10,1860 # 80148ce0 + 800025a4: 000780e7 jalr a5 + 800025a8: 00744783 lbu a5,7(s0) + 800025ac: 001c6697 auipc a3,0x1c6 + 800025b0: 77c68693 addi a3,a3,1916 # 801c8d28 + 800025b4: 00445603 lhu a2,4(s0) + 800025b8: 00a787bb addw a5,a5,a0 + 800025bc: 00178713 addi a4,a5,1 + 800025c0: 0ff77713 andi a4,a4,255 + 800025c4: 00e68733 add a4,a3,a4 + 800025c8: 0ff7f793 andi a5,a5,255 + 800025cc: 00074703 lbu a4,0(a4) + 800025d0: 00f687b3 add a5,a3,a5 + 800025d4: 0007c683 lbu a3,0(a5) + 800025d8: 0087179b slliw a5,a4,0x8 + 800025dc: 0016061b addiw a2,a2,1 + 800025e0: 00d7e7b3 or a5,a5,a3 + 800025e4: 03079493 slli s1,a5,0x30 + 800025e8: 02d4d493 srli s1,s1,0x2d + 800025ec: 00990933 add s2,s2,s1 + 800025f0: 00093683 ld a3,0(s2) + 800025f4: 0007891b sext.w s2,a5 + 800025f8: 00c41223 sh a2,4(s0) + 800025fc: 00e40c23 sb a4,24(s0) + 80002600: 00090513 mv a0,s2 + 80002604: 000680e7 jalr a3 + 80002608: 009d0d33 add s10,s10,s1 + 8000260c: 000d3783 ld a5,0(s10) + 80002610: 00050493 mv s1,a0 + 80002614: 00050593 mv a1,a0 + 80002618: 00940c23 sb s1,24(s0) + 8000261c: 00090513 mv a0,s2 + 80002620: 000780e7 jalr a5 + 80002624: 00644683 lbu a3,6(s0) + 80002628: 0014959b slliw a1,s1,0x1 + 8000262c: 00a44703 lbu a4,10(s0) + 80002630: 00055617 auipc a2,0x55 + 80002634: 24060613 addi a2,a2,576 # 80057870 <_ZL7ZNTable> + 80002638: 0fe5f793 andi a5,a1,254 + 8000263c: 00f607b3 add a5,a2,a5 + 80002640: 0ff5f593 andi a1,a1,255 + 80002644: 00d5e6b3 or a3,a1,a3 + 80002648: 0007c783 lbu a5,0(a5) + 8000264c: ffe77713 andi a4,a4,-2 + 80002650: 00d60633 add a2,a2,a3 + 80002654: 4074d49b sraiw s1,s1,0x7 + 80002658: 009764b3 or s1,a4,s1 + 8000265c: 00064603 lbu a2,0(a2) + 80002660: 0097e7b3 or a5,a5,s1 + 80002664: 000d3703 ld a4,0(s10) + 80002668: 07d7f793 andi a5,a5,125 + 8000266c: 00c7e7b3 or a5,a5,a2 + 80002670: 00090513 mv a0,s2 + 80002674: 00d40323 sb a3,6(s0) + 80002678: 00f40523 sb a5,10(s0) + 8000267c: 000700e7 jalr a4 + 80002680: 00445503 lhu a0,4(s0) + 80002684: 01042483 lw s1,16(s0) + 80002688: 00ac8463 beq s9,a0,80002690 <_Z14X6502_RunDebugi+0x1830> + 8000268c: 9e1fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002690: a69fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002694: 00351793 slli a5,a0,0x3 + 80002698: 00f90933 add s2,s2,a5 + 8000269c: 00093783 ld a5,0(s2) + 800026a0: 00744483 lbu s1,7(s0) + 800026a4: 000780e7 jalr a5 + 800026a8: 00a487bb addw a5,s1,a0 + 800026ac: 001c6717 auipc a4,0x1c6 + 800026b0: 67c70713 addi a4,a4,1660 # 801c8d28 + 800026b4: 0ff7f793 andi a5,a5,255 + 800026b8: 00f707b3 add a5,a4,a5 + 800026bc: 0007c603 lbu a2,0(a5) + 800026c0: 00644703 lbu a4,6(s0) + 800026c4: 00445503 lhu a0,4(s0) + 800026c8: 00055697 auipc a3,0x55 + 800026cc: 1a868693 addi a3,a3,424 # 80057870 <_ZL7ZNTable> + 800026d0: 00e66733 or a4,a2,a4 + 800026d4: 00a44783 lbu a5,10(s0) + 800026d8: 00e686b3 add a3,a3,a4 + 800026dc: 0006c683 lbu a3,0(a3) + 800026e0: 0015051b addiw a0,a0,1 + 800026e4: 07d7f793 andi a5,a5,125 + 800026e8: 03051513 slli a0,a0,0x30 + 800026ec: 03055513 srli a0,a0,0x30 + 800026f0: 00d7e7b3 or a5,a5,a3 + 800026f4: 00a41223 sh a0,4(s0) + 800026f8: 00c40c23 sb a2,24(s0) + 800026fc: 00e40323 sb a4,6(s0) + 80002700: 00f40523 sb a5,10(s0) + 80002704: 01042483 lw s1,16(s0) + 80002708: 00ac8463 beq s9,a0,80002710 <_Z14X6502_RunDebugi+0x18b0> + 8000270c: 961fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002710: 9e9fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002714: 00351793 slli a5,a0,0x3 + 80002718: 00f907b3 add a5,s2,a5 + 8000271c: 0007b783 ld a5,0(a5) + 80002720: 000780e7 jalr a5 + 80002724: 0015079b addiw a5,a0,1 + 80002728: 001c6717 auipc a4,0x1c6 + 8000272c: 60070713 addi a4,a4,1536 # 801c8d28 + 80002730: 0ff7f793 andi a5,a5,255 + 80002734: 02051513 slli a0,a0,0x20 + 80002738: 00f707b3 add a5,a4,a5 + 8000273c: 02055513 srli a0,a0,0x20 + 80002740: 0007c603 lbu a2,0(a5) + 80002744: 00a70533 add a0,a4,a0 + 80002748: 00054703 lbu a4,0(a0) + 8000274c: 00844d03 lbu s10,8(s0) + 80002750: 0086179b slliw a5,a2,0x8 + 80002754: 00e7e7b3 or a5,a5,a4 + 80002758: 00fd0d3b addw s10,s10,a5 + 8000275c: 0007879b sext.w a5,a5 + 80002760: 0187f7b3 and a5,a5,s8 + 80002764: 0ffd7513 andi a0,s10,255 + 80002768: 00f56733 or a4,a0,a5 + 8000276c: 00445683 lhu a3,4(s0) + 80002770: 00371713 slli a4,a4,0x3 + 80002774: 00e90733 add a4,s2,a4 + 80002778: 00073583 ld a1,0(a4) + 8000277c: 030d1d13 slli s10,s10,0x30 + 80002780: 0016871b addiw a4,a3,1 + 80002784: 030d5d13 srli s10,s10,0x30 + 80002788: 00e41223 sh a4,4(s0) + 8000278c: 00c40c23 sb a2,24(s0) + 80002790: 003d1493 slli s1,s10,0x3 + 80002794: 00f56533 or a0,a0,a5 + 80002798: 000580e7 jalr a1 + 8000279c: 00990933 add s2,s2,s1 + 800027a0: 00093783 ld a5,0(s2) + 800027a4: 00a40c23 sb a0,24(s0) + 800027a8: 00146917 auipc s2,0x146 + 800027ac: 53890913 addi s2,s2,1336 # 80148ce0 + 800027b0: 000d0513 mv a0,s10 + 800027b4: 000780e7 jalr a5 + 800027b8: 00990933 add s2,s2,s1 + 800027bc: 00093783 ld a5,0(s2) + 800027c0: 00050493 mv s1,a0 + 800027c4: 00050593 mv a1,a0 + 800027c8: 00940c23 sb s1,24(s0) + 800027cc: 000d0513 mv a0,s10 + 800027d0: 000780e7 jalr a5 + 800027d4: 00644683 lbu a3,6(s0) + 800027d8: 0014959b slliw a1,s1,0x1 + 800027dc: 00a44703 lbu a4,10(s0) + 800027e0: 00055617 auipc a2,0x55 + 800027e4: 09060613 addi a2,a2,144 # 80057870 <_ZL7ZNTable> + 800027e8: 0fe5f793 andi a5,a1,254 + 800027ec: 00f607b3 add a5,a2,a5 + 800027f0: 0ff5f593 andi a1,a1,255 + 800027f4: 00d5e6b3 or a3,a1,a3 + 800027f8: 0007c783 lbu a5,0(a5) + 800027fc: ffe77713 andi a4,a4,-2 + 80002800: 00d60633 add a2,a2,a3 + 80002804: 4074d49b sraiw s1,s1,0x7 + 80002808: 009764b3 or s1,a4,s1 + 8000280c: 00064603 lbu a2,0(a2) + 80002810: 0097e7b3 or a5,a5,s1 + 80002814: 00093703 ld a4,0(s2) + 80002818: 07d7f793 andi a5,a5,125 + 8000281c: 00c7e7b3 or a5,a5,a2 + 80002820: 000d0513 mv a0,s10 + 80002824: 00d40323 sb a3,6(s0) + 80002828: 00f40523 sb a5,10(s0) + 8000282c: 000700e7 jalr a4 + 80002830: 00445503 lhu a0,4(s0) + 80002834: 01042483 lw s1,16(s0) + 80002838: 00ac8463 beq s9,a0,80002840 <_Z14X6502_RunDebugi+0x19e0> + 8000283c: 831fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002840: 8b9fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002844: 00351793 slli a5,a0,0x3 + 80002848: 00f907b3 add a5,s2,a5 + 8000284c: 0007b783 ld a5,0(a5) + 80002850: 000780e7 jalr a5 + 80002854: 0015079b addiw a5,a0,1 + 80002858: 001c6717 auipc a4,0x1c6 + 8000285c: 4d070713 addi a4,a4,1232 # 801c8d28 + 80002860: 0ff7f793 andi a5,a5,255 + 80002864: 02051513 slli a0,a0,0x20 + 80002868: 00f707b3 add a5,a4,a5 + 8000286c: 02055513 srli a0,a0,0x20 + 80002870: 0007c603 lbu a2,0(a5) + 80002874: 00a70533 add a0,a4,a0 + 80002878: 00054583 lbu a1,0(a0) + 8000287c: 00844703 lbu a4,8(s0) + 80002880: 00445683 lhu a3,4(s0) + 80002884: 0086179b slliw a5,a2,0x8 + 80002888: 00b7e7b3 or a5,a5,a1 + 8000288c: 00f7073b addw a4,a4,a5 + 80002890: 0016869b addiw a3,a3,1 + 80002894: 00e7c7b3 xor a5,a5,a4 + 80002898: 00d41223 sh a3,4(s0) + 8000289c: 00c40c23 sb a2,24(s0) + 800028a0: 1007f793 andi a5,a5,256 + 800028a4: 0007049b sext.w s1,a4 + 800028a8: 06078463 beqz a5,80002910 <_Z14X6502_RunDebugi+0x1ab0> + 800028ac: 03071493 slli s1,a4,0x30 + 800028b0: 0304d493 srli s1,s1,0x30 + 800028b4: 1004c793 xori a5,s1,256 + 800028b8: 00379793 slli a5,a5,0x3 + 800028bc: 00f907b3 add a5,s2,a5 + 800028c0: 0007b783 ld a5,0(a5) + 800028c4: 1004c513 xori a0,s1,256 + 800028c8: 000780e7 jalr a5 + 800028cc: 00042683 lw a3,0(s0) + 800028d0: 01042703 lw a4,16(s0) + 800028d4: 0009a783 lw a5,0(s3) + 800028d8: 000a4603 lbu a2,0(s4) + 800028dc: 0016869b addiw a3,a3,1 + 800028e0: fd07071b addiw a4,a4,-48 + 800028e4: 0017879b addiw a5,a5,1 + 800028e8: 00a40c23 sb a0,24(s0) + 800028ec: 00d42023 sw a3,0(s0) + 800028f0: 00e42823 sw a4,16(s0) + 800028f4: 00f9a023 sw a5,0(s3) + 800028f8: 00061c63 bnez a2,80002910 <_Z14X6502_RunDebugi+0x1ab0> + 800028fc: 001c9717 auipc a4,0x1c9 + 80002900: 24c70713 addi a4,a4,588 # 801cbb48 + 80002904: 00072783 lw a5,0(a4) + 80002908: 0017879b addiw a5,a5,1 + 8000290c: 00f72023 sw a5,0(a4) + 80002910: 00349793 slli a5,s1,0x3 + 80002914: 00f90933 add s2,s2,a5 + 80002918: 00093783 ld a5,0(s2) + 8000291c: 00048513 mv a0,s1 + 80002920: 000780e7 jalr a5 + 80002924: 00644703 lbu a4,6(s0) + 80002928: 00055617 auipc a2,0x55 + 8000292c: f4860613 addi a2,a2,-184 # 80057870 <_ZL7ZNTable> + 80002930: 00a44783 lbu a5,10(s0) + 80002934: 00e56733 or a4,a0,a4 + 80002938: 00e60633 add a2,a2,a4 + 8000293c: 00064603 lbu a2,0(a2) + 80002940: 00050693 mv a3,a0 + 80002944: 07d7f793 andi a5,a5,125 + 80002948: 00445503 lhu a0,4(s0) + 8000294c: 00c7e7b3 or a5,a5,a2 + 80002950: 00d40c23 sb a3,24(s0) + 80002954: 00e40323 sb a4,6(s0) + 80002958: 00f40523 sb a5,10(s0) + 8000295c: 01042483 lw s1,16(s0) + 80002960: 00ac8463 beq s9,a0,80002968 <_Z14X6502_RunDebugi+0x1b08> + 80002964: f08fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002968: f90fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000296c: 00a40783 lb a5,10(s0) + 80002970: 0007d463 bgez a5,80002978 <_Z14X6502_RunDebugi+0x1b18> + 80002974: 2d90806f j 8000b44c <_Z14X6502_RunDebugi+0xa5ec> + 80002978: 00351793 slli a5,a0,0x3 + 8000297c: 00f90933 add s2,s2,a5 + 80002980: 00093783 ld a5,0(s2) + 80002984: 000780e7 jalr a5 + 80002988: 00445783 lhu a5,4(s0) + 8000298c: 00050713 mv a4,a0 + 80002990: 0185151b slliw a0,a0,0x18 + 80002994: 0017879b addiw a5,a5,1 + 80002998: 03079793 slli a5,a5,0x30 + 8000299c: 00042583 lw a1,0(s0) + 800029a0: 01042683 lw a3,16(s0) + 800029a4: 0009a603 lw a2,0(s3) + 800029a8: 0307d793 srli a5,a5,0x30 + 800029ac: 4185551b sraiw a0,a0,0x18 + 800029b0: 00f5053b addw a0,a0,a5 + 800029b4: 03051513 slli a0,a0,0x30 + 800029b8: 000a4803 lbu a6,0(s4) + 800029bc: fd06849b addiw s1,a3,-48 + 800029c0: 0015831b addiw t1,a1,1 + 800029c4: 0016089b addiw a7,a2,1 + 800029c8: 03055513 srli a0,a0,0x30 + 800029cc: 00a7c7b3 xor a5,a5,a0 + 800029d0: 00e40c23 sb a4,24(s0) + 800029d4: 00642023 sw t1,0(s0) + 800029d8: 00942823 sw s1,16(s0) + 800029dc: 0119a023 sw a7,0(s3) + 800029e0: 1007f793 andi a5,a5,256 + 800029e4: 00080463 beqz a6,800029ec <_Z14X6502_RunDebugi+0x1b8c> + 800029e8: 3410806f j 8000b528 <_Z14X6502_RunDebugi+0xa6c8> + 800029ec: 001c9897 auipc a7,0x1c9 + 800029f0: 15c88893 addi a7,a7,348 # 801cbb48 + 800029f4: 0008a803 lw a6,0(a7) + 800029f8: 00a41223 sh a0,4(s0) + 800029fc: 0018071b addiw a4,a6,1 + 80002a00: 00e8a023 sw a4,0(a7) + 80002a04: 00079463 bnez a5,80002a0c <_Z14X6502_RunDebugi+0x1bac> + 80002a08: e60fe06f j 80001068 <_Z14X6502_RunDebugi+0x208> + 80002a0c: fa06849b addiw s1,a3,-96 + 80002a10: 0025871b addiw a4,a1,2 + 80002a14: 0026079b addiw a5,a2,2 + 80002a18: 0028081b addiw a6,a6,2 + 80002a1c: 00e42023 sw a4,0(s0) + 80002a20: 00942823 sw s1,16(s0) + 80002a24: 00f9a023 sw a5,0(s3) + 80002a28: 0108a023 sw a6,0(a7) + 80002a2c: 00ac8463 beq s9,a0,80002a34 <_Z14X6502_RunDebugi+0x1bd4> + 80002a30: e3cfe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002a34: ec4fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002a38: 00351793 slli a5,a0,0x3 + 80002a3c: 00f907b3 add a5,s2,a5 + 80002a40: 0007b783 ld a5,0(a5) + 80002a44: 000780e7 jalr a5 + 80002a48: 00445783 lhu a5,4(s0) + 80002a4c: 00050493 mv s1,a0 + 80002a50: 00a40c23 sb a0,24(s0) + 80002a54: 0017879b addiw a5,a5,1 + 80002a58: 03079513 slli a0,a5,0x30 + 80002a5c: 03055513 srli a0,a0,0x30 + 80002a60: 00351793 slli a5,a0,0x3 + 80002a64: 00f907b3 add a5,s2,a5 + 80002a68: 0007b783 ld a5,0(a5) + 80002a6c: 00a41223 sh a0,4(s0) + 80002a70: 0004849b sext.w s1,s1 + 80002a74: 000780e7 jalr a5 + 80002a78: 00851713 slli a4,a0,0x8 + 80002a7c: 00976d33 or s10,a4,s1 + 80002a80: 00445783 lhu a5,4(s0) + 80002a84: 003d1d13 slli s10,s10,0x3 + 80002a88: 01a90933 add s2,s2,s10 + 80002a8c: 00093683 ld a3,0(s2) + 80002a90: 0017879b addiw a5,a5,1 + 80002a94: 00976933 or s2,a4,s1 + 80002a98: 00a40c23 sb a0,24(s0) + 80002a9c: 00f41223 sh a5,4(s0) + 80002aa0: 00090513 mv a0,s2 + 80002aa4: 000680e7 jalr a3 + 80002aa8: 00146797 auipc a5,0x146 + 80002aac: 23878793 addi a5,a5,568 # 80148ce0 + 80002ab0: 01a78d33 add s10,a5,s10 + 80002ab4: 000d3783 ld a5,0(s10) + 80002ab8: 00050493 mv s1,a0 + 80002abc: 00050593 mv a1,a0 + 80002ac0: 00940c23 sb s1,24(s0) + 80002ac4: 00090513 mv a0,s2 + 80002ac8: 000780e7 jalr a5 + 80002acc: 00644683 lbu a3,6(s0) + 80002ad0: 0014959b slliw a1,s1,0x1 + 80002ad4: 00a44703 lbu a4,10(s0) + 80002ad8: 00055617 auipc a2,0x55 + 80002adc: d9860613 addi a2,a2,-616 # 80057870 <_ZL7ZNTable> + 80002ae0: 0fe5f793 andi a5,a1,254 + 80002ae4: 00f607b3 add a5,a2,a5 + 80002ae8: 0ff5f593 andi a1,a1,255 + 80002aec: 00d5e6b3 or a3,a1,a3 + 80002af0: 0007c783 lbu a5,0(a5) + 80002af4: ffe77713 andi a4,a4,-2 + 80002af8: 00d60633 add a2,a2,a3 + 80002afc: 4074d49b sraiw s1,s1,0x7 + 80002b00: 009764b3 or s1,a4,s1 + 80002b04: 00064603 lbu a2,0(a2) + 80002b08: 0097e7b3 or a5,a5,s1 + 80002b0c: 000d3703 ld a4,0(s10) + 80002b10: 07d7f793 andi a5,a5,125 + 80002b14: 00c7e7b3 or a5,a5,a2 + 80002b18: 00090513 mv a0,s2 + 80002b1c: 00d40323 sb a3,6(s0) + 80002b20: 00f40523 sb a5,10(s0) + 80002b24: 000700e7 jalr a4 + 80002b28: 00445503 lhu a0,4(s0) + 80002b2c: 01042483 lw s1,16(s0) + 80002b30: 00ac8463 beq s9,a0,80002b38 <_Z14X6502_RunDebugi+0x1cd8> + 80002b34: d38fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002b38: dc0fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002b3c: 00351793 slli a5,a0,0x3 + 80002b40: 00f907b3 add a5,s2,a5 + 80002b44: 0007b783 ld a5,0(a5) + 80002b48: 000780e7 jalr a5 + 80002b4c: 00445783 lhu a5,4(s0) + 80002b50: 00050493 mv s1,a0 + 80002b54: 00a40c23 sb a0,24(s0) + 80002b58: 0017879b addiw a5,a5,1 + 80002b5c: 03079513 slli a0,a5,0x30 + 80002b60: 03055513 srli a0,a0,0x30 + 80002b64: 00351793 slli a5,a0,0x3 + 80002b68: 00f907b3 add a5,s2,a5 + 80002b6c: 0007b783 ld a5,0(a5) + 80002b70: 00a41223 sh a0,4(s0) + 80002b74: 0004849b sext.w s1,s1 + 80002b78: 000780e7 jalr a5 + 80002b7c: 00851d93 slli s11,a0,0x8 + 80002b80: 009ded33 or s10,s11,s1 + 80002b84: 00445783 lhu a5,4(s0) + 80002b88: 003d1d13 slli s10,s10,0x3 + 80002b8c: 01a90933 add s2,s2,s10 + 80002b90: 00093703 ld a4,0(s2) + 80002b94: 009dedb3 or s11,s11,s1 + 80002b98: 0017879b addiw a5,a5,1 + 80002b9c: 00a40c23 sb a0,24(s0) + 80002ba0: 00f41223 sh a5,4(s0) + 80002ba4: 000d8513 mv a0,s11 + 80002ba8: 00146917 auipc s2,0x146 + 80002bac: 13890913 addi s2,s2,312 # 80148ce0 + 80002bb0: 000700e7 jalr a4 + 80002bb4: 01a90933 add s2,s2,s10 + 80002bb8: 00093783 ld a5,0(s2) + 80002bbc: 00050493 mv s1,a0 + 80002bc0: 00050593 mv a1,a0 + 80002bc4: 00940c23 sb s1,24(s0) + 80002bc8: 000d8513 mv a0,s11 + 80002bcc: 000780e7 jalr a5 + 80002bd0: 00a44783 lbu a5,10(s0) + 80002bd4: 0014959b slliw a1,s1,0x1 + 80002bd8: 0fe5f693 andi a3,a1,254 + 80002bdc: 00055717 auipc a4,0x55 + 80002be0: c9470713 addi a4,a4,-876 # 80057870 <_ZL7ZNTable> + 80002be4: 00d70733 add a4,a4,a3 + 80002be8: 00074683 lbu a3,0(a4) + 80002bec: 4074d49b sraiw s1,s1,0x7 + 80002bf0: ffe7f793 andi a5,a5,-2 + 80002bf4: 0097e7b3 or a5,a5,s1 + 80002bf8: 00093703 ld a4,0(s2) + 80002bfc: 07d7f793 andi a5,a5,125 + 80002c00: 00d7e7b3 or a5,a5,a3 + 80002c04: 000d8513 mv a0,s11 + 80002c08: 00f40523 sb a5,10(s0) + 80002c0c: 0fe5f593 andi a1,a1,254 + 80002c10: 000700e7 jalr a4 + 80002c14: 00445503 lhu a0,4(s0) + 80002c18: 01042483 lw s1,16(s0) + 80002c1c: 00ac8463 beq s9,a0,80002c24 <_Z14X6502_RunDebugi+0x1dc4> + 80002c20: c4cfe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002c24: cd4fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002c28: 00351793 slli a5,a0,0x3 + 80002c2c: 00f907b3 add a5,s2,a5 + 80002c30: 0007b783 ld a5,0(a5) + 80002c34: 000780e7 jalr a5 + 80002c38: 00445783 lhu a5,4(s0) + 80002c3c: 00050493 mv s1,a0 + 80002c40: 00a40c23 sb a0,24(s0) + 80002c44: 0017879b addiw a5,a5,1 + 80002c48: 03079513 slli a0,a5,0x30 + 80002c4c: 03055513 srli a0,a0,0x30 + 80002c50: 00351793 slli a5,a0,0x3 + 80002c54: 00f907b3 add a5,s2,a5 + 80002c58: 0007b783 ld a5,0(a5) + 80002c5c: 00a41223 sh a0,4(s0) + 80002c60: 0004849b sext.w s1,s1 + 80002c64: 000780e7 jalr a5 + 80002c68: 00851693 slli a3,a0,0x8 + 80002c6c: 0096e7b3 or a5,a3,s1 + 80002c70: 00445703 lhu a4,4(s0) + 80002c74: 00379793 slli a5,a5,0x3 + 80002c78: 00f90933 add s2,s2,a5 + 80002c7c: 00093603 ld a2,0(s2) + 80002c80: 0017079b addiw a5,a4,1 + 80002c84: 00a40c23 sb a0,24(s0) + 80002c88: 00f41223 sh a5,4(s0) + 80002c8c: 0096e533 or a0,a3,s1 + 80002c90: 000600e7 jalr a2 + 80002c94: 00644703 lbu a4,6(s0) + 80002c98: 00055617 auipc a2,0x55 + 80002c9c: bd860613 addi a2,a2,-1064 # 80057870 <_ZL7ZNTable> + 80002ca0: 00a44783 lbu a5,10(s0) + 80002ca4: 00e56733 or a4,a0,a4 + 80002ca8: 00e60633 add a2,a2,a4 + 80002cac: 00064603 lbu a2,0(a2) + 80002cb0: 00050693 mv a3,a0 + 80002cb4: 07d7f793 andi a5,a5,125 + 80002cb8: 00445503 lhu a0,4(s0) + 80002cbc: 00c7e7b3 or a5,a5,a2 + 80002cc0: 00d40c23 sb a3,24(s0) + 80002cc4: 00e40323 sb a4,6(s0) + 80002cc8: 00f40523 sb a5,10(s0) + 80002ccc: 01042483 lw s1,16(s0) + 80002cd0: 00ac8463 beq s9,a0,80002cd8 <_Z14X6502_RunDebugi+0x1e78> + 80002cd4: b98fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002cd8: c20fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002cdc: 00351793 slli a5,a0,0x3 + 80002ce0: 00f907b3 add a5,s2,a5 + 80002ce4: 0007b783 ld a5,0(a5) + 80002ce8: 000780e7 jalr a5 + 80002cec: 00445783 lhu a5,4(s0) + 80002cf0: 00050493 mv s1,a0 + 80002cf4: 00a40c23 sb a0,24(s0) + 80002cf8: 0017879b addiw a5,a5,1 + 80002cfc: 03079513 slli a0,a5,0x30 + 80002d00: 03055513 srli a0,a0,0x30 + 80002d04: 00351793 slli a5,a0,0x3 + 80002d08: 00f907b3 add a5,s2,a5 + 80002d0c: 0007b783 ld a5,0(a5) + 80002d10: 00a41223 sh a0,4(s0) + 80002d14: 0004849b sext.w s1,s1 + 80002d18: 000780e7 jalr a5 + 80002d1c: 00851693 slli a3,a0,0x8 + 80002d20: 0096e7b3 or a5,a3,s1 + 80002d24: 00445703 lhu a4,4(s0) + 80002d28: 00379793 slli a5,a5,0x3 + 80002d2c: 00f90933 add s2,s2,a5 + 80002d30: 00093603 ld a2,0(s2) + 80002d34: 0017079b addiw a5,a4,1 + 80002d38: 00a40c23 sb a0,24(s0) + 80002d3c: 00f41223 sh a5,4(s0) + 80002d40: 0096e533 or a0,a3,s1 + 80002d44: 000600e7 jalr a2 + 80002d48: 00050793 mv a5,a0 + 80002d4c: 00445503 lhu a0,4(s0) + 80002d50: 00f40c23 sb a5,24(s0) + 80002d54: 01042483 lw s1,16(s0) + 80002d58: 00ac8463 beq s9,a0,80002d60 <_Z14X6502_RunDebugi+0x1f00> + 80002d5c: b10fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002d60: b98fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002d64: 00351793 slli a5,a0,0x3 + 80002d68: 00f907b3 add a5,s2,a5 + 80002d6c: 0007b783 ld a5,0(a5) + 80002d70: 000780e7 jalr a5 + 80002d74: 00445783 lhu a5,4(s0) + 80002d78: 00050713 mv a4,a0 + 80002d7c: 00a40c23 sb a0,24(s0) + 80002d80: 0017879b addiw a5,a5,1 + 80002d84: 03079513 slli a0,a5,0x30 + 80002d88: 03055513 srli a0,a0,0x30 + 80002d8c: 00351793 slli a5,a0,0x3 + 80002d90: 00f907b3 add a5,s2,a5 + 80002d94: 0007b783 ld a5,0(a5) + 80002d98: 00a41223 sh a0,4(s0) + 80002d9c: 0007049b sext.w s1,a4 + 80002da0: 000780e7 jalr a5 + 80002da4: 00744683 lbu a3,7(s0) + 80002da8: 00851793 slli a5,a0,0x8 + 80002dac: 0097e7b3 or a5,a5,s1 + 80002db0: 00f686bb addw a3,a3,a5 + 80002db4: 0ff6f593 andi a1,a3,255 + 80002db8: 0187f7b3 and a5,a5,s8 + 80002dbc: 00f5e733 or a4,a1,a5 + 80002dc0: 00445603 lhu a2,4(s0) + 80002dc4: 00371713 slli a4,a4,0x3 + 80002dc8: 00e90733 add a4,s2,a4 + 80002dcc: 00073803 ld a6,0(a4) + 80002dd0: 03069d13 slli s10,a3,0x30 + 80002dd4: 0016071b addiw a4,a2,1 + 80002dd8: 030d5d13 srli s10,s10,0x30 + 80002ddc: 00e41223 sh a4,4(s0) + 80002de0: 003d1493 slli s1,s10,0x3 + 80002de4: 00a40c23 sb a0,24(s0) + 80002de8: 00f5e533 or a0,a1,a5 + 80002dec: 000800e7 jalr a6 + 80002df0: 00990933 add s2,s2,s1 + 80002df4: 00093783 ld a5,0(s2) + 80002df8: 00a40c23 sb a0,24(s0) + 80002dfc: 00146917 auipc s2,0x146 + 80002e00: ee490913 addi s2,s2,-284 # 80148ce0 + 80002e04: 000d0513 mv a0,s10 + 80002e08: 000780e7 jalr a5 + 80002e0c: 00990933 add s2,s2,s1 + 80002e10: 00093783 ld a5,0(s2) + 80002e14: 00050493 mv s1,a0 + 80002e18: 00050593 mv a1,a0 + 80002e1c: 00940c23 sb s1,24(s0) + 80002e20: 000d0513 mv a0,s10 + 80002e24: 000780e7 jalr a5 + 80002e28: 0014859b addiw a1,s1,1 + 80002e2c: 0ff5f693 andi a3,a1,255 + 80002e30: 00055717 auipc a4,0x55 + 80002e34: a4070713 addi a4,a4,-1472 # 80057870 <_ZL7ZNTable> + 80002e38: 00a44783 lbu a5,10(s0) + 80002e3c: 00d70733 add a4,a4,a3 + 80002e40: 00074683 lbu a3,0(a4) + 80002e44: 07d7f793 andi a5,a5,125 + 80002e48: 00093703 ld a4,0(s2) + 80002e4c: 00d7e7b3 or a5,a5,a3 + 80002e50: 000d0513 mv a0,s10 + 80002e54: 00f40523 sb a5,10(s0) + 80002e58: 0ff5f593 andi a1,a1,255 + 80002e5c: 000700e7 jalr a4 + 80002e60: 00445503 lhu a0,4(s0) + 80002e64: 01042483 lw s1,16(s0) + 80002e68: 00ac8463 beq s9,a0,80002e70 <_Z14X6502_RunDebugi+0x2010> + 80002e6c: a00fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002e70: a88fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002e74: 00351793 slli a5,a0,0x3 + 80002e78: 00f907b3 add a5,s2,a5 + 80002e7c: 0007b783 ld a5,0(a5) + 80002e80: 000780e7 jalr a5 + 80002e84: 00445783 lhu a5,4(s0) + 80002e88: 00050713 mv a4,a0 + 80002e8c: 00a40c23 sb a0,24(s0) + 80002e90: 0017879b addiw a5,a5,1 + 80002e94: 03079513 slli a0,a5,0x30 + 80002e98: 03055513 srli a0,a0,0x30 + 80002e9c: 00351793 slli a5,a0,0x3 + 80002ea0: 00f907b3 add a5,s2,a5 + 80002ea4: 0007b783 ld a5,0(a5) + 80002ea8: 00a41223 sh a0,4(s0) + 80002eac: 0007049b sext.w s1,a4 + 80002eb0: 000780e7 jalr a5 + 80002eb4: 00744703 lbu a4,7(s0) + 80002eb8: 00445683 lhu a3,4(s0) + 80002ebc: 00851793 slli a5,a0,0x8 + 80002ec0: 0097e7b3 or a5,a5,s1 + 80002ec4: 00f7073b addw a4,a4,a5 + 80002ec8: 0016869b addiw a3,a3,1 + 80002ecc: 00e7c7b3 xor a5,a5,a4 + 80002ed0: 00a40c23 sb a0,24(s0) + 80002ed4: 00d41223 sh a3,4(s0) + 80002ed8: 1007f793 andi a5,a5,256 + 80002edc: 0007049b sext.w s1,a4 + 80002ee0: 06078463 beqz a5,80002f48 <_Z14X6502_RunDebugi+0x20e8> + 80002ee4: 03071493 slli s1,a4,0x30 + 80002ee8: 0304d493 srli s1,s1,0x30 + 80002eec: 1004c793 xori a5,s1,256 + 80002ef0: 00379793 slli a5,a5,0x3 + 80002ef4: 00f907b3 add a5,s2,a5 + 80002ef8: 0007b783 ld a5,0(a5) + 80002efc: 1004c513 xori a0,s1,256 + 80002f00: 000780e7 jalr a5 + 80002f04: 00042683 lw a3,0(s0) + 80002f08: 01042703 lw a4,16(s0) + 80002f0c: 0009a783 lw a5,0(s3) + 80002f10: 000a4603 lbu a2,0(s4) + 80002f14: 0016869b addiw a3,a3,1 + 80002f18: fd07071b addiw a4,a4,-48 + 80002f1c: 0017879b addiw a5,a5,1 + 80002f20: 00a40c23 sb a0,24(s0) + 80002f24: 00d42023 sw a3,0(s0) + 80002f28: 00e42823 sw a4,16(s0) + 80002f2c: 00f9a023 sw a5,0(s3) + 80002f30: 00061c63 bnez a2,80002f48 <_Z14X6502_RunDebugi+0x20e8> + 80002f34: 001c9717 auipc a4,0x1c9 + 80002f38: c1470713 addi a4,a4,-1004 # 801cbb48 + 80002f3c: 00072783 lw a5,0(a4) + 80002f40: 0017879b addiw a5,a5,1 + 80002f44: 00f72023 sw a5,0(a4) + 80002f48: 02049793 slli a5,s1,0x20 + 80002f4c: 01d7d793 srli a5,a5,0x1d + 80002f50: 00f90933 add s2,s2,a5 + 80002f54: 00093783 ld a5,0(s2) + 80002f58: 00048513 mv a0,s1 + 80002f5c: 000780e7 jalr a5 + 80002f60: 00a44703 lbu a4,10(s0) + 80002f64: 00644603 lbu a2,6(s0) + 80002f68: 00050593 mv a1,a0 + 80002f6c: fff74693 not a3,a4 + 80002f70: 40a607bb subw a5,a2,a0 + 80002f74: 0016f693 andi a3,a3,1 + 80002f78: 40d787bb subw a5,a5,a3 + 80002f7c: 0007881b sext.w a6,a5 + 80002f80: 0ff87513 andi a0,a6,255 + 80002f84: 00055697 auipc a3,0x55 + 80002f88: 8ec68693 addi a3,a3,-1812 # 80057870 <_ZL7ZNTable> + 80002f8c: 00a686b3 add a3,a3,a0 + 80002f90: 0006c503 lbu a0,0(a3) + 80002f94: 4087d69b sraiw a3,a5,0x8 + 80002f98: 00f647b3 xor a5,a2,a5 + 80002f9c: 00b64633 xor a2,a2,a1 + 80002fa0: 03c77713 andi a4,a4,60 + 80002fa4: 0016c693 xori a3,a3,1 + 80002fa8: 00c7f7b3 and a5,a5,a2 + 80002fac: 00a76733 or a4,a4,a0 + 80002fb0: 0016f693 andi a3,a3,1 + 80002fb4: 0017d79b srliw a5,a5,0x1 + 80002fb8: 00d76733 or a4,a4,a3 + 80002fbc: 0407f793 andi a5,a5,64 + 80002fc0: 00445503 lhu a0,4(s0) + 80002fc4: 00f767b3 or a5,a4,a5 + 80002fc8: 00b40c23 sb a1,24(s0) + 80002fcc: 01040323 sb a6,6(s0) + 80002fd0: 00f40523 sb a5,10(s0) + 80002fd4: 01042483 lw s1,16(s0) + 80002fd8: 00ac8463 beq s9,a0,80002fe0 <_Z14X6502_RunDebugi+0x2180> + 80002fdc: 890fe06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80002fe0: 918fe06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80002fe4: 00351793 slli a5,a0,0x3 + 80002fe8: 00f907b3 add a5,s2,a5 + 80002fec: 0007b783 ld a5,0(a5) + 80002ff0: 000780e7 jalr a5 + 80002ff4: 00445783 lhu a5,4(s0) + 80002ff8: 00050713 mv a4,a0 + 80002ffc: 00a40c23 sb a0,24(s0) + 80003000: 0017879b addiw a5,a5,1 + 80003004: 03079513 slli a0,a5,0x30 + 80003008: 03055513 srli a0,a0,0x30 + 8000300c: 00351793 slli a5,a0,0x3 + 80003010: 00f907b3 add a5,s2,a5 + 80003014: 0007b783 ld a5,0(a5) + 80003018: 00a41223 sh a0,4(s0) + 8000301c: 0007049b sext.w s1,a4 + 80003020: 000780e7 jalr a5 + 80003024: 00744d03 lbu s10,7(s0) + 80003028: 00851793 slli a5,a0,0x8 + 8000302c: 0097e7b3 or a5,a5,s1 + 80003030: 00fd0d3b addw s10,s10,a5 + 80003034: 0ffd7613 andi a2,s10,255 + 80003038: 0187f7b3 and a5,a5,s8 + 8000303c: 00f66733 or a4,a2,a5 + 80003040: 00445683 lhu a3,4(s0) + 80003044: 00371713 slli a4,a4,0x3 + 80003048: 00e90733 add a4,s2,a4 + 8000304c: 00073583 ld a1,0(a4) + 80003050: 030d1d13 slli s10,s10,0x30 + 80003054: 0016871b addiw a4,a3,1 + 80003058: 030d5d13 srli s10,s10,0x30 + 8000305c: 00e41223 sh a4,4(s0) + 80003060: 003d1493 slli s1,s10,0x3 + 80003064: 00a40c23 sb a0,24(s0) + 80003068: 00f66533 or a0,a2,a5 + 8000306c: 000580e7 jalr a1 + 80003070: 00990933 add s2,s2,s1 + 80003074: 00093783 ld a5,0(s2) + 80003078: 00a40c23 sb a0,24(s0) + 8000307c: 00146917 auipc s2,0x146 + 80003080: c6490913 addi s2,s2,-924 # 80148ce0 + 80003084: 000d0513 mv a0,s10 + 80003088: 000780e7 jalr a5 + 8000308c: 00990933 add s2,s2,s1 + 80003090: 00093783 ld a5,0(s2) + 80003094: 00050493 mv s1,a0 + 80003098: 00050593 mv a1,a0 + 8000309c: 00940c23 sb s1,24(s0) + 800030a0: 000d0513 mv a0,s10 + 800030a4: 000780e7 jalr a5 + 800030a8: 0014849b addiw s1,s1,1 + 800030ac: 0ff4f793 andi a5,s1,255 + 800030b0: 00054697 auipc a3,0x54 + 800030b4: 7c068693 addi a3,a3,1984 # 80057870 <_ZL7ZNTable> + 800030b8: 00f68633 add a2,a3,a5 + 800030bc: 00a44703 lbu a4,10(s0) + 800030c0: 00064583 lbu a1,0(a2) + 800030c4: 00644603 lbu a2,6(s0) + 800030c8: 07d77713 andi a4,a4,125 + 800030cc: 00b76733 or a4,a4,a1 + 800030d0: fff74593 not a1,a4 + 800030d4: 40f607bb subw a5,a2,a5 + 800030d8: 0015f593 andi a1,a1,1 + 800030dc: 40b787bb subw a5,a5,a1 + 800030e0: 0007851b sext.w a0,a5 + 800030e4: 0ff57593 andi a1,a0,255 + 800030e8: 00b686b3 add a3,a3,a1 + 800030ec: 0006c803 lbu a6,0(a3) + 800030f0: 0ff4f593 andi a1,s1,255 + 800030f4: 4087d69b sraiw a3,a5,0x8 + 800030f8: 00f647b3 xor a5,a2,a5 + 800030fc: 00b64633 xor a2,a2,a1 + 80003100: 00c7f7b3 and a5,a5,a2 + 80003104: 03c77713 andi a4,a4,60 + 80003108: 0016c693 xori a3,a3,1 + 8000310c: 01076733 or a4,a4,a6 + 80003110: 0016f693 andi a3,a3,1 + 80003114: 0017d79b srliw a5,a5,0x1 + 80003118: 00d76733 or a4,a4,a3 + 8000311c: 0407f793 andi a5,a5,64 + 80003120: 00093683 ld a3,0(s2) + 80003124: 00f767b3 or a5,a4,a5 + 80003128: 00a40323 sb a0,6(s0) + 8000312c: 00f40523 sb a5,10(s0) + 80003130: 000d0513 mv a0,s10 + 80003134: 000680e7 jalr a3 + 80003138: 00445503 lhu a0,4(s0) + 8000313c: 01042483 lw s1,16(s0) + 80003140: 00ac8463 beq s9,a0,80003148 <_Z14X6502_RunDebugi+0x22e8> + 80003144: f29fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003148: fb1fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000314c: 00351793 slli a5,a0,0x3 + 80003150: 00f907b3 add a5,s2,a5 + 80003154: 0007b783 ld a5,0(a5) + 80003158: 000780e7 jalr a5 + 8000315c: 00445783 lhu a5,4(s0) + 80003160: 00050713 mv a4,a0 + 80003164: 00a40c23 sb a0,24(s0) + 80003168: 0017879b addiw a5,a5,1 + 8000316c: 03079513 slli a0,a5,0x30 + 80003170: 03055513 srli a0,a0,0x30 + 80003174: 00351793 slli a5,a0,0x3 + 80003178: 00f907b3 add a5,s2,a5 + 8000317c: 0007b783 ld a5,0(a5) + 80003180: 00a41223 sh a0,4(s0) + 80003184: 0007049b sext.w s1,a4 + 80003188: 000780e7 jalr a5 + 8000318c: 00844d03 lbu s10,8(s0) + 80003190: 00851793 slli a5,a0,0x8 + 80003194: 0097e7b3 or a5,a5,s1 + 80003198: 00fd0d3b addw s10,s10,a5 + 8000319c: 0ffd7613 andi a2,s10,255 + 800031a0: 0187f7b3 and a5,a5,s8 + 800031a4: 00f66733 or a4,a2,a5 + 800031a8: 00445683 lhu a3,4(s0) + 800031ac: 00371713 slli a4,a4,0x3 + 800031b0: 00e90733 add a4,s2,a4 + 800031b4: 00073583 ld a1,0(a4) + 800031b8: 030d1d13 slli s10,s10,0x30 + 800031bc: 0016871b addiw a4,a3,1 + 800031c0: 030d5d13 srli s10,s10,0x30 + 800031c4: 00e41223 sh a4,4(s0) + 800031c8: 003d1493 slli s1,s10,0x3 + 800031cc: 00a40c23 sb a0,24(s0) + 800031d0: 00f66533 or a0,a2,a5 + 800031d4: 000580e7 jalr a1 + 800031d8: 00990933 add s2,s2,s1 + 800031dc: 00093783 ld a5,0(s2) + 800031e0: 00a40c23 sb a0,24(s0) + 800031e4: 00146917 auipc s2,0x146 + 800031e8: afc90913 addi s2,s2,-1284 # 80148ce0 + 800031ec: 000d0513 mv a0,s10 + 800031f0: 000780e7 jalr a5 + 800031f4: 00990933 add s2,s2,s1 + 800031f8: 00093783 ld a5,0(s2) + 800031fc: 00050493 mv s1,a0 + 80003200: 00050593 mv a1,a0 + 80003204: 00940c23 sb s1,24(s0) + 80003208: 000d0513 mv a0,s10 + 8000320c: 000780e7 jalr a5 + 80003210: 00644683 lbu a3,6(s0) + 80003214: 0014959b slliw a1,s1,0x1 + 80003218: 00a44703 lbu a4,10(s0) + 8000321c: 00054617 auipc a2,0x54 + 80003220: 65460613 addi a2,a2,1620 # 80057870 <_ZL7ZNTable> + 80003224: 0fe5f793 andi a5,a1,254 + 80003228: 00f607b3 add a5,a2,a5 + 8000322c: 0ff5f593 andi a1,a1,255 + 80003230: 00d5e6b3 or a3,a1,a3 + 80003234: 0007c783 lbu a5,0(a5) + 80003238: ffe77713 andi a4,a4,-2 + 8000323c: 00d60633 add a2,a2,a3 + 80003240: 4074d49b sraiw s1,s1,0x7 + 80003244: 009764b3 or s1,a4,s1 + 80003248: 00064603 lbu a2,0(a2) + 8000324c: 0097e7b3 or a5,a5,s1 + 80003250: 00093703 ld a4,0(s2) + 80003254: 07d7f793 andi a5,a5,125 + 80003258: 00c7e7b3 or a5,a5,a2 + 8000325c: 000d0513 mv a0,s10 + 80003260: 00d40323 sb a3,6(s0) + 80003264: 00f40523 sb a5,10(s0) + 80003268: 000700e7 jalr a4 + 8000326c: 00445503 lhu a0,4(s0) + 80003270: 01042483 lw s1,16(s0) + 80003274: 00ac8463 beq s9,a0,8000327c <_Z14X6502_RunDebugi+0x241c> + 80003278: df5fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000327c: e7dfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003280: 00351793 slli a5,a0,0x3 + 80003284: 00f907b3 add a5,s2,a5 + 80003288: 0007b783 ld a5,0(a5) + 8000328c: 000780e7 jalr a5 + 80003290: 00445783 lhu a5,4(s0) + 80003294: 00050713 mv a4,a0 + 80003298: 00a40c23 sb a0,24(s0) + 8000329c: 0017879b addiw a5,a5,1 + 800032a0: 03079513 slli a0,a5,0x30 + 800032a4: 03055513 srli a0,a0,0x30 + 800032a8: 00351793 slli a5,a0,0x3 + 800032ac: 00f907b3 add a5,s2,a5 + 800032b0: 0007b783 ld a5,0(a5) + 800032b4: 00a41223 sh a0,4(s0) + 800032b8: 0007049b sext.w s1,a4 + 800032bc: 000780e7 jalr a5 + 800032c0: 00844703 lbu a4,8(s0) + 800032c4: 00445683 lhu a3,4(s0) + 800032c8: 00851793 slli a5,a0,0x8 + 800032cc: 0097e7b3 or a5,a5,s1 + 800032d0: 00f7073b addw a4,a4,a5 + 800032d4: 0016869b addiw a3,a3,1 + 800032d8: 00e7c7b3 xor a5,a5,a4 + 800032dc: 00a40c23 sb a0,24(s0) + 800032e0: 00d41223 sh a3,4(s0) + 800032e4: 1007f793 andi a5,a5,256 + 800032e8: 0007049b sext.w s1,a4 + 800032ec: 06078463 beqz a5,80003354 <_Z14X6502_RunDebugi+0x24f4> + 800032f0: 03071493 slli s1,a4,0x30 + 800032f4: 0304d493 srli s1,s1,0x30 + 800032f8: 1004c793 xori a5,s1,256 + 800032fc: 00379793 slli a5,a5,0x3 + 80003300: 00f907b3 add a5,s2,a5 + 80003304: 0007b783 ld a5,0(a5) + 80003308: 1004c513 xori a0,s1,256 + 8000330c: 000780e7 jalr a5 + 80003310: 00042683 lw a3,0(s0) + 80003314: 01042703 lw a4,16(s0) + 80003318: 0009a783 lw a5,0(s3) + 8000331c: 000a4603 lbu a2,0(s4) + 80003320: 0016869b addiw a3,a3,1 + 80003324: fd07071b addiw a4,a4,-48 + 80003328: 0017879b addiw a5,a5,1 + 8000332c: 00a40c23 sb a0,24(s0) + 80003330: 00d42023 sw a3,0(s0) + 80003334: 00e42823 sw a4,16(s0) + 80003338: 00f9a023 sw a5,0(s3) + 8000333c: 00061c63 bnez a2,80003354 <_Z14X6502_RunDebugi+0x24f4> + 80003340: 001c9717 auipc a4,0x1c9 + 80003344: 80870713 addi a4,a4,-2040 # 801cbb48 + 80003348: 00072783 lw a5,0(a4) + 8000334c: 0017879b addiw a5,a5,1 + 80003350: 00f72023 sw a5,0(a4) + 80003354: 02049793 slli a5,s1,0x20 + 80003358: 01d7d793 srli a5,a5,0x1d + 8000335c: 00f90933 add s2,s2,a5 + 80003360: 00093783 ld a5,0(s2) + 80003364: 00048513 mv a0,s1 + 80003368: 000780e7 jalr a5 + 8000336c: 00644703 lbu a4,6(s0) + 80003370: 00054617 auipc a2,0x54 + 80003374: 50060613 addi a2,a2,1280 # 80057870 <_ZL7ZNTable> + 80003378: 00a44783 lbu a5,10(s0) + 8000337c: 00e56733 or a4,a0,a4 + 80003380: 00e60633 add a2,a2,a4 + 80003384: 00064603 lbu a2,0(a2) + 80003388: 00050693 mv a3,a0 + 8000338c: 07d7f793 andi a5,a5,125 + 80003390: 00445503 lhu a0,4(s0) + 80003394: 00c7e7b3 or a5,a5,a2 + 80003398: 00d40c23 sb a3,24(s0) + 8000339c: 00e40323 sb a4,6(s0) + 800033a0: 00f40523 sb a5,10(s0) + 800033a4: 01042483 lw s1,16(s0) + 800033a8: 00ac8463 beq s9,a0,800033b0 <_Z14X6502_RunDebugi+0x2550> + 800033ac: cc1fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800033b0: d49fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800033b4: 00a44783 lbu a5,10(s0) + 800033b8: 01042483 lw s1,16(s0) + 800033bc: ffe7f793 andi a5,a5,-2 + 800033c0: 00f40523 sb a5,10(s0) + 800033c4: 00ac8463 beq s9,a0,800033cc <_Z14X6502_RunDebugi+0x256c> + 800033c8: ca5fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800033cc: d2dfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800033d0: 00351793 slli a5,a0,0x3 + 800033d4: 00f90933 add s2,s2,a5 + 800033d8: 00093783 ld a5,0(s2) + 800033dc: 00744483 lbu s1,7(s0) + 800033e0: 000780e7 jalr a5 + 800033e4: 00a487bb addw a5,s1,a0 + 800033e8: 0ff7f793 andi a5,a5,255 + 800033ec: 001c6597 auipc a1,0x1c6 + 800033f0: 93c58593 addi a1,a1,-1732 # 801c8d28 + 800033f4: 00f585b3 add a1,a1,a5 + 800033f8: 0005c803 lbu a6,0(a1) + 800033fc: 00644883 lbu a7,6(s0) + 80003400: 00a44703 lbu a4,10(s0) + 80003404: 0018161b slliw a2,a6,0x1 + 80003408: 00054697 auipc a3,0x54 + 8000340c: 46868693 addi a3,a3,1128 # 80057870 <_ZL7ZNTable> + 80003410: 0fe67793 andi a5,a2,254 + 80003414: 00f687b3 add a5,a3,a5 + 80003418: 0ff67613 andi a2,a2,255 + 8000341c: 00445503 lhu a0,4(s0) + 80003420: 011668b3 or a7,a2,a7 + 80003424: 0007c783 lbu a5,0(a5) + 80003428: ffe77713 andi a4,a4,-2 + 8000342c: 4078531b sraiw t1,a6,0x7 + 80003430: 011686b3 add a3,a3,a7 + 80003434: 0006c683 lbu a3,0(a3) + 80003438: 00676733 or a4,a4,t1 + 8000343c: 00e7e7b3 or a5,a5,a4 + 80003440: 0015051b addiw a0,a0,1 + 80003444: 07d7f793 andi a5,a5,125 + 80003448: 03051513 slli a0,a0,0x30 + 8000344c: 03055513 srli a0,a0,0x30 + 80003450: 00d7e7b3 or a5,a5,a3 + 80003454: 00a41223 sh a0,4(s0) + 80003458: 01040c23 sb a6,24(s0) + 8000345c: 01140323 sb a7,6(s0) + 80003460: 00f40523 sb a5,10(s0) + 80003464: 00c58023 sb a2,0(a1) + 80003468: 01042483 lw s1,16(s0) + 8000346c: 00ac8463 beq s9,a0,80003474 <_Z14X6502_RunDebugi+0x2614> + 80003470: bfdfd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003474: c85fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003478: 00351793 slli a5,a0,0x3 + 8000347c: 00f90933 add s2,s2,a5 + 80003480: 00093783 ld a5,0(s2) + 80003484: 00744483 lbu s1,7(s0) + 80003488: 000780e7 jalr a5 + 8000348c: 00a487bb addw a5,s1,a0 + 80003490: 0ff7f793 andi a5,a5,255 + 80003494: 001c6717 auipc a4,0x1c6 + 80003498: 89470713 addi a4,a4,-1900 # 801c8d28 + 8000349c: 00f70733 add a4,a4,a5 + 800034a0: 00074683 lbu a3,0(a4) + 800034a4: 00a44783 lbu a5,10(s0) + 800034a8: 00445503 lhu a0,4(s0) + 800034ac: 0016959b slliw a1,a3,0x1 + 800034b0: 0fe5f813 andi a6,a1,254 + 800034b4: 00054617 auipc a2,0x54 + 800034b8: 3bc60613 addi a2,a2,956 # 80057870 <_ZL7ZNTable> + 800034bc: 01060633 add a2,a2,a6 + 800034c0: 00064603 lbu a2,0(a2) + 800034c4: ffe7f793 andi a5,a5,-2 + 800034c8: 4076d81b sraiw a6,a3,0x7 + 800034cc: 0107e7b3 or a5,a5,a6 + 800034d0: 0015051b addiw a0,a0,1 + 800034d4: 07d7f793 andi a5,a5,125 + 800034d8: 03051513 slli a0,a0,0x30 + 800034dc: 03055513 srli a0,a0,0x30 + 800034e0: 00c7e7b3 or a5,a5,a2 + 800034e4: 00a41223 sh a0,4(s0) + 800034e8: 00d40c23 sb a3,24(s0) + 800034ec: 00f40523 sb a5,10(s0) + 800034f0: 00b70023 sb a1,0(a4) + 800034f4: 01042483 lw s1,16(s0) + 800034f8: 00ac8463 beq s9,a0,80003500 <_Z14X6502_RunDebugi+0x26a0> + 800034fc: b71fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003500: bf9fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003504: 00351793 slli a5,a0,0x3 + 80003508: 00f90933 add s2,s2,a5 + 8000350c: 00093783 ld a5,0(s2) + 80003510: 000780e7 jalr a5 + 80003514: 02051793 slli a5,a0,0x20 + 80003518: 0207d793 srli a5,a5,0x20 + 8000351c: 001c6697 auipc a3,0x1c6 + 80003520: 80c68693 addi a3,a3,-2036 # 801c8d28 + 80003524: 00f686b3 add a3,a3,a5 + 80003528: 0006c603 lbu a2,0(a3) + 8000352c: 00a44783 lbu a5,10(s0) + 80003530: 00445503 lhu a0,4(s0) + 80003534: 0016171b slliw a4,a2,0x1 + 80003538: 0017f813 andi a6,a5,1 + 8000353c: 0ff77713 andi a4,a4,255 + 80003540: 010768b3 or a7,a4,a6 + 80003544: 00054597 auipc a1,0x54 + 80003548: 32c58593 addi a1,a1,812 # 80057870 <_ZL7ZNTable> + 8000354c: 011585b3 add a1,a1,a7 + 80003550: 0005c583 lbu a1,0(a1) + 80003554: 07c7f793 andi a5,a5,124 + 80003558: 4076589b sraiw a7,a2,0x7 + 8000355c: 0015051b addiw a0,a0,1 + 80003560: 0117e7b3 or a5,a5,a7 + 80003564: 03051513 slli a0,a0,0x30 + 80003568: 03055513 srli a0,a0,0x30 + 8000356c: 01076733 or a4,a4,a6 + 80003570: 00b7e7b3 or a5,a5,a1 + 80003574: 00a41223 sh a0,4(s0) + 80003578: 00c40c23 sb a2,24(s0) + 8000357c: 00f40523 sb a5,10(s0) + 80003580: 00e68023 sb a4,0(a3) + 80003584: 01042483 lw s1,16(s0) + 80003588: 00ac8463 beq s9,a0,80003590 <_Z14X6502_RunDebugi+0x2730> + 8000358c: ae1fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003590: b69fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003594: 00351793 slli a5,a0,0x3 + 80003598: 00f90933 add s2,s2,a5 + 8000359c: 00093783 ld a5,0(s2) + 800035a0: 000780e7 jalr a5 + 800035a4: 02051713 slli a4,a0,0x20 + 800035a8: 02075713 srli a4,a4,0x20 + 800035ac: 001c5797 auipc a5,0x1c5 + 800035b0: 77c78793 addi a5,a5,1916 # 801c8d28 + 800035b4: 00e787b3 add a5,a5,a4 + 800035b8: 0007c603 lbu a2,0(a5) + 800035bc: 00644703 lbu a4,6(s0) + 800035c0: 00445503 lhu a0,4(s0) + 800035c4: 00054697 auipc a3,0x54 + 800035c8: 2ac68693 addi a3,a3,684 # 80057870 <_ZL7ZNTable> + 800035cc: 00e67733 and a4,a2,a4 + 800035d0: 00a44783 lbu a5,10(s0) + 800035d4: 00e686b3 add a3,a3,a4 + 800035d8: 0006c683 lbu a3,0(a3) + 800035dc: 0015051b addiw a0,a0,1 + 800035e0: 07d7f793 andi a5,a5,125 + 800035e4: 03051513 slli a0,a0,0x30 + 800035e8: 03055513 srli a0,a0,0x30 + 800035ec: 00d7e7b3 or a5,a5,a3 + 800035f0: 00a41223 sh a0,4(s0) + 800035f4: 00c40c23 sb a2,24(s0) + 800035f8: 00e40323 sb a4,6(s0) + 800035fc: 00f40523 sb a5,10(s0) + 80003600: 01042483 lw s1,16(s0) + 80003604: 00ac8463 beq s9,a0,8000360c <_Z14X6502_RunDebugi+0x27ac> + 80003608: a65fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000360c: aedfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003610: 00351793 slli a5,a0,0x3 + 80003614: 00f90933 add s2,s2,a5 + 80003618: 00093783 ld a5,0(s2) + 8000361c: 000780e7 jalr a5 + 80003620: 02051713 slli a4,a0,0x20 + 80003624: 02075713 srli a4,a4,0x20 + 80003628: 001c5797 auipc a5,0x1c5 + 8000362c: 70078793 addi a5,a5,1792 # 801c8d28 + 80003630: 00e787b3 add a5,a5,a4 + 80003634: 0007c703 lbu a4,0(a5) + 80003638: 00644783 lbu a5,6(s0) + 8000363c: 00a44683 lbu a3,10(s0) + 80003640: 00445503 lhu a0,4(s0) + 80003644: 00f77633 and a2,a4,a5 + 80003648: 00054797 auipc a5,0x54 + 8000364c: 22878793 addi a5,a5,552 # 80057870 <_ZL7ZNTable> + 80003650: 00c787b3 add a5,a5,a2 + 80003654: 0007c783 lbu a5,0(a5) + 80003658: 03d6f693 andi a3,a3,61 + 8000365c: 0015051b addiw a0,a0,1 + 80003660: 0027f793 andi a5,a5,2 + 80003664: 00d7e7b3 or a5,a5,a3 + 80003668: 03051513 slli a0,a0,0x30 + 8000366c: fc077693 andi a3,a4,-64 + 80003670: 03055513 srli a0,a0,0x30 + 80003674: 00d7e7b3 or a5,a5,a3 + 80003678: 00a41223 sh a0,4(s0) + 8000367c: 00e40c23 sb a4,24(s0) + 80003680: 00f40523 sb a5,10(s0) + 80003684: 01042483 lw s1,16(s0) + 80003688: 00ac8463 beq s9,a0,80003690 <_Z14X6502_RunDebugi+0x2830> + 8000368c: 9e1fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003690: a69fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003694: 00351793 slli a5,a0,0x3 + 80003698: 00f907b3 add a5,s2,a5 + 8000369c: 0007b783 ld a5,0(a5) + 800036a0: 00145d17 auipc s10,0x145 + 800036a4: 640d0d13 addi s10,s10,1600 # 80148ce0 + 800036a8: 000780e7 jalr a5 + 800036ac: 00744783 lbu a5,7(s0) + 800036b0: 001c5697 auipc a3,0x1c5 + 800036b4: 67868693 addi a3,a3,1656 # 801c8d28 + 800036b8: 00445603 lhu a2,4(s0) + 800036bc: 00a787bb addw a5,a5,a0 + 800036c0: 00178713 addi a4,a5,1 + 800036c4: 0ff77713 andi a4,a4,255 + 800036c8: 00e68733 add a4,a3,a4 + 800036cc: 0ff7f793 andi a5,a5,255 + 800036d0: 00074703 lbu a4,0(a4) + 800036d4: 00f687b3 add a5,a3,a5 + 800036d8: 0007c683 lbu a3,0(a5) + 800036dc: 0087179b slliw a5,a4,0x8 + 800036e0: 0016061b addiw a2,a2,1 + 800036e4: 00d7e7b3 or a5,a5,a3 + 800036e8: 03079493 slli s1,a5,0x30 + 800036ec: 02d4d493 srli s1,s1,0x2d + 800036f0: 00990933 add s2,s2,s1 + 800036f4: 00093683 ld a3,0(s2) + 800036f8: 0007891b sext.w s2,a5 + 800036fc: 00c41223 sh a2,4(s0) + 80003700: 00e40c23 sb a4,24(s0) + 80003704: 00090513 mv a0,s2 + 80003708: 000680e7 jalr a3 + 8000370c: 009d0d33 add s10,s10,s1 + 80003710: 000d3783 ld a5,0(s10) + 80003714: 00050493 mv s1,a0 + 80003718: 00050593 mv a1,a0 + 8000371c: 00940c23 sb s1,24(s0) + 80003720: 00090513 mv a0,s2 + 80003724: 000780e7 jalr a5 + 80003728: 00a44783 lbu a5,10(s0) + 8000372c: 0014959b slliw a1,s1,0x1 + 80003730: 00644703 lbu a4,6(s0) + 80003734: 0017f513 andi a0,a5,1 + 80003738: 0ff5f593 andi a1,a1,255 + 8000373c: 00a5e633 or a2,a1,a0 + 80003740: 00054697 auipc a3,0x54 + 80003744: 13068693 addi a3,a3,304 # 80057870 <_ZL7ZNTable> + 80003748: 00060593 mv a1,a2 + 8000374c: 00c68633 add a2,a3,a2 + 80003750: 00064503 lbu a0,0(a2) + 80003754: 00e5f733 and a4,a1,a4 + 80003758: 00e686b3 add a3,a3,a4 + 8000375c: 4074d49b sraiw s1,s1,0x7 + 80003760: 07c7f793 andi a5,a5,124 + 80003764: 0006c603 lbu a2,0(a3) + 80003768: 0097e7b3 or a5,a5,s1 + 8000376c: 00a7e7b3 or a5,a5,a0 + 80003770: 000d3683 ld a3,0(s10) + 80003774: 07d7f793 andi a5,a5,125 + 80003778: 00c7e7b3 or a5,a5,a2 + 8000377c: 00090513 mv a0,s2 + 80003780: 00e40323 sb a4,6(s0) + 80003784: 00f40523 sb a5,10(s0) + 80003788: 000680e7 jalr a3 + 8000378c: 00445503 lhu a0,4(s0) + 80003790: 01042483 lw s1,16(s0) + 80003794: 00ac8463 beq s9,a0,8000379c <_Z14X6502_RunDebugi+0x293c> + 80003798: 8d5fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000379c: 95dfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800037a0: 00351793 slli a5,a0,0x3 + 800037a4: 00f907b3 add a5,s2,a5 + 800037a8: 0007b783 ld a5,0(a5) + 800037ac: 000780e7 jalr a5 + 800037b0: 00744783 lbu a5,7(s0) + 800037b4: 001c5697 auipc a3,0x1c5 + 800037b8: 57468693 addi a3,a3,1396 # 801c8d28 + 800037bc: 00445603 lhu a2,4(s0) + 800037c0: 00a787bb addw a5,a5,a0 + 800037c4: 00178713 addi a4,a5,1 + 800037c8: 0ff77713 andi a4,a4,255 + 800037cc: 00e68733 add a4,a3,a4 + 800037d0: 0ff7f793 andi a5,a5,255 + 800037d4: 00074703 lbu a4,0(a4) + 800037d8: 00f687b3 add a5,a3,a5 + 800037dc: 0007c783 lbu a5,0(a5) + 800037e0: 0087151b slliw a0,a4,0x8 + 800037e4: 0016061b addiw a2,a2,1 + 800037e8: 00f56533 or a0,a0,a5 + 800037ec: 03051793 slli a5,a0,0x30 + 800037f0: 02d7d793 srli a5,a5,0x2d + 800037f4: 00f90933 add s2,s2,a5 + 800037f8: 00093783 ld a5,0(s2) + 800037fc: 00c41223 sh a2,4(s0) + 80003800: 00e40c23 sb a4,24(s0) + 80003804: 0005051b sext.w a0,a0 + 80003808: 000780e7 jalr a5 + 8000380c: 00644703 lbu a4,6(s0) + 80003810: 00054617 auipc a2,0x54 + 80003814: 06060613 addi a2,a2,96 # 80057870 <_ZL7ZNTable> + 80003818: 00a44783 lbu a5,10(s0) + 8000381c: 00e57733 and a4,a0,a4 + 80003820: 00e60633 add a2,a2,a4 + 80003824: 00064603 lbu a2,0(a2) + 80003828: 00050693 mv a3,a0 + 8000382c: 07d7f793 andi a5,a5,125 + 80003830: 00445503 lhu a0,4(s0) + 80003834: 00c7e7b3 or a5,a5,a2 + 80003838: 00d40c23 sb a3,24(s0) + 8000383c: 00e40323 sb a4,6(s0) + 80003840: 00f40523 sb a5,10(s0) + 80003844: 01042483 lw s1,16(s0) + 80003848: 00ac8463 beq s9,a0,80003850 <_Z14X6502_RunDebugi+0x29f0> + 8000384c: 821fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003850: 8a9fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003854: 00351793 slli a5,a0,0x3 + 80003858: 00f907b3 add a5,s2,a5 + 8000385c: 0007b783 ld a5,0(a5) + 80003860: 000780e7 jalr a5 + 80003864: 00445783 lhu a5,4(s0) + 80003868: 00944703 lbu a4,9(s0) + 8000386c: 001c5697 auipc a3,0x1c5 + 80003870: 4bc68693 addi a3,a3,1212 # 801c8d28 + 80003874: 0017879b addiw a5,a5,1 + 80003878: 03079793 slli a5,a5,0x30 + 8000387c: 0307d793 srli a5,a5,0x30 + 80003880: 00379593 slli a1,a5,0x3 + 80003884: fff7061b addiw a2,a4,-1 + 80003888: 00b90933 add s2,s2,a1 + 8000388c: 00e68833 add a6,a3,a4 + 80003890: 4087d89b sraiw a7,a5,0x8 + 80003894: 0ff67613 andi a2,a2,255 + 80003898: 00093583 ld a1,0(s2) + 8000389c: 11180023 sb a7,256(a6) + 800038a0: 00c686b3 add a3,a3,a2 + 800038a4: ffe7071b addiw a4,a4,-2 + 800038a8: 00050493 mv s1,a0 + 800038ac: 00a40c23 sb a0,24(s0) + 800038b0: 00f41223 sh a5,4(s0) + 800038b4: 10f68023 sb a5,256(a3) + 800038b8: 00078513 mv a0,a5 + 800038bc: 00e404a3 sb a4,9(s0) + 800038c0: 000580e7 jalr a1 + 800038c4: 00050793 mv a5,a0 + 800038c8: 00851513 slli a0,a0,0x8 + 800038cc: 00956533 or a0,a0,s1 + 800038d0: 00f40c23 sb a5,24(s0) + 800038d4: 00a41223 sh a0,4(s0) + 800038d8: 01042483 lw s1,16(s0) + 800038dc: 00ac8463 beq s9,a0,800038e4 <_Z14X6502_RunDebugi+0x2a84> + 800038e0: f8cfd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800038e4: 815fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800038e8: 00351793 slli a5,a0,0x3 + 800038ec: 00f907b3 add a5,s2,a5 + 800038f0: 0007b783 ld a5,0(a5) + 800038f4: 000780e7 jalr a5 + 800038f8: 00445783 lhu a5,4(s0) + 800038fc: 00050713 mv a4,a0 + 80003900: 00a40c23 sb a0,24(s0) + 80003904: 0017879b addiw a5,a5,1 + 80003908: 03079513 slli a0,a5,0x30 + 8000390c: 03055513 srli a0,a0,0x30 + 80003910: 00351793 slli a5,a0,0x3 + 80003914: 00f907b3 add a5,s2,a5 + 80003918: 0007b783 ld a5,0(a5) + 8000391c: 00a41223 sh a0,4(s0) + 80003920: 0007049b sext.w s1,a4 + 80003924: 000780e7 jalr a5 + 80003928: 00744d03 lbu s10,7(s0) + 8000392c: 00851793 slli a5,a0,0x8 + 80003930: 0097e7b3 or a5,a5,s1 + 80003934: 00fd0d3b addw s10,s10,a5 + 80003938: 0ffd7613 andi a2,s10,255 + 8000393c: 0187f7b3 and a5,a5,s8 + 80003940: 00f66733 or a4,a2,a5 + 80003944: 00445683 lhu a3,4(s0) + 80003948: 00371713 slli a4,a4,0x3 + 8000394c: 00e90733 add a4,s2,a4 + 80003950: 00073583 ld a1,0(a4) + 80003954: 030d1d13 slli s10,s10,0x30 + 80003958: 0016871b addiw a4,a3,1 + 8000395c: 030d5d13 srli s10,s10,0x30 + 80003960: 00e41223 sh a4,4(s0) + 80003964: 003d1493 slli s1,s10,0x3 + 80003968: 00a40c23 sb a0,24(s0) + 8000396c: 00f66533 or a0,a2,a5 + 80003970: 000580e7 jalr a1 + 80003974: 00990933 add s2,s2,s1 + 80003978: 00093783 ld a5,0(s2) + 8000397c: 00a40c23 sb a0,24(s0) + 80003980: 00145917 auipc s2,0x145 + 80003984: 36090913 addi s2,s2,864 # 80148ce0 + 80003988: 000d0513 mv a0,s10 + 8000398c: 000780e7 jalr a5 + 80003990: 00990933 add s2,s2,s1 + 80003994: 00093783 ld a5,0(s2) + 80003998: 00050493 mv s1,a0 + 8000399c: 00050593 mv a1,a0 + 800039a0: 00940c23 sb s1,24(s0) + 800039a4: 000d0513 mv a0,s10 + 800039a8: 000780e7 jalr a5 + 800039ac: 00644683 lbu a3,6(s0) + 800039b0: 0014959b slliw a1,s1,0x1 + 800039b4: 00a44703 lbu a4,10(s0) + 800039b8: 00054617 auipc a2,0x54 + 800039bc: eb860613 addi a2,a2,-328 # 80057870 <_ZL7ZNTable> + 800039c0: 0fe5f793 andi a5,a1,254 + 800039c4: 00f607b3 add a5,a2,a5 + 800039c8: 0ff5f593 andi a1,a1,255 + 800039cc: 00d5e6b3 or a3,a1,a3 + 800039d0: 0007c783 lbu a5,0(a5) + 800039d4: ffe77713 andi a4,a4,-2 + 800039d8: 00d60633 add a2,a2,a3 + 800039dc: 4074d49b sraiw s1,s1,0x7 + 800039e0: 009764b3 or s1,a4,s1 + 800039e4: 00064603 lbu a2,0(a2) + 800039e8: 0097e7b3 or a5,a5,s1 + 800039ec: 00093703 ld a4,0(s2) + 800039f0: 07d7f793 andi a5,a5,125 + 800039f4: 00c7e7b3 or a5,a5,a2 + 800039f8: 000d0513 mv a0,s10 + 800039fc: 00d40323 sb a3,6(s0) + 80003a00: 00f40523 sb a5,10(s0) + 80003a04: 000700e7 jalr a4 + 80003a08: 00445503 lhu a0,4(s0) + 80003a0c: 01042483 lw s1,16(s0) + 80003a10: 00ac8463 beq s9,a0,80003a18 <_Z14X6502_RunDebugi+0x2bb8> + 80003a14: e58fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003a18: ee0fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003a1c: 00351793 slli a5,a0,0x3 + 80003a20: 00f907b3 add a5,s2,a5 + 80003a24: 0007b783 ld a5,0(a5) + 80003a28: 000780e7 jalr a5 + 80003a2c: 00445783 lhu a5,4(s0) + 80003a30: 00050713 mv a4,a0 + 80003a34: 00a40c23 sb a0,24(s0) + 80003a38: 0017879b addiw a5,a5,1 + 80003a3c: 03079513 slli a0,a5,0x30 + 80003a40: 03055513 srli a0,a0,0x30 + 80003a44: 00351793 slli a5,a0,0x3 + 80003a48: 00f907b3 add a5,s2,a5 + 80003a4c: 0007b783 ld a5,0(a5) + 80003a50: 00a41223 sh a0,4(s0) + 80003a54: 0007049b sext.w s1,a4 + 80003a58: 000780e7 jalr a5 + 80003a5c: 00744683 lbu a3,7(s0) + 80003a60: 00851793 slli a5,a0,0x8 + 80003a64: 0097e7b3 or a5,a5,s1 + 80003a68: 00f686bb addw a3,a3,a5 + 80003a6c: 0ff6f593 andi a1,a3,255 + 80003a70: 0187f7b3 and a5,a5,s8 + 80003a74: 00f5e733 or a4,a1,a5 + 80003a78: 00445603 lhu a2,4(s0) + 80003a7c: 00371713 slli a4,a4,0x3 + 80003a80: 00e90733 add a4,s2,a4 + 80003a84: 00073803 ld a6,0(a4) + 80003a88: 03069d13 slli s10,a3,0x30 + 80003a8c: 0016071b addiw a4,a2,1 + 80003a90: 030d5d13 srli s10,s10,0x30 + 80003a94: 00e41223 sh a4,4(s0) + 80003a98: 003d1493 slli s1,s10,0x3 + 80003a9c: 00a40c23 sb a0,24(s0) + 80003aa0: 00f5e533 or a0,a1,a5 + 80003aa4: 000800e7 jalr a6 + 80003aa8: 00990933 add s2,s2,s1 + 80003aac: 00093783 ld a5,0(s2) + 80003ab0: 00a40c23 sb a0,24(s0) + 80003ab4: 00145917 auipc s2,0x145 + 80003ab8: 22c90913 addi s2,s2,556 # 80148ce0 + 80003abc: 000d0513 mv a0,s10 + 80003ac0: 000780e7 jalr a5 + 80003ac4: 00990933 add s2,s2,s1 + 80003ac8: 00093783 ld a5,0(s2) + 80003acc: 00050493 mv s1,a0 + 80003ad0: 00050593 mv a1,a0 + 80003ad4: 00940c23 sb s1,24(s0) + 80003ad8: 000d0513 mv a0,s10 + 80003adc: 000780e7 jalr a5 + 80003ae0: 00a44783 lbu a5,10(s0) + 80003ae4: 0014959b slliw a1,s1,0x1 + 80003ae8: 0fe5f693 andi a3,a1,254 + 80003aec: 00054717 auipc a4,0x54 + 80003af0: d8470713 addi a4,a4,-636 # 80057870 <_ZL7ZNTable> + 80003af4: 00d70733 add a4,a4,a3 + 80003af8: 00074683 lbu a3,0(a4) + 80003afc: 4074d49b sraiw s1,s1,0x7 + 80003b00: ffe7f793 andi a5,a5,-2 + 80003b04: 0097e7b3 or a5,a5,s1 + 80003b08: 00093703 ld a4,0(s2) + 80003b0c: 07d7f793 andi a5,a5,125 + 80003b10: 00d7e7b3 or a5,a5,a3 + 80003b14: 000d0513 mv a0,s10 + 80003b18: 00f40523 sb a5,10(s0) + 80003b1c: 0fe5f593 andi a1,a1,254 + 80003b20: 000700e7 jalr a4 + 80003b24: 00445503 lhu a0,4(s0) + 80003b28: 01042483 lw s1,16(s0) + 80003b2c: 00ac8463 beq s9,a0,80003b34 <_Z14X6502_RunDebugi+0x2cd4> + 80003b30: d3cfd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003b34: dc4fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003b38: 00351793 slli a5,a0,0x3 + 80003b3c: 00f907b3 add a5,s2,a5 + 80003b40: 0007b783 ld a5,0(a5) + 80003b44: 000780e7 jalr a5 + 80003b48: 00445783 lhu a5,4(s0) + 80003b4c: 00050493 mv s1,a0 + 80003b50: 00a40c23 sb a0,24(s0) + 80003b54: 0017879b addiw a5,a5,1 + 80003b58: 03079513 slli a0,a5,0x30 + 80003b5c: 03055513 srli a0,a0,0x30 + 80003b60: 00351793 slli a5,a0,0x3 + 80003b64: 00f907b3 add a5,s2,a5 + 80003b68: 0007b783 ld a5,0(a5) + 80003b6c: 00a41223 sh a0,4(s0) + 80003b70: 0004849b sext.w s1,s1 + 80003b74: 000780e7 jalr a5 + 80003b78: 00851693 slli a3,a0,0x8 + 80003b7c: 0096e7b3 or a5,a3,s1 + 80003b80: 00445703 lhu a4,4(s0) + 80003b84: 00379793 slli a5,a5,0x3 + 80003b88: 00f90933 add s2,s2,a5 + 80003b8c: 00093603 ld a2,0(s2) + 80003b90: 0017079b addiw a5,a4,1 + 80003b94: 00a40c23 sb a0,24(s0) + 80003b98: 00f41223 sh a5,4(s0) + 80003b9c: 0096e533 or a0,a3,s1 + 80003ba0: 000600e7 jalr a2 + 80003ba4: 00644703 lbu a4,6(s0) + 80003ba8: 00054617 auipc a2,0x54 + 80003bac: cc860613 addi a2,a2,-824 # 80057870 <_ZL7ZNTable> + 80003bb0: 00a44783 lbu a5,10(s0) + 80003bb4: 00e54733 xor a4,a0,a4 + 80003bb8: 00e60633 add a2,a2,a4 + 80003bbc: 00064603 lbu a2,0(a2) + 80003bc0: 00050693 mv a3,a0 + 80003bc4: 07d7f793 andi a5,a5,125 + 80003bc8: 00445503 lhu a0,4(s0) + 80003bcc: 00c7e7b3 or a5,a5,a2 + 80003bd0: 00d40c23 sb a3,24(s0) + 80003bd4: 00e40323 sb a4,6(s0) + 80003bd8: 00f40523 sb a5,10(s0) + 80003bdc: 01042483 lw s1,16(s0) + 80003be0: 00ac8463 beq s9,a0,80003be8 <_Z14X6502_RunDebugi+0x2d88> + 80003be4: c88fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003be8: d10fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003bec: 00351793 slli a5,a0,0x3 + 80003bf0: 00f907b3 add a5,s2,a5 + 80003bf4: 0007b783 ld a5,0(a5) + 80003bf8: 000780e7 jalr a5 + 80003bfc: 002c871b addiw a4,s9,2 + 80003c00: 03071793 slli a5,a4,0x30 + 80003c04: 02d7d793 srli a5,a5,0x2d + 80003c08: 00f90933 add s2,s2,a5 + 80003c0c: 00093683 ld a3,0(s2) + 80003c10: 00050793 mv a5,a0 + 80003c14: 00a40c23 sb a0,24(s0) + 80003c18: 03071513 slli a0,a4,0x30 + 80003c1c: 03055513 srli a0,a0,0x30 + 80003c20: 0007849b sext.w s1,a5 + 80003c24: 000680e7 jalr a3 + 80003c28: 00050793 mv a5,a0 + 80003c2c: 00851513 slli a0,a0,0x8 + 80003c30: 00956533 or a0,a0,s1 + 80003c34: 00f40c23 sb a5,24(s0) + 80003c38: 00a41223 sh a0,4(s0) + 80003c3c: 01042483 lw s1,16(s0) + 80003c40: 00ac8463 beq s9,a0,80003c48 <_Z14X6502_RunDebugi+0x2de8> + 80003c44: c28fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003c48: cb0fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003c4c: 00351793 slli a5,a0,0x3 + 80003c50: 00f90933 add s2,s2,a5 + 80003c54: 00093783 ld a5,0(s2) + 80003c58: 000780e7 jalr a5 + 80003c5c: 00644783 lbu a5,6(s0) + 80003c60: 00054697 auipc a3,0x54 + 80003c64: c1068693 addi a3,a3,-1008 # 80057870 <_ZL7ZNTable> + 80003c68: 00050593 mv a1,a0 + 80003c6c: 00f57733 and a4,a0,a5 + 80003c70: 00e68633 add a2,a3,a4 + 80003c74: 00175813 srli a6,a4,0x1 + 80003c78: 00064883 lbu a7,0(a2) + 80003c7c: 00a44703 lbu a4,10(s0) + 80003c80: 00445603 lhu a2,4(s0) + 80003c84: 010686b3 add a3,a3,a6 + 80003c88: 0006c503 lbu a0,0(a3) + 80003c8c: 00f5f7b3 and a5,a1,a5 + 80003c90: 01176733 or a4,a4,a7 + 80003c94: 0017f793 andi a5,a5,1 + 80003c98: 0016069b addiw a3,a2,1 + 80003c9c: 00a7e7b3 or a5,a5,a0 + 80003ca0: 07c77713 andi a4,a4,124 + 80003ca4: 03069513 slli a0,a3,0x30 + 80003ca8: 03055513 srli a0,a0,0x30 + 80003cac: 00f767b3 or a5,a4,a5 + 80003cb0: 00b40c23 sb a1,24(s0) + 80003cb4: 00a41223 sh a0,4(s0) + 80003cb8: 01040323 sb a6,6(s0) + 80003cbc: 00f40523 sb a5,10(s0) + 80003cc0: 01042483 lw s1,16(s0) + 80003cc4: 00ac8463 beq s9,a0,80003ccc <_Z14X6502_RunDebugi+0x2e6c> + 80003cc8: ba4fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003ccc: c2cfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003cd0: 00644703 lbu a4,6(s0) + 80003cd4: 00a44783 lbu a5,10(s0) + 80003cd8: 00054697 auipc a3,0x54 + 80003cdc: b9868693 addi a3,a3,-1128 # 80057870 <_ZL7ZNTable> + 80003ce0: 00175613 srli a2,a4,0x1 + 80003ce4: 00c686b3 add a3,a3,a2 + 80003ce8: 0006c683 lbu a3,0(a3) + 80003cec: 07c7f793 andi a5,a5,124 + 80003cf0: 00177713 andi a4,a4,1 + 80003cf4: 00e7e7b3 or a5,a5,a4 + 80003cf8: 00d7e7b3 or a5,a5,a3 + 80003cfc: 00f40523 sb a5,10(s0) + 80003d00: 00c40323 sb a2,6(s0) + 80003d04: 01042483 lw s1,16(s0) + 80003d08: 00ac8463 beq s9,a0,80003d10 <_Z14X6502_RunDebugi+0x2eb0> + 80003d0c: b60fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003d10: be8fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003d14: 00351793 slli a5,a0,0x3 + 80003d18: 00f90933 add s2,s2,a5 + 80003d1c: 00093783 ld a5,0(s2) + 80003d20: 000780e7 jalr a5 + 80003d24: 00644703 lbu a4,6(s0) + 80003d28: 00050693 mv a3,a0 + 80003d2c: 00054617 auipc a2,0x54 + 80003d30: b4460613 addi a2,a2,-1212 # 80057870 <_ZL7ZNTable> + 80003d34: 00e54733 xor a4,a0,a4 + 80003d38: 00445503 lhu a0,4(s0) + 80003d3c: 00a44783 lbu a5,10(s0) + 80003d40: 00e60633 add a2,a2,a4 + 80003d44: 00064603 lbu a2,0(a2) + 80003d48: 0015051b addiw a0,a0,1 + 80003d4c: 07d7f793 andi a5,a5,125 + 80003d50: 03051513 slli a0,a0,0x30 + 80003d54: 03055513 srli a0,a0,0x30 + 80003d58: 00c7e7b3 or a5,a5,a2 + 80003d5c: 00d40c23 sb a3,24(s0) + 80003d60: 00a41223 sh a0,4(s0) + 80003d64: 00e40323 sb a4,6(s0) + 80003d68: 00f40523 sb a5,10(s0) + 80003d6c: 01042483 lw s1,16(s0) + 80003d70: 00ac8463 beq s9,a0,80003d78 <_Z14X6502_RunDebugi+0x2f18> + 80003d74: af8fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003d78: b80fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003d7c: 00944783 lbu a5,9(s0) + 80003d80: 00644683 lbu a3,6(s0) + 80003d84: 001c5717 auipc a4,0x1c5 + 80003d88: 0a470713 addi a4,a4,164 # 801c8e28 + 80003d8c: 00e78733 add a4,a5,a4 + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 00d70023 sb a3,0(a4) + 80003d98: 00f404a3 sb a5,9(s0) + 80003d9c: 01042483 lw s1,16(s0) + 80003da0: 00ac8463 beq s9,a0,80003da8 <_Z14X6502_RunDebugi+0x2f48> + 80003da4: ac8fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003da8: b50fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003dac: 00351793 slli a5,a0,0x3 + 80003db0: 00f907b3 add a5,s2,a5 + 80003db4: 0007b783 ld a5,0(a5) + 80003db8: 000780e7 jalr a5 + 80003dbc: 00445783 lhu a5,4(s0) + 80003dc0: 00050713 mv a4,a0 + 80003dc4: 00a40c23 sb a0,24(s0) + 80003dc8: 0017879b addiw a5,a5,1 + 80003dcc: 03079513 slli a0,a5,0x30 + 80003dd0: 03055513 srli a0,a0,0x30 + 80003dd4: 00351793 slli a5,a0,0x3 + 80003dd8: 00f907b3 add a5,s2,a5 + 80003ddc: 0007b783 ld a5,0(a5) + 80003de0: 00a41223 sh a0,4(s0) + 80003de4: 0007049b sext.w s1,a4 + 80003de8: 000780e7 jalr a5 + 80003dec: 00844703 lbu a4,8(s0) + 80003df0: 00445683 lhu a3,4(s0) + 80003df4: 00851793 slli a5,a0,0x8 + 80003df8: 0097e7b3 or a5,a5,s1 + 80003dfc: 00f7073b addw a4,a4,a5 + 80003e00: 0016869b addiw a3,a3,1 + 80003e04: 00e7c7b3 xor a5,a5,a4 + 80003e08: 00a40c23 sb a0,24(s0) + 80003e0c: 00d41223 sh a3,4(s0) + 80003e10: 1007f793 andi a5,a5,256 + 80003e14: 0007049b sext.w s1,a4 + 80003e18: 06078463 beqz a5,80003e80 <_Z14X6502_RunDebugi+0x3020> + 80003e1c: 03071493 slli s1,a4,0x30 + 80003e20: 0304d493 srli s1,s1,0x30 + 80003e24: 1004c793 xori a5,s1,256 + 80003e28: 00379793 slli a5,a5,0x3 + 80003e2c: 00f907b3 add a5,s2,a5 + 80003e30: 0007b783 ld a5,0(a5) + 80003e34: 1004c513 xori a0,s1,256 + 80003e38: 000780e7 jalr a5 + 80003e3c: 00042683 lw a3,0(s0) + 80003e40: 01042703 lw a4,16(s0) + 80003e44: 0009a783 lw a5,0(s3) + 80003e48: 000a4603 lbu a2,0(s4) + 80003e4c: 0016869b addiw a3,a3,1 + 80003e50: fd07071b addiw a4,a4,-48 + 80003e54: 0017879b addiw a5,a5,1 + 80003e58: 00a40c23 sb a0,24(s0) + 80003e5c: 00d42023 sw a3,0(s0) + 80003e60: 00e42823 sw a4,16(s0) + 80003e64: 00f9a023 sw a5,0(s3) + 80003e68: 00061c63 bnez a2,80003e80 <_Z14X6502_RunDebugi+0x3020> + 80003e6c: 001c8717 auipc a4,0x1c8 + 80003e70: cdc70713 addi a4,a4,-804 # 801cbb48 + 80003e74: 00072783 lw a5,0(a4) + 80003e78: 0017879b addiw a5,a5,1 + 80003e7c: 00f72023 sw a5,0(a4) + 80003e80: 02049793 slli a5,s1,0x20 + 80003e84: 01d7d793 srli a5,a5,0x1d + 80003e88: 00f90933 add s2,s2,a5 + 80003e8c: 00093783 ld a5,0(s2) + 80003e90: 00048513 mv a0,s1 + 80003e94: 000780e7 jalr a5 + 80003e98: 00644783 lbu a5,6(s0) + 80003e9c: 00054617 auipc a2,0x54 + 80003ea0: 9d460613 addi a2,a2,-1580 # 80057870 <_ZL7ZNTable> + 80003ea4: 00a44703 lbu a4,10(s0) + 80003ea8: 40a787bb subw a5,a5,a0 + 80003eac: 0ff7f593 andi a1,a5,255 + 80003eb0: 00b60633 add a2,a2,a1 + 80003eb4: 00064603 lbu a2,0(a2) + 80003eb8: 07d77713 andi a4,a4,125 + 80003ebc: 4087d79b sraiw a5,a5,0x8 + 80003ec0: 00c76733 or a4,a4,a2 + 80003ec4: 0017c793 xori a5,a5,1 + 80003ec8: 00050693 mv a3,a0 + 80003ecc: ffe77713 andi a4,a4,-2 + 80003ed0: 0017f793 andi a5,a5,1 + 80003ed4: 00445503 lhu a0,4(s0) + 80003ed8: 00f767b3 or a5,a4,a5 + 80003edc: 00d40c23 sb a3,24(s0) + 80003ee0: 00f40523 sb a5,10(s0) + 80003ee4: 01042483 lw s1,16(s0) + 80003ee8: 00ac8463 beq s9,a0,80003ef0 <_Z14X6502_RunDebugi+0x3090> + 80003eec: 980fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003ef0: a08fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003ef4: 00a44783 lbu a5,10(s0) + 80003ef8: 01042483 lw s1,16(s0) + 80003efc: ff77f793 andi a5,a5,-9 + 80003f00: 00f40523 sb a5,10(s0) + 80003f04: 00ac8463 beq s9,a0,80003f0c <_Z14X6502_RunDebugi+0x30ac> + 80003f08: 964fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003f0c: 9ecfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003f10: 00351793 slli a5,a0,0x3 + 80003f14: 00f90933 add s2,s2,a5 + 80003f18: 00093783 ld a5,0(s2) + 80003f1c: 00744483 lbu s1,7(s0) + 80003f20: 000780e7 jalr a5 + 80003f24: 00a487bb addw a5,s1,a0 + 80003f28: 0ff7f793 andi a5,a5,255 + 80003f2c: 001c5697 auipc a3,0x1c5 + 80003f30: dfc68693 addi a3,a3,-516 # 801c8d28 + 80003f34: 00f686b3 add a3,a3,a5 + 80003f38: 0006c803 lbu a6,0(a3) + 80003f3c: 00644703 lbu a4,6(s0) + 80003f40: 00054617 auipc a2,0x54 + 80003f44: 93060613 addi a2,a2,-1744 # 80057870 <_ZL7ZNTable> + 80003f48: fff8059b addiw a1,a6,-1 + 80003f4c: 0ff5f793 andi a5,a1,255 + 80003f50: 40f7073b subw a4,a4,a5 + 80003f54: 00f607b3 add a5,a2,a5 + 80003f58: 0ff77513 andi a0,a4,255 + 80003f5c: 00a44883 lbu a7,10(s0) + 80003f60: 0007c783 lbu a5,0(a5) + 80003f64: 00a60633 add a2,a2,a0 + 80003f68: 00064603 lbu a2,0(a2) + 80003f6c: 00445503 lhu a0,4(s0) + 80003f70: 0117e7b3 or a5,a5,a7 + 80003f74: 07d7f793 andi a5,a5,125 + 80003f78: 4087571b sraiw a4,a4,0x8 + 80003f7c: 00c7e7b3 or a5,a5,a2 + 80003f80: 00174713 xori a4,a4,1 + 80003f84: 0015051b addiw a0,a0,1 + 80003f88: ffe7f793 andi a5,a5,-2 + 80003f8c: 00177713 andi a4,a4,1 + 80003f90: 03051513 slli a0,a0,0x30 + 80003f94: 03055513 srli a0,a0,0x30 + 80003f98: 00e7e7b3 or a5,a5,a4 + 80003f9c: 00a41223 sh a0,4(s0) + 80003fa0: 01040c23 sb a6,24(s0) + 80003fa4: 00f40523 sb a5,10(s0) + 80003fa8: 00b68023 sb a1,0(a3) + 80003fac: 01042483 lw s1,16(s0) + 80003fb0: 00ac8463 beq s9,a0,80003fb8 <_Z14X6502_RunDebugi+0x3158> + 80003fb4: 8b8fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80003fb8: 940fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80003fbc: 00351793 slli a5,a0,0x3 + 80003fc0: 00f90933 add s2,s2,a5 + 80003fc4: 00093783 ld a5,0(s2) + 80003fc8: 00744483 lbu s1,7(s0) + 80003fcc: 000780e7 jalr a5 + 80003fd0: 00a487bb addw a5,s1,a0 + 80003fd4: 0ff7f793 andi a5,a5,255 + 80003fd8: 001c5717 auipc a4,0x1c5 + 80003fdc: d5070713 addi a4,a4,-688 # 801c8d28 + 80003fe0: 00f70733 add a4,a4,a5 + 80003fe4: 00074583 lbu a1,0(a4) + 80003fe8: 00445503 lhu a0,4(s0) + 80003fec: 00054697 auipc a3,0x54 + 80003ff0: 88468693 addi a3,a3,-1916 # 80057870 <_ZL7ZNTable> + 80003ff4: fff5861b addiw a2,a1,-1 + 80003ff8: 0ff67813 andi a6,a2,255 + 80003ffc: 00a44783 lbu a5,10(s0) + 80004000: 010686b3 add a3,a3,a6 + 80004004: 0006c683 lbu a3,0(a3) + 80004008: 0015051b addiw a0,a0,1 + 8000400c: 07d7f793 andi a5,a5,125 + 80004010: 03051513 slli a0,a0,0x30 + 80004014: 03055513 srli a0,a0,0x30 + 80004018: 00d7e7b3 or a5,a5,a3 + 8000401c: 00a41223 sh a0,4(s0) + 80004020: 00b40c23 sb a1,24(s0) + 80004024: 00f40523 sb a5,10(s0) + 80004028: 00c70023 sb a2,0(a4) + 8000402c: 01042483 lw s1,16(s0) + 80004030: 00ac8463 beq s9,a0,80004038 <_Z14X6502_RunDebugi+0x31d8> + 80004034: 838fd06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004038: 8c0fd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000403c: 00351793 slli a5,a0,0x3 + 80004040: 00f90933 add s2,s2,a5 + 80004044: 00093783 ld a5,0(s2) + 80004048: 00744483 lbu s1,7(s0) + 8000404c: 000780e7 jalr a5 + 80004050: 00a487bb addw a5,s1,a0 + 80004054: 001c5717 auipc a4,0x1c5 + 80004058: cd470713 addi a4,a4,-812 # 801c8d28 + 8000405c: 0ff7f793 andi a5,a5,255 + 80004060: 00f707b3 add a5,a4,a5 + 80004064: 0007c603 lbu a2,0(a5) + 80004068: 00644783 lbu a5,6(s0) + 8000406c: 00054697 auipc a3,0x54 + 80004070: 80468693 addi a3,a3,-2044 # 80057870 <_ZL7ZNTable> + 80004074: 00a44703 lbu a4,10(s0) + 80004078: 40c787bb subw a5,a5,a2 + 8000407c: 0ff7f593 andi a1,a5,255 + 80004080: 00b686b3 add a3,a3,a1 + 80004084: 00445503 lhu a0,4(s0) + 80004088: 0006c683 lbu a3,0(a3) + 8000408c: 07d77713 andi a4,a4,125 + 80004090: 4087d79b sraiw a5,a5,0x8 + 80004094: 00d76733 or a4,a4,a3 + 80004098: 0017c793 xori a5,a5,1 + 8000409c: 0015051b addiw a0,a0,1 + 800040a0: ffe77713 andi a4,a4,-2 + 800040a4: 0017f793 andi a5,a5,1 + 800040a8: 03051513 slli a0,a0,0x30 + 800040ac: 03055513 srli a0,a0,0x30 + 800040b0: 00f767b3 or a5,a4,a5 + 800040b4: 00a41223 sh a0,4(s0) + 800040b8: 00c40c23 sb a2,24(s0) + 800040bc: 00f40523 sb a5,10(s0) + 800040c0: 01042483 lw s1,16(s0) + 800040c4: 00ac8463 beq s9,a0,800040cc <_Z14X6502_RunDebugi+0x326c> + 800040c8: fa5fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800040cc: 82cfd06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800040d0: 00351793 slli a5,a0,0x3 + 800040d4: 00f907b3 add a5,s2,a5 + 800040d8: 0007b783 ld a5,0(a5) + 800040dc: 000780e7 jalr a5 + 800040e0: 0015079b addiw a5,a0,1 + 800040e4: 001c5717 auipc a4,0x1c5 + 800040e8: c4470713 addi a4,a4,-956 # 801c8d28 + 800040ec: 0ff7f793 andi a5,a5,255 + 800040f0: 02051513 slli a0,a0,0x20 + 800040f4: 00f707b3 add a5,a4,a5 + 800040f8: 02055513 srli a0,a0,0x20 + 800040fc: 0007c603 lbu a2,0(a5) + 80004100: 00a70533 add a0,a4,a0 + 80004104: 00054703 lbu a4,0(a0) + 80004108: 00844483 lbu s1,8(s0) + 8000410c: 0086179b slliw a5,a2,0x8 + 80004110: 00e7e7b3 or a5,a5,a4 + 80004114: 00f484bb addw s1,s1,a5 + 80004118: 0007879b sext.w a5,a5 + 8000411c: 0187f7b3 and a5,a5,s8 + 80004120: 0ff4f513 andi a0,s1,255 + 80004124: 00f56733 or a4,a0,a5 + 80004128: 00445683 lhu a3,4(s0) + 8000412c: 00371713 slli a4,a4,0x3 + 80004130: 00e90733 add a4,s2,a4 + 80004134: 00073583 ld a1,0(a4) + 80004138: 03049493 slli s1,s1,0x30 + 8000413c: 0016871b addiw a4,a3,1 + 80004140: 0304d493 srli s1,s1,0x30 + 80004144: 00e41223 sh a4,4(s0) + 80004148: 00c40c23 sb a2,24(s0) + 8000414c: 00349d13 slli s10,s1,0x3 + 80004150: 00f56533 or a0,a0,a5 + 80004154: 000580e7 jalr a1 + 80004158: 01a90933 add s2,s2,s10 + 8000415c: 00093783 ld a5,0(s2) + 80004160: 00a40c23 sb a0,24(s0) + 80004164: 00048513 mv a0,s1 + 80004168: 000780e7 jalr a5 + 8000416c: 00145797 auipc a5,0x145 + 80004170: b7478793 addi a5,a5,-1164 # 80148ce0 + 80004174: 01a78d33 add s10,a5,s10 + 80004178: 000d3783 ld a5,0(s10) + 8000417c: 00050913 mv s2,a0 + 80004180: 00050593 mv a1,a0 + 80004184: 01240c23 sb s2,24(s0) + 80004188: 00048513 mv a0,s1 + 8000418c: 000780e7 jalr a5 + 80004190: 00644703 lbu a4,6(s0) + 80004194: fff9059b addiw a1,s2,-1 + 80004198: 0ff5f793 andi a5,a1,255 + 8000419c: 00053697 auipc a3,0x53 + 800041a0: 6d468693 addi a3,a3,1748 # 80057870 <_ZL7ZNTable> + 800041a4: 40f7073b subw a4,a4,a5 + 800041a8: 00f687b3 add a5,a3,a5 + 800041ac: 00a44603 lbu a2,10(s0) + 800041b0: 0ff77513 andi a0,a4,255 + 800041b4: 0007c783 lbu a5,0(a5) + 800041b8: 00a686b3 add a3,a3,a0 + 800041bc: 0006c683 lbu a3,0(a3) + 800041c0: 00c7e7b3 or a5,a5,a2 + 800041c4: 07d7f793 andi a5,a5,125 + 800041c8: 4087571b sraiw a4,a4,0x8 + 800041cc: 00d7e7b3 or a5,a5,a3 + 800041d0: 00174713 xori a4,a4,1 + 800041d4: 00177713 andi a4,a4,1 + 800041d8: 000d3683 ld a3,0(s10) + 800041dc: ffe7f793 andi a5,a5,-2 + 800041e0: 00e7e7b3 or a5,a5,a4 + 800041e4: 00048513 mv a0,s1 + 800041e8: 00f40523 sb a5,10(s0) + 800041ec: 0ff5f593 andi a1,a1,255 + 800041f0: 000680e7 jalr a3 + 800041f4: 00445503 lhu a0,4(s0) + 800041f8: 01042483 lw s1,16(s0) + 800041fc: 00ac8463 beq s9,a0,80004204 <_Z14X6502_RunDebugi+0x33a4> + 80004200: e6dfc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004204: ef5fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004208: 00351793 slli a5,a0,0x3 + 8000420c: 00f907b3 add a5,s2,a5 + 80004210: 0007b783 ld a5,0(a5) + 80004214: 000780e7 jalr a5 + 80004218: 0015079b addiw a5,a0,1 + 8000421c: 001c5717 auipc a4,0x1c5 + 80004220: b0c70713 addi a4,a4,-1268 # 801c8d28 + 80004224: 0ff7f793 andi a5,a5,255 + 80004228: 02051513 slli a0,a0,0x20 + 8000422c: 00f707b3 add a5,a4,a5 + 80004230: 02055513 srli a0,a0,0x20 + 80004234: 0007c603 lbu a2,0(a5) + 80004238: 00a70533 add a0,a4,a0 + 8000423c: 00054583 lbu a1,0(a0) + 80004240: 00844703 lbu a4,8(s0) + 80004244: 00445683 lhu a3,4(s0) + 80004248: 0086179b slliw a5,a2,0x8 + 8000424c: 00b7e7b3 or a5,a5,a1 + 80004250: 00f7073b addw a4,a4,a5 + 80004254: 0016869b addiw a3,a3,1 + 80004258: 00e7c7b3 xor a5,a5,a4 + 8000425c: 00d41223 sh a3,4(s0) + 80004260: 00c40c23 sb a2,24(s0) + 80004264: 1007f793 andi a5,a5,256 + 80004268: 0007049b sext.w s1,a4 + 8000426c: 06078463 beqz a5,800042d4 <_Z14X6502_RunDebugi+0x3474> + 80004270: 03071493 slli s1,a4,0x30 + 80004274: 0304d493 srli s1,s1,0x30 + 80004278: 1004c793 xori a5,s1,256 + 8000427c: 00379793 slli a5,a5,0x3 + 80004280: 00f907b3 add a5,s2,a5 + 80004284: 0007b783 ld a5,0(a5) + 80004288: 1004c513 xori a0,s1,256 + 8000428c: 000780e7 jalr a5 + 80004290: 00042683 lw a3,0(s0) + 80004294: 01042703 lw a4,16(s0) + 80004298: 0009a783 lw a5,0(s3) + 8000429c: 000a4603 lbu a2,0(s4) + 800042a0: 0016869b addiw a3,a3,1 + 800042a4: fd07071b addiw a4,a4,-48 + 800042a8: 0017879b addiw a5,a5,1 + 800042ac: 00a40c23 sb a0,24(s0) + 800042b0: 00d42023 sw a3,0(s0) + 800042b4: 00e42823 sw a4,16(s0) + 800042b8: 00f9a023 sw a5,0(s3) + 800042bc: 00061c63 bnez a2,800042d4 <_Z14X6502_RunDebugi+0x3474> + 800042c0: 001c8717 auipc a4,0x1c8 + 800042c4: 88870713 addi a4,a4,-1912 # 801cbb48 + 800042c8: 00072783 lw a5,0(a4) + 800042cc: 0017879b addiw a5,a5,1 + 800042d0: 00f72023 sw a5,0(a4) + 800042d4: 00349793 slli a5,s1,0x3 + 800042d8: 00f90933 add s2,s2,a5 + 800042dc: 00093783 ld a5,0(s2) + 800042e0: 00048513 mv a0,s1 + 800042e4: 000780e7 jalr a5 + 800042e8: 00644783 lbu a5,6(s0) + 800042ec: 00053617 auipc a2,0x53 + 800042f0: 58460613 addi a2,a2,1412 # 80057870 <_ZL7ZNTable> + 800042f4: 00a44703 lbu a4,10(s0) + 800042f8: 40a787bb subw a5,a5,a0 + 800042fc: 0ff7f593 andi a1,a5,255 + 80004300: 00b60633 add a2,a2,a1 + 80004304: 00064603 lbu a2,0(a2) + 80004308: 07d77713 andi a4,a4,125 + 8000430c: 4087d79b sraiw a5,a5,0x8 + 80004310: 00c76733 or a4,a4,a2 + 80004314: 0017c793 xori a5,a5,1 + 80004318: 00050693 mv a3,a0 + 8000431c: ffe77713 andi a4,a4,-2 + 80004320: 0017f793 andi a5,a5,1 + 80004324: 00445503 lhu a0,4(s0) + 80004328: 00f767b3 or a5,a4,a5 + 8000432c: 00d40c23 sb a3,24(s0) + 80004330: 00f40523 sb a5,10(s0) + 80004334: 01042483 lw s1,16(s0) + 80004338: 00ac8463 beq s9,a0,80004340 <_Z14X6502_RunDebugi+0x34e0> + 8000433c: d31fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004340: db9fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004344: 00a44783 lbu a5,10(s0) + 80004348: 0027f793 andi a5,a5,2 + 8000434c: 00078463 beqz a5,80004354 <_Z14X6502_RunDebugi+0x34f4> + 80004350: 09c0706f j 8000b3ec <_Z14X6502_RunDebugi+0xa58c> + 80004354: 00351793 slli a5,a0,0x3 + 80004358: 00f90933 add s2,s2,a5 + 8000435c: 00093783 ld a5,0(s2) + 80004360: 000780e7 jalr a5 + 80004364: 00445783 lhu a5,4(s0) + 80004368: 00050713 mv a4,a0 + 8000436c: 0185151b slliw a0,a0,0x18 + 80004370: 0017879b addiw a5,a5,1 + 80004374: 03079793 slli a5,a5,0x30 + 80004378: 00042583 lw a1,0(s0) + 8000437c: 01042683 lw a3,16(s0) + 80004380: 0009a603 lw a2,0(s3) + 80004384: 0307d793 srli a5,a5,0x30 + 80004388: 4185551b sraiw a0,a0,0x18 + 8000438c: 00f5053b addw a0,a0,a5 + 80004390: 03051513 slli a0,a0,0x30 + 80004394: 000a4803 lbu a6,0(s4) + 80004398: fd06849b addiw s1,a3,-48 + 8000439c: 0015831b addiw t1,a1,1 + 800043a0: 0016089b addiw a7,a2,1 + 800043a4: 03055513 srli a0,a0,0x30 + 800043a8: 00a7c7b3 xor a5,a5,a0 + 800043ac: 00e40c23 sb a4,24(s0) + 800043b0: 00642023 sw t1,0(s0) + 800043b4: 00942823 sw s1,16(s0) + 800043b8: 0119a023 sw a7,0(s3) + 800043bc: 1007f793 andi a5,a5,256 + 800043c0: 00080463 beqz a6,800043c8 <_Z14X6502_RunDebugi+0x3568> + 800043c4: 2240706f j 8000b5e8 <_Z14X6502_RunDebugi+0xa788> + 800043c8: 001c7817 auipc a6,0x1c7 + 800043cc: 78080813 addi a6,a6,1920 # 801cbb48 + 800043d0: 00082703 lw a4,0(a6) + 800043d4: 00a41223 sh a0,4(s0) + 800043d8: 0017089b addiw a7,a4,1 + 800043dc: 01182023 sw a7,0(a6) + 800043e0: 00079463 bnez a5,800043e8 <_Z14X6502_RunDebugi+0x3588> + 800043e4: c85fc06f j 80001068 <_Z14X6502_RunDebugi+0x208> + 800043e8: fa06849b addiw s1,a3,-96 + 800043ec: 0025859b addiw a1,a1,2 + 800043f0: 0026061b addiw a2,a2,2 + 800043f4: 0027071b addiw a4,a4,2 + 800043f8: 00b42023 sw a1,0(s0) + 800043fc: 00942823 sw s1,16(s0) + 80004400: 00c9a023 sw a2,0(s3) + 80004404: 00e82023 sw a4,0(a6) + 80004408: 00ac8463 beq s9,a0,80004410 <_Z14X6502_RunDebugi+0x35b0> + 8000440c: c61fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004410: ce9fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004414: 00351793 slli a5,a0,0x3 + 80004418: 00f907b3 add a5,s2,a5 + 8000441c: 0007b783 ld a5,0(a5) + 80004420: 000780e7 jalr a5 + 80004424: 00445783 lhu a5,4(s0) + 80004428: 00050493 mv s1,a0 + 8000442c: 00a40c23 sb a0,24(s0) + 80004430: 0017879b addiw a5,a5,1 + 80004434: 03079513 slli a0,a5,0x30 + 80004438: 03055513 srli a0,a0,0x30 + 8000443c: 00351793 slli a5,a0,0x3 + 80004440: 00f907b3 add a5,s2,a5 + 80004444: 0007b783 ld a5,0(a5) + 80004448: 00a41223 sh a0,4(s0) + 8000444c: 0004849b sext.w s1,s1 + 80004450: 000780e7 jalr a5 + 80004454: 00851713 slli a4,a0,0x8 + 80004458: 00976d33 or s10,a4,s1 + 8000445c: 00445783 lhu a5,4(s0) + 80004460: 003d1d13 slli s10,s10,0x3 + 80004464: 01a90933 add s2,s2,s10 + 80004468: 00093683 ld a3,0(s2) + 8000446c: 0017879b addiw a5,a5,1 + 80004470: 00976933 or s2,a4,s1 + 80004474: 00a40c23 sb a0,24(s0) + 80004478: 00f41223 sh a5,4(s0) + 8000447c: 00090513 mv a0,s2 + 80004480: 000680e7 jalr a3 + 80004484: 00145797 auipc a5,0x145 + 80004488: 85c78793 addi a5,a5,-1956 # 80148ce0 + 8000448c: 01a78d33 add s10,a5,s10 + 80004490: 000d3783 ld a5,0(s10) + 80004494: 00050493 mv s1,a0 + 80004498: 00050593 mv a1,a0 + 8000449c: 00940c23 sb s1,24(s0) + 800044a0: 00090513 mv a0,s2 + 800044a4: 000780e7 jalr a5 + 800044a8: 00644703 lbu a4,6(s0) + 800044ac: fff4859b addiw a1,s1,-1 + 800044b0: 0ff5f793 andi a5,a1,255 + 800044b4: 00053697 auipc a3,0x53 + 800044b8: 3bc68693 addi a3,a3,956 # 80057870 <_ZL7ZNTable> + 800044bc: 40f7073b subw a4,a4,a5 + 800044c0: 00f687b3 add a5,a3,a5 + 800044c4: 00a44603 lbu a2,10(s0) + 800044c8: 0ff77513 andi a0,a4,255 + 800044cc: 0007c783 lbu a5,0(a5) + 800044d0: 00a686b3 add a3,a3,a0 + 800044d4: 0006c683 lbu a3,0(a3) + 800044d8: 00c7e7b3 or a5,a5,a2 + 800044dc: 07d7f793 andi a5,a5,125 + 800044e0: 4087571b sraiw a4,a4,0x8 + 800044e4: 00d7e7b3 or a5,a5,a3 + 800044e8: 00174713 xori a4,a4,1 + 800044ec: 00177713 andi a4,a4,1 + 800044f0: 000d3683 ld a3,0(s10) + 800044f4: ffe7f793 andi a5,a5,-2 + 800044f8: 00e7e7b3 or a5,a5,a4 + 800044fc: 00090513 mv a0,s2 + 80004500: 00f40523 sb a5,10(s0) + 80004504: 0ff5f593 andi a1,a1,255 + 80004508: 000680e7 jalr a3 + 8000450c: 00445503 lhu a0,4(s0) + 80004510: 01042483 lw s1,16(s0) + 80004514: 00ac8463 beq s9,a0,8000451c <_Z14X6502_RunDebugi+0x36bc> + 80004518: b55fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000451c: bddfc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004520: 00351793 slli a5,a0,0x3 + 80004524: 00f907b3 add a5,s2,a5 + 80004528: 0007b783 ld a5,0(a5) + 8000452c: 000780e7 jalr a5 + 80004530: 00445783 lhu a5,4(s0) + 80004534: 00050493 mv s1,a0 + 80004538: 00a40c23 sb a0,24(s0) + 8000453c: 0017879b addiw a5,a5,1 + 80004540: 03079513 slli a0,a5,0x30 + 80004544: 03055513 srli a0,a0,0x30 + 80004548: 00351793 slli a5,a0,0x3 + 8000454c: 00f907b3 add a5,s2,a5 + 80004550: 0007b783 ld a5,0(a5) + 80004554: 00a41223 sh a0,4(s0) + 80004558: 0004849b sext.w s1,s1 + 8000455c: 000780e7 jalr a5 + 80004560: 00851713 slli a4,a0,0x8 + 80004564: 00976d33 or s10,a4,s1 + 80004568: 00445783 lhu a5,4(s0) + 8000456c: 003d1d93 slli s11,s10,0x3 + 80004570: 01b90933 add s2,s2,s11 + 80004574: 00093683 ld a3,0(s2) + 80004578: 0017879b addiw a5,a5,1 + 8000457c: 000d0913 mv s2,s10 + 80004580: 00a40c23 sb a0,24(s0) + 80004584: 00f41223 sh a5,4(s0) + 80004588: 000d0513 mv a0,s10 + 8000458c: 00144d17 auipc s10,0x144 + 80004590: 754d0d13 addi s10,s10,1876 # 80148ce0 + 80004594: 000680e7 jalr a3 + 80004598: 01bd0d33 add s10,s10,s11 + 8000459c: 000d3783 ld a5,0(s10) + 800045a0: 00050493 mv s1,a0 + 800045a4: 00050593 mv a1,a0 + 800045a8: 00940c23 sb s1,24(s0) + 800045ac: 00090513 mv a0,s2 + 800045b0: 000780e7 jalr a5 + 800045b4: fff4859b addiw a1,s1,-1 + 800045b8: 0ff5f693 andi a3,a1,255 + 800045bc: 00053717 auipc a4,0x53 + 800045c0: 2b470713 addi a4,a4,692 # 80057870 <_ZL7ZNTable> + 800045c4: 00a44783 lbu a5,10(s0) + 800045c8: 00d70733 add a4,a4,a3 + 800045cc: 00074683 lbu a3,0(a4) + 800045d0: 07d7f793 andi a5,a5,125 + 800045d4: 000d3703 ld a4,0(s10) + 800045d8: 00d7e7b3 or a5,a5,a3 + 800045dc: 00090513 mv a0,s2 + 800045e0: 00f40523 sb a5,10(s0) + 800045e4: 0ff5f593 andi a1,a1,255 + 800045e8: 000700e7 jalr a4 + 800045ec: 00445503 lhu a0,4(s0) + 800045f0: 01042483 lw s1,16(s0) + 800045f4: 00ac8463 beq s9,a0,800045fc <_Z14X6502_RunDebugi+0x379c> + 800045f8: a75fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800045fc: afdfc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004600: 00351793 slli a5,a0,0x3 + 80004604: 00f90933 add s2,s2,a5 + 80004608: 00093783 ld a5,0(s2) + 8000460c: 000780e7 jalr a5 + 80004610: 02051793 slli a5,a0,0x20 + 80004614: 0207d793 srli a5,a5,0x20 + 80004618: 001c4697 auipc a3,0x1c4 + 8000461c: 71068693 addi a3,a3,1808 # 801c8d28 + 80004620: 00f686b3 add a3,a3,a5 + 80004624: 0006c803 lbu a6,0(a3) + 80004628: 00644703 lbu a4,6(s0) + 8000462c: 00053617 auipc a2,0x53 + 80004630: 24460613 addi a2,a2,580 # 80057870 <_ZL7ZNTable> + 80004634: fff8059b addiw a1,a6,-1 + 80004638: 0ff5f793 andi a5,a1,255 + 8000463c: 40f7073b subw a4,a4,a5 + 80004640: 00f607b3 add a5,a2,a5 + 80004644: 0ff77513 andi a0,a4,255 + 80004648: 00a44883 lbu a7,10(s0) + 8000464c: 0007c783 lbu a5,0(a5) + 80004650: 00a60633 add a2,a2,a0 + 80004654: 00064603 lbu a2,0(a2) + 80004658: 00445503 lhu a0,4(s0) + 8000465c: 0117e7b3 or a5,a5,a7 + 80004660: 07d7f793 andi a5,a5,125 + 80004664: 4087571b sraiw a4,a4,0x8 + 80004668: 00c7e7b3 or a5,a5,a2 + 8000466c: 00174713 xori a4,a4,1 + 80004670: 0015051b addiw a0,a0,1 + 80004674: ffe7f793 andi a5,a5,-2 + 80004678: 00177713 andi a4,a4,1 + 8000467c: 03051513 slli a0,a0,0x30 + 80004680: 03055513 srli a0,a0,0x30 + 80004684: 00e7e7b3 or a5,a5,a4 + 80004688: 00a41223 sh a0,4(s0) + 8000468c: 01040c23 sb a6,24(s0) + 80004690: 00f40523 sb a5,10(s0) + 80004694: 00b68023 sb a1,0(a3) + 80004698: 01042483 lw s1,16(s0) + 8000469c: 00ac8463 beq s9,a0,800046a4 <_Z14X6502_RunDebugi+0x3844> + 800046a0: 9cdfc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800046a4: a55fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800046a8: 00351793 slli a5,a0,0x3 + 800046ac: 00f90933 add s2,s2,a5 + 800046b0: 00093783 ld a5,0(s2) + 800046b4: 000780e7 jalr a5 + 800046b8: 02051793 slli a5,a0,0x20 + 800046bc: 0207d793 srli a5,a5,0x20 + 800046c0: 001c4717 auipc a4,0x1c4 + 800046c4: 66870713 addi a4,a4,1640 # 801c8d28 + 800046c8: 00f70733 add a4,a4,a5 + 800046cc: 00074583 lbu a1,0(a4) + 800046d0: 00445503 lhu a0,4(s0) + 800046d4: 00053697 auipc a3,0x53 + 800046d8: 19c68693 addi a3,a3,412 # 80057870 <_ZL7ZNTable> + 800046dc: fff5861b addiw a2,a1,-1 + 800046e0: 0ff67813 andi a6,a2,255 + 800046e4: 00a44783 lbu a5,10(s0) + 800046e8: 010686b3 add a3,a3,a6 + 800046ec: 0006c683 lbu a3,0(a3) + 800046f0: 0015051b addiw a0,a0,1 + 800046f4: 07d7f793 andi a5,a5,125 + 800046f8: 03051513 slli a0,a0,0x30 + 800046fc: 03055513 srli a0,a0,0x30 + 80004700: 00d7e7b3 or a5,a5,a3 + 80004704: 00a41223 sh a0,4(s0) + 80004708: 00b40c23 sb a1,24(s0) + 8000470c: 00f40523 sb a5,10(s0) + 80004710: 00c70023 sb a2,0(a4) + 80004714: 01042483 lw s1,16(s0) + 80004718: 00ac8463 beq s9,a0,80004720 <_Z14X6502_RunDebugi+0x38c0> + 8000471c: 951fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004720: 9d9fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004724: 00351793 slli a5,a0,0x3 + 80004728: 00f90933 add s2,s2,a5 + 8000472c: 00093783 ld a5,0(s2) + 80004730: 000780e7 jalr a5 + 80004734: 02051713 slli a4,a0,0x20 + 80004738: 02075713 srli a4,a4,0x20 + 8000473c: 001c4797 auipc a5,0x1c4 + 80004740: 5ec78793 addi a5,a5,1516 # 801c8d28 + 80004744: 00e787b3 add a5,a5,a4 + 80004748: 0007c603 lbu a2,0(a5) + 8000474c: 00644783 lbu a5,6(s0) + 80004750: 00053697 auipc a3,0x53 + 80004754: 12068693 addi a3,a3,288 # 80057870 <_ZL7ZNTable> + 80004758: 00a44703 lbu a4,10(s0) + 8000475c: 40c787bb subw a5,a5,a2 + 80004760: 0ff7f593 andi a1,a5,255 + 80004764: 00b686b3 add a3,a3,a1 + 80004768: 00445503 lhu a0,4(s0) + 8000476c: 0006c683 lbu a3,0(a3) + 80004770: 07d77713 andi a4,a4,125 + 80004774: 4087d79b sraiw a5,a5,0x8 + 80004778: 00d76733 or a4,a4,a3 + 8000477c: 0017c793 xori a5,a5,1 + 80004780: 0015051b addiw a0,a0,1 + 80004784: ffe77713 andi a4,a4,-2 + 80004788: 0017f793 andi a5,a5,1 + 8000478c: 03051513 slli a0,a0,0x30 + 80004790: 03055513 srli a0,a0,0x30 + 80004794: 00f767b3 or a5,a4,a5 + 80004798: 00a41223 sh a0,4(s0) + 8000479c: 00c40c23 sb a2,24(s0) + 800047a0: 00f40523 sb a5,10(s0) + 800047a4: 01042483 lw s1,16(s0) + 800047a8: 00ac8463 beq s9,a0,800047b0 <_Z14X6502_RunDebugi+0x3950> + 800047ac: 8c1fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800047b0: 949fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800047b4: 00351793 slli a5,a0,0x3 + 800047b8: 00f90933 add s2,s2,a5 + 800047bc: 00093783 ld a5,0(s2) + 800047c0: 000780e7 jalr a5 + 800047c4: 02051713 slli a4,a0,0x20 + 800047c8: 02075713 srli a4,a4,0x20 + 800047cc: 001c4797 auipc a5,0x1c4 + 800047d0: 55c78793 addi a5,a5,1372 # 801c8d28 + 800047d4: 00e787b3 add a5,a5,a4 + 800047d8: 0007c603 lbu a2,0(a5) + 800047dc: 00844783 lbu a5,8(s0) + 800047e0: 00053697 auipc a3,0x53 + 800047e4: 09068693 addi a3,a3,144 # 80057870 <_ZL7ZNTable> + 800047e8: 00a44703 lbu a4,10(s0) + 800047ec: 40c787bb subw a5,a5,a2 + 800047f0: 0ff7f593 andi a1,a5,255 + 800047f4: 00b686b3 add a3,a3,a1 + 800047f8: 00445503 lhu a0,4(s0) + 800047fc: 0006c683 lbu a3,0(a3) + 80004800: 07d77713 andi a4,a4,125 + 80004804: 4087d79b sraiw a5,a5,0x8 + 80004808: 00d76733 or a4,a4,a3 + 8000480c: 0017c793 xori a5,a5,1 + 80004810: 0015051b addiw a0,a0,1 + 80004814: ffe77713 andi a4,a4,-2 + 80004818: 0017f793 andi a5,a5,1 + 8000481c: 03051513 slli a0,a0,0x30 + 80004820: 03055513 srli a0,a0,0x30 + 80004824: 00f767b3 or a5,a4,a5 + 80004828: 00a41223 sh a0,4(s0) + 8000482c: 00c40c23 sb a2,24(s0) + 80004830: 00f40523 sb a5,10(s0) + 80004834: 01042483 lw s1,16(s0) + 80004838: 00ac8463 beq s9,a0,80004840 <_Z14X6502_RunDebugi+0x39e0> + 8000483c: 831fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004840: 8b9fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004844: 00351793 slli a5,a0,0x3 + 80004848: 00f907b3 add a5,s2,a5 + 8000484c: 0007b783 ld a5,0(a5) + 80004850: 00144d17 auipc s10,0x144 + 80004854: 490d0d13 addi s10,s10,1168 # 80148ce0 + 80004858: 000780e7 jalr a5 + 8000485c: 00744783 lbu a5,7(s0) + 80004860: 001c4697 auipc a3,0x1c4 + 80004864: 4c868693 addi a3,a3,1224 # 801c8d28 + 80004868: 00445603 lhu a2,4(s0) + 8000486c: 00a787bb addw a5,a5,a0 + 80004870: 00178713 addi a4,a5,1 + 80004874: 0ff77713 andi a4,a4,255 + 80004878: 00e68733 add a4,a3,a4 + 8000487c: 0ff7f793 andi a5,a5,255 + 80004880: 00074703 lbu a4,0(a4) + 80004884: 00f687b3 add a5,a3,a5 + 80004888: 0007c683 lbu a3,0(a5) + 8000488c: 0087179b slliw a5,a4,0x8 + 80004890: 0016061b addiw a2,a2,1 + 80004894: 00d7e7b3 or a5,a5,a3 + 80004898: 03079493 slli s1,a5,0x30 + 8000489c: 02d4d493 srli s1,s1,0x2d + 800048a0: 00990933 add s2,s2,s1 + 800048a4: 00093683 ld a3,0(s2) + 800048a8: 0007891b sext.w s2,a5 + 800048ac: 00c41223 sh a2,4(s0) + 800048b0: 00e40c23 sb a4,24(s0) + 800048b4: 00090513 mv a0,s2 + 800048b8: 000680e7 jalr a3 + 800048bc: 009d0d33 add s10,s10,s1 + 800048c0: 000d3783 ld a5,0(s10) + 800048c4: 00050493 mv s1,a0 + 800048c8: 00050593 mv a1,a0 + 800048cc: 00940c23 sb s1,24(s0) + 800048d0: 00090513 mv a0,s2 + 800048d4: 000780e7 jalr a5 + 800048d8: 00644703 lbu a4,6(s0) + 800048dc: fff4859b addiw a1,s1,-1 + 800048e0: 0ff5f793 andi a5,a1,255 + 800048e4: 00053697 auipc a3,0x53 + 800048e8: f8c68693 addi a3,a3,-116 # 80057870 <_ZL7ZNTable> + 800048ec: 40f7073b subw a4,a4,a5 + 800048f0: 00f687b3 add a5,a3,a5 + 800048f4: 00a44603 lbu a2,10(s0) + 800048f8: 0ff77513 andi a0,a4,255 + 800048fc: 0007c783 lbu a5,0(a5) + 80004900: 00a686b3 add a3,a3,a0 + 80004904: 0006c683 lbu a3,0(a3) + 80004908: 00c7e7b3 or a5,a5,a2 + 8000490c: 07d7f793 andi a5,a5,125 + 80004910: 4087571b sraiw a4,a4,0x8 + 80004914: 00d7e7b3 or a5,a5,a3 + 80004918: 00174713 xori a4,a4,1 + 8000491c: 00177713 andi a4,a4,1 + 80004920: 000d3683 ld a3,0(s10) + 80004924: ffe7f793 andi a5,a5,-2 + 80004928: 00e7e7b3 or a5,a5,a4 + 8000492c: 00090513 mv a0,s2 + 80004930: 00f40523 sb a5,10(s0) + 80004934: 0ff5f593 andi a1,a1,255 + 80004938: 000680e7 jalr a3 + 8000493c: 00445503 lhu a0,4(s0) + 80004940: 01042483 lw s1,16(s0) + 80004944: 00ac8463 beq s9,a0,8000494c <_Z14X6502_RunDebugi+0x3aec> + 80004948: f24fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000494c: facfc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004950: 00351793 slli a5,a0,0x3 + 80004954: 00f907b3 add a5,s2,a5 + 80004958: 0007b783 ld a5,0(a5) + 8000495c: 000780e7 jalr a5 + 80004960: 00744783 lbu a5,7(s0) + 80004964: 001c4697 auipc a3,0x1c4 + 80004968: 3c468693 addi a3,a3,964 # 801c8d28 + 8000496c: 00445603 lhu a2,4(s0) + 80004970: 00a787bb addw a5,a5,a0 + 80004974: 00178713 addi a4,a5,1 + 80004978: 0ff77713 andi a4,a4,255 + 8000497c: 00e68733 add a4,a3,a4 + 80004980: 0ff7f793 andi a5,a5,255 + 80004984: 00074703 lbu a4,0(a4) + 80004988: 00f687b3 add a5,a3,a5 + 8000498c: 0007c783 lbu a5,0(a5) + 80004990: 0087151b slliw a0,a4,0x8 + 80004994: 0016061b addiw a2,a2,1 + 80004998: 00f56533 or a0,a0,a5 + 8000499c: 03051793 slli a5,a0,0x30 + 800049a0: 02d7d793 srli a5,a5,0x2d + 800049a4: 00f90933 add s2,s2,a5 + 800049a8: 00093783 ld a5,0(s2) + 800049ac: 00c41223 sh a2,4(s0) + 800049b0: 00e40c23 sb a4,24(s0) + 800049b4: 0005051b sext.w a0,a0 + 800049b8: 000780e7 jalr a5 + 800049bc: 00644783 lbu a5,6(s0) + 800049c0: 00053617 auipc a2,0x53 + 800049c4: eb060613 addi a2,a2,-336 # 80057870 <_ZL7ZNTable> + 800049c8: 00a44703 lbu a4,10(s0) + 800049cc: 40a787bb subw a5,a5,a0 + 800049d0: 0ff7f593 andi a1,a5,255 + 800049d4: 00b60633 add a2,a2,a1 + 800049d8: 00064603 lbu a2,0(a2) + 800049dc: 07d77713 andi a4,a4,125 + 800049e0: 4087d79b sraiw a5,a5,0x8 + 800049e4: 00c76733 or a4,a4,a2 + 800049e8: 0017c793 xori a5,a5,1 + 800049ec: 00050693 mv a3,a0 + 800049f0: ffe77713 andi a4,a4,-2 + 800049f4: 0017f793 andi a5,a5,1 + 800049f8: 00445503 lhu a0,4(s0) + 800049fc: 00f767b3 or a5,a4,a5 + 80004a00: 00d40c23 sb a3,24(s0) + 80004a04: 00f40523 sb a5,10(s0) + 80004a08: 01042483 lw s1,16(s0) + 80004a0c: 00ac8463 beq s9,a0,80004a14 <_Z14X6502_RunDebugi+0x3bb4> + 80004a10: e5cfc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004a14: ee4fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004a18: 00351793 slli a5,a0,0x3 + 80004a1c: 00f90933 add s2,s2,a5 + 80004a20: 00093783 ld a5,0(s2) + 80004a24: 000780e7 jalr a5 + 80004a28: 00844783 lbu a5,8(s0) + 80004a2c: 00053617 auipc a2,0x53 + 80004a30: e4460613 addi a2,a2,-444 # 80057870 <_ZL7ZNTable> + 80004a34: 00a44703 lbu a4,10(s0) + 80004a38: 40a787bb subw a5,a5,a0 + 80004a3c: 0ff7f593 andi a1,a5,255 + 80004a40: 00b60633 add a2,a2,a1 + 80004a44: 00050693 mv a3,a0 + 80004a48: 00064603 lbu a2,0(a2) + 80004a4c: 00445503 lhu a0,4(s0) + 80004a50: 07d77713 andi a4,a4,125 + 80004a54: 4087d79b sraiw a5,a5,0x8 + 80004a58: 00c76733 or a4,a4,a2 + 80004a5c: 0017c793 xori a5,a5,1 + 80004a60: 0015051b addiw a0,a0,1 + 80004a64: ffe77713 andi a4,a4,-2 + 80004a68: 0017f793 andi a5,a5,1 + 80004a6c: 03051513 slli a0,a0,0x30 + 80004a70: 03055513 srli a0,a0,0x30 + 80004a74: 00f767b3 or a5,a4,a5 + 80004a78: 00d40c23 sb a3,24(s0) + 80004a7c: 00a41223 sh a0,4(s0) + 80004a80: 00f40523 sb a5,10(s0) + 80004a84: 01042483 lw s1,16(s0) + 80004a88: 00ac8463 beq s9,a0,80004a90 <_Z14X6502_RunDebugi+0x3c30> + 80004a8c: de0fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004a90: e68fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004a94: 00351793 slli a5,a0,0x3 + 80004a98: 00f907b3 add a5,s2,a5 + 80004a9c: 0007b783 ld a5,0(a5) + 80004aa0: 000780e7 jalr a5 + 80004aa4: 00445783 lhu a5,4(s0) + 80004aa8: 00050713 mv a4,a0 + 80004aac: 00a40c23 sb a0,24(s0) + 80004ab0: 0017879b addiw a5,a5,1 + 80004ab4: 03079513 slli a0,a5,0x30 + 80004ab8: 03055513 srli a0,a0,0x30 + 80004abc: 00351793 slli a5,a0,0x3 + 80004ac0: 00f907b3 add a5,s2,a5 + 80004ac4: 0007b783 ld a5,0(a5) + 80004ac8: 00a41223 sh a0,4(s0) + 80004acc: 0007049b sext.w s1,a4 + 80004ad0: 000780e7 jalr a5 + 80004ad4: 00844703 lbu a4,8(s0) + 80004ad8: 00445683 lhu a3,4(s0) + 80004adc: 00851793 slli a5,a0,0x8 + 80004ae0: 0097e7b3 or a5,a5,s1 + 80004ae4: 00f7073b addw a4,a4,a5 + 80004ae8: 0016869b addiw a3,a3,1 + 80004aec: 00e7c7b3 xor a5,a5,a4 + 80004af0: 00a40c23 sb a0,24(s0) + 80004af4: 00d41223 sh a3,4(s0) + 80004af8: 1007f793 andi a5,a5,256 + 80004afc: 0007049b sext.w s1,a4 + 80004b00: 06078463 beqz a5,80004b68 <_Z14X6502_RunDebugi+0x3d08> + 80004b04: 03071493 slli s1,a4,0x30 + 80004b08: 0304d493 srli s1,s1,0x30 + 80004b0c: 1004c793 xori a5,s1,256 + 80004b10: 00379793 slli a5,a5,0x3 + 80004b14: 00f907b3 add a5,s2,a5 + 80004b18: 0007b783 ld a5,0(a5) + 80004b1c: 1004c513 xori a0,s1,256 + 80004b20: 000780e7 jalr a5 + 80004b24: 00042683 lw a3,0(s0) + 80004b28: 01042703 lw a4,16(s0) + 80004b2c: 0009a783 lw a5,0(s3) + 80004b30: 000a4603 lbu a2,0(s4) + 80004b34: 0016869b addiw a3,a3,1 + 80004b38: fd07071b addiw a4,a4,-48 + 80004b3c: 0017879b addiw a5,a5,1 + 80004b40: 00a40c23 sb a0,24(s0) + 80004b44: 00d42023 sw a3,0(s0) + 80004b48: 00e42823 sw a4,16(s0) + 80004b4c: 00f9a023 sw a5,0(s3) + 80004b50: 00061c63 bnez a2,80004b68 <_Z14X6502_RunDebugi+0x3d08> + 80004b54: 001c7717 auipc a4,0x1c7 + 80004b58: ff470713 addi a4,a4,-12 # 801cbb48 + 80004b5c: 00072783 lw a5,0(a4) + 80004b60: 0017879b addiw a5,a5,1 + 80004b64: 00f72023 sw a5,0(a4) + 80004b68: 02049793 slli a5,s1,0x20 + 80004b6c: 01d7d793 srli a5,a5,0x1d + 80004b70: 00f90933 add s2,s2,a5 + 80004b74: 00093783 ld a5,0(s2) + 80004b78: 00048513 mv a0,s1 + 80004b7c: 000780e7 jalr a5 + 80004b80: 00053717 auipc a4,0x53 + 80004b84: cf070713 addi a4,a4,-784 # 80057870 <_ZL7ZNTable> + 80004b88: 00a70733 add a4,a4,a0 + 80004b8c: 00074683 lbu a3,0(a4) + 80004b90: 00a44703 lbu a4,10(s0) + 80004b94: 00050793 mv a5,a0 + 80004b98: 00445503 lhu a0,4(s0) + 80004b9c: 00e6e733 or a4,a3,a4 + 80004ba0: 07d77713 andi a4,a4,125 + 80004ba4: 00e6e733 or a4,a3,a4 + 80004ba8: 00f40c23 sb a5,24(s0) + 80004bac: 00f40323 sb a5,6(s0) + 80004bb0: 00f403a3 sb a5,7(s0) + 80004bb4: 00e40523 sb a4,10(s0) + 80004bb8: 01042483 lw s1,16(s0) + 80004bbc: 00ac8463 beq s9,a0,80004bc4 <_Z14X6502_RunDebugi+0x3d64> + 80004bc0: cacfc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004bc4: d34fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004bc8: 00351793 slli a5,a0,0x3 + 80004bcc: 00f90933 add s2,s2,a5 + 80004bd0: 00093783 ld a5,0(s2) + 80004bd4: 000780e7 jalr a5 + 80004bd8: 00744703 lbu a4,7(s0) + 80004bdc: 00644783 lbu a5,6(s0) + 80004be0: 00053697 auipc a3,0x53 + 80004be4: c9068693 addi a3,a3,-880 # 80057870 <_ZL7ZNTable> + 80004be8: 00050593 mv a1,a0 + 80004bec: 00e7f7b3 and a5,a5,a4 + 80004bf0: 40a7873b subw a4,a5,a0 + 80004bf4: 0ff77613 andi a2,a4,255 + 80004bf8: 00c686b3 add a3,a3,a2 + 80004bfc: 00a44783 lbu a5,10(s0) + 80004c00: 0006c503 lbu a0,0(a3) + 80004c04: 00445603 lhu a2,4(s0) + 80004c08: 07d7f793 andi a5,a5,125 + 80004c0c: 4087569b sraiw a3,a4,0x8 + 80004c10: 00a7e7b3 or a5,a5,a0 + 80004c14: 0016c693 xori a3,a3,1 + 80004c18: 0016061b addiw a2,a2,1 + 80004c1c: ffe7f793 andi a5,a5,-2 + 80004c20: 0016f693 andi a3,a3,1 + 80004c24: 03061513 slli a0,a2,0x30 + 80004c28: 03055513 srli a0,a0,0x30 + 80004c2c: 00d7e7b3 or a5,a5,a3 + 80004c30: 00b40c23 sb a1,24(s0) + 80004c34: 00a41223 sh a0,4(s0) + 80004c38: 00f40523 sb a5,10(s0) + 80004c3c: 00e403a3 sb a4,7(s0) + 80004c40: 01042483 lw s1,16(s0) + 80004c44: 00ac8463 beq s9,a0,80004c4c <_Z14X6502_RunDebugi+0x3dec> + 80004c48: c24fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004c4c: cacfc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004c50: 00744783 lbu a5,7(s0) + 80004c54: 00053697 auipc a3,0x53 + 80004c58: c1c68693 addi a3,a3,-996 # 80057870 <_ZL7ZNTable> + 80004c5c: 00a44703 lbu a4,10(s0) + 80004c60: fff7879b addiw a5,a5,-1 + 80004c64: 0ff7f793 andi a5,a5,255 + 80004c68: 00f686b3 add a3,a3,a5 + 80004c6c: 0006c683 lbu a3,0(a3) + 80004c70: 07d77713 andi a4,a4,125 + 80004c74: 00f403a3 sb a5,7(s0) + 80004c78: 00d76733 or a4,a4,a3 + 80004c7c: 00e40523 sb a4,10(s0) + 80004c80: 01042483 lw s1,16(s0) + 80004c84: 00ac8463 beq s9,a0,80004c8c <_Z14X6502_RunDebugi+0x3e2c> + 80004c88: be4fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004c8c: c6cfc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004c90: 00351793 slli a5,a0,0x3 + 80004c94: 00f90933 add s2,s2,a5 + 80004c98: 00093783 ld a5,0(s2) + 80004c9c: 000780e7 jalr a5 + 80004ca0: 00644783 lbu a5,6(s0) + 80004ca4: 00053617 auipc a2,0x53 + 80004ca8: bcc60613 addi a2,a2,-1076 # 80057870 <_ZL7ZNTable> + 80004cac: 00a44703 lbu a4,10(s0) + 80004cb0: 40a787bb subw a5,a5,a0 + 80004cb4: 0ff7f593 andi a1,a5,255 + 80004cb8: 00b60633 add a2,a2,a1 + 80004cbc: 00050693 mv a3,a0 + 80004cc0: 00064603 lbu a2,0(a2) + 80004cc4: 00445503 lhu a0,4(s0) + 80004cc8: 07d77713 andi a4,a4,125 + 80004ccc: 4087d79b sraiw a5,a5,0x8 + 80004cd0: 00c76733 or a4,a4,a2 + 80004cd4: 0017c793 xori a5,a5,1 + 80004cd8: 0015051b addiw a0,a0,1 + 80004cdc: ffe77713 andi a4,a4,-2 + 80004ce0: 0017f793 andi a5,a5,1 + 80004ce4: 03051513 slli a0,a0,0x30 + 80004ce8: 03055513 srli a0,a0,0x30 + 80004cec: 00f767b3 or a5,a4,a5 + 80004cf0: 00d40c23 sb a3,24(s0) + 80004cf4: 00a41223 sh a0,4(s0) + 80004cf8: 00f40523 sb a5,10(s0) + 80004cfc: 01042483 lw s1,16(s0) + 80004d00: 00ac8463 beq s9,a0,80004d08 <_Z14X6502_RunDebugi+0x3ea8> + 80004d04: b68fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004d08: bf0fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004d0c: 00844783 lbu a5,8(s0) + 80004d10: 00053697 auipc a3,0x53 + 80004d14: b6068693 addi a3,a3,-1184 # 80057870 <_ZL7ZNTable> + 80004d18: 00a44703 lbu a4,10(s0) + 80004d1c: 0017879b addiw a5,a5,1 + 80004d20: 0ff7f793 andi a5,a5,255 + 80004d24: 00f686b3 add a3,a3,a5 + 80004d28: 0006c683 lbu a3,0(a3) + 80004d2c: 07d77713 andi a4,a4,125 + 80004d30: 00f40423 sb a5,8(s0) + 80004d34: 00d76733 or a4,a4,a3 + 80004d38: 00e40523 sb a4,10(s0) + 80004d3c: 01042483 lw s1,16(s0) + 80004d40: 00ac8463 beq s9,a0,80004d48 <_Z14X6502_RunDebugi+0x3ee8> + 80004d44: b28fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004d48: bb0fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004d4c: 00351793 slli a5,a0,0x3 + 80004d50: 00f907b3 add a5,s2,a5 + 80004d54: 0007b783 ld a5,0(a5) + 80004d58: 000780e7 jalr a5 + 80004d5c: 00445783 lhu a5,4(s0) + 80004d60: 00050493 mv s1,a0 + 80004d64: 00a40c23 sb a0,24(s0) + 80004d68: 0017879b addiw a5,a5,1 + 80004d6c: 03079513 slli a0,a5,0x30 + 80004d70: 03055513 srli a0,a0,0x30 + 80004d74: 00351793 slli a5,a0,0x3 + 80004d78: 00f907b3 add a5,s2,a5 + 80004d7c: 0007b783 ld a5,0(a5) + 80004d80: 00a41223 sh a0,4(s0) + 80004d84: 0004849b sext.w s1,s1 + 80004d88: 000780e7 jalr a5 + 80004d8c: 00851693 slli a3,a0,0x8 + 80004d90: 0096e7b3 or a5,a3,s1 + 80004d94: 00445703 lhu a4,4(s0) + 80004d98: 00379793 slli a5,a5,0x3 + 80004d9c: 00f90933 add s2,s2,a5 + 80004da0: 00093603 ld a2,0(s2) + 80004da4: 0017079b addiw a5,a4,1 + 80004da8: 00a40c23 sb a0,24(s0) + 80004dac: 00f41223 sh a5,4(s0) + 80004db0: 0096e533 or a0,a3,s1 + 80004db4: 000600e7 jalr a2 + 80004db8: 00644783 lbu a5,6(s0) + 80004dbc: 00053617 auipc a2,0x53 + 80004dc0: ab460613 addi a2,a2,-1356 # 80057870 <_ZL7ZNTable> + 80004dc4: 00a44703 lbu a4,10(s0) + 80004dc8: 40a787bb subw a5,a5,a0 + 80004dcc: 0ff7f593 andi a1,a5,255 + 80004dd0: 00b60633 add a2,a2,a1 + 80004dd4: 00064603 lbu a2,0(a2) + 80004dd8: 07d77713 andi a4,a4,125 + 80004ddc: 4087d79b sraiw a5,a5,0x8 + 80004de0: 00c76733 or a4,a4,a2 + 80004de4: 0017c793 xori a5,a5,1 + 80004de8: 00050693 mv a3,a0 + 80004dec: ffe77713 andi a4,a4,-2 + 80004df0: 0017f793 andi a5,a5,1 + 80004df4: 00445503 lhu a0,4(s0) + 80004df8: 00f767b3 or a5,a4,a5 + 80004dfc: 00d40c23 sb a3,24(s0) + 80004e00: 00f40523 sb a5,10(s0) + 80004e04: 01042483 lw s1,16(s0) + 80004e08: 00ac8463 beq s9,a0,80004e10 <_Z14X6502_RunDebugi+0x3fb0> + 80004e0c: a60fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004e10: ae8fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004e14: 00351793 slli a5,a0,0x3 + 80004e18: 00f907b3 add a5,s2,a5 + 80004e1c: 0007b783 ld a5,0(a5) + 80004e20: 000780e7 jalr a5 + 80004e24: 00445783 lhu a5,4(s0) + 80004e28: 00050493 mv s1,a0 + 80004e2c: 00a40c23 sb a0,24(s0) + 80004e30: 0017879b addiw a5,a5,1 + 80004e34: 03079513 slli a0,a5,0x30 + 80004e38: 03055513 srli a0,a0,0x30 + 80004e3c: 00351793 slli a5,a0,0x3 + 80004e40: 00f907b3 add a5,s2,a5 + 80004e44: 0007b783 ld a5,0(a5) + 80004e48: 00a41223 sh a0,4(s0) + 80004e4c: 0004849b sext.w s1,s1 + 80004e50: 000780e7 jalr a5 + 80004e54: 00851693 slli a3,a0,0x8 + 80004e58: 0096e7b3 or a5,a3,s1 + 80004e5c: 00445703 lhu a4,4(s0) + 80004e60: 00379793 slli a5,a5,0x3 + 80004e64: 00f90933 add s2,s2,a5 + 80004e68: 00093603 ld a2,0(s2) + 80004e6c: 0017079b addiw a5,a4,1 + 80004e70: 00a40c23 sb a0,24(s0) + 80004e74: 00f41223 sh a5,4(s0) + 80004e78: 0096e533 or a0,a3,s1 + 80004e7c: 000600e7 jalr a2 + 80004e80: 00844783 lbu a5,8(s0) + 80004e84: 00053617 auipc a2,0x53 + 80004e88: 9ec60613 addi a2,a2,-1556 # 80057870 <_ZL7ZNTable> + 80004e8c: 00a44703 lbu a4,10(s0) + 80004e90: 40a787bb subw a5,a5,a0 + 80004e94: 0ff7f593 andi a1,a5,255 + 80004e98: 00b60633 add a2,a2,a1 + 80004e9c: 00064603 lbu a2,0(a2) + 80004ea0: 07d77713 andi a4,a4,125 + 80004ea4: 4087d79b sraiw a5,a5,0x8 + 80004ea8: 00c76733 or a4,a4,a2 + 80004eac: 0017c793 xori a5,a5,1 + 80004eb0: 00050693 mv a3,a0 + 80004eb4: ffe77713 andi a4,a4,-2 + 80004eb8: 0017f793 andi a5,a5,1 + 80004ebc: 00445503 lhu a0,4(s0) + 80004ec0: 00f767b3 or a5,a4,a5 + 80004ec4: 00d40c23 sb a3,24(s0) + 80004ec8: 00f40523 sb a5,10(s0) + 80004ecc: 01042483 lw s1,16(s0) + 80004ed0: 00ac8463 beq s9,a0,80004ed8 <_Z14X6502_RunDebugi+0x4078> + 80004ed4: 998fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004ed8: a20fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004edc: 00351793 slli a5,a0,0x3 + 80004ee0: 00f90933 add s2,s2,a5 + 80004ee4: 00093783 ld a5,0(s2) + 80004ee8: 00844483 lbu s1,8(s0) + 80004eec: 000780e7 jalr a5 + 80004ef0: 00a487bb addw a5,s1,a0 + 80004ef4: 001c4717 auipc a4,0x1c4 + 80004ef8: e3470713 addi a4,a4,-460 # 801c8d28 + 80004efc: 0ff7f793 andi a5,a5,255 + 80004f00: 00f707b3 add a5,a4,a5 + 80004f04: 0007c703 lbu a4,0(a5) + 80004f08: 00445503 lhu a0,4(s0) + 80004f0c: 00053697 auipc a3,0x53 + 80004f10: 96468693 addi a3,a3,-1692 # 80057870 <_ZL7ZNTable> + 80004f14: 00a44783 lbu a5,10(s0) + 80004f18: 00e686b3 add a3,a3,a4 + 80004f1c: 0006c683 lbu a3,0(a3) + 80004f20: 0015051b addiw a0,a0,1 + 80004f24: 07d7f793 andi a5,a5,125 + 80004f28: 03051513 slli a0,a0,0x30 + 80004f2c: 03055513 srli a0,a0,0x30 + 80004f30: 00d7e7b3 or a5,a5,a3 + 80004f34: 00a41223 sh a0,4(s0) + 80004f38: 00e40c23 sb a4,24(s0) + 80004f3c: 00e403a3 sb a4,7(s0) + 80004f40: 00f40523 sb a5,10(s0) + 80004f44: 01042483 lw s1,16(s0) + 80004f48: 00ac8463 beq s9,a0,80004f50 <_Z14X6502_RunDebugi+0x40f0> + 80004f4c: 920fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004f50: 9a8fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004f54: 00351793 slli a5,a0,0x3 + 80004f58: 00f90933 add s2,s2,a5 + 80004f5c: 00093783 ld a5,0(s2) + 80004f60: 00744483 lbu s1,7(s0) + 80004f64: 000780e7 jalr a5 + 80004f68: 00a487bb addw a5,s1,a0 + 80004f6c: 001c4717 auipc a4,0x1c4 + 80004f70: dbc70713 addi a4,a4,-580 # 801c8d28 + 80004f74: 0ff7f793 andi a5,a5,255 + 80004f78: 00f707b3 add a5,a4,a5 + 80004f7c: 0007c703 lbu a4,0(a5) + 80004f80: 00445503 lhu a0,4(s0) + 80004f84: 00053697 auipc a3,0x53 + 80004f88: 8ec68693 addi a3,a3,-1812 # 80057870 <_ZL7ZNTable> + 80004f8c: 00a44783 lbu a5,10(s0) + 80004f90: 00e686b3 add a3,a3,a4 + 80004f94: 0006c683 lbu a3,0(a3) + 80004f98: 0015051b addiw a0,a0,1 + 80004f9c: 07d7f793 andi a5,a5,125 + 80004fa0: 03051513 slli a0,a0,0x30 + 80004fa4: 03055513 srli a0,a0,0x30 + 80004fa8: 00d7e7b3 or a5,a5,a3 + 80004fac: 00a41223 sh a0,4(s0) + 80004fb0: 00e40c23 sb a4,24(s0) + 80004fb4: 00e40323 sb a4,6(s0) + 80004fb8: 00f40523 sb a5,10(s0) + 80004fbc: 01042483 lw s1,16(s0) + 80004fc0: 00ac8463 beq s9,a0,80004fc8 <_Z14X6502_RunDebugi+0x4168> + 80004fc4: 8a8fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80004fc8: 930fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80004fcc: 00351793 slli a5,a0,0x3 + 80004fd0: 00f90933 add s2,s2,a5 + 80004fd4: 00093783 ld a5,0(s2) + 80004fd8: 00744483 lbu s1,7(s0) + 80004fdc: 000780e7 jalr a5 + 80004fe0: 00a487bb addw a5,s1,a0 + 80004fe4: 001c4717 auipc a4,0x1c4 + 80004fe8: d4470713 addi a4,a4,-700 # 801c8d28 + 80004fec: 0ff7f793 andi a5,a5,255 + 80004ff0: 00f707b3 add a5,a4,a5 + 80004ff4: 0007c703 lbu a4,0(a5) + 80004ff8: 00445503 lhu a0,4(s0) + 80004ffc: 00053697 auipc a3,0x53 + 80005000: 87468693 addi a3,a3,-1932 # 80057870 <_ZL7ZNTable> + 80005004: 00a44783 lbu a5,10(s0) + 80005008: 00e686b3 add a3,a3,a4 + 8000500c: 0006c683 lbu a3,0(a3) + 80005010: 0015051b addiw a0,a0,1 + 80005014: 07d7f793 andi a5,a5,125 + 80005018: 03051513 slli a0,a0,0x30 + 8000501c: 03055513 srli a0,a0,0x30 + 80005020: 00d7e7b3 or a5,a5,a3 + 80005024: 00a41223 sh a0,4(s0) + 80005028: 00e40c23 sb a4,24(s0) + 8000502c: 00e40423 sb a4,8(s0) + 80005030: 00f40523 sb a5,10(s0) + 80005034: 01042483 lw s1,16(s0) + 80005038: 00ac8463 beq s9,a0,80005040 <_Z14X6502_RunDebugi+0x41e0> + 8000503c: 830fc06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005040: 8b8fc06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005044: 00351793 slli a5,a0,0x3 + 80005048: 00f907b3 add a5,s2,a5 + 8000504c: 0007b783 ld a5,0(a5) + 80005050: 000780e7 jalr a5 + 80005054: 0015079b addiw a5,a0,1 + 80005058: 001c4717 auipc a4,0x1c4 + 8000505c: cd070713 addi a4,a4,-816 # 801c8d28 + 80005060: 0ff7f793 andi a5,a5,255 + 80005064: 02051513 slli a0,a0,0x20 + 80005068: 00f707b3 add a5,a4,a5 + 8000506c: 02055513 srli a0,a0,0x20 + 80005070: 0007c603 lbu a2,0(a5) + 80005074: 00a70533 add a0,a4,a0 + 80005078: 00054583 lbu a1,0(a0) + 8000507c: 00844703 lbu a4,8(s0) + 80005080: 00445683 lhu a3,4(s0) + 80005084: 0086179b slliw a5,a2,0x8 + 80005088: 00b7e7b3 or a5,a5,a1 + 8000508c: 00f7073b addw a4,a4,a5 + 80005090: 0016869b addiw a3,a3,1 + 80005094: 00e7c7b3 xor a5,a5,a4 + 80005098: 00d41223 sh a3,4(s0) + 8000509c: 00c40c23 sb a2,24(s0) + 800050a0: 1007f793 andi a5,a5,256 + 800050a4: 0007049b sext.w s1,a4 + 800050a8: 06078463 beqz a5,80005110 <_Z14X6502_RunDebugi+0x42b0> + 800050ac: 03071493 slli s1,a4,0x30 + 800050b0: 0304d493 srli s1,s1,0x30 + 800050b4: 1004c793 xori a5,s1,256 + 800050b8: 00379793 slli a5,a5,0x3 + 800050bc: 00f907b3 add a5,s2,a5 + 800050c0: 0007b783 ld a5,0(a5) + 800050c4: 1004c513 xori a0,s1,256 + 800050c8: 000780e7 jalr a5 + 800050cc: 00042683 lw a3,0(s0) + 800050d0: 01042703 lw a4,16(s0) + 800050d4: 0009a783 lw a5,0(s3) + 800050d8: 000a4603 lbu a2,0(s4) + 800050dc: 0016869b addiw a3,a3,1 + 800050e0: fd07071b addiw a4,a4,-48 + 800050e4: 0017879b addiw a5,a5,1 + 800050e8: 00a40c23 sb a0,24(s0) + 800050ec: 00d42023 sw a3,0(s0) + 800050f0: 00e42823 sw a4,16(s0) + 800050f4: 00f9a023 sw a5,0(s3) + 800050f8: 00061c63 bnez a2,80005110 <_Z14X6502_RunDebugi+0x42b0> + 800050fc: 001c7717 auipc a4,0x1c7 + 80005100: a4c70713 addi a4,a4,-1460 # 801cbb48 + 80005104: 00072783 lw a5,0(a4) + 80005108: 0017879b addiw a5,a5,1 + 8000510c: 00f72023 sw a5,0(a4) + 80005110: 00349793 slli a5,s1,0x3 + 80005114: 00f90933 add s2,s2,a5 + 80005118: 00093783 ld a5,0(s2) + 8000511c: 00048513 mv a0,s1 + 80005120: 000780e7 jalr a5 + 80005124: 00052717 auipc a4,0x52 + 80005128: 74c70713 addi a4,a4,1868 # 80057870 <_ZL7ZNTable> + 8000512c: 00a70733 add a4,a4,a0 + 80005130: 00074683 lbu a3,0(a4) + 80005134: 00a44703 lbu a4,10(s0) + 80005138: 00050793 mv a5,a0 + 8000513c: 00445503 lhu a0,4(s0) + 80005140: 00e6e733 or a4,a3,a4 + 80005144: 07d77713 andi a4,a4,125 + 80005148: 00e6e733 or a4,a3,a4 + 8000514c: 00f40c23 sb a5,24(s0) + 80005150: 00f40323 sb a5,6(s0) + 80005154: 00f403a3 sb a5,7(s0) + 80005158: 00e40523 sb a4,10(s0) + 8000515c: 01042483 lw s1,16(s0) + 80005160: 00ac8463 beq s9,a0,80005168 <_Z14X6502_RunDebugi+0x4308> + 80005164: f09fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005168: f91fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000516c: 00351793 slli a5,a0,0x3 + 80005170: 00f907b3 add a5,s2,a5 + 80005174: 0007b783 ld a5,0(a5) + 80005178: 000780e7 jalr a5 + 8000517c: 0015079b addiw a5,a0,1 + 80005180: 001c4717 auipc a4,0x1c4 + 80005184: ba870713 addi a4,a4,-1112 # 801c8d28 + 80005188: 0ff7f793 andi a5,a5,255 + 8000518c: 02051513 slli a0,a0,0x20 + 80005190: 00f707b3 add a5,a4,a5 + 80005194: 02055513 srli a0,a0,0x20 + 80005198: 0007c603 lbu a2,0(a5) + 8000519c: 00a70533 add a0,a4,a0 + 800051a0: 00054583 lbu a1,0(a0) + 800051a4: 00844703 lbu a4,8(s0) + 800051a8: 00445683 lhu a3,4(s0) + 800051ac: 0086179b slliw a5,a2,0x8 + 800051b0: 00b7e7b3 or a5,a5,a1 + 800051b4: 00f7073b addw a4,a4,a5 + 800051b8: 0016869b addiw a3,a3,1 + 800051bc: 00e7c7b3 xor a5,a5,a4 + 800051c0: 00d41223 sh a3,4(s0) + 800051c4: 00c40c23 sb a2,24(s0) + 800051c8: 1007f793 andi a5,a5,256 + 800051cc: 0007049b sext.w s1,a4 + 800051d0: 06078463 beqz a5,80005238 <_Z14X6502_RunDebugi+0x43d8> + 800051d4: 03071493 slli s1,a4,0x30 + 800051d8: 0304d493 srli s1,s1,0x30 + 800051dc: 1004c793 xori a5,s1,256 + 800051e0: 00379793 slli a5,a5,0x3 + 800051e4: 00f907b3 add a5,s2,a5 + 800051e8: 0007b783 ld a5,0(a5) + 800051ec: 1004c513 xori a0,s1,256 + 800051f0: 000780e7 jalr a5 + 800051f4: 00042683 lw a3,0(s0) + 800051f8: 01042703 lw a4,16(s0) + 800051fc: 0009a783 lw a5,0(s3) + 80005200: 000a4603 lbu a2,0(s4) + 80005204: 0016869b addiw a3,a3,1 + 80005208: fd07071b addiw a4,a4,-48 + 8000520c: 0017879b addiw a5,a5,1 + 80005210: 00a40c23 sb a0,24(s0) + 80005214: 00d42023 sw a3,0(s0) + 80005218: 00e42823 sw a4,16(s0) + 8000521c: 00f9a023 sw a5,0(s3) + 80005220: 00061c63 bnez a2,80005238 <_Z14X6502_RunDebugi+0x43d8> + 80005224: 001c7717 auipc a4,0x1c7 + 80005228: 92470713 addi a4,a4,-1756 # 801cbb48 + 8000522c: 00072783 lw a5,0(a4) + 80005230: 0017879b addiw a5,a5,1 + 80005234: 00f72023 sw a5,0(a4) + 80005238: 00349793 slli a5,s1,0x3 + 8000523c: 00f90933 add s2,s2,a5 + 80005240: 00093783 ld a5,0(s2) + 80005244: 00048513 mv a0,s1 + 80005248: 000780e7 jalr a5 + 8000524c: 00052697 auipc a3,0x52 + 80005250: 62468693 addi a3,a3,1572 # 80057870 <_ZL7ZNTable> + 80005254: 00a44783 lbu a5,10(s0) + 80005258: 00a686b3 add a3,a3,a0 + 8000525c: 0006c683 lbu a3,0(a3) + 80005260: 00050713 mv a4,a0 + 80005264: 07d7f793 andi a5,a5,125 + 80005268: 00445503 lhu a0,4(s0) + 8000526c: 00d7e7b3 or a5,a5,a3 + 80005270: 00e40c23 sb a4,24(s0) + 80005274: 00e40323 sb a4,6(s0) + 80005278: 00f40523 sb a5,10(s0) + 8000527c: 01042483 lw s1,16(s0) + 80005280: 00ac8463 beq s9,a0,80005288 <_Z14X6502_RunDebugi+0x4428> + 80005284: de9fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005288: e71fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000528c: 00a44783 lbu a5,10(s0) + 80005290: 0017f793 andi a5,a5,1 + 80005294: 00079463 bnez a5,8000529c <_Z14X6502_RunDebugi+0x443c> + 80005298: 1740606f j 8000b40c <_Z14X6502_RunDebugi+0xa5ac> + 8000529c: 00351793 slli a5,a0,0x3 + 800052a0: 00f90933 add s2,s2,a5 + 800052a4: 00093783 ld a5,0(s2) + 800052a8: 000780e7 jalr a5 + 800052ac: 00445783 lhu a5,4(s0) + 800052b0: 00050693 mv a3,a0 + 800052b4: 0185151b slliw a0,a0,0x18 + 800052b8: 0017879b addiw a5,a5,1 + 800052bc: 03079793 slli a5,a5,0x30 + 800052c0: 00042583 lw a1,0(s0) + 800052c4: 01042703 lw a4,16(s0) + 800052c8: 0009a603 lw a2,0(s3) + 800052cc: 0307d793 srli a5,a5,0x30 + 800052d0: 4185551b sraiw a0,a0,0x18 + 800052d4: 00f5053b addw a0,a0,a5 + 800052d8: 03051513 slli a0,a0,0x30 + 800052dc: 000a4803 lbu a6,0(s4) + 800052e0: fd07049b addiw s1,a4,-48 + 800052e4: 0015831b addiw t1,a1,1 + 800052e8: 0016089b addiw a7,a2,1 + 800052ec: 03055513 srli a0,a0,0x30 + 800052f0: 00a7c7b3 xor a5,a5,a0 + 800052f4: 00d40c23 sb a3,24(s0) + 800052f8: 00642023 sw t1,0(s0) + 800052fc: 00942823 sw s1,16(s0) + 80005300: 0119a023 sw a7,0(s3) + 80005304: 1007f793 andi a5,a5,256 + 80005308: 00080463 beqz a6,80005310 <_Z14X6502_RunDebugi+0x44b0> + 8000530c: 30c0606f j 8000b618 <_Z14X6502_RunDebugi+0xa7b8> + 80005310: 001c7817 auipc a6,0x1c7 + 80005314: 83880813 addi a6,a6,-1992 # 801cbb48 + 80005318: 00082683 lw a3,0(a6) + 8000531c: 00a41223 sh a0,4(s0) + 80005320: 0016889b addiw a7,a3,1 + 80005324: 01182023 sw a7,0(a6) + 80005328: 00079463 bnez a5,80005330 <_Z14X6502_RunDebugi+0x44d0> + 8000532c: d3dfb06f j 80001068 <_Z14X6502_RunDebugi+0x208> + 80005330: fa07049b addiw s1,a4,-96 + 80005334: 0025859b addiw a1,a1,2 + 80005338: 0026061b addiw a2,a2,2 + 8000533c: 0026869b addiw a3,a3,2 + 80005340: 00b42023 sw a1,0(s0) + 80005344: 00942823 sw s1,16(s0) + 80005348: 00c9a023 sw a2,0(s3) + 8000534c: 00d82023 sw a3,0(a6) + 80005350: 00ac8463 beq s9,a0,80005358 <_Z14X6502_RunDebugi+0x44f8> + 80005354: d19fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005358: da1fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000535c: 00351793 slli a5,a0,0x3 + 80005360: 00f907b3 add a5,s2,a5 + 80005364: 0007b783 ld a5,0(a5) + 80005368: 000780e7 jalr a5 + 8000536c: 00445783 lhu a5,4(s0) + 80005370: 00050493 mv s1,a0 + 80005374: 00a40c23 sb a0,24(s0) + 80005378: 0017879b addiw a5,a5,1 + 8000537c: 03079513 slli a0,a5,0x30 + 80005380: 03055513 srli a0,a0,0x30 + 80005384: 00351793 slli a5,a0,0x3 + 80005388: 00f907b3 add a5,s2,a5 + 8000538c: 0007b783 ld a5,0(a5) + 80005390: 00a41223 sh a0,4(s0) + 80005394: 0004849b sext.w s1,s1 + 80005398: 000780e7 jalr a5 + 8000539c: 00851693 slli a3,a0,0x8 + 800053a0: 0096e7b3 or a5,a3,s1 + 800053a4: 00445703 lhu a4,4(s0) + 800053a8: 00379793 slli a5,a5,0x3 + 800053ac: 00f90933 add s2,s2,a5 + 800053b0: 00093603 ld a2,0(s2) + 800053b4: 0017079b addiw a5,a4,1 + 800053b8: 00a40c23 sb a0,24(s0) + 800053bc: 00f41223 sh a5,4(s0) + 800053c0: 0096e533 or a0,a3,s1 + 800053c4: 000600e7 jalr a2 + 800053c8: 00052717 auipc a4,0x52 + 800053cc: 4a870713 addi a4,a4,1192 # 80057870 <_ZL7ZNTable> + 800053d0: 00a70733 add a4,a4,a0 + 800053d4: 00074683 lbu a3,0(a4) + 800053d8: 00a44703 lbu a4,10(s0) + 800053dc: 00050793 mv a5,a0 + 800053e0: 00445503 lhu a0,4(s0) + 800053e4: 00e6e733 or a4,a3,a4 + 800053e8: 07d77713 andi a4,a4,125 + 800053ec: 00e6e733 or a4,a3,a4 + 800053f0: 00f40c23 sb a5,24(s0) + 800053f4: 00f40323 sb a5,6(s0) + 800053f8: 00f403a3 sb a5,7(s0) + 800053fc: 00e40523 sb a4,10(s0) + 80005400: 01042483 lw s1,16(s0) + 80005404: 00ac8463 beq s9,a0,8000540c <_Z14X6502_RunDebugi+0x45ac> + 80005408: c65fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000540c: cedfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005410: 00351793 slli a5,a0,0x3 + 80005414: 00f907b3 add a5,s2,a5 + 80005418: 0007b783 ld a5,0(a5) + 8000541c: 000780e7 jalr a5 + 80005420: 00445783 lhu a5,4(s0) + 80005424: 00050493 mv s1,a0 + 80005428: 00a40c23 sb a0,24(s0) + 8000542c: 0017879b addiw a5,a5,1 + 80005430: 03079513 slli a0,a5,0x30 + 80005434: 03055513 srli a0,a0,0x30 + 80005438: 00351793 slli a5,a0,0x3 + 8000543c: 00f907b3 add a5,s2,a5 + 80005440: 0007b783 ld a5,0(a5) + 80005444: 00a41223 sh a0,4(s0) + 80005448: 0004849b sext.w s1,s1 + 8000544c: 000780e7 jalr a5 + 80005450: 00851693 slli a3,a0,0x8 + 80005454: 0096e7b3 or a5,a3,s1 + 80005458: 00445703 lhu a4,4(s0) + 8000545c: 00379793 slli a5,a5,0x3 + 80005460: 00f90933 add s2,s2,a5 + 80005464: 00093603 ld a2,0(s2) + 80005468: 0017079b addiw a5,a4,1 + 8000546c: 00a40c23 sb a0,24(s0) + 80005470: 00f41223 sh a5,4(s0) + 80005474: 0096e533 or a0,a3,s1 + 80005478: 000600e7 jalr a2 + 8000547c: 00052697 auipc a3,0x52 + 80005480: 3f468693 addi a3,a3,1012 # 80057870 <_ZL7ZNTable> + 80005484: 00a44783 lbu a5,10(s0) + 80005488: 00a686b3 add a3,a3,a0 + 8000548c: 0006c683 lbu a3,0(a3) + 80005490: 00050713 mv a4,a0 + 80005494: 07d7f793 andi a5,a5,125 + 80005498: 00445503 lhu a0,4(s0) + 8000549c: 00d7e7b3 or a5,a5,a3 + 800054a0: 00e40c23 sb a4,24(s0) + 800054a4: 00e403a3 sb a4,7(s0) + 800054a8: 00f40523 sb a5,10(s0) + 800054ac: 01042483 lw s1,16(s0) + 800054b0: 00ac8463 beq s9,a0,800054b8 <_Z14X6502_RunDebugi+0x4658> + 800054b4: bb9fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800054b8: c41fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800054bc: 00351793 slli a5,a0,0x3 + 800054c0: 00f90933 add s2,s2,a5 + 800054c4: 00093783 ld a5,0(s2) + 800054c8: 000780e7 jalr a5 + 800054cc: 02051793 slli a5,a0,0x20 + 800054d0: 0207d793 srli a5,a5,0x20 + 800054d4: 001c4717 auipc a4,0x1c4 + 800054d8: 85470713 addi a4,a4,-1964 # 801c8d28 + 800054dc: 00f70733 add a4,a4,a5 + 800054e0: 00074583 lbu a1,0(a4) + 800054e4: 00644783 lbu a5,6(s0) + 800054e8: 00a44703 lbu a4,10(s0) + 800054ec: 00052517 auipc a0,0x52 + 800054f0: 38450513 addi a0,a0,900 # 80057870 <_ZL7ZNTable> + 800054f4: 00b786bb addw a3,a5,a1 + 800054f8: 00177613 andi a2,a4,1 + 800054fc: 00c686bb addw a3,a3,a2 + 80005500: 0006881b sext.w a6,a3 + 80005504: 0ff87893 andi a7,a6,255 + 80005508: 00b7c633 xor a2,a5,a1 + 8000550c: 01150533 add a0,a0,a7 + 80005510: 00054883 lbu a7,0(a0) + 80005514: fff64613 not a2,a2 + 80005518: 00445503 lhu a0,4(s0) + 8000551c: 00d7c7b3 xor a5,a5,a3 + 80005520: 0ff67613 andi a2,a2,255 + 80005524: 00c7f7b3 and a5,a5,a2 + 80005528: 03c77713 andi a4,a4,60 + 8000552c: 0017d79b srliw a5,a5,0x1 + 80005530: 01176733 or a4,a4,a7 + 80005534: 0086d69b srliw a3,a3,0x8 + 80005538: 0015051b addiw a0,a0,1 + 8000553c: 0407f793 andi a5,a5,64 + 80005540: 00d76733 or a4,a4,a3 + 80005544: 03051513 slli a0,a0,0x30 + 80005548: 03055513 srli a0,a0,0x30 + 8000554c: 00e7e7b3 or a5,a5,a4 + 80005550: 00a41223 sh a0,4(s0) + 80005554: 00b40c23 sb a1,24(s0) + 80005558: 01040323 sb a6,6(s0) + 8000555c: 00f40523 sb a5,10(s0) + 80005560: 01042483 lw s1,16(s0) + 80005564: 00ac8463 beq s9,a0,8000556c <_Z14X6502_RunDebugi+0x470c> + 80005568: b05fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000556c: b8dfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005570: 00351793 slli a5,a0,0x3 + 80005574: 00f907b3 add a5,s2,a5 + 80005578: 0007b783 ld a5,0(a5) + 8000557c: 000780e7 jalr a5 + 80005580: 00744783 lbu a5,7(s0) + 80005584: 001c3697 auipc a3,0x1c3 + 80005588: 7a468693 addi a3,a3,1956 # 801c8d28 + 8000558c: 00445603 lhu a2,4(s0) + 80005590: 00a787bb addw a5,a5,a0 + 80005594: 00178713 addi a4,a5,1 + 80005598: 0ff77713 andi a4,a4,255 + 8000559c: 00e68733 add a4,a3,a4 + 800055a0: 0ff7f793 andi a5,a5,255 + 800055a4: 00074703 lbu a4,0(a4) + 800055a8: 00f687b3 add a5,a3,a5 + 800055ac: 0007c783 lbu a5,0(a5) + 800055b0: 0087149b slliw s1,a4,0x8 + 800055b4: 0016061b addiw a2,a2,1 + 800055b8: 00f4e4b3 or s1,s1,a5 + 800055bc: 03049d13 slli s10,s1,0x30 + 800055c0: 02dd5d13 srli s10,s10,0x2d + 800055c4: 01a90933 add s2,s2,s10 + 800055c8: 00093783 ld a5,0(s2) + 800055cc: 0004849b sext.w s1,s1 + 800055d0: 00c41223 sh a2,4(s0) + 800055d4: 00e40c23 sb a4,24(s0) + 800055d8: 00048513 mv a0,s1 + 800055dc: 00143917 auipc s2,0x143 + 800055e0: 70490913 addi s2,s2,1796 # 80148ce0 + 800055e4: 000780e7 jalr a5 + 800055e8: 01a90933 add s2,s2,s10 + 800055ec: 00093783 ld a5,0(s2) + 800055f0: 00050d13 mv s10,a0 + 800055f4: 00050593 mv a1,a0 + 800055f8: 01a40c23 sb s10,24(s0) + 800055fc: 00048513 mv a0,s1 + 80005600: 000780e7 jalr a5 + 80005604: 00a44783 lbu a5,10(s0) + 80005608: 401d571b sraiw a4,s10,0x1 + 8000560c: 00052517 auipc a0,0x52 + 80005610: 26450513 addi a0,a0,612 # 80057870 <_ZL7ZNTable> + 80005614: 00779613 slli a2,a5,0x7 + 80005618: 00e66633 or a2,a2,a4 + 8000561c: 0ff67693 andi a3,a2,255 + 80005620: 00d50733 add a4,a0,a3 + 80005624: 00074583 lbu a1,0(a4) + 80005628: 07c7f793 andi a5,a5,124 + 8000562c: 00644703 lbu a4,6(s0) + 80005630: 001d7d13 andi s10,s10,1 + 80005634: 01a7e7b3 or a5,a5,s10 + 80005638: 00b7e7b3 or a5,a5,a1 + 8000563c: 0017f593 andi a1,a5,1 + 80005640: 00d706bb addw a3,a4,a3 + 80005644: 00b686bb addw a3,a3,a1 + 80005648: 0006881b sext.w a6,a3 + 8000564c: 0ff67593 andi a1,a2,255 + 80005650: 0ff87613 andi a2,a6,255 + 80005654: 00c50533 add a0,a0,a2 + 80005658: 00b74633 xor a2,a4,a1 + 8000565c: 00054503 lbu a0,0(a0) + 80005660: fff64613 not a2,a2 + 80005664: 0ff67613 andi a2,a2,255 + 80005668: 00d74733 xor a4,a4,a3 + 8000566c: 00c77733 and a4,a4,a2 + 80005670: 03c7f793 andi a5,a5,60 + 80005674: 00a7e7b3 or a5,a5,a0 + 80005678: 0086d69b srliw a3,a3,0x8 + 8000567c: 0017571b srliw a4,a4,0x1 + 80005680: 00d7e7b3 or a5,a5,a3 + 80005684: 04077713 andi a4,a4,64 + 80005688: 00093683 ld a3,0(s2) + 8000568c: 00e7e7b3 or a5,a5,a4 + 80005690: 00048513 mv a0,s1 + 80005694: 01040323 sb a6,6(s0) + 80005698: 00f40523 sb a5,10(s0) + 8000569c: 000680e7 jalr a3 + 800056a0: 00445503 lhu a0,4(s0) + 800056a4: 01042483 lw s1,16(s0) + 800056a8: 00ac8463 beq s9,a0,800056b0 <_Z14X6502_RunDebugi+0x4850> + 800056ac: 9c1fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800056b0: a49fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800056b4: 00351793 slli a5,a0,0x3 + 800056b8: 00f907b3 add a5,s2,a5 + 800056bc: 0007b783 ld a5,0(a5) + 800056c0: 000780e7 jalr a5 + 800056c4: 00744783 lbu a5,7(s0) + 800056c8: 001c3697 auipc a3,0x1c3 + 800056cc: 66068693 addi a3,a3,1632 # 801c8d28 + 800056d0: 00445603 lhu a2,4(s0) + 800056d4: 00a787bb addw a5,a5,a0 + 800056d8: 00178713 addi a4,a5,1 + 800056dc: 0ff77713 andi a4,a4,255 + 800056e0: 00e68733 add a4,a3,a4 + 800056e4: 0ff7f793 andi a5,a5,255 + 800056e8: 00074703 lbu a4,0(a4) + 800056ec: 00f687b3 add a5,a3,a5 + 800056f0: 0007c783 lbu a5,0(a5) + 800056f4: 0087151b slliw a0,a4,0x8 + 800056f8: 0016061b addiw a2,a2,1 + 800056fc: 00f56533 or a0,a0,a5 + 80005700: 03051793 slli a5,a0,0x30 + 80005704: 02d7d793 srli a5,a5,0x2d + 80005708: 00f90933 add s2,s2,a5 + 8000570c: 00093783 ld a5,0(s2) + 80005710: 00c41223 sh a2,4(s0) + 80005714: 00e40c23 sb a4,24(s0) + 80005718: 0005051b sext.w a0,a0 + 8000571c: 000780e7 jalr a5 + 80005720: 00644783 lbu a5,6(s0) + 80005724: 00a44703 lbu a4,10(s0) + 80005728: 00050593 mv a1,a0 + 8000572c: 00a786bb addw a3,a5,a0 + 80005730: 00177613 andi a2,a4,1 + 80005734: 00c686bb addw a3,a3,a2 + 80005738: 0006881b sext.w a6,a3 + 8000573c: 00a7c633 xor a2,a5,a0 + 80005740: 0ff87893 andi a7,a6,255 + 80005744: 00052517 auipc a0,0x52 + 80005748: 12c50513 addi a0,a0,300 # 80057870 <_ZL7ZNTable> + 8000574c: 01150533 add a0,a0,a7 + 80005750: 00054503 lbu a0,0(a0) + 80005754: fff64613 not a2,a2 + 80005758: 00d7c7b3 xor a5,a5,a3 + 8000575c: 0ff67613 andi a2,a2,255 + 80005760: 00c7f7b3 and a5,a5,a2 + 80005764: 03c77713 andi a4,a4,60 + 80005768: 00a76733 or a4,a4,a0 + 8000576c: 0017d79b srliw a5,a5,0x1 + 80005770: 0086d69b srliw a3,a3,0x8 + 80005774: 0407f793 andi a5,a5,64 + 80005778: 00d76733 or a4,a4,a3 + 8000577c: 00445503 lhu a0,4(s0) + 80005780: 00e7e7b3 or a5,a5,a4 + 80005784: 00b40c23 sb a1,24(s0) + 80005788: 01040323 sb a6,6(s0) + 8000578c: 00f40523 sb a5,10(s0) + 80005790: 01042483 lw s1,16(s0) + 80005794: 00ac8463 beq s9,a0,8000579c <_Z14X6502_RunDebugi+0x493c> + 80005798: 8d5fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000579c: 95dfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800057a0: 00944783 lbu a5,9(s0) + 800057a4: 001c3697 auipc a3,0x1c3 + 800057a8: 58468693 addi a3,a3,1412 # 801c8d28 + 800057ac: 01042483 lw s1,16(s0) + 800057b0: 0027871b addiw a4,a5,2 + 800057b4: 0ff77713 andi a4,a4,255 + 800057b8: 0017879b addiw a5,a5,1 + 800057bc: 0ff7f793 andi a5,a5,255 + 800057c0: 00e68633 add a2,a3,a4 + 800057c4: 10064603 lbu a2,256(a2) + 800057c8: 00f687b3 add a5,a3,a5 + 800057cc: 1007c503 lbu a0,256(a5) + 800057d0: 00861793 slli a5,a2,0x8 + 800057d4: 00e404a3 sb a4,9(s0) + 800057d8: 00f56533 or a0,a0,a5 + 800057dc: 0015051b addiw a0,a0,1 + 800057e0: 03051513 slli a0,a0,0x30 + 800057e4: 03055513 srli a0,a0,0x30 + 800057e8: 00c40c23 sb a2,24(s0) + 800057ec: 00a41223 sh a0,4(s0) + 800057f0: 00ac8463 beq s9,a0,800057f8 <_Z14X6502_RunDebugi+0x4998> + 800057f4: 879fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800057f8: 901fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800057fc: 00351793 slli a5,a0,0x3 + 80005800: 00f907b3 add a5,s2,a5 + 80005804: 0007b783 ld a5,0(a5) + 80005808: 000780e7 jalr a5 + 8000580c: 00445783 lhu a5,4(s0) + 80005810: 00050713 mv a4,a0 + 80005814: 00a40c23 sb a0,24(s0) + 80005818: 0017879b addiw a5,a5,1 + 8000581c: 03079513 slli a0,a5,0x30 + 80005820: 03055513 srli a0,a0,0x30 + 80005824: 00351793 slli a5,a0,0x3 + 80005828: 00f907b3 add a5,s2,a5 + 8000582c: 0007b783 ld a5,0(a5) + 80005830: 00a41223 sh a0,4(s0) + 80005834: 0007049b sext.w s1,a4 + 80005838: 000780e7 jalr a5 + 8000583c: 00744d03 lbu s10,7(s0) + 80005840: 00851793 slli a5,a0,0x8 + 80005844: 0097e7b3 or a5,a5,s1 + 80005848: 00fd0d3b addw s10,s10,a5 + 8000584c: 0ffd7613 andi a2,s10,255 + 80005850: 0187f7b3 and a5,a5,s8 + 80005854: 00f66733 or a4,a2,a5 + 80005858: 00445683 lhu a3,4(s0) + 8000585c: 00371713 slli a4,a4,0x3 + 80005860: 00e90733 add a4,s2,a4 + 80005864: 00073583 ld a1,0(a4) + 80005868: 030d1d13 slli s10,s10,0x30 + 8000586c: 0016871b addiw a4,a3,1 + 80005870: 030d5d13 srli s10,s10,0x30 + 80005874: 00e41223 sh a4,4(s0) + 80005878: 003d1493 slli s1,s10,0x3 + 8000587c: 00a40c23 sb a0,24(s0) + 80005880: 00f66533 or a0,a2,a5 + 80005884: 000580e7 jalr a1 + 80005888: 00990933 add s2,s2,s1 + 8000588c: 00093783 ld a5,0(s2) + 80005890: 00a40c23 sb a0,24(s0) + 80005894: 00143917 auipc s2,0x143 + 80005898: 44c90913 addi s2,s2,1100 # 80148ce0 + 8000589c: 000d0513 mv a0,s10 + 800058a0: 000780e7 jalr a5 + 800058a4: 00990933 add s2,s2,s1 + 800058a8: 00093783 ld a5,0(s2) + 800058ac: 00050493 mv s1,a0 + 800058b0: 00050593 mv a1,a0 + 800058b4: 00940c23 sb s1,24(s0) + 800058b8: 000d0513 mv a0,s10 + 800058bc: 000780e7 jalr a5 + 800058c0: 00644703 lbu a4,6(s0) + 800058c4: 00a44783 lbu a5,10(s0) + 800058c8: 0014d613 srli a2,s1,0x1 + 800058cc: 00052697 auipc a3,0x52 + 800058d0: fa468693 addi a3,a3,-92 # 80057870 <_ZL7ZNTable> + 800058d4: 00060593 mv a1,a2 + 800058d8: 00c68633 add a2,a3,a2 + 800058dc: 00064503 lbu a0,0(a2) + 800058e0: 00e5c733 xor a4,a1,a4 + 800058e4: 00e686b3 add a3,a3,a4 + 800058e8: 0014f493 andi s1,s1,1 + 800058ec: 07c7f793 andi a5,a5,124 + 800058f0: 0006c603 lbu a2,0(a3) + 800058f4: 0097e7b3 or a5,a5,s1 + 800058f8: 00a7e7b3 or a5,a5,a0 + 800058fc: 00093683 ld a3,0(s2) + 80005900: 07d7f793 andi a5,a5,125 + 80005904: 00c7e7b3 or a5,a5,a2 + 80005908: 000d0513 mv a0,s10 + 8000590c: 00e40323 sb a4,6(s0) + 80005910: 00f40523 sb a5,10(s0) + 80005914: 000680e7 jalr a3 + 80005918: 00445503 lhu a0,4(s0) + 8000591c: 01042483 lw s1,16(s0) + 80005920: 00ac8463 beq s9,a0,80005928 <_Z14X6502_RunDebugi+0x4ac8> + 80005924: f48fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005928: fd0fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000592c: 00351793 slli a5,a0,0x3 + 80005930: 00f907b3 add a5,s2,a5 + 80005934: 0007b783 ld a5,0(a5) + 80005938: 000780e7 jalr a5 + 8000593c: 00445783 lhu a5,4(s0) + 80005940: 00050713 mv a4,a0 + 80005944: 00a40c23 sb a0,24(s0) + 80005948: 0017879b addiw a5,a5,1 + 8000594c: 03079513 slli a0,a5,0x30 + 80005950: 03055513 srli a0,a0,0x30 + 80005954: 00351793 slli a5,a0,0x3 + 80005958: 00f907b3 add a5,s2,a5 + 8000595c: 0007b783 ld a5,0(a5) + 80005960: 00a41223 sh a0,4(s0) + 80005964: 0007049b sext.w s1,a4 + 80005968: 000780e7 jalr a5 + 8000596c: 00744683 lbu a3,7(s0) + 80005970: 00851793 slli a5,a0,0x8 + 80005974: 0097e7b3 or a5,a5,s1 + 80005978: 00f686bb addw a3,a3,a5 + 8000597c: 0ff6f593 andi a1,a3,255 + 80005980: 0187f7b3 and a5,a5,s8 + 80005984: 00f5e733 or a4,a1,a5 + 80005988: 00445603 lhu a2,4(s0) + 8000598c: 00371713 slli a4,a4,0x3 + 80005990: 00e90733 add a4,s2,a4 + 80005994: 00073803 ld a6,0(a4) + 80005998: 03069d13 slli s10,a3,0x30 + 8000599c: 0016071b addiw a4,a2,1 + 800059a0: 030d5d13 srli s10,s10,0x30 + 800059a4: 00e41223 sh a4,4(s0) + 800059a8: 003d1493 slli s1,s10,0x3 + 800059ac: 00a40c23 sb a0,24(s0) + 800059b0: 00f5e533 or a0,a1,a5 + 800059b4: 000800e7 jalr a6 + 800059b8: 00990933 add s2,s2,s1 + 800059bc: 00093783 ld a5,0(s2) + 800059c0: 00a40c23 sb a0,24(s0) + 800059c4: 00143917 auipc s2,0x143 + 800059c8: 31c90913 addi s2,s2,796 # 80148ce0 + 800059cc: 000d0513 mv a0,s10 + 800059d0: 000780e7 jalr a5 + 800059d4: 00990933 add s2,s2,s1 + 800059d8: 00093783 ld a5,0(s2) + 800059dc: 00050493 mv s1,a0 + 800059e0: 00050593 mv a1,a0 + 800059e4: 00940c23 sb s1,24(s0) + 800059e8: 000d0513 mv a0,s10 + 800059ec: 000780e7 jalr a5 + 800059f0: 00a44783 lbu a5,10(s0) + 800059f4: 0014d593 srli a1,s1,0x1 + 800059f8: 00052717 auipc a4,0x52 + 800059fc: e7870713 addi a4,a4,-392 # 80057870 <_ZL7ZNTable> + 80005a00: 00b70733 add a4,a4,a1 + 80005a04: 00074683 lbu a3,0(a4) + 80005a08: 0014f493 andi s1,s1,1 + 80005a0c: 07c7f793 andi a5,a5,124 + 80005a10: 0097e7b3 or a5,a5,s1 + 80005a14: 00093703 ld a4,0(s2) + 80005a18: 00d7e7b3 or a5,a5,a3 + 80005a1c: 000d0513 mv a0,s10 + 80005a20: 00f40523 sb a5,10(s0) + 80005a24: 000700e7 jalr a4 + 80005a28: 00445503 lhu a0,4(s0) + 80005a2c: 01042483 lw s1,16(s0) + 80005a30: 00ac8463 beq s9,a0,80005a38 <_Z14X6502_RunDebugi+0x4bd8> + 80005a34: e38fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005a38: ec0fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005a3c: 00351793 slli a5,a0,0x3 + 80005a40: 00f907b3 add a5,s2,a5 + 80005a44: 0007b783 ld a5,0(a5) + 80005a48: 000780e7 jalr a5 + 80005a4c: 00445783 lhu a5,4(s0) + 80005a50: 00050713 mv a4,a0 + 80005a54: 00a40c23 sb a0,24(s0) + 80005a58: 0017879b addiw a5,a5,1 + 80005a5c: 03079513 slli a0,a5,0x30 + 80005a60: 03055513 srli a0,a0,0x30 + 80005a64: 00351793 slli a5,a0,0x3 + 80005a68: 00f907b3 add a5,s2,a5 + 80005a6c: 0007b783 ld a5,0(a5) + 80005a70: 00a41223 sh a0,4(s0) + 80005a74: 0007049b sext.w s1,a4 + 80005a78: 000780e7 jalr a5 + 80005a7c: 00744703 lbu a4,7(s0) + 80005a80: 00445683 lhu a3,4(s0) + 80005a84: 00851793 slli a5,a0,0x8 + 80005a88: 0097e7b3 or a5,a5,s1 + 80005a8c: 00f7073b addw a4,a4,a5 + 80005a90: 0016869b addiw a3,a3,1 + 80005a94: 00e7c7b3 xor a5,a5,a4 + 80005a98: 00a40c23 sb a0,24(s0) + 80005a9c: 00d41223 sh a3,4(s0) + 80005aa0: 1007f793 andi a5,a5,256 + 80005aa4: 0007049b sext.w s1,a4 + 80005aa8: 06078463 beqz a5,80005b10 <_Z14X6502_RunDebugi+0x4cb0> + 80005aac: 03071493 slli s1,a4,0x30 + 80005ab0: 0304d493 srli s1,s1,0x30 + 80005ab4: 1004c793 xori a5,s1,256 + 80005ab8: 00379793 slli a5,a5,0x3 + 80005abc: 00f907b3 add a5,s2,a5 + 80005ac0: 0007b783 ld a5,0(a5) + 80005ac4: 1004c513 xori a0,s1,256 + 80005ac8: 000780e7 jalr a5 + 80005acc: 00042683 lw a3,0(s0) + 80005ad0: 01042703 lw a4,16(s0) + 80005ad4: 0009a783 lw a5,0(s3) + 80005ad8: 000a4603 lbu a2,0(s4) + 80005adc: 0016869b addiw a3,a3,1 + 80005ae0: fd07071b addiw a4,a4,-48 + 80005ae4: 0017879b addiw a5,a5,1 + 80005ae8: 00a40c23 sb a0,24(s0) + 80005aec: 00d42023 sw a3,0(s0) + 80005af0: 00e42823 sw a4,16(s0) + 80005af4: 00f9a023 sw a5,0(s3) + 80005af8: 00061c63 bnez a2,80005b10 <_Z14X6502_RunDebugi+0x4cb0> + 80005afc: 001c6717 auipc a4,0x1c6 + 80005b00: 04c70713 addi a4,a4,76 # 801cbb48 + 80005b04: 00072783 lw a5,0(a4) + 80005b08: 0017879b addiw a5,a5,1 + 80005b0c: 00f72023 sw a5,0(a4) + 80005b10: 02049793 slli a5,s1,0x20 + 80005b14: 01d7d793 srli a5,a5,0x1d + 80005b18: 00f90933 add s2,s2,a5 + 80005b1c: 00093783 ld a5,0(s2) + 80005b20: 00048513 mv a0,s1 + 80005b24: 000780e7 jalr a5 + 80005b28: 00644703 lbu a4,6(s0) + 80005b2c: 00052617 auipc a2,0x52 + 80005b30: d4460613 addi a2,a2,-700 # 80057870 <_ZL7ZNTable> + 80005b34: 00a44783 lbu a5,10(s0) + 80005b38: 00e54733 xor a4,a0,a4 + 80005b3c: 00e60633 add a2,a2,a4 + 80005b40: 00064603 lbu a2,0(a2) + 80005b44: 00050693 mv a3,a0 + 80005b48: 07d7f793 andi a5,a5,125 + 80005b4c: 00445503 lhu a0,4(s0) + 80005b50: 00c7e7b3 or a5,a5,a2 + 80005b54: 00d40c23 sb a3,24(s0) + 80005b58: 00e40323 sb a4,6(s0) + 80005b5c: 00f40523 sb a5,10(s0) + 80005b60: 01042483 lw s1,16(s0) + 80005b64: 00ac8463 beq s9,a0,80005b6c <_Z14X6502_RunDebugi+0x4d0c> + 80005b68: d04fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005b6c: d8cfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005b70: 00351793 slli a5,a0,0x3 + 80005b74: 00f907b3 add a5,s2,a5 + 80005b78: 0007b783 ld a5,0(a5) + 80005b7c: 000780e7 jalr a5 + 80005b80: 00445783 lhu a5,4(s0) + 80005b84: 00050713 mv a4,a0 + 80005b88: 00a40c23 sb a0,24(s0) + 80005b8c: 0017879b addiw a5,a5,1 + 80005b90: 03079513 slli a0,a5,0x30 + 80005b94: 03055513 srli a0,a0,0x30 + 80005b98: 00351793 slli a5,a0,0x3 + 80005b9c: 00f907b3 add a5,s2,a5 + 80005ba0: 0007b783 ld a5,0(a5) + 80005ba4: 00a41223 sh a0,4(s0) + 80005ba8: 0007049b sext.w s1,a4 + 80005bac: 000780e7 jalr a5 + 80005bb0: 00844d03 lbu s10,8(s0) + 80005bb4: 00851793 slli a5,a0,0x8 + 80005bb8: 0097e7b3 or a5,a5,s1 + 80005bbc: 00fd0d3b addw s10,s10,a5 + 80005bc0: 0ffd7613 andi a2,s10,255 + 80005bc4: 0187f7b3 and a5,a5,s8 + 80005bc8: 00f66733 or a4,a2,a5 + 80005bcc: 00445683 lhu a3,4(s0) + 80005bd0: 00371713 slli a4,a4,0x3 + 80005bd4: 00e90733 add a4,s2,a4 + 80005bd8: 00073583 ld a1,0(a4) + 80005bdc: 030d1d13 slli s10,s10,0x30 + 80005be0: 0016871b addiw a4,a3,1 + 80005be4: 030d5d13 srli s10,s10,0x30 + 80005be8: 00e41223 sh a4,4(s0) + 80005bec: 003d1493 slli s1,s10,0x3 + 80005bf0: 00a40c23 sb a0,24(s0) + 80005bf4: 00f66533 or a0,a2,a5 + 80005bf8: 000580e7 jalr a1 + 80005bfc: 00990933 add s2,s2,s1 + 80005c00: 00093783 ld a5,0(s2) + 80005c04: 00a40c23 sb a0,24(s0) + 80005c08: 00143917 auipc s2,0x143 + 80005c0c: 0d890913 addi s2,s2,216 # 80148ce0 + 80005c10: 000d0513 mv a0,s10 + 80005c14: 000780e7 jalr a5 + 80005c18: 00990933 add s2,s2,s1 + 80005c1c: 00093783 ld a5,0(s2) + 80005c20: 00050493 mv s1,a0 + 80005c24: 00050593 mv a1,a0 + 80005c28: 00940c23 sb s1,24(s0) + 80005c2c: 000d0513 mv a0,s10 + 80005c30: 000780e7 jalr a5 + 80005c34: 00644703 lbu a4,6(s0) + 80005c38: 00a44783 lbu a5,10(s0) + 80005c3c: 0014d613 srli a2,s1,0x1 + 80005c40: 00052697 auipc a3,0x52 + 80005c44: c3068693 addi a3,a3,-976 # 80057870 <_ZL7ZNTable> + 80005c48: 00060593 mv a1,a2 + 80005c4c: 00c68633 add a2,a3,a2 + 80005c50: 00064503 lbu a0,0(a2) + 80005c54: 00e5c733 xor a4,a1,a4 + 80005c58: 00e686b3 add a3,a3,a4 + 80005c5c: 0014f493 andi s1,s1,1 + 80005c60: 07c7f793 andi a5,a5,124 + 80005c64: 0006c603 lbu a2,0(a3) + 80005c68: 0097e7b3 or a5,a5,s1 + 80005c6c: 00a7e7b3 or a5,a5,a0 + 80005c70: 00093683 ld a3,0(s2) + 80005c74: 07d7f793 andi a5,a5,125 + 80005c78: 00c7e7b3 or a5,a5,a2 + 80005c7c: 000d0513 mv a0,s10 + 80005c80: 00e40323 sb a4,6(s0) + 80005c84: 00f40523 sb a5,10(s0) + 80005c88: 000680e7 jalr a3 + 80005c8c: 00445503 lhu a0,4(s0) + 80005c90: 01042483 lw s1,16(s0) + 80005c94: 00ac8463 beq s9,a0,80005c9c <_Z14X6502_RunDebugi+0x4e3c> + 80005c98: bd4fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005c9c: c5cfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005ca0: 00351793 slli a5,a0,0x3 + 80005ca4: 00f90933 add s2,s2,a5 + 80005ca8: 00093783 ld a5,0(s2) + 80005cac: 00744483 lbu s1,7(s0) + 80005cb0: 000780e7 jalr a5 + 80005cb4: 00a487bb addw a5,s1,a0 + 80005cb8: 0ff7f793 andi a5,a5,255 + 80005cbc: 001c3597 auipc a1,0x1c3 + 80005cc0: 06c58593 addi a1,a1,108 # 801c8d28 + 80005cc4: 00f585b3 add a1,a1,a5 + 80005cc8: 0005c803 lbu a6,0(a1) + 80005ccc: 00a44783 lbu a5,10(s0) + 80005cd0: 00052517 auipc a0,0x52 + 80005cd4: ba050513 addi a0,a0,-1120 # 80057870 <_ZL7ZNTable> + 80005cd8: 4018571b sraiw a4,a6,0x1 + 80005cdc: 00779893 slli a7,a5,0x7 + 80005ce0: 00e8e8b3 or a7,a7,a4 + 80005ce4: 0ff8f693 andi a3,a7,255 + 80005ce8: 00d50733 add a4,a0,a3 + 80005cec: 00074603 lbu a2,0(a4) + 80005cf0: 00187313 andi t1,a6,1 + 80005cf4: 00644703 lbu a4,6(s0) + 80005cf8: 07c7f793 andi a5,a5,124 + 80005cfc: 0067e7b3 or a5,a5,t1 + 80005d00: 00c7e7b3 or a5,a5,a2 + 80005d04: 00d706bb addw a3,a4,a3 + 80005d08: 0017fe13 andi t3,a5,1 + 80005d0c: 01c68e3b addw t3,a3,t3 + 80005d10: 000e031b sext.w t1,t3 + 80005d14: 0ff8f893 andi a7,a7,255 + 80005d18: 0ff37693 andi a3,t1,255 + 80005d1c: 00d50533 add a0,a0,a3 + 80005d20: 01174633 xor a2,a4,a7 + 80005d24: 00054e83 lbu t4,0(a0) + 80005d28: fff64613 not a2,a2 + 80005d2c: 00445503 lhu a0,4(s0) + 80005d30: 0ff67613 andi a2,a2,255 + 80005d34: 01c74733 xor a4,a4,t3 + 80005d38: 03c7f693 andi a3,a5,60 + 80005d3c: 00c77733 and a4,a4,a2 + 80005d40: 0017579b srliw a5,a4,0x1 + 80005d44: 0015051b addiw a0,a0,1 + 80005d48: 01d6e733 or a4,a3,t4 + 80005d4c: 008e569b srliw a3,t3,0x8 + 80005d50: 0407f793 andi a5,a5,64 + 80005d54: 00d76733 or a4,a4,a3 + 80005d58: 03051513 slli a0,a0,0x30 + 80005d5c: 03055513 srli a0,a0,0x30 + 80005d60: 00e7e7b3 or a5,a5,a4 + 80005d64: 00a41223 sh a0,4(s0) + 80005d68: 01040c23 sb a6,24(s0) + 80005d6c: 00640323 sb t1,6(s0) + 80005d70: 00f40523 sb a5,10(s0) + 80005d74: 01158023 sb a7,0(a1) + 80005d78: 01042483 lw s1,16(s0) + 80005d7c: 00ac8463 beq s9,a0,80005d84 <_Z14X6502_RunDebugi+0x4f24> + 80005d80: aecfb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005d84: b74fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005d88: 00351793 slli a5,a0,0x3 + 80005d8c: 00f90933 add s2,s2,a5 + 80005d90: 00093783 ld a5,0(s2) + 80005d94: 00744483 lbu s1,7(s0) + 80005d98: 000780e7 jalr a5 + 80005d9c: 00a487bb addw a5,s1,a0 + 80005da0: 0ff7f793 andi a5,a5,255 + 80005da4: 001c3717 auipc a4,0x1c3 + 80005da8: f8470713 addi a4,a4,-124 # 801c8d28 + 80005dac: 00f70733 add a4,a4,a5 + 80005db0: 00074603 lbu a2,0(a4) + 80005db4: 00a44783 lbu a5,10(s0) + 80005db8: 00445503 lhu a0,4(s0) + 80005dbc: 4016559b sraiw a1,a2,0x1 + 80005dc0: 00779693 slli a3,a5,0x7 + 80005dc4: 00b6e6b3 or a3,a3,a1 + 80005dc8: 0ff6f813 andi a6,a3,255 + 80005dcc: 00052597 auipc a1,0x52 + 80005dd0: aa458593 addi a1,a1,-1372 # 80057870 <_ZL7ZNTable> + 80005dd4: 010585b3 add a1,a1,a6 + 80005dd8: 0005c583 lbu a1,0(a1) + 80005ddc: 07c7f793 andi a5,a5,124 + 80005de0: 00167813 andi a6,a2,1 + 80005de4: 0015051b addiw a0,a0,1 + 80005de8: 0107e7b3 or a5,a5,a6 + 80005dec: 03051513 slli a0,a0,0x30 + 80005df0: 03055513 srli a0,a0,0x30 + 80005df4: 00b7e7b3 or a5,a5,a1 + 80005df8: 00a41223 sh a0,4(s0) + 80005dfc: 00c40c23 sb a2,24(s0) + 80005e00: 00f40523 sb a5,10(s0) + 80005e04: 00d70023 sb a3,0(a4) + 80005e08: 01042483 lw s1,16(s0) + 80005e0c: 00ac8463 beq s9,a0,80005e14 <_Z14X6502_RunDebugi+0x4fb4> + 80005e10: a5cfb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005e14: ae4fb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005e18: 00351793 slli a5,a0,0x3 + 80005e1c: 00f90933 add s2,s2,a5 + 80005e20: 00093783 ld a5,0(s2) + 80005e24: 00744483 lbu s1,7(s0) + 80005e28: 000780e7 jalr a5 + 80005e2c: 00a487bb addw a5,s1,a0 + 80005e30: 0ff7f793 andi a5,a5,255 + 80005e34: 001c3717 auipc a4,0x1c3 + 80005e38: ef470713 addi a4,a4,-268 # 801c8d28 + 80005e3c: 00f70733 add a4,a4,a5 + 80005e40: 00074583 lbu a1,0(a4) + 80005e44: 00644783 lbu a5,6(s0) + 80005e48: 00a44703 lbu a4,10(s0) + 80005e4c: 00052517 auipc a0,0x52 + 80005e50: a2450513 addi a0,a0,-1500 # 80057870 <_ZL7ZNTable> + 80005e54: 00b786bb addw a3,a5,a1 + 80005e58: 00177613 andi a2,a4,1 + 80005e5c: 00c686bb addw a3,a3,a2 + 80005e60: 0006881b sext.w a6,a3 + 80005e64: 0ff87893 andi a7,a6,255 + 80005e68: 00b7c633 xor a2,a5,a1 + 80005e6c: 01150533 add a0,a0,a7 + 80005e70: 00054883 lbu a7,0(a0) + 80005e74: fff64613 not a2,a2 + 80005e78: 00445503 lhu a0,4(s0) + 80005e7c: 00d7c7b3 xor a5,a5,a3 + 80005e80: 0ff67613 andi a2,a2,255 + 80005e84: 00c7f7b3 and a5,a5,a2 + 80005e88: 03c77713 andi a4,a4,60 + 80005e8c: 0017d79b srliw a5,a5,0x1 + 80005e90: 01176733 or a4,a4,a7 + 80005e94: 0086d69b srliw a3,a3,0x8 + 80005e98: 0015051b addiw a0,a0,1 + 80005e9c: 0407f793 andi a5,a5,64 + 80005ea0: 00d76733 or a4,a4,a3 + 80005ea4: 03051513 slli a0,a0,0x30 + 80005ea8: 03055513 srli a0,a0,0x30 + 80005eac: 00e7e7b3 or a5,a5,a4 + 80005eb0: 00a41223 sh a0,4(s0) + 80005eb4: 00b40c23 sb a1,24(s0) + 80005eb8: 01040323 sb a6,6(s0) + 80005ebc: 00f40523 sb a5,10(s0) + 80005ec0: 01042483 lw s1,16(s0) + 80005ec4: 00ac8463 beq s9,a0,80005ecc <_Z14X6502_RunDebugi+0x506c> + 80005ec8: 9a4fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80005ecc: a2cfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80005ed0: 00351793 slli a5,a0,0x3 + 80005ed4: 00f907b3 add a5,s2,a5 + 80005ed8: 0007b783 ld a5,0(a5) + 80005edc: 000780e7 jalr a5 + 80005ee0: 0015079b addiw a5,a0,1 + 80005ee4: 001c3717 auipc a4,0x1c3 + 80005ee8: e4470713 addi a4,a4,-444 # 801c8d28 + 80005eec: 0ff7f793 andi a5,a5,255 + 80005ef0: 02051513 slli a0,a0,0x20 + 80005ef4: 00f707b3 add a5,a4,a5 + 80005ef8: 02055513 srli a0,a0,0x20 + 80005efc: 0007c603 lbu a2,0(a5) + 80005f00: 00a70533 add a0,a4,a0 + 80005f04: 00054703 lbu a4,0(a0) + 80005f08: 00844483 lbu s1,8(s0) + 80005f0c: 0086179b slliw a5,a2,0x8 + 80005f10: 00e7e7b3 or a5,a5,a4 + 80005f14: 00f484bb addw s1,s1,a5 + 80005f18: 0007879b sext.w a5,a5 + 80005f1c: 0187f7b3 and a5,a5,s8 + 80005f20: 0ff4f513 andi a0,s1,255 + 80005f24: 00f56733 or a4,a0,a5 + 80005f28: 00445683 lhu a3,4(s0) + 80005f2c: 00371713 slli a4,a4,0x3 + 80005f30: 00e90733 add a4,s2,a4 + 80005f34: 00073583 ld a1,0(a4) + 80005f38: 03049493 slli s1,s1,0x30 + 80005f3c: 0016871b addiw a4,a3,1 + 80005f40: 0304d493 srli s1,s1,0x30 + 80005f44: 00349d13 slli s10,s1,0x3 + 80005f48: 00e41223 sh a4,4(s0) + 80005f4c: 00c40c23 sb a2,24(s0) + 80005f50: 00f56533 or a0,a0,a5 + 80005f54: 000580e7 jalr a1 + 80005f58: 01a90933 add s2,s2,s10 + 80005f5c: 00093783 ld a5,0(s2) + 80005f60: 00a40c23 sb a0,24(s0) + 80005f64: 00143917 auipc s2,0x143 + 80005f68: d7c90913 addi s2,s2,-644 # 80148ce0 + 80005f6c: 00048513 mv a0,s1 + 80005f70: 000780e7 jalr a5 + 80005f74: 01a90933 add s2,s2,s10 + 80005f78: 00093783 ld a5,0(s2) + 80005f7c: 00050d13 mv s10,a0 + 80005f80: 00050593 mv a1,a0 + 80005f84: 01a40c23 sb s10,24(s0) + 80005f88: 00048513 mv a0,s1 + 80005f8c: 000780e7 jalr a5 + 80005f90: 00a44783 lbu a5,10(s0) + 80005f94: 401d571b sraiw a4,s10,0x1 + 80005f98: 00052517 auipc a0,0x52 + 80005f9c: 8d850513 addi a0,a0,-1832 # 80057870 <_ZL7ZNTable> + 80005fa0: 00779613 slli a2,a5,0x7 + 80005fa4: 00e66633 or a2,a2,a4 + 80005fa8: 0ff67693 andi a3,a2,255 + 80005fac: 00d50733 add a4,a0,a3 + 80005fb0: 00074583 lbu a1,0(a4) + 80005fb4: 07c7f793 andi a5,a5,124 + 80005fb8: 00644703 lbu a4,6(s0) + 80005fbc: 001d7d13 andi s10,s10,1 + 80005fc0: 01a7e7b3 or a5,a5,s10 + 80005fc4: 00b7e7b3 or a5,a5,a1 + 80005fc8: 0017f593 andi a1,a5,1 + 80005fcc: 00d706bb addw a3,a4,a3 + 80005fd0: 00b686bb addw a3,a3,a1 + 80005fd4: 0006881b sext.w a6,a3 + 80005fd8: 0ff67593 andi a1,a2,255 + 80005fdc: 0ff87613 andi a2,a6,255 + 80005fe0: 00c50533 add a0,a0,a2 + 80005fe4: 00b74633 xor a2,a4,a1 + 80005fe8: 00054503 lbu a0,0(a0) + 80005fec: fff64613 not a2,a2 + 80005ff0: 0ff67613 andi a2,a2,255 + 80005ff4: 00d74733 xor a4,a4,a3 + 80005ff8: 00c77733 and a4,a4,a2 + 80005ffc: 03c7f793 andi a5,a5,60 + 80006000: 00a7e7b3 or a5,a5,a0 + 80006004: 0086d69b srliw a3,a3,0x8 + 80006008: 0017571b srliw a4,a4,0x1 + 8000600c: 00d7e7b3 or a5,a5,a3 + 80006010: 04077713 andi a4,a4,64 + 80006014: 00093683 ld a3,0(s2) + 80006018: 00e7e7b3 or a5,a5,a4 + 8000601c: 00048513 mv a0,s1 + 80006020: 01040323 sb a6,6(s0) + 80006024: 00f40523 sb a5,10(s0) + 80006028: 000680e7 jalr a3 + 8000602c: 00445503 lhu a0,4(s0) + 80006030: 01042483 lw s1,16(s0) + 80006034: 00ac8463 beq s9,a0,8000603c <_Z14X6502_RunDebugi+0x51dc> + 80006038: 834fb06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000603c: 8bcfb06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006040: 00351793 slli a5,a0,0x3 + 80006044: 00f907b3 add a5,s2,a5 + 80006048: 0007b783 ld a5,0(a5) + 8000604c: 000780e7 jalr a5 + 80006050: 0015079b addiw a5,a0,1 + 80006054: 001c3717 auipc a4,0x1c3 + 80006058: cd470713 addi a4,a4,-812 # 801c8d28 + 8000605c: 0ff7f793 andi a5,a5,255 + 80006060: 02051513 slli a0,a0,0x20 + 80006064: 00f707b3 add a5,a4,a5 + 80006068: 02055513 srli a0,a0,0x20 + 8000606c: 0007c603 lbu a2,0(a5) + 80006070: 00a70533 add a0,a4,a0 + 80006074: 00054583 lbu a1,0(a0) + 80006078: 00844703 lbu a4,8(s0) + 8000607c: 00445683 lhu a3,4(s0) + 80006080: 0086179b slliw a5,a2,0x8 + 80006084: 00b7e7b3 or a5,a5,a1 + 80006088: 00f7073b addw a4,a4,a5 + 8000608c: 0016869b addiw a3,a3,1 + 80006090: 00e7c7b3 xor a5,a5,a4 + 80006094: 00d41223 sh a3,4(s0) + 80006098: 00c40c23 sb a2,24(s0) + 8000609c: 1007f793 andi a5,a5,256 + 800060a0: 0007049b sext.w s1,a4 + 800060a4: 06078463 beqz a5,8000610c <_Z14X6502_RunDebugi+0x52ac> + 800060a8: 03071493 slli s1,a4,0x30 + 800060ac: 0304d493 srli s1,s1,0x30 + 800060b0: 1004c793 xori a5,s1,256 + 800060b4: 00379793 slli a5,a5,0x3 + 800060b8: 00f907b3 add a5,s2,a5 + 800060bc: 0007b783 ld a5,0(a5) + 800060c0: 1004c513 xori a0,s1,256 + 800060c4: 000780e7 jalr a5 + 800060c8: 00042683 lw a3,0(s0) + 800060cc: 01042703 lw a4,16(s0) + 800060d0: 0009a783 lw a5,0(s3) + 800060d4: 000a4603 lbu a2,0(s4) + 800060d8: 0016869b addiw a3,a3,1 + 800060dc: fd07071b addiw a4,a4,-48 + 800060e0: 0017879b addiw a5,a5,1 + 800060e4: 00a40c23 sb a0,24(s0) + 800060e8: 00d42023 sw a3,0(s0) + 800060ec: 00e42823 sw a4,16(s0) + 800060f0: 00f9a023 sw a5,0(s3) + 800060f4: 00061c63 bnez a2,8000610c <_Z14X6502_RunDebugi+0x52ac> + 800060f8: 001c6717 auipc a4,0x1c6 + 800060fc: a5070713 addi a4,a4,-1456 # 801cbb48 + 80006100: 00072783 lw a5,0(a4) + 80006104: 0017879b addiw a5,a5,1 + 80006108: 00f72023 sw a5,0(a4) + 8000610c: 00349793 slli a5,s1,0x3 + 80006110: 00f90933 add s2,s2,a5 + 80006114: 00093783 ld a5,0(s2) + 80006118: 00048513 mv a0,s1 + 8000611c: 000780e7 jalr a5 + 80006120: 00644783 lbu a5,6(s0) + 80006124: 00a44703 lbu a4,10(s0) + 80006128: 00050593 mv a1,a0 + 8000612c: 00a786bb addw a3,a5,a0 + 80006130: 00177613 andi a2,a4,1 + 80006134: 00c686bb addw a3,a3,a2 + 80006138: 0006881b sext.w a6,a3 + 8000613c: 00a7c633 xor a2,a5,a0 + 80006140: 0ff87893 andi a7,a6,255 + 80006144: 00051517 auipc a0,0x51 + 80006148: 72c50513 addi a0,a0,1836 # 80057870 <_ZL7ZNTable> + 8000614c: 01150533 add a0,a0,a7 + 80006150: 00054503 lbu a0,0(a0) + 80006154: fff64613 not a2,a2 + 80006158: 00d7c7b3 xor a5,a5,a3 + 8000615c: 0ff67613 andi a2,a2,255 + 80006160: 00c7f7b3 and a5,a5,a2 + 80006164: 03c77713 andi a4,a4,60 + 80006168: 00a76733 or a4,a4,a0 + 8000616c: 0017d79b srliw a5,a5,0x1 + 80006170: 0086d69b srliw a3,a3,0x8 + 80006174: 0407f793 andi a5,a5,64 + 80006178: 00d76733 or a4,a4,a3 + 8000617c: 00445503 lhu a0,4(s0) + 80006180: 00e7e7b3 or a5,a5,a4 + 80006184: 00b40c23 sb a1,24(s0) + 80006188: 01040323 sb a6,6(s0) + 8000618c: 00f40523 sb a5,10(s0) + 80006190: 01042483 lw s1,16(s0) + 80006194: 00ac8463 beq s9,a0,8000619c <_Z14X6502_RunDebugi+0x533c> + 80006198: ed5fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000619c: f5dfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800061a0: 00a44783 lbu a5,10(s0) + 800061a4: 0407f793 andi a5,a5,64 + 800061a8: 00079463 bnez a5,800061b0 <_Z14X6502_RunDebugi+0x5350> + 800061ac: 2800506f j 8000b42c <_Z14X6502_RunDebugi+0xa5cc> + 800061b0: 00351793 slli a5,a0,0x3 + 800061b4: 00f90933 add s2,s2,a5 + 800061b8: 00093783 ld a5,0(s2) + 800061bc: 000780e7 jalr a5 + 800061c0: 00445783 lhu a5,4(s0) + 800061c4: 00050713 mv a4,a0 + 800061c8: 0185151b slliw a0,a0,0x18 + 800061cc: 0017879b addiw a5,a5,1 + 800061d0: 03079793 slli a5,a5,0x30 + 800061d4: 00042583 lw a1,0(s0) + 800061d8: 01042683 lw a3,16(s0) + 800061dc: 0009a603 lw a2,0(s3) + 800061e0: 0307d793 srli a5,a5,0x30 + 800061e4: 4185551b sraiw a0,a0,0x18 + 800061e8: 00f5053b addw a0,a0,a5 + 800061ec: 03051513 slli a0,a0,0x30 + 800061f0: 000a4803 lbu a6,0(s4) + 800061f4: fd06849b addiw s1,a3,-48 + 800061f8: 0015831b addiw t1,a1,1 + 800061fc: 0016089b addiw a7,a2,1 + 80006200: 03055513 srli a0,a0,0x30 + 80006204: 00a7c7b3 xor a5,a5,a0 + 80006208: 00e40c23 sb a4,24(s0) + 8000620c: 00642023 sw t1,0(s0) + 80006210: 00942823 sw s1,16(s0) + 80006214: 0119a023 sw a7,0(s3) + 80006218: 1007f793 andi a5,a5,256 + 8000621c: 00080463 beqz a6,80006224 <_Z14X6502_RunDebugi+0x53c4> + 80006220: 4280506f j 8000b648 <_Z14X6502_RunDebugi+0xa7e8> + 80006224: 001c6817 auipc a6,0x1c6 + 80006228: 92480813 addi a6,a6,-1756 # 801cbb48 + 8000622c: 00082703 lw a4,0(a6) + 80006230: 00a41223 sh a0,4(s0) + 80006234: 0017089b addiw a7,a4,1 + 80006238: 01182023 sw a7,0(a6) + 8000623c: 00079463 bnez a5,80006244 <_Z14X6502_RunDebugi+0x53e4> + 80006240: e29fa06f j 80001068 <_Z14X6502_RunDebugi+0x208> + 80006244: fa06849b addiw s1,a3,-96 + 80006248: 0025859b addiw a1,a1,2 + 8000624c: 0026061b addiw a2,a2,2 + 80006250: 0027071b addiw a4,a4,2 + 80006254: 00b42023 sw a1,0(s0) + 80006258: 00942823 sw s1,16(s0) + 8000625c: 00c9a023 sw a2,0(s3) + 80006260: 00e82023 sw a4,0(a6) + 80006264: 00ac8463 beq s9,a0,8000626c <_Z14X6502_RunDebugi+0x540c> + 80006268: e05fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000626c: e8dfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006270: 00351793 slli a5,a0,0x3 + 80006274: 00f907b3 add a5,s2,a5 + 80006278: 0007b783 ld a5,0(a5) + 8000627c: 000780e7 jalr a5 + 80006280: 00445783 lhu a5,4(s0) + 80006284: 00050493 mv s1,a0 + 80006288: 00a40c23 sb a0,24(s0) + 8000628c: 0017879b addiw a5,a5,1 + 80006290: 03079513 slli a0,a5,0x30 + 80006294: 03055513 srli a0,a0,0x30 + 80006298: 00351793 slli a5,a0,0x3 + 8000629c: 00f907b3 add a5,s2,a5 + 800062a0: 0007b783 ld a5,0(a5) + 800062a4: 00a41223 sh a0,4(s0) + 800062a8: 0004849b sext.w s1,s1 + 800062ac: 000780e7 jalr a5 + 800062b0: 00851713 slli a4,a0,0x8 + 800062b4: 00976d33 or s10,a4,s1 + 800062b8: 00445783 lhu a5,4(s0) + 800062bc: 003d1d13 slli s10,s10,0x3 + 800062c0: 01a90933 add s2,s2,s10 + 800062c4: 00093683 ld a3,0(s2) + 800062c8: 009764b3 or s1,a4,s1 + 800062cc: 0017879b addiw a5,a5,1 + 800062d0: 00a40c23 sb a0,24(s0) + 800062d4: 00f41223 sh a5,4(s0) + 800062d8: 00048513 mv a0,s1 + 800062dc: 00143917 auipc s2,0x143 + 800062e0: a0490913 addi s2,s2,-1532 # 80148ce0 + 800062e4: 000680e7 jalr a3 + 800062e8: 01a90933 add s2,s2,s10 + 800062ec: 00093783 ld a5,0(s2) + 800062f0: 00050d13 mv s10,a0 + 800062f4: 00050593 mv a1,a0 + 800062f8: 01a40c23 sb s10,24(s0) + 800062fc: 00048513 mv a0,s1 + 80006300: 000780e7 jalr a5 + 80006304: 00a44783 lbu a5,10(s0) + 80006308: 401d571b sraiw a4,s10,0x1 + 8000630c: 00051517 auipc a0,0x51 + 80006310: 56450513 addi a0,a0,1380 # 80057870 <_ZL7ZNTable> + 80006314: 00779613 slli a2,a5,0x7 + 80006318: 00e66633 or a2,a2,a4 + 8000631c: 0ff67693 andi a3,a2,255 + 80006320: 00d50733 add a4,a0,a3 + 80006324: 00074583 lbu a1,0(a4) + 80006328: 07c7f793 andi a5,a5,124 + 8000632c: 00644703 lbu a4,6(s0) + 80006330: 001d7d13 andi s10,s10,1 + 80006334: 01a7e7b3 or a5,a5,s10 + 80006338: 00b7e7b3 or a5,a5,a1 + 8000633c: 0017f593 andi a1,a5,1 + 80006340: 00d706bb addw a3,a4,a3 + 80006344: 00b686bb addw a3,a3,a1 + 80006348: 0006881b sext.w a6,a3 + 8000634c: 0ff67593 andi a1,a2,255 + 80006350: 0ff87893 andi a7,a6,255 + 80006354: 00b74633 xor a2,a4,a1 + 80006358: 01150533 add a0,a0,a7 + 8000635c: 00054503 lbu a0,0(a0) + 80006360: fff64613 not a2,a2 + 80006364: 0ff67613 andi a2,a2,255 + 80006368: 00d74733 xor a4,a4,a3 + 8000636c: 00c77733 and a4,a4,a2 + 80006370: 03c7f793 andi a5,a5,60 + 80006374: 00a7e7b3 or a5,a5,a0 + 80006378: 0086d69b srliw a3,a3,0x8 + 8000637c: 0017571b srliw a4,a4,0x1 + 80006380: 04077713 andi a4,a4,64 + 80006384: 00d7e7b3 or a5,a5,a3 + 80006388: 00093683 ld a3,0(s2) + 8000638c: 00f767b3 or a5,a4,a5 + 80006390: 00048513 mv a0,s1 + 80006394: 01040323 sb a6,6(s0) + 80006398: 00f40523 sb a5,10(s0) + 8000639c: 000680e7 jalr a3 + 800063a0: 00445503 lhu a0,4(s0) + 800063a4: 01042483 lw s1,16(s0) + 800063a8: 00ac8463 beq s9,a0,800063b0 <_Z14X6502_RunDebugi+0x5550> + 800063ac: cc1fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800063b0: d49fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800063b4: 00351793 slli a5,a0,0x3 + 800063b8: 00f907b3 add a5,s2,a5 + 800063bc: 0007b783 ld a5,0(a5) + 800063c0: 000780e7 jalr a5 + 800063c4: 00445783 lhu a5,4(s0) + 800063c8: 00050493 mv s1,a0 + 800063cc: 00a40c23 sb a0,24(s0) + 800063d0: 0017879b addiw a5,a5,1 + 800063d4: 03079513 slli a0,a5,0x30 + 800063d8: 03055513 srli a0,a0,0x30 + 800063dc: 00351793 slli a5,a0,0x3 + 800063e0: 00f907b3 add a5,s2,a5 + 800063e4: 0007b783 ld a5,0(a5) + 800063e8: 00a41223 sh a0,4(s0) + 800063ec: 0004849b sext.w s1,s1 + 800063f0: 000780e7 jalr a5 + 800063f4: 00851d93 slli s11,a0,0x8 + 800063f8: 009ded33 or s10,s11,s1 + 800063fc: 00445783 lhu a5,4(s0) + 80006400: 003d1d13 slli s10,s10,0x3 + 80006404: 01a90933 add s2,s2,s10 + 80006408: 00093703 ld a4,0(s2) + 8000640c: 009dedb3 or s11,s11,s1 + 80006410: 0017879b addiw a5,a5,1 + 80006414: 00a40c23 sb a0,24(s0) + 80006418: 00f41223 sh a5,4(s0) + 8000641c: 000d8513 mv a0,s11 + 80006420: 00143917 auipc s2,0x143 + 80006424: 8c090913 addi s2,s2,-1856 # 80148ce0 + 80006428: 000700e7 jalr a4 + 8000642c: 01a90933 add s2,s2,s10 + 80006430: 00093783 ld a5,0(s2) + 80006434: 00050493 mv s1,a0 + 80006438: 00050593 mv a1,a0 + 8000643c: 00940c23 sb s1,24(s0) + 80006440: 000d8513 mv a0,s11 + 80006444: 000780e7 jalr a5 + 80006448: 00a44783 lbu a5,10(s0) + 8000644c: 4014d71b sraiw a4,s1,0x1 + 80006450: 0014f493 andi s1,s1,1 + 80006454: 00779593 slli a1,a5,0x7 + 80006458: 00e5e5b3 or a1,a1,a4 + 8000645c: 0ff5f693 andi a3,a1,255 + 80006460: 00051717 auipc a4,0x51 + 80006464: 41070713 addi a4,a4,1040 # 80057870 <_ZL7ZNTable> + 80006468: 00d70733 add a4,a4,a3 + 8000646c: 00074683 lbu a3,0(a4) + 80006470: 07c7f793 andi a5,a5,124 + 80006474: 0097e7b3 or a5,a5,s1 + 80006478: 00093703 ld a4,0(s2) + 8000647c: 00d7e7b3 or a5,a5,a3 + 80006480: 000d8513 mv a0,s11 + 80006484: 00f40523 sb a5,10(s0) + 80006488: 0ff5f593 andi a1,a1,255 + 8000648c: 000700e7 jalr a4 + 80006490: 00445503 lhu a0,4(s0) + 80006494: 01042483 lw s1,16(s0) + 80006498: 00ac8463 beq s9,a0,800064a0 <_Z14X6502_RunDebugi+0x5640> + 8000649c: bd1fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800064a0: c59fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800064a4: 00351793 slli a5,a0,0x3 + 800064a8: 00f907b3 add a5,s2,a5 + 800064ac: 0007b783 ld a5,0(a5) + 800064b0: 000780e7 jalr a5 + 800064b4: 00445783 lhu a5,4(s0) + 800064b8: 00050493 mv s1,a0 + 800064bc: 00a40c23 sb a0,24(s0) + 800064c0: 0017879b addiw a5,a5,1 + 800064c4: 03079513 slli a0,a5,0x30 + 800064c8: 03055513 srli a0,a0,0x30 + 800064cc: 00351793 slli a5,a0,0x3 + 800064d0: 00f907b3 add a5,s2,a5 + 800064d4: 0007b783 ld a5,0(a5) + 800064d8: 00a41223 sh a0,4(s0) + 800064dc: 0004849b sext.w s1,s1 + 800064e0: 000780e7 jalr a5 + 800064e4: 00851693 slli a3,a0,0x8 + 800064e8: 0096e7b3 or a5,a3,s1 + 800064ec: 00445703 lhu a4,4(s0) + 800064f0: 00379793 slli a5,a5,0x3 + 800064f4: 00f90933 add s2,s2,a5 + 800064f8: 00093603 ld a2,0(s2) + 800064fc: 0017079b addiw a5,a4,1 + 80006500: 00a40c23 sb a0,24(s0) + 80006504: 00f41223 sh a5,4(s0) + 80006508: 0096e533 or a0,a3,s1 + 8000650c: 000600e7 jalr a2 + 80006510: 00644783 lbu a5,6(s0) + 80006514: 00a44703 lbu a4,10(s0) + 80006518: 00050593 mv a1,a0 + 8000651c: 00a786bb addw a3,a5,a0 + 80006520: 00177613 andi a2,a4,1 + 80006524: 00c686bb addw a3,a3,a2 + 80006528: 0006881b sext.w a6,a3 + 8000652c: 00a7c633 xor a2,a5,a0 + 80006530: 0ff87893 andi a7,a6,255 + 80006534: 00051517 auipc a0,0x51 + 80006538: 33c50513 addi a0,a0,828 # 80057870 <_ZL7ZNTable> + 8000653c: 01150533 add a0,a0,a7 + 80006540: 00054503 lbu a0,0(a0) + 80006544: fff64613 not a2,a2 + 80006548: 00d7c7b3 xor a5,a5,a3 + 8000654c: 0ff67613 andi a2,a2,255 + 80006550: 00c7f7b3 and a5,a5,a2 + 80006554: 03c77713 andi a4,a4,60 + 80006558: 00a76733 or a4,a4,a0 + 8000655c: 0017d79b srliw a5,a5,0x1 + 80006560: 0086d69b srliw a3,a3,0x8 + 80006564: 0407f793 andi a5,a5,64 + 80006568: 00d76733 or a4,a4,a3 + 8000656c: 00445503 lhu a0,4(s0) + 80006570: 00e7e7b3 or a5,a5,a4 + 80006574: 00b40c23 sb a1,24(s0) + 80006578: 01040323 sb a6,6(s0) + 8000657c: 00f40523 sb a5,10(s0) + 80006580: 01042483 lw s1,16(s0) + 80006584: 00ac8463 beq s9,a0,8000658c <_Z14X6502_RunDebugi+0x572c> + 80006588: ae5fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000658c: b6dfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006590: 00351793 slli a5,a0,0x3 + 80006594: 00f907b3 add a5,s2,a5 + 80006598: 0007b783 ld a5,0(a5) + 8000659c: 000780e7 jalr a5 + 800065a0: 00445783 lhu a5,4(s0) + 800065a4: 00050493 mv s1,a0 + 800065a8: 00a40c23 sb a0,24(s0) + 800065ac: 0017879b addiw a5,a5,1 + 800065b0: 03079513 slli a0,a5,0x30 + 800065b4: 03055513 srli a0,a0,0x30 + 800065b8: 00351793 slli a5,a0,0x3 + 800065bc: 00f907b3 add a5,s2,a5 + 800065c0: 0007b783 ld a5,0(a5) + 800065c4: 00a41223 sh a0,4(s0) + 800065c8: 00048d1b sext.w s10,s1 + 800065cc: 000780e7 jalr a5 + 800065d0: 00851493 slli s1,a0,0x8 + 800065d4: 01a4e7b3 or a5,s1,s10 + 800065d8: 00445703 lhu a4,4(s0) + 800065dc: 00379793 slli a5,a5,0x3 + 800065e0: 00f907b3 add a5,s2,a5 + 800065e4: 0007b683 ld a3,0(a5) + 800065e8: 01a4e4b3 or s1,s1,s10 + 800065ec: 0017079b addiw a5,a4,1 + 800065f0: 00a40c23 sb a0,24(s0) + 800065f4: 00f41223 sh a5,4(s0) + 800065f8: 00048513 mv a0,s1 + 800065fc: 000680e7 jalr a3 + 80006600: 0014871b addiw a4,s1,1 + 80006604: 0ff77713 andi a4,a4,255 + 80006608: 0184f4b3 and s1,s1,s8 + 8000660c: 009767b3 or a5,a4,s1 + 80006610: 00379793 slli a5,a5,0x3 + 80006614: 00f90933 add s2,s2,a5 + 80006618: 00093783 ld a5,0(s2) + 8000661c: 00a40c23 sb a0,24(s0) + 80006620: 00a41223 sh a0,4(s0) + 80006624: 00976533 or a0,a4,s1 + 80006628: 000780e7 jalr a5 + 8000662c: 00445703 lhu a4,4(s0) + 80006630: 00050793 mv a5,a0 + 80006634: 00851513 slli a0,a0,0x8 + 80006638: 00a76733 or a4,a4,a0 + 8000663c: 03071513 slli a0,a4,0x30 + 80006640: 03055513 srli a0,a0,0x30 + 80006644: 00f40c23 sb a5,24(s0) + 80006648: 00a41223 sh a0,4(s0) + 8000664c: 01042483 lw s1,16(s0) + 80006650: 00ac8463 beq s9,a0,80006658 <_Z14X6502_RunDebugi+0x57f8> + 80006654: a19fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006658: aa1fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000665c: 00351793 slli a5,a0,0x3 + 80006660: 00f90933 add s2,s2,a5 + 80006664: 00093783 ld a5,0(s2) + 80006668: 000780e7 jalr a5 + 8000666c: 00644703 lbu a4,6(s0) + 80006670: 00051597 auipc a1,0x51 + 80006674: 20058593 addi a1,a1,512 # 80057870 <_ZL7ZNTable> + 80006678: 00a44783 lbu a5,10(s0) + 8000667c: 00e57833 and a6,a0,a4 + 80006680: 010586b3 add a3,a1,a6 + 80006684: 00050613 mv a2,a0 + 80006688: 0006c503 lbu a0,0(a3) + 8000668c: 07d7f793 andi a5,a5,125 + 80006690: 00185693 srli a3,a6,0x1 + 80006694: 00a7e7b3 or a5,a5,a0 + 80006698: 0106c733 xor a4,a3,a6 + 8000669c: 04077713 andi a4,a4,64 + 800066a0: 0bf7f793 andi a5,a5,191 + 800066a4: 00e7e7b3 or a5,a5,a4 + 800066a8: 00779713 slli a4,a5,0x7 + 800066ac: 00e6e733 or a4,a3,a4 + 800066b0: 00445503 lhu a0,4(s0) + 800066b4: 0ff77713 andi a4,a4,255 + 800066b8: 00e585b3 add a1,a1,a4 + 800066bc: 0005c583 lbu a1,0(a1) + 800066c0: ffe7f793 andi a5,a5,-2 + 800066c4: 4078569b sraiw a3,a6,0x7 + 800066c8: 00d7e7b3 or a5,a5,a3 + 800066cc: 0015051b addiw a0,a0,1 + 800066d0: 07d7f793 andi a5,a5,125 + 800066d4: 03051513 slli a0,a0,0x30 + 800066d8: 03055513 srli a0,a0,0x30 + 800066dc: 00b7e7b3 or a5,a5,a1 + 800066e0: 00c40c23 sb a2,24(s0) + 800066e4: 00a41223 sh a0,4(s0) + 800066e8: 00e40323 sb a4,6(s0) + 800066ec: 00f40523 sb a5,10(s0) + 800066f0: 01042483 lw s1,16(s0) + 800066f4: 00ac8463 beq s9,a0,800066fc <_Z14X6502_RunDebugi+0x589c> + 800066f8: 975fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800066fc: 9fdfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006700: 00644683 lbu a3,6(s0) + 80006704: 00a44783 lbu a5,10(s0) + 80006708: 01042483 lw s1,16(s0) + 8000670c: 4016d61b sraiw a2,a3,0x1 + 80006710: 00779713 slli a4,a5,0x7 + 80006714: 00c76733 or a4,a4,a2 + 80006718: 0ff77593 andi a1,a4,255 + 8000671c: 00051617 auipc a2,0x51 + 80006720: 15460613 addi a2,a2,340 # 80057870 <_ZL7ZNTable> + 80006724: 00b60633 add a2,a2,a1 + 80006728: 00064603 lbu a2,0(a2) + 8000672c: 07c7f793 andi a5,a5,124 + 80006730: 0016f693 andi a3,a3,1 + 80006734: 00d7e7b3 or a5,a5,a3 + 80006738: 00c7e7b3 or a5,a5,a2 + 8000673c: 00f40523 sb a5,10(s0) + 80006740: 00e40323 sb a4,6(s0) + 80006744: 00ac8463 beq s9,a0,8000674c <_Z14X6502_RunDebugi+0x58ec> + 80006748: 925fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000674c: 9adfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006750: 00351793 slli a5,a0,0x3 + 80006754: 00f90933 add s2,s2,a5 + 80006758: 00093783 ld a5,0(s2) + 8000675c: 000780e7 jalr a5 + 80006760: 00644783 lbu a5,6(s0) + 80006764: 00a44703 lbu a4,10(s0) + 80006768: 00050593 mv a1,a0 + 8000676c: 00a786bb addw a3,a5,a0 + 80006770: 00177613 andi a2,a4,1 + 80006774: 00c686bb addw a3,a3,a2 + 80006778: 0006881b sext.w a6,a3 + 8000677c: 00a7c633 xor a2,a5,a0 + 80006780: 0ff87893 andi a7,a6,255 + 80006784: 00051517 auipc a0,0x51 + 80006788: 0ec50513 addi a0,a0,236 # 80057870 <_ZL7ZNTable> + 8000678c: 01150533 add a0,a0,a7 + 80006790: 00054883 lbu a7,0(a0) + 80006794: fff64613 not a2,a2 + 80006798: 00445503 lhu a0,4(s0) + 8000679c: 00d7c7b3 xor a5,a5,a3 + 800067a0: 0ff67613 andi a2,a2,255 + 800067a4: 00c7f7b3 and a5,a5,a2 + 800067a8: 03c77713 andi a4,a4,60 + 800067ac: 0017d79b srliw a5,a5,0x1 + 800067b0: 01176733 or a4,a4,a7 + 800067b4: 0086d69b srliw a3,a3,0x8 + 800067b8: 0015051b addiw a0,a0,1 + 800067bc: 0407f793 andi a5,a5,64 + 800067c0: 00d76733 or a4,a4,a3 + 800067c4: 03051513 slli a0,a0,0x30 + 800067c8: 03055513 srli a0,a0,0x30 + 800067cc: 00e7e7b3 or a5,a5,a4 + 800067d0: 00b40c23 sb a1,24(s0) + 800067d4: 00a41223 sh a0,4(s0) + 800067d8: 01040323 sb a6,6(s0) + 800067dc: 00f40523 sb a5,10(s0) + 800067e0: 01042483 lw s1,16(s0) + 800067e4: 00ac8463 beq s9,a0,800067ec <_Z14X6502_RunDebugi+0x598c> + 800067e8: 885fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800067ec: 90dfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800067f0: 00944783 lbu a5,9(s0) + 800067f4: 001c2717 auipc a4,0x1c2 + 800067f8: 63470713 addi a4,a4,1588 # 801c8e28 + 800067fc: 00051617 auipc a2,0x51 + 80006800: 07460613 addi a2,a2,116 # 80057870 <_ZL7ZNTable> + 80006804: 0017879b addiw a5,a5,1 + 80006808: 0ff7f793 andi a5,a5,255 + 8000680c: 00e78733 add a4,a5,a4 + 80006810: 00074683 lbu a3,0(a4) + 80006814: 00a44703 lbu a4,10(s0) + 80006818: 00f404a3 sb a5,9(s0) + 8000681c: 00d60633 add a2,a2,a3 + 80006820: 00064603 lbu a2,0(a2) + 80006824: 07d77713 andi a4,a4,125 + 80006828: 00d40c23 sb a3,24(s0) + 8000682c: 00c767b3 or a5,a4,a2 + 80006830: 00d40323 sb a3,6(s0) + 80006834: 00f40523 sb a5,10(s0) + 80006838: 01042483 lw s1,16(s0) + 8000683c: 00ac8463 beq s9,a0,80006844 <_Z14X6502_RunDebugi+0x59e4> + 80006840: 82dfa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006844: 8b5fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006848: 00351793 slli a5,a0,0x3 + 8000684c: 00f90933 add s2,s2,a5 + 80006850: 00093783 ld a5,0(s2) + 80006854: 000780e7 jalr a5 + 80006858: 02051793 slli a5,a0,0x20 + 8000685c: 0207d793 srli a5,a5,0x20 + 80006860: 001c2597 auipc a1,0x1c2 + 80006864: 4c858593 addi a1,a1,1224 # 801c8d28 + 80006868: 00f585b3 add a1,a1,a5 + 8000686c: 0005c803 lbu a6,0(a1) + 80006870: 00a44783 lbu a5,10(s0) + 80006874: 00051517 auipc a0,0x51 + 80006878: ffc50513 addi a0,a0,-4 # 80057870 <_ZL7ZNTable> + 8000687c: 4018571b sraiw a4,a6,0x1 + 80006880: 00779893 slli a7,a5,0x7 + 80006884: 00e8e8b3 or a7,a7,a4 + 80006888: 0ff8f693 andi a3,a7,255 + 8000688c: 00d50733 add a4,a0,a3 + 80006890: 00074603 lbu a2,0(a4) + 80006894: 00187313 andi t1,a6,1 + 80006898: 00644703 lbu a4,6(s0) + 8000689c: 07c7f793 andi a5,a5,124 + 800068a0: 0067e7b3 or a5,a5,t1 + 800068a4: 00c7e7b3 or a5,a5,a2 + 800068a8: 00d706bb addw a3,a4,a3 + 800068ac: 0017fe13 andi t3,a5,1 + 800068b0: 01c68e3b addw t3,a3,t3 + 800068b4: 000e031b sext.w t1,t3 + 800068b8: 0ff8f893 andi a7,a7,255 + 800068bc: 0ff37693 andi a3,t1,255 + 800068c0: 00d50533 add a0,a0,a3 + 800068c4: 01174633 xor a2,a4,a7 + 800068c8: 00054e83 lbu t4,0(a0) + 800068cc: fff64613 not a2,a2 + 800068d0: 00445503 lhu a0,4(s0) + 800068d4: 0ff67613 andi a2,a2,255 + 800068d8: 01c74733 xor a4,a4,t3 + 800068dc: 03c7f693 andi a3,a5,60 + 800068e0: 00c77733 and a4,a4,a2 + 800068e4: 0017579b srliw a5,a4,0x1 + 800068e8: 0015051b addiw a0,a0,1 + 800068ec: 01d6e733 or a4,a3,t4 + 800068f0: 008e569b srliw a3,t3,0x8 + 800068f4: 0407f793 andi a5,a5,64 + 800068f8: 00d76733 or a4,a4,a3 + 800068fc: 03051513 slli a0,a0,0x30 + 80006900: 03055513 srli a0,a0,0x30 + 80006904: 00e7e7b3 or a5,a5,a4 + 80006908: 00a41223 sh a0,4(s0) + 8000690c: 01040c23 sb a6,24(s0) + 80006910: 00640323 sb t1,6(s0) + 80006914: 00f40523 sb a5,10(s0) + 80006918: 01158023 sb a7,0(a1) + 8000691c: 01042483 lw s1,16(s0) + 80006920: 00ac8463 beq s9,a0,80006928 <_Z14X6502_RunDebugi+0x5ac8> + 80006924: f48fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006928: fd0fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000692c: 00351793 slli a5,a0,0x3 + 80006930: 00f90933 add s2,s2,a5 + 80006934: 00093783 ld a5,0(s2) + 80006938: 000780e7 jalr a5 + 8000693c: 02051793 slli a5,a0,0x20 + 80006940: 0207d793 srli a5,a5,0x20 + 80006944: 001c2717 auipc a4,0x1c2 + 80006948: 3e470713 addi a4,a4,996 # 801c8d28 + 8000694c: 00f70733 add a4,a4,a5 + 80006950: 00074603 lbu a2,0(a4) + 80006954: 00a44783 lbu a5,10(s0) + 80006958: 00445503 lhu a0,4(s0) + 8000695c: 4016559b sraiw a1,a2,0x1 + 80006960: 00779693 slli a3,a5,0x7 + 80006964: 00b6e6b3 or a3,a3,a1 + 80006968: 0ff6f813 andi a6,a3,255 + 8000696c: 00051597 auipc a1,0x51 + 80006970: f0458593 addi a1,a1,-252 # 80057870 <_ZL7ZNTable> + 80006974: 010585b3 add a1,a1,a6 + 80006978: 0005c583 lbu a1,0(a1) + 8000697c: 07c7f793 andi a5,a5,124 + 80006980: 00167813 andi a6,a2,1 + 80006984: 0015051b addiw a0,a0,1 + 80006988: 0107e7b3 or a5,a5,a6 + 8000698c: 03051513 slli a0,a0,0x30 + 80006990: 03055513 srli a0,a0,0x30 + 80006994: 00b7e7b3 or a5,a5,a1 + 80006998: 00a41223 sh a0,4(s0) + 8000699c: 00c40c23 sb a2,24(s0) + 800069a0: 00f40523 sb a5,10(s0) + 800069a4: 00d70023 sb a3,0(a4) + 800069a8: 01042483 lw s1,16(s0) + 800069ac: 00ac8463 beq s9,a0,800069b4 <_Z14X6502_RunDebugi+0x5b54> + 800069b0: ebcfa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800069b4: f44fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800069b8: 00944783 lbu a5,9(s0) + 800069bc: 001c2717 auipc a4,0x1c2 + 800069c0: 46c70713 addi a4,a4,1132 # 801c8e28 + 800069c4: 01042483 lw s1,16(s0) + 800069c8: 0017879b addiw a5,a5,1 + 800069cc: 0ff7f793 andi a5,a5,255 + 800069d0: 00e78733 add a4,a5,a4 + 800069d4: 00074703 lbu a4,0(a4) + 800069d8: 00f404a3 sb a5,9(s0) + 800069dc: 00e40c23 sb a4,24(s0) + 800069e0: 00e40523 sb a4,10(s0) + 800069e4: 00ac8463 beq s9,a0,800069ec <_Z14X6502_RunDebugi+0x5b8c> + 800069e8: e84fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800069ec: f0cfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800069f0: 00351793 slli a5,a0,0x3 + 800069f4: 00f90933 add s2,s2,a5 + 800069f8: 00093783 ld a5,0(s2) + 800069fc: 000780e7 jalr a5 + 80006a00: 02051793 slli a5,a0,0x20 + 80006a04: 0207d793 srli a5,a5,0x20 + 80006a08: 001c2597 auipc a1,0x1c2 + 80006a0c: 32058593 addi a1,a1,800 # 801c8d28 + 80006a10: 00f585b3 add a1,a1,a5 + 80006a14: 0005c803 lbu a6,0(a1) + 80006a18: 00a44783 lbu a5,10(s0) + 80006a1c: 00644883 lbu a7,6(s0) + 80006a20: 0018169b slliw a3,a6,0x1 + 80006a24: 0017f513 andi a0,a5,1 + 80006a28: 0ff6f693 andi a3,a3,255 + 80006a2c: 00a6e633 or a2,a3,a0 + 80006a30: 00051717 auipc a4,0x51 + 80006a34: e4070713 addi a4,a4,-448 # 80057870 <_ZL7ZNTable> + 80006a38: 00060693 mv a3,a2 + 80006a3c: 00c70633 add a2,a4,a2 + 80006a40: 00445503 lhu a0,4(s0) + 80006a44: 0116f8b3 and a7,a3,a7 + 80006a48: 00064603 lbu a2,0(a2) + 80006a4c: 07c7f793 andi a5,a5,124 + 80006a50: 4078531b sraiw t1,a6,0x7 + 80006a54: 01170733 add a4,a4,a7 + 80006a58: 00074703 lbu a4,0(a4) + 80006a5c: 0067e7b3 or a5,a5,t1 + 80006a60: 00c7e7b3 or a5,a5,a2 + 80006a64: 0015051b addiw a0,a0,1 + 80006a68: 07d7f793 andi a5,a5,125 + 80006a6c: 03051513 slli a0,a0,0x30 + 80006a70: 03055513 srli a0,a0,0x30 + 80006a74: 00e7e7b3 or a5,a5,a4 + 80006a78: 00a41223 sh a0,4(s0) + 80006a7c: 01040c23 sb a6,24(s0) + 80006a80: 01140323 sb a7,6(s0) + 80006a84: 00f40523 sb a5,10(s0) + 80006a88: 00d58023 sb a3,0(a1) + 80006a8c: 01042483 lw s1,16(s0) + 80006a90: 00ac8463 beq s9,a0,80006a98 <_Z14X6502_RunDebugi+0x5c38> + 80006a94: dd8fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006a98: e60fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006a9c: 00744683 lbu a3,7(s0) + 80006aa0: 00644783 lbu a5,6(s0) + 80006aa4: 00351713 slli a4,a0,0x3 + 80006aa8: 00e90733 add a4,s2,a4 + 80006aac: 00073703 ld a4,0(a4) + 80006ab0: 00d7f7b3 and a5,a5,a3 + 80006ab4: 00f404a3 sb a5,9(s0) + 80006ab8: 000700e7 jalr a4 + 80006abc: 00445783 lhu a5,4(s0) + 80006ac0: 00050713 mv a4,a0 + 80006ac4: 00a40c23 sb a0,24(s0) + 80006ac8: 0017879b addiw a5,a5,1 + 80006acc: 03079513 slli a0,a5,0x30 + 80006ad0: 03055513 srli a0,a0,0x30 + 80006ad4: 00351793 slli a5,a0,0x3 + 80006ad8: 00f907b3 add a5,s2,a5 + 80006adc: 0007b783 ld a5,0(a5) + 80006ae0: 00a41223 sh a0,4(s0) + 80006ae4: 00070d1b sext.w s10,a4 + 80006ae8: 000780e7 jalr a5 + 80006aec: 00844483 lbu s1,8(s0) + 80006af0: 00851793 slli a5,a0,0x8 + 80006af4: 01a7e7b3 or a5,a5,s10 + 80006af8: 00f484bb addw s1,s1,a5 + 80006afc: 0ff4f613 andi a2,s1,255 + 80006b00: 0187f7b3 and a5,a5,s8 + 80006b04: 00f66733 or a4,a2,a5 + 80006b08: 00445683 lhu a3,4(s0) + 80006b0c: 00371713 slli a4,a4,0x3 + 80006b10: 00e90933 add s2,s2,a4 + 80006b14: 00093583 ld a1,0(s2) + 80006b18: 0016871b addiw a4,a3,1 + 80006b1c: 00a40c23 sb a0,24(s0) + 80006b20: 00e41223 sh a4,4(s0) + 80006b24: 00f66533 or a0,a2,a5 + 80006b28: 000580e7 jalr a1 + 80006b2c: 00844783 lbu a5,8(s0) + 80006b30: 03049493 slli s1,s1,0x30 + 80006b34: 0304d493 srli s1,s1,0x30 + 80006b38: 00349693 slli a3,s1,0x3 + 80006b3c: 00142717 auipc a4,0x142 + 80006b40: 1a470713 addi a4,a4,420 # 80148ce0 + 80006b44: 00944583 lbu a1,9(s0) + 80006b48: 40f487bb subw a5,s1,a5 + 80006b4c: 00d70733 add a4,a4,a3 + 80006b50: 00073703 ld a4,0(a4) + 80006b54: 0087d79b srliw a5,a5,0x8 + 80006b58: 0017879b addiw a5,a5,1 + 80006b5c: 00a40c23 sb a0,24(s0) + 80006b60: 00f5f5b3 and a1,a1,a5 + 80006b64: 00048513 mv a0,s1 + 80006b68: 000700e7 jalr a4 + 80006b6c: 00445503 lhu a0,4(s0) + 80006b70: 01042483 lw s1,16(s0) + 80006b74: 00ac8463 beq s9,a0,80006b7c <_Z14X6502_RunDebugi+0x5d1c> + 80006b78: cf4fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006b7c: d7cfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006b80: 00744783 lbu a5,7(s0) + 80006b84: 01042483 lw s1,16(s0) + 80006b88: 00f404a3 sb a5,9(s0) + 80006b8c: 00ac8463 beq s9,a0,80006b94 <_Z14X6502_RunDebugi+0x5d34> + 80006b90: cdcfa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006b94: d64fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006b98: 00351793 slli a5,a0,0x3 + 80006b9c: 00f907b3 add a5,s2,a5 + 80006ba0: 0007b783 ld a5,0(a5) + 80006ba4: 000780e7 jalr a5 + 80006ba8: 00445783 lhu a5,4(s0) + 80006bac: 00050713 mv a4,a0 + 80006bb0: 00a40c23 sb a0,24(s0) + 80006bb4: 0017879b addiw a5,a5,1 + 80006bb8: 03079513 slli a0,a5,0x30 + 80006bbc: 03055513 srli a0,a0,0x30 + 80006bc0: 00351793 slli a5,a0,0x3 + 80006bc4: 00f907b3 add a5,s2,a5 + 80006bc8: 0007b783 ld a5,0(a5) + 80006bcc: 00a41223 sh a0,4(s0) + 80006bd0: 00070d1b sext.w s10,a4 + 80006bd4: 000780e7 jalr a5 + 80006bd8: 00844483 lbu s1,8(s0) + 80006bdc: 00851793 slli a5,a0,0x8 + 80006be0: 01a7e7b3 or a5,a5,s10 + 80006be4: 00f484bb addw s1,s1,a5 + 80006be8: 0ff4f613 andi a2,s1,255 + 80006bec: 0187f7b3 and a5,a5,s8 + 80006bf0: 00f66733 or a4,a2,a5 + 80006bf4: 00445683 lhu a3,4(s0) + 80006bf8: 00371713 slli a4,a4,0x3 + 80006bfc: 00e90933 add s2,s2,a4 + 80006c00: 00093583 ld a1,0(s2) + 80006c04: 0016871b addiw a4,a3,1 + 80006c08: 00a40c23 sb a0,24(s0) + 80006c0c: 00e41223 sh a4,4(s0) + 80006c10: 00f66533 or a0,a2,a5 + 80006c14: 03049493 slli s1,s1,0x30 + 80006c18: 000580e7 jalr a1 + 80006c1c: 0304d493 srli s1,s1,0x30 + 80006c20: 00349713 slli a4,s1,0x3 + 80006c24: 00142797 auipc a5,0x142 + 80006c28: 0bc78793 addi a5,a5,188 # 80148ce0 + 80006c2c: 00e787b3 add a5,a5,a4 + 80006c30: 0007b783 ld a5,0(a5) + 80006c34: 00644583 lbu a1,6(s0) + 80006c38: 00a40c23 sb a0,24(s0) + 80006c3c: 00048513 mv a0,s1 + 80006c40: 000780e7 jalr a5 + 80006c44: 00445503 lhu a0,4(s0) + 80006c48: 01042483 lw s1,16(s0) + 80006c4c: 00ac8463 beq s9,a0,80006c54 <_Z14X6502_RunDebugi+0x5df4> + 80006c50: c1cfa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006c54: ca4fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006c58: 00844683 lbu a3,8(s0) + 80006c5c: 00051717 auipc a4,0x51 + 80006c60: c1470713 addi a4,a4,-1004 # 80057870 <_ZL7ZNTable> + 80006c64: 00a44783 lbu a5,10(s0) + 80006c68: 00d70733 add a4,a4,a3 + 80006c6c: 00074703 lbu a4,0(a4) + 80006c70: 07d7f793 andi a5,a5,125 + 80006c74: 00d40323 sb a3,6(s0) + 80006c78: 00e7e7b3 or a5,a5,a4 + 80006c7c: 00f40523 sb a5,10(s0) + 80006c80: 01042483 lw s1,16(s0) + 80006c84: 00ac8463 beq s9,a0,80006c8c <_Z14X6502_RunDebugi+0x5e2c> + 80006c88: be4fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006c8c: c6cfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006c90: 00351793 slli a5,a0,0x3 + 80006c94: 00f90933 add s2,s2,a5 + 80006c98: 00093783 ld a5,0(s2) + 80006c9c: 00844483 lbu s1,8(s0) + 80006ca0: 000780e7 jalr a5 + 80006ca4: 00445683 lhu a3,4(s0) + 80006ca8: 00644603 lbu a2,6(s0) + 80006cac: 00744583 lbu a1,7(s0) + 80006cb0: 00a487bb addw a5,s1,a0 + 80006cb4: 0016869b addiw a3,a3,1 + 80006cb8: 00050713 mv a4,a0 + 80006cbc: 0ff7f793 andi a5,a5,255 + 80006cc0: 03069513 slli a0,a3,0x30 + 80006cc4: 001c2697 auipc a3,0x1c2 + 80006cc8: 06468693 addi a3,a3,100 # 801c8d28 + 80006ccc: 00f687b3 add a5,a3,a5 + 80006cd0: 03055513 srli a0,a0,0x30 + 80006cd4: 00b676b3 and a3,a2,a1 + 80006cd8: 00e40c23 sb a4,24(s0) + 80006cdc: 00a41223 sh a0,4(s0) + 80006ce0: 00d78023 sb a3,0(a5) + 80006ce4: 01042483 lw s1,16(s0) + 80006ce8: 00ac8463 beq s9,a0,80006cf0 <_Z14X6502_RunDebugi+0x5e90> + 80006cec: b80fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006cf0: c08fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006cf4: 00351793 slli a5,a0,0x3 + 80006cf8: 00f90933 add s2,s2,a5 + 80006cfc: 00093783 ld a5,0(s2) + 80006d00: 00844483 lbu s1,8(s0) + 80006d04: 000780e7 jalr a5 + 80006d08: 00445683 lhu a3,4(s0) + 80006d0c: 00a487bb addw a5,s1,a0 + 80006d10: 00744603 lbu a2,7(s0) + 80006d14: 0016869b addiw a3,a3,1 + 80006d18: 00050713 mv a4,a0 + 80006d1c: 0ff7f793 andi a5,a5,255 + 80006d20: 03069513 slli a0,a3,0x30 + 80006d24: 001c2697 auipc a3,0x1c2 + 80006d28: 00468693 addi a3,a3,4 # 801c8d28 + 80006d2c: 03055513 srli a0,a0,0x30 + 80006d30: 00f687b3 add a5,a3,a5 + 80006d34: 00e40c23 sb a4,24(s0) + 80006d38: 00a41223 sh a0,4(s0) + 80006d3c: 00c78023 sb a2,0(a5) + 80006d40: 01042483 lw s1,16(s0) + 80006d44: 00ac8463 beq s9,a0,80006d4c <_Z14X6502_RunDebugi+0x5eec> + 80006d48: b24fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006d4c: bacfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006d50: 00351793 slli a5,a0,0x3 + 80006d54: 00f90933 add s2,s2,a5 + 80006d58: 00093783 ld a5,0(s2) + 80006d5c: 00744483 lbu s1,7(s0) + 80006d60: 000780e7 jalr a5 + 80006d64: 00445683 lhu a3,4(s0) + 80006d68: 00a487bb addw a5,s1,a0 + 80006d6c: 00644603 lbu a2,6(s0) + 80006d70: 0016869b addiw a3,a3,1 + 80006d74: 00050713 mv a4,a0 + 80006d78: 0ff7f793 andi a5,a5,255 + 80006d7c: 03069513 slli a0,a3,0x30 + 80006d80: 001c2697 auipc a3,0x1c2 + 80006d84: fa868693 addi a3,a3,-88 # 801c8d28 + 80006d88: 03055513 srli a0,a0,0x30 + 80006d8c: 00f687b3 add a5,a3,a5 + 80006d90: 00e40c23 sb a4,24(s0) + 80006d94: 00a41223 sh a0,4(s0) + 80006d98: 00c78023 sb a2,0(a5) + 80006d9c: 01042483 lw s1,16(s0) + 80006da0: 00ac8463 beq s9,a0,80006da8 <_Z14X6502_RunDebugi+0x5f48> + 80006da4: ac8fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006da8: b50fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006dac: 00351793 slli a5,a0,0x3 + 80006db0: 00f90933 add s2,s2,a5 + 80006db4: 00093783 ld a5,0(s2) + 80006db8: 00744483 lbu s1,7(s0) + 80006dbc: 000780e7 jalr a5 + 80006dc0: 00445683 lhu a3,4(s0) + 80006dc4: 00a487bb addw a5,s1,a0 + 80006dc8: 00844603 lbu a2,8(s0) + 80006dcc: 0016869b addiw a3,a3,1 + 80006dd0: 00050713 mv a4,a0 + 80006dd4: 0ff7f793 andi a5,a5,255 + 80006dd8: 03069513 slli a0,a3,0x30 + 80006ddc: 001c2697 auipc a3,0x1c2 + 80006de0: f4c68693 addi a3,a3,-180 # 801c8d28 + 80006de4: 03055513 srli a0,a0,0x30 + 80006de8: 00f687b3 add a5,a3,a5 + 80006dec: 00e40c23 sb a4,24(s0) + 80006df0: 00a41223 sh a0,4(s0) + 80006df4: 00c78023 sb a2,0(a5) + 80006df8: 01042483 lw s1,16(s0) + 80006dfc: 00ac8463 beq s9,a0,80006e04 <_Z14X6502_RunDebugi+0x5fa4> + 80006e00: a6cfa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006e04: af4fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006e08: 00351793 slli a5,a0,0x3 + 80006e0c: 00f907b3 add a5,s2,a5 + 80006e10: 0007b783 ld a5,0(a5) + 80006e14: 000780e7 jalr a5 + 80006e18: 0015079b addiw a5,a0,1 + 80006e1c: 001c2717 auipc a4,0x1c2 + 80006e20: f0c70713 addi a4,a4,-244 # 801c8d28 + 80006e24: 0ff7f793 andi a5,a5,255 + 80006e28: 02051513 slli a0,a0,0x20 + 80006e2c: 00f707b3 add a5,a4,a5 + 80006e30: 02055513 srli a0,a0,0x20 + 80006e34: 0007c603 lbu a2,0(a5) + 80006e38: 00a70533 add a0,a4,a0 + 80006e3c: 00054703 lbu a4,0(a0) + 80006e40: 00844483 lbu s1,8(s0) + 80006e44: 0086179b slliw a5,a2,0x8 + 80006e48: 00e7e7b3 or a5,a5,a4 + 80006e4c: 00f484bb addw s1,s1,a5 + 80006e50: 0007879b sext.w a5,a5 + 80006e54: 0187f7b3 and a5,a5,s8 + 80006e58: 0ff4f513 andi a0,s1,255 + 80006e5c: 00f56733 or a4,a0,a5 + 80006e60: 00445683 lhu a3,4(s0) + 80006e64: 00371713 slli a4,a4,0x3 + 80006e68: 00e90933 add s2,s2,a4 + 80006e6c: 00093583 ld a1,0(s2) + 80006e70: 0016871b addiw a4,a3,1 + 80006e74: 00e41223 sh a4,4(s0) + 80006e78: 00c40c23 sb a2,24(s0) + 80006e7c: 00f56533 or a0,a0,a5 + 80006e80: 000580e7 jalr a1 + 80006e84: 00844783 lbu a5,8(s0) + 80006e88: 03049493 slli s1,s1,0x30 + 80006e8c: 0304d493 srli s1,s1,0x30 + 80006e90: 00644703 lbu a4,6(s0) + 80006e94: 00744603 lbu a2,7(s0) + 80006e98: 00349593 slli a1,s1,0x3 + 80006e9c: 40f487bb subw a5,s1,a5 + 80006ea0: 00142697 auipc a3,0x142 + 80006ea4: e4068693 addi a3,a3,-448 # 80148ce0 + 80006ea8: 00b686b3 add a3,a3,a1 + 80006eac: 0087d79b srliw a5,a5,0x8 + 80006eb0: 00c77733 and a4,a4,a2 + 80006eb4: 0006b683 ld a3,0(a3) + 80006eb8: 0017879b addiw a5,a5,1 + 80006ebc: 00f777b3 and a5,a4,a5 + 80006ec0: 00a40c23 sb a0,24(s0) + 80006ec4: 0ff7f593 andi a1,a5,255 + 80006ec8: 00048513 mv a0,s1 + 80006ecc: 000680e7 jalr a3 + 80006ed0: 00445503 lhu a0,4(s0) + 80006ed4: 01042483 lw s1,16(s0) + 80006ed8: 00ac8463 beq s9,a0,80006ee0 <_Z14X6502_RunDebugi+0x6080> + 80006edc: 990fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006ee0: a18fa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006ee4: 00351793 slli a5,a0,0x3 + 80006ee8: 00f907b3 add a5,s2,a5 + 80006eec: 0007b783 ld a5,0(a5) + 80006ef0: 000780e7 jalr a5 + 80006ef4: 0015079b addiw a5,a0,1 + 80006ef8: 001c2717 auipc a4,0x1c2 + 80006efc: e3070713 addi a4,a4,-464 # 801c8d28 + 80006f00: 0ff7f793 andi a5,a5,255 + 80006f04: 02051513 slli a0,a0,0x20 + 80006f08: 00f707b3 add a5,a4,a5 + 80006f0c: 02055513 srli a0,a0,0x20 + 80006f10: 0007c603 lbu a2,0(a5) + 80006f14: 00a70533 add a0,a4,a0 + 80006f18: 00054703 lbu a4,0(a0) + 80006f1c: 00844483 lbu s1,8(s0) + 80006f20: 0086179b slliw a5,a2,0x8 + 80006f24: 00e7e7b3 or a5,a5,a4 + 80006f28: 00f484bb addw s1,s1,a5 + 80006f2c: 0007879b sext.w a5,a5 + 80006f30: 0187f7b3 and a5,a5,s8 + 80006f34: 0ff4f513 andi a0,s1,255 + 80006f38: 00f56733 or a4,a0,a5 + 80006f3c: 00445683 lhu a3,4(s0) + 80006f40: 00371713 slli a4,a4,0x3 + 80006f44: 00e90933 add s2,s2,a4 + 80006f48: 00093583 ld a1,0(s2) + 80006f4c: 0016871b addiw a4,a3,1 + 80006f50: 00e41223 sh a4,4(s0) + 80006f54: 00c40c23 sb a2,24(s0) + 80006f58: 00f56533 or a0,a0,a5 + 80006f5c: 03049493 slli s1,s1,0x30 + 80006f60: 000580e7 jalr a1 + 80006f64: 0304d493 srli s1,s1,0x30 + 80006f68: 00349713 slli a4,s1,0x3 + 80006f6c: 00142797 auipc a5,0x142 + 80006f70: d7478793 addi a5,a5,-652 # 80148ce0 + 80006f74: 00e787b3 add a5,a5,a4 + 80006f78: 0007b783 ld a5,0(a5) + 80006f7c: 00644583 lbu a1,6(s0) + 80006f80: 00a40c23 sb a0,24(s0) + 80006f84: 00048513 mv a0,s1 + 80006f88: 000780e7 jalr a5 + 80006f8c: 00445503 lhu a0,4(s0) + 80006f90: 01042483 lw s1,16(s0) + 80006f94: 00ac8463 beq s9,a0,80006f9c <_Z14X6502_RunDebugi+0x613c> + 80006f98: 8d4fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80006f9c: 95cfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80006fa0: 00a44783 lbu a5,10(s0) + 80006fa4: 0017f793 andi a5,a5,1 + 80006fa8: 00078463 beqz a5,80006fb0 <_Z14X6502_RunDebugi+0x6150> + 80006fac: 4000406f j 8000b3ac <_Z14X6502_RunDebugi+0xa54c> + 80006fb0: 00351793 slli a5,a0,0x3 + 80006fb4: 00f90933 add s2,s2,a5 + 80006fb8: 00093783 ld a5,0(s2) + 80006fbc: 000780e7 jalr a5 + 80006fc0: 00445783 lhu a5,4(s0) + 80006fc4: 00050693 mv a3,a0 + 80006fc8: 0185151b slliw a0,a0,0x18 + 80006fcc: 0017879b addiw a5,a5,1 + 80006fd0: 03079793 slli a5,a5,0x30 + 80006fd4: 00042583 lw a1,0(s0) + 80006fd8: 01042703 lw a4,16(s0) + 80006fdc: 0009a603 lw a2,0(s3) + 80006fe0: 0307d793 srli a5,a5,0x30 + 80006fe4: 4185551b sraiw a0,a0,0x18 + 80006fe8: 00f5053b addw a0,a0,a5 + 80006fec: 03051513 slli a0,a0,0x30 + 80006ff0: 000a4803 lbu a6,0(s4) + 80006ff4: fd07049b addiw s1,a4,-48 + 80006ff8: 0015831b addiw t1,a1,1 + 80006ffc: 0016089b addiw a7,a2,1 + 80007000: 03055513 srli a0,a0,0x30 + 80007004: 00a7c7b3 xor a5,a5,a0 + 80007008: 00d40c23 sb a3,24(s0) + 8000700c: 00642023 sw t1,0(s0) + 80007010: 00942823 sw s1,16(s0) + 80007014: 0119a023 sw a7,0(s3) + 80007018: 1007f793 andi a5,a5,256 + 8000701c: 00080463 beqz a6,80007024 <_Z14X6502_RunDebugi+0x61c4> + 80007020: 5680406f j 8000b588 <_Z14X6502_RunDebugi+0xa728> + 80007024: 001c5817 auipc a6,0x1c5 + 80007028: b2480813 addi a6,a6,-1244 # 801cbb48 + 8000702c: 00082683 lw a3,0(a6) + 80007030: 00a41223 sh a0,4(s0) + 80007034: 0016889b addiw a7,a3,1 + 80007038: 01182023 sw a7,0(a6) + 8000703c: 00079463 bnez a5,80007044 <_Z14X6502_RunDebugi+0x61e4> + 80007040: 828fa06f j 80001068 <_Z14X6502_RunDebugi+0x208> + 80007044: fa07049b addiw s1,a4,-96 + 80007048: 0025859b addiw a1,a1,2 + 8000704c: 0026061b addiw a2,a2,2 + 80007050: 0026869b addiw a3,a3,2 + 80007054: 00b42023 sw a1,0(s0) + 80007058: 00942823 sw s1,16(s0) + 8000705c: 00c9a023 sw a2,0(s3) + 80007060: 00d82023 sw a3,0(a6) + 80007064: 00ac8463 beq s9,a0,8000706c <_Z14X6502_RunDebugi+0x620c> + 80007068: 804fa06f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000706c: 88cfa06f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007070: 00351793 slli a5,a0,0x3 + 80007074: 00f907b3 add a5,s2,a5 + 80007078: 0007b783 ld a5,0(a5) + 8000707c: 000780e7 jalr a5 + 80007080: 00445783 lhu a5,4(s0) + 80007084: 00050493 mv s1,a0 + 80007088: 00a40c23 sb a0,24(s0) + 8000708c: 0017879b addiw a5,a5,1 + 80007090: 03079513 slli a0,a5,0x30 + 80007094: 03055513 srli a0,a0,0x30 + 80007098: 00351793 slli a5,a0,0x3 + 8000709c: 00f90933 add s2,s2,a5 + 800070a0: 00093783 ld a5,0(s2) + 800070a4: 00a41223 sh a0,4(s0) + 800070a8: 0004849b sext.w s1,s1 + 800070ac: 000780e7 jalr a5 + 800070b0: 00851613 slli a2,a0,0x8 + 800070b4: 00966733 or a4,a2,s1 + 800070b8: 00445783 lhu a5,4(s0) + 800070bc: 00371693 slli a3,a4,0x3 + 800070c0: 00142717 auipc a4,0x142 + 800070c4: c2070713 addi a4,a4,-992 # 80148ce0 + 800070c8: 00744583 lbu a1,7(s0) + 800070cc: 00644803 lbu a6,6(s0) + 800070d0: 00d70733 add a4,a4,a3 + 800070d4: 00073703 ld a4,0(a4) + 800070d8: 0017879b addiw a5,a5,1 + 800070dc: 00a40c23 sb a0,24(s0) + 800070e0: 00f41223 sh a5,4(s0) + 800070e4: 00966533 or a0,a2,s1 + 800070e8: 00b875b3 and a1,a6,a1 + 800070ec: 000700e7 jalr a4 + 800070f0: 00445503 lhu a0,4(s0) + 800070f4: 01042483 lw s1,16(s0) + 800070f8: 00ac8463 beq s9,a0,80007100 <_Z14X6502_RunDebugi+0x62a0> + 800070fc: f71f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007100: ff9f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007104: 00351793 slli a5,a0,0x3 + 80007108: 00f907b3 add a5,s2,a5 + 8000710c: 0007b783 ld a5,0(a5) + 80007110: 000780e7 jalr a5 + 80007114: 00445783 lhu a5,4(s0) + 80007118: 00050493 mv s1,a0 + 8000711c: 00a40c23 sb a0,24(s0) + 80007120: 0017879b addiw a5,a5,1 + 80007124: 03079513 slli a0,a5,0x30 + 80007128: 03055513 srli a0,a0,0x30 + 8000712c: 00351793 slli a5,a0,0x3 + 80007130: 00f90933 add s2,s2,a5 + 80007134: 00093783 ld a5,0(s2) + 80007138: 00a41223 sh a0,4(s0) + 8000713c: 0004849b sext.w s1,s1 + 80007140: 000780e7 jalr a5 + 80007144: 00851613 slli a2,a0,0x8 + 80007148: 00966733 or a4,a2,s1 + 8000714c: 00445783 lhu a5,4(s0) + 80007150: 00371693 slli a3,a4,0x3 + 80007154: 00142717 auipc a4,0x142 + 80007158: b8c70713 addi a4,a4,-1140 # 80148ce0 + 8000715c: 00d70733 add a4,a4,a3 + 80007160: 00073703 ld a4,0(a4) + 80007164: 00744583 lbu a1,7(s0) + 80007168: 0017879b addiw a5,a5,1 + 8000716c: 00a40c23 sb a0,24(s0) + 80007170: 00f41223 sh a5,4(s0) + 80007174: 00966533 or a0,a2,s1 + 80007178: 000700e7 jalr a4 + 8000717c: 00445503 lhu a0,4(s0) + 80007180: 01042483 lw s1,16(s0) + 80007184: 00ac8463 beq s9,a0,8000718c <_Z14X6502_RunDebugi+0x632c> + 80007188: ee5f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000718c: f6df906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007190: 00351793 slli a5,a0,0x3 + 80007194: 00f907b3 add a5,s2,a5 + 80007198: 0007b783 ld a5,0(a5) + 8000719c: 000780e7 jalr a5 + 800071a0: 00445783 lhu a5,4(s0) + 800071a4: 00050493 mv s1,a0 + 800071a8: 00a40c23 sb a0,24(s0) + 800071ac: 0017879b addiw a5,a5,1 + 800071b0: 03079513 slli a0,a5,0x30 + 800071b4: 03055513 srli a0,a0,0x30 + 800071b8: 00351793 slli a5,a0,0x3 + 800071bc: 00f90933 add s2,s2,a5 + 800071c0: 00093783 ld a5,0(s2) + 800071c4: 00a41223 sh a0,4(s0) + 800071c8: 0004849b sext.w s1,s1 + 800071cc: 000780e7 jalr a5 + 800071d0: 00851613 slli a2,a0,0x8 + 800071d4: 00966733 or a4,a2,s1 + 800071d8: 00445783 lhu a5,4(s0) + 800071dc: 00371693 slli a3,a4,0x3 + 800071e0: 00142717 auipc a4,0x142 + 800071e4: b0070713 addi a4,a4,-1280 # 80148ce0 + 800071e8: 00d70733 add a4,a4,a3 + 800071ec: 00073703 ld a4,0(a4) + 800071f0: 00644583 lbu a1,6(s0) + 800071f4: 0017879b addiw a5,a5,1 + 800071f8: 00a40c23 sb a0,24(s0) + 800071fc: 00f41223 sh a5,4(s0) + 80007200: 00966533 or a0,a2,s1 + 80007204: 000700e7 jalr a4 + 80007208: 00445503 lhu a0,4(s0) + 8000720c: 01042483 lw s1,16(s0) + 80007210: 00ac8463 beq s9,a0,80007218 <_Z14X6502_RunDebugi+0x63b8> + 80007214: e59f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007218: ee1f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000721c: 00351793 slli a5,a0,0x3 + 80007220: 00f907b3 add a5,s2,a5 + 80007224: 0007b783 ld a5,0(a5) + 80007228: 000780e7 jalr a5 + 8000722c: 00445783 lhu a5,4(s0) + 80007230: 00050493 mv s1,a0 + 80007234: 00a40c23 sb a0,24(s0) + 80007238: 0017879b addiw a5,a5,1 + 8000723c: 03079513 slli a0,a5,0x30 + 80007240: 03055513 srli a0,a0,0x30 + 80007244: 00351793 slli a5,a0,0x3 + 80007248: 00f90933 add s2,s2,a5 + 8000724c: 00093783 ld a5,0(s2) + 80007250: 00a41223 sh a0,4(s0) + 80007254: 0004849b sext.w s1,s1 + 80007258: 000780e7 jalr a5 + 8000725c: 00851613 slli a2,a0,0x8 + 80007260: 00966733 or a4,a2,s1 + 80007264: 00445783 lhu a5,4(s0) + 80007268: 00371693 slli a3,a4,0x3 + 8000726c: 00142717 auipc a4,0x142 + 80007270: a7470713 addi a4,a4,-1420 # 80148ce0 + 80007274: 00d70733 add a4,a4,a3 + 80007278: 00073703 ld a4,0(a4) + 8000727c: 00844583 lbu a1,8(s0) + 80007280: 0017879b addiw a5,a5,1 + 80007284: 00a40c23 sb a0,24(s0) + 80007288: 00f41223 sh a5,4(s0) + 8000728c: 00966533 or a0,a2,s1 + 80007290: 000700e7 jalr a4 + 80007294: 00445503 lhu a0,4(s0) + 80007298: 01042483 lw s1,16(s0) + 8000729c: 00ac8463 beq s9,a0,800072a4 <_Z14X6502_RunDebugi+0x6444> + 800072a0: dcdf906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800072a4: e55f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800072a8: 00644783 lbu a5,6(s0) + 800072ac: 00744683 lbu a3,7(s0) + 800072b0: 00351713 slli a4,a0,0x3 + 800072b4: 00e90933 add s2,s2,a4 + 800072b8: 00093703 ld a4,0(s2) + 800072bc: fee7e793 ori a5,a5,-18 + 800072c0: 00d7f7b3 and a5,a5,a3 + 800072c4: 00f40323 sb a5,6(s0) + 800072c8: 000700e7 jalr a4 + 800072cc: 00644703 lbu a4,6(s0) + 800072d0: 00050693 mv a3,a0 + 800072d4: 00050617 auipc a2,0x50 + 800072d8: 59c60613 addi a2,a2,1436 # 80057870 <_ZL7ZNTable> + 800072dc: 00e57733 and a4,a0,a4 + 800072e0: 00445503 lhu a0,4(s0) + 800072e4: 00a44783 lbu a5,10(s0) + 800072e8: 00e60633 add a2,a2,a4 + 800072ec: 00064603 lbu a2,0(a2) + 800072f0: 0015051b addiw a0,a0,1 + 800072f4: 07d7f793 andi a5,a5,125 + 800072f8: 03051513 slli a0,a0,0x30 + 800072fc: 03055513 srli a0,a0,0x30 + 80007300: 00c7e7b3 or a5,a5,a2 + 80007304: 00d40c23 sb a3,24(s0) + 80007308: 00a41223 sh a0,4(s0) + 8000730c: 00e40323 sb a4,6(s0) + 80007310: 00f40523 sb a5,10(s0) + 80007314: 01042483 lw s1,16(s0) + 80007318: 00ac8463 beq s9,a0,80007320 <_Z14X6502_RunDebugi+0x64c0> + 8000731c: d51f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007320: dd9f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007324: 00744683 lbu a3,7(s0) + 80007328: 00050717 auipc a4,0x50 + 8000732c: 54870713 addi a4,a4,1352 # 80057870 <_ZL7ZNTable> + 80007330: 00a44783 lbu a5,10(s0) + 80007334: 00d70733 add a4,a4,a3 + 80007338: 00074703 lbu a4,0(a4) + 8000733c: 07d7f793 andi a5,a5,125 + 80007340: 00d40323 sb a3,6(s0) + 80007344: 00e7e7b3 or a5,a5,a4 + 80007348: 00f40523 sb a5,10(s0) + 8000734c: 01042483 lw s1,16(s0) + 80007350: 00ac8463 beq s9,a0,80007358 <_Z14X6502_RunDebugi+0x64f8> + 80007354: d19f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007358: da1f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000735c: 00844783 lbu a5,8(s0) + 80007360: 00050697 auipc a3,0x50 + 80007364: 51068693 addi a3,a3,1296 # 80057870 <_ZL7ZNTable> + 80007368: 00a44703 lbu a4,10(s0) + 8000736c: fff7879b addiw a5,a5,-1 + 80007370: 0ff7f793 andi a5,a5,255 + 80007374: 00f686b3 add a3,a3,a5 + 80007378: 0006c683 lbu a3,0(a3) + 8000737c: 07d77713 andi a4,a4,125 + 80007380: 00f40423 sb a5,8(s0) + 80007384: 00d76733 or a4,a4,a3 + 80007388: 00e40523 sb a4,10(s0) + 8000738c: 01042483 lw s1,16(s0) + 80007390: 00ac8463 beq s9,a0,80007398 <_Z14X6502_RunDebugi+0x6538> + 80007394: cd9f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007398: d61f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000739c: 00351793 slli a5,a0,0x3 + 800073a0: 00f90933 add s2,s2,a5 + 800073a4: 00093783 ld a5,0(s2) + 800073a8: 000780e7 jalr a5 + 800073ac: 00445703 lhu a4,4(s0) + 800073b0: 00644683 lbu a3,6(s0) + 800073b4: 00744603 lbu a2,7(s0) + 800073b8: 0017071b addiw a4,a4,1 + 800073bc: 00050793 mv a5,a0 + 800073c0: 03071513 slli a0,a4,0x30 + 800073c4: 001c2717 auipc a4,0x1c2 + 800073c8: 96470713 addi a4,a4,-1692 # 801c8d28 + 800073cc: 03055513 srli a0,a0,0x30 + 800073d0: 00f70733 add a4,a4,a5 + 800073d4: 00c6f6b3 and a3,a3,a2 + 800073d8: 00f40c23 sb a5,24(s0) + 800073dc: 00a41223 sh a0,4(s0) + 800073e0: 00d70023 sb a3,0(a4) + 800073e4: 01042483 lw s1,16(s0) + 800073e8: 00ac8463 beq s9,a0,800073f0 <_Z14X6502_RunDebugi+0x6590> + 800073ec: c81f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800073f0: d09f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800073f4: 00351793 slli a5,a0,0x3 + 800073f8: 00f90933 add s2,s2,a5 + 800073fc: 00093783 ld a5,0(s2) + 80007400: 000780e7 jalr a5 + 80007404: 00445703 lhu a4,4(s0) + 80007408: 00744683 lbu a3,7(s0) + 8000740c: 00050793 mv a5,a0 + 80007410: 0017071b addiw a4,a4,1 + 80007414: 03071513 slli a0,a4,0x30 + 80007418: 001c2717 auipc a4,0x1c2 + 8000741c: 91070713 addi a4,a4,-1776 # 801c8d28 + 80007420: 03055513 srli a0,a0,0x30 + 80007424: 00f70733 add a4,a4,a5 + 80007428: 00f40c23 sb a5,24(s0) + 8000742c: 00a41223 sh a0,4(s0) + 80007430: 00d70023 sb a3,0(a4) + 80007434: 01042483 lw s1,16(s0) + 80007438: 00ac8463 beq s9,a0,80007440 <_Z14X6502_RunDebugi+0x65e0> + 8000743c: c31f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007440: cb9f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007444: 00351793 slli a5,a0,0x3 + 80007448: 00f90933 add s2,s2,a5 + 8000744c: 00093783 ld a5,0(s2) + 80007450: 000780e7 jalr a5 + 80007454: 00445703 lhu a4,4(s0) + 80007458: 00644683 lbu a3,6(s0) + 8000745c: 00050793 mv a5,a0 + 80007460: 0017071b addiw a4,a4,1 + 80007464: 03071513 slli a0,a4,0x30 + 80007468: 001c2717 auipc a4,0x1c2 + 8000746c: 8c070713 addi a4,a4,-1856 # 801c8d28 + 80007470: 03055513 srli a0,a0,0x30 + 80007474: 00f70733 add a4,a4,a5 + 80007478: 00f40c23 sb a5,24(s0) + 8000747c: 00a41223 sh a0,4(s0) + 80007480: 00d70023 sb a3,0(a4) + 80007484: 01042483 lw s1,16(s0) + 80007488: 00ac8463 beq s9,a0,80007490 <_Z14X6502_RunDebugi+0x6630> + 8000748c: be1f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007490: c69f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007494: 00351793 slli a5,a0,0x3 + 80007498: 00f90933 add s2,s2,a5 + 8000749c: 00093783 ld a5,0(s2) + 800074a0: 000780e7 jalr a5 + 800074a4: 00445703 lhu a4,4(s0) + 800074a8: 00844683 lbu a3,8(s0) + 800074ac: 00050793 mv a5,a0 + 800074b0: 0017071b addiw a4,a4,1 + 800074b4: 03071513 slli a0,a4,0x30 + 800074b8: 001c2717 auipc a4,0x1c2 + 800074bc: 87070713 addi a4,a4,-1936 # 801c8d28 + 800074c0: 03055513 srli a0,a0,0x30 + 800074c4: 00f70733 add a4,a4,a5 + 800074c8: 00f40c23 sb a5,24(s0) + 800074cc: 00a41223 sh a0,4(s0) + 800074d0: 00d70023 sb a3,0(a4) + 800074d4: 01042483 lw s1,16(s0) + 800074d8: 00ac8463 beq s9,a0,800074e0 <_Z14X6502_RunDebugi+0x6680> + 800074dc: b91f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800074e0: c19f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800074e4: 00351793 slli a5,a0,0x3 + 800074e8: 00f90933 add s2,s2,a5 + 800074ec: 00093783 ld a5,0(s2) + 800074f0: 000780e7 jalr a5 + 800074f4: 00744583 lbu a1,7(s0) + 800074f8: 001c2697 auipc a3,0x1c2 + 800074fc: 83068693 addi a3,a3,-2000 # 801c8d28 + 80007500: 00445603 lhu a2,4(s0) + 80007504: 00a587bb addw a5,a1,a0 + 80007508: 00178713 addi a4,a5,1 + 8000750c: 0ff77713 andi a4,a4,255 + 80007510: 00e68733 add a4,a3,a4 + 80007514: 0ff7f793 andi a5,a5,255 + 80007518: 00074803 lbu a6,0(a4) + 8000751c: 00f687b3 add a5,a3,a5 + 80007520: 0007c783 lbu a5,0(a5) + 80007524: 0088151b slliw a0,a6,0x8 + 80007528: 00644683 lbu a3,6(s0) + 8000752c: 00f56533 or a0,a0,a5 + 80007530: 03051793 slli a5,a0,0x30 + 80007534: 02d7d713 srli a4,a5,0x2d + 80007538: 00141797 auipc a5,0x141 + 8000753c: 7a878793 addi a5,a5,1960 # 80148ce0 + 80007540: 00e787b3 add a5,a5,a4 + 80007544: 0007b783 ld a5,0(a5) + 80007548: 0016061b addiw a2,a2,1 + 8000754c: 0005051b sext.w a0,a0 + 80007550: 00c41223 sh a2,4(s0) + 80007554: 01040c23 sb a6,24(s0) + 80007558: 00d5f5b3 and a1,a1,a3 + 8000755c: 000780e7 jalr a5 + 80007560: 00445503 lhu a0,4(s0) + 80007564: 01042483 lw s1,16(s0) + 80007568: 00ac8463 beq s9,a0,80007570 <_Z14X6502_RunDebugi+0x6710> + 8000756c: b01f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007570: b89f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007574: 00351793 slli a5,a0,0x3 + 80007578: 00f90933 add s2,s2,a5 + 8000757c: 00093783 ld a5,0(s2) + 80007580: 000780e7 jalr a5 + 80007584: 00744783 lbu a5,7(s0) + 80007588: 001c1697 auipc a3,0x1c1 + 8000758c: 7a068693 addi a3,a3,1952 # 801c8d28 + 80007590: 00445603 lhu a2,4(s0) + 80007594: 00a787bb addw a5,a5,a0 + 80007598: 00178713 addi a4,a5,1 + 8000759c: 0ff77713 andi a4,a4,255 + 800075a0: 00e68733 add a4,a3,a4 + 800075a4: 0ff7f793 andi a5,a5,255 + 800075a8: 00074803 lbu a6,0(a4) + 800075ac: 00f687b3 add a5,a3,a5 + 800075b0: 0007c783 lbu a5,0(a5) + 800075b4: 0088151b slliw a0,a6,0x8 + 800075b8: 00644583 lbu a1,6(s0) + 800075bc: 00f56533 or a0,a0,a5 + 800075c0: 03051793 slli a5,a0,0x30 + 800075c4: 02d7d713 srli a4,a5,0x2d + 800075c8: 00141797 auipc a5,0x141 + 800075cc: 71878793 addi a5,a5,1816 # 80148ce0 + 800075d0: 00e787b3 add a5,a5,a4 + 800075d4: 0007b783 ld a5,0(a5) + 800075d8: 0016061b addiw a2,a2,1 + 800075dc: 0005051b sext.w a0,a0 + 800075e0: 00c41223 sh a2,4(s0) + 800075e4: 01040c23 sb a6,24(s0) + 800075e8: 000780e7 jalr a5 + 800075ec: 00445503 lhu a0,4(s0) + 800075f0: 01042483 lw s1,16(s0) + 800075f4: 00ac8463 beq s9,a0,800075fc <_Z14X6502_RunDebugi+0x679c> + 800075f8: a75f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800075fc: afdf906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007600: 00351793 slli a5,a0,0x3 + 80007604: 00f907b3 add a5,s2,a5 + 80007608: 0007b783 ld a5,0(a5) + 8000760c: 000780e7 jalr a5 + 80007610: 00445783 lhu a5,4(s0) + 80007614: 00050713 mv a4,a0 + 80007618: 00a40c23 sb a0,24(s0) + 8000761c: 0017879b addiw a5,a5,1 + 80007620: 03079513 slli a0,a5,0x30 + 80007624: 03055513 srli a0,a0,0x30 + 80007628: 00351793 slli a5,a0,0x3 + 8000762c: 00f907b3 add a5,s2,a5 + 80007630: 0007b783 ld a5,0(a5) + 80007634: 00a41223 sh a0,4(s0) + 80007638: 0007049b sext.w s1,a4 + 8000763c: 000780e7 jalr a5 + 80007640: 00744703 lbu a4,7(s0) + 80007644: 00851793 slli a5,a0,0x8 + 80007648: 0097e7b3 or a5,a5,s1 + 8000764c: 00f704bb addw s1,a4,a5 + 80007650: 0ff4f613 andi a2,s1,255 + 80007654: 0187f7b3 and a5,a5,s8 + 80007658: 00f66733 or a4,a2,a5 + 8000765c: 00445683 lhu a3,4(s0) + 80007660: 00371713 slli a4,a4,0x3 + 80007664: 00e90733 add a4,s2,a4 + 80007668: 00073583 ld a1,0(a4) + 8000766c: 03049493 slli s1,s1,0x30 + 80007670: 0016871b addiw a4,a3,1 + 80007674: 0304d493 srli s1,s1,0x30 + 80007678: 00349d13 slli s10,s1,0x3 + 8000767c: 00e41223 sh a4,4(s0) + 80007680: 00a40c23 sb a0,24(s0) + 80007684: 00f66533 or a0,a2,a5 + 80007688: 000580e7 jalr a1 + 8000768c: 01a90933 add s2,s2,s10 + 80007690: 00093783 ld a5,0(s2) + 80007694: 00a40c23 sb a0,24(s0) + 80007698: 00141917 auipc s2,0x141 + 8000769c: 64890913 addi s2,s2,1608 # 80148ce0 + 800076a0: 00048513 mv a0,s1 + 800076a4: 000780e7 jalr a5 + 800076a8: 01a90933 add s2,s2,s10 + 800076ac: 00093783 ld a5,0(s2) + 800076b0: 00050d13 mv s10,a0 + 800076b4: 00050593 mv a1,a0 + 800076b8: 01a40c23 sb s10,24(s0) + 800076bc: 00048513 mv a0,s1 + 800076c0: 000780e7 jalr a5 + 800076c4: 00a44783 lbu a5,10(s0) + 800076c8: 401d571b sraiw a4,s10,0x1 + 800076cc: 00050517 auipc a0,0x50 + 800076d0: 1a450513 addi a0,a0,420 # 80057870 <_ZL7ZNTable> + 800076d4: 00779613 slli a2,a5,0x7 + 800076d8: 00e66633 or a2,a2,a4 + 800076dc: 0ff67693 andi a3,a2,255 + 800076e0: 00d50733 add a4,a0,a3 + 800076e4: 00074583 lbu a1,0(a4) + 800076e8: 07c7f793 andi a5,a5,124 + 800076ec: 00644703 lbu a4,6(s0) + 800076f0: 001d7d13 andi s10,s10,1 + 800076f4: 01a7e7b3 or a5,a5,s10 + 800076f8: 00b7e7b3 or a5,a5,a1 + 800076fc: 0017f593 andi a1,a5,1 + 80007700: 00d706bb addw a3,a4,a3 + 80007704: 00b686bb addw a3,a3,a1 + 80007708: 0006881b sext.w a6,a3 + 8000770c: 0ff67593 andi a1,a2,255 + 80007710: 0ff87893 andi a7,a6,255 + 80007714: 00b74633 xor a2,a4,a1 + 80007718: 01150533 add a0,a0,a7 + 8000771c: 00054503 lbu a0,0(a0) + 80007720: fff64613 not a2,a2 + 80007724: 0ff67613 andi a2,a2,255 + 80007728: 00d74733 xor a4,a4,a3 + 8000772c: 00c77733 and a4,a4,a2 + 80007730: 03c7f793 andi a5,a5,60 + 80007734: 00a7e7b3 or a5,a5,a0 + 80007738: 0086d69b srliw a3,a3,0x8 + 8000773c: 0017571b srliw a4,a4,0x1 + 80007740: 04077713 andi a4,a4,64 + 80007744: 00d7e7b3 or a5,a5,a3 + 80007748: 00093683 ld a3,0(s2) + 8000774c: 00f767b3 or a5,a4,a5 + 80007750: 00048513 mv a0,s1 + 80007754: 01040323 sb a6,6(s0) + 80007758: 00f40523 sb a5,10(s0) + 8000775c: 000680e7 jalr a3 + 80007760: 00445503 lhu a0,4(s0) + 80007764: 01042483 lw s1,16(s0) + 80007768: 00ac8463 beq s9,a0,80007770 <_Z14X6502_RunDebugi+0x6910> + 8000776c: 901f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007770: 989f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007774: 00351793 slli a5,a0,0x3 + 80007778: 00f907b3 add a5,s2,a5 + 8000777c: 0007b783 ld a5,0(a5) + 80007780: 000780e7 jalr a5 + 80007784: 00445783 lhu a5,4(s0) + 80007788: 00050713 mv a4,a0 + 8000778c: 00a40c23 sb a0,24(s0) + 80007790: 0017879b addiw a5,a5,1 + 80007794: 03079513 slli a0,a5,0x30 + 80007798: 03055513 srli a0,a0,0x30 + 8000779c: 00351793 slli a5,a0,0x3 + 800077a0: 00f907b3 add a5,s2,a5 + 800077a4: 0007b783 ld a5,0(a5) + 800077a8: 00a41223 sh a0,4(s0) + 800077ac: 0007049b sext.w s1,a4 + 800077b0: 000780e7 jalr a5 + 800077b4: 00744683 lbu a3,7(s0) + 800077b8: 00851793 slli a5,a0,0x8 + 800077bc: 0097e7b3 or a5,a5,s1 + 800077c0: 00f686bb addw a3,a3,a5 + 800077c4: 0ff6f593 andi a1,a3,255 + 800077c8: 0187f7b3 and a5,a5,s8 + 800077cc: 00f5e733 or a4,a1,a5 + 800077d0: 00445603 lhu a2,4(s0) + 800077d4: 00371713 slli a4,a4,0x3 + 800077d8: 00e90733 add a4,s2,a4 + 800077dc: 00073803 ld a6,0(a4) + 800077e0: 03069d13 slli s10,a3,0x30 + 800077e4: 0016071b addiw a4,a2,1 + 800077e8: 030d5d13 srli s10,s10,0x30 + 800077ec: 00e41223 sh a4,4(s0) + 800077f0: 003d1493 slli s1,s10,0x3 + 800077f4: 00a40c23 sb a0,24(s0) + 800077f8: 00f5e533 or a0,a1,a5 + 800077fc: 000800e7 jalr a6 + 80007800: 00990933 add s2,s2,s1 + 80007804: 00093783 ld a5,0(s2) + 80007808: 00a40c23 sb a0,24(s0) + 8000780c: 00141917 auipc s2,0x141 + 80007810: 4d490913 addi s2,s2,1236 # 80148ce0 + 80007814: 000d0513 mv a0,s10 + 80007818: 000780e7 jalr a5 + 8000781c: 00990933 add s2,s2,s1 + 80007820: 00093783 ld a5,0(s2) + 80007824: 00050493 mv s1,a0 + 80007828: 00050593 mv a1,a0 + 8000782c: 00940c23 sb s1,24(s0) + 80007830: 000d0513 mv a0,s10 + 80007834: 000780e7 jalr a5 + 80007838: 00a44783 lbu a5,10(s0) + 8000783c: 4014d71b sraiw a4,s1,0x1 + 80007840: 0014f493 andi s1,s1,1 + 80007844: 00779593 slli a1,a5,0x7 + 80007848: 00e5e5b3 or a1,a1,a4 + 8000784c: 0ff5f693 andi a3,a1,255 + 80007850: 00050717 auipc a4,0x50 + 80007854: 02070713 addi a4,a4,32 # 80057870 <_ZL7ZNTable> + 80007858: 00d70733 add a4,a4,a3 + 8000785c: 00074683 lbu a3,0(a4) + 80007860: 07c7f793 andi a5,a5,124 + 80007864: 0097e7b3 or a5,a5,s1 + 80007868: 00093703 ld a4,0(s2) + 8000786c: 00d7e7b3 or a5,a5,a3 + 80007870: 000d0513 mv a0,s10 + 80007874: 00f40523 sb a5,10(s0) + 80007878: 0ff5f593 andi a1,a1,255 + 8000787c: 000700e7 jalr a4 + 80007880: 00445503 lhu a0,4(s0) + 80007884: 01042483 lw s1,16(s0) + 80007888: 00ac8463 beq s9,a0,80007890 <_Z14X6502_RunDebugi+0x6a30> + 8000788c: fe0f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007890: 869f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007894: 00351793 slli a5,a0,0x3 + 80007898: 00f907b3 add a5,s2,a5 + 8000789c: 0007b783 ld a5,0(a5) + 800078a0: 000780e7 jalr a5 + 800078a4: 00445783 lhu a5,4(s0) + 800078a8: 00050713 mv a4,a0 + 800078ac: 00a40c23 sb a0,24(s0) + 800078b0: 0017879b addiw a5,a5,1 + 800078b4: 03079513 slli a0,a5,0x30 + 800078b8: 03055513 srli a0,a0,0x30 + 800078bc: 00351793 slli a5,a0,0x3 + 800078c0: 00f907b3 add a5,s2,a5 + 800078c4: 0007b783 ld a5,0(a5) + 800078c8: 00a41223 sh a0,4(s0) + 800078cc: 0007049b sext.w s1,a4 + 800078d0: 000780e7 jalr a5 + 800078d4: 00744703 lbu a4,7(s0) + 800078d8: 00445683 lhu a3,4(s0) + 800078dc: 00851793 slli a5,a0,0x8 + 800078e0: 0097e7b3 or a5,a5,s1 + 800078e4: 00f7073b addw a4,a4,a5 + 800078e8: 0016869b addiw a3,a3,1 + 800078ec: 00e7c7b3 xor a5,a5,a4 + 800078f0: 00a40c23 sb a0,24(s0) + 800078f4: 00d41223 sh a3,4(s0) + 800078f8: 1007f793 andi a5,a5,256 + 800078fc: 0007049b sext.w s1,a4 + 80007900: 06078463 beqz a5,80007968 <_Z14X6502_RunDebugi+0x6b08> + 80007904: 03071493 slli s1,a4,0x30 + 80007908: 0304d493 srli s1,s1,0x30 + 8000790c: 1004c793 xori a5,s1,256 + 80007910: 00379793 slli a5,a5,0x3 + 80007914: 00f907b3 add a5,s2,a5 + 80007918: 0007b783 ld a5,0(a5) + 8000791c: 1004c513 xori a0,s1,256 + 80007920: 000780e7 jalr a5 + 80007924: 00042683 lw a3,0(s0) + 80007928: 01042703 lw a4,16(s0) + 8000792c: 0009a783 lw a5,0(s3) + 80007930: 000a4603 lbu a2,0(s4) + 80007934: 0016869b addiw a3,a3,1 + 80007938: fd07071b addiw a4,a4,-48 + 8000793c: 0017879b addiw a5,a5,1 + 80007940: 00a40c23 sb a0,24(s0) + 80007944: 00d42023 sw a3,0(s0) + 80007948: 00e42823 sw a4,16(s0) + 8000794c: 00f9a023 sw a5,0(s3) + 80007950: 00061c63 bnez a2,80007968 <_Z14X6502_RunDebugi+0x6b08> + 80007954: 001c4717 auipc a4,0x1c4 + 80007958: 1f470713 addi a4,a4,500 # 801cbb48 + 8000795c: 00072783 lw a5,0(a4) + 80007960: 0017879b addiw a5,a5,1 + 80007964: 00f72023 sw a5,0(a4) + 80007968: 02049793 slli a5,s1,0x20 + 8000796c: 01d7d793 srli a5,a5,0x1d + 80007970: 00f90933 add s2,s2,a5 + 80007974: 00093783 ld a5,0(s2) + 80007978: 00048513 mv a0,s1 + 8000797c: 000780e7 jalr a5 + 80007980: 00644783 lbu a5,6(s0) + 80007984: 00a44703 lbu a4,10(s0) + 80007988: 00050593 mv a1,a0 + 8000798c: 00a786bb addw a3,a5,a0 + 80007990: 00177613 andi a2,a4,1 + 80007994: 00c686bb addw a3,a3,a2 + 80007998: 0006881b sext.w a6,a3 + 8000799c: 00a7c633 xor a2,a5,a0 + 800079a0: 0ff87893 andi a7,a6,255 + 800079a4: 00050517 auipc a0,0x50 + 800079a8: ecc50513 addi a0,a0,-308 # 80057870 <_ZL7ZNTable> + 800079ac: 01150533 add a0,a0,a7 + 800079b0: 00054503 lbu a0,0(a0) + 800079b4: fff64613 not a2,a2 + 800079b8: 00d7c7b3 xor a5,a5,a3 + 800079bc: 0ff67613 andi a2,a2,255 + 800079c0: 00c7f7b3 and a5,a5,a2 + 800079c4: 03c77713 andi a4,a4,60 + 800079c8: 00a76733 or a4,a4,a0 + 800079cc: 0017d79b srliw a5,a5,0x1 + 800079d0: 0086d69b srliw a3,a3,0x8 + 800079d4: 0407f793 andi a5,a5,64 + 800079d8: 00d76733 or a4,a4,a3 + 800079dc: 00445503 lhu a0,4(s0) + 800079e0: 00e7e7b3 or a5,a5,a4 + 800079e4: 00b40c23 sb a1,24(s0) + 800079e8: 01040323 sb a6,6(s0) + 800079ec: 00f40523 sb a5,10(s0) + 800079f0: 01042483 lw s1,16(s0) + 800079f4: 00ac8463 beq s9,a0,800079fc <_Z14X6502_RunDebugi+0x6b9c> + 800079f8: e74f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800079fc: efcf906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007a00: 00351793 slli a5,a0,0x3 + 80007a04: 00f907b3 add a5,s2,a5 + 80007a08: 0007b783 ld a5,0(a5) + 80007a0c: 000780e7 jalr a5 + 80007a10: 00445783 lhu a5,4(s0) + 80007a14: 00050713 mv a4,a0 + 80007a18: 00a40c23 sb a0,24(s0) + 80007a1c: 0017879b addiw a5,a5,1 + 80007a20: 03079513 slli a0,a5,0x30 + 80007a24: 03055513 srli a0,a0,0x30 + 80007a28: 00351793 slli a5,a0,0x3 + 80007a2c: 00f907b3 add a5,s2,a5 + 80007a30: 0007b783 ld a5,0(a5) + 80007a34: 00a41223 sh a0,4(s0) + 80007a38: 0007049b sext.w s1,a4 + 80007a3c: 000780e7 jalr a5 + 80007a40: 00844703 lbu a4,8(s0) + 80007a44: 00851793 slli a5,a0,0x8 + 80007a48: 0097e7b3 or a5,a5,s1 + 80007a4c: 00f704bb addw s1,a4,a5 + 80007a50: 0ff4f613 andi a2,s1,255 + 80007a54: 0187f7b3 and a5,a5,s8 + 80007a58: 00f66733 or a4,a2,a5 + 80007a5c: 00445683 lhu a3,4(s0) + 80007a60: 00371713 slli a4,a4,0x3 + 80007a64: 00e90733 add a4,s2,a4 + 80007a68: 00073583 ld a1,0(a4) + 80007a6c: 03049493 slli s1,s1,0x30 + 80007a70: 0016871b addiw a4,a3,1 + 80007a74: 0304d493 srli s1,s1,0x30 + 80007a78: 00349d13 slli s10,s1,0x3 + 80007a7c: 00e41223 sh a4,4(s0) + 80007a80: 00a40c23 sb a0,24(s0) + 80007a84: 00f66533 or a0,a2,a5 + 80007a88: 000580e7 jalr a1 + 80007a8c: 01a90933 add s2,s2,s10 + 80007a90: 00093783 ld a5,0(s2) + 80007a94: 00a40c23 sb a0,24(s0) + 80007a98: 00141917 auipc s2,0x141 + 80007a9c: 24890913 addi s2,s2,584 # 80148ce0 + 80007aa0: 00048513 mv a0,s1 + 80007aa4: 000780e7 jalr a5 + 80007aa8: 01a90933 add s2,s2,s10 + 80007aac: 00093783 ld a5,0(s2) + 80007ab0: 00050d13 mv s10,a0 + 80007ab4: 00050593 mv a1,a0 + 80007ab8: 01a40c23 sb s10,24(s0) + 80007abc: 00048513 mv a0,s1 + 80007ac0: 000780e7 jalr a5 + 80007ac4: 00a44783 lbu a5,10(s0) + 80007ac8: 401d571b sraiw a4,s10,0x1 + 80007acc: 00050517 auipc a0,0x50 + 80007ad0: da450513 addi a0,a0,-604 # 80057870 <_ZL7ZNTable> + 80007ad4: 00779613 slli a2,a5,0x7 + 80007ad8: 00e66633 or a2,a2,a4 + 80007adc: 0ff67693 andi a3,a2,255 + 80007ae0: 00d50733 add a4,a0,a3 + 80007ae4: 00074583 lbu a1,0(a4) + 80007ae8: 07c7f793 andi a5,a5,124 + 80007aec: 00644703 lbu a4,6(s0) + 80007af0: 001d7d13 andi s10,s10,1 + 80007af4: 01a7e7b3 or a5,a5,s10 + 80007af8: 00b7e7b3 or a5,a5,a1 + 80007afc: 0017f593 andi a1,a5,1 + 80007b00: 00d706bb addw a3,a4,a3 + 80007b04: 00b686bb addw a3,a3,a1 + 80007b08: 0006881b sext.w a6,a3 + 80007b0c: 0ff67593 andi a1,a2,255 + 80007b10: 0ff87893 andi a7,a6,255 + 80007b14: 00b74633 xor a2,a4,a1 + 80007b18: 01150533 add a0,a0,a7 + 80007b1c: 00054503 lbu a0,0(a0) + 80007b20: fff64613 not a2,a2 + 80007b24: 0ff67613 andi a2,a2,255 + 80007b28: 00d74733 xor a4,a4,a3 + 80007b2c: 00c77733 and a4,a4,a2 + 80007b30: 03c7f793 andi a5,a5,60 + 80007b34: 00a7e7b3 or a5,a5,a0 + 80007b38: 0086d69b srliw a3,a3,0x8 + 80007b3c: 0017571b srliw a4,a4,0x1 + 80007b40: 04077713 andi a4,a4,64 + 80007b44: 00d7e7b3 or a5,a5,a3 + 80007b48: 00093683 ld a3,0(s2) + 80007b4c: 00f767b3 or a5,a4,a5 + 80007b50: 00048513 mv a0,s1 + 80007b54: 01040323 sb a6,6(s0) + 80007b58: 00f40523 sb a5,10(s0) + 80007b5c: 000680e7 jalr a3 + 80007b60: 00445503 lhu a0,4(s0) + 80007b64: 01042483 lw s1,16(s0) + 80007b68: 00ac8463 beq s9,a0,80007b70 <_Z14X6502_RunDebugi+0x6d10> + 80007b6c: d00f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007b70: d88f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007b74: 00351793 slli a5,a0,0x3 + 80007b78: 00f907b3 add a5,s2,a5 + 80007b7c: 0007b783 ld a5,0(a5) + 80007b80: 000780e7 jalr a5 + 80007b84: 00445783 lhu a5,4(s0) + 80007b88: 00050713 mv a4,a0 + 80007b8c: 00a40c23 sb a0,24(s0) + 80007b90: 0017879b addiw a5,a5,1 + 80007b94: 03079513 slli a0,a5,0x30 + 80007b98: 03055513 srli a0,a0,0x30 + 80007b9c: 00351793 slli a5,a0,0x3 + 80007ba0: 00f907b3 add a5,s2,a5 + 80007ba4: 0007b783 ld a5,0(a5) + 80007ba8: 00a41223 sh a0,4(s0) + 80007bac: 0007049b sext.w s1,a4 + 80007bb0: 000780e7 jalr a5 + 80007bb4: 00844703 lbu a4,8(s0) + 80007bb8: 00445683 lhu a3,4(s0) + 80007bbc: 00851793 slli a5,a0,0x8 + 80007bc0: 0097e7b3 or a5,a5,s1 + 80007bc4: 00f7073b addw a4,a4,a5 + 80007bc8: 0016869b addiw a3,a3,1 + 80007bcc: 00e7c7b3 xor a5,a5,a4 + 80007bd0: 00a40c23 sb a0,24(s0) + 80007bd4: 00d41223 sh a3,4(s0) + 80007bd8: 1007f793 andi a5,a5,256 + 80007bdc: 0007049b sext.w s1,a4 + 80007be0: 06078463 beqz a5,80007c48 <_Z14X6502_RunDebugi+0x6de8> + 80007be4: 03071493 slli s1,a4,0x30 + 80007be8: 0304d493 srli s1,s1,0x30 + 80007bec: 1004c793 xori a5,s1,256 + 80007bf0: 00379793 slli a5,a5,0x3 + 80007bf4: 00f907b3 add a5,s2,a5 + 80007bf8: 0007b783 ld a5,0(a5) + 80007bfc: 1004c513 xori a0,s1,256 + 80007c00: 000780e7 jalr a5 + 80007c04: 00042683 lw a3,0(s0) + 80007c08: 01042703 lw a4,16(s0) + 80007c0c: 0009a783 lw a5,0(s3) + 80007c10: 000a4603 lbu a2,0(s4) + 80007c14: 0016869b addiw a3,a3,1 + 80007c18: fd07071b addiw a4,a4,-48 + 80007c1c: 0017879b addiw a5,a5,1 + 80007c20: 00a40c23 sb a0,24(s0) + 80007c24: 00d42023 sw a3,0(s0) + 80007c28: 00e42823 sw a4,16(s0) + 80007c2c: 00f9a023 sw a5,0(s3) + 80007c30: 00061c63 bnez a2,80007c48 <_Z14X6502_RunDebugi+0x6de8> + 80007c34: 001c4717 auipc a4,0x1c4 + 80007c38: f1470713 addi a4,a4,-236 # 801cbb48 + 80007c3c: 00072783 lw a5,0(a4) + 80007c40: 0017879b addiw a5,a5,1 + 80007c44: 00f72023 sw a5,0(a4) + 80007c48: 02049793 slli a5,s1,0x20 + 80007c4c: 01d7d793 srli a5,a5,0x1d + 80007c50: 00f90933 add s2,s2,a5 + 80007c54: 00093783 ld a5,0(s2) + 80007c58: 00048513 mv a0,s1 + 80007c5c: 000780e7 jalr a5 + 80007c60: 00644783 lbu a5,6(s0) + 80007c64: 00a44703 lbu a4,10(s0) + 80007c68: 00050593 mv a1,a0 + 80007c6c: 00a786bb addw a3,a5,a0 + 80007c70: 00177613 andi a2,a4,1 + 80007c74: 00c686bb addw a3,a3,a2 + 80007c78: 0006881b sext.w a6,a3 + 80007c7c: 00a7c633 xor a2,a5,a0 + 80007c80: 0ff87893 andi a7,a6,255 + 80007c84: 00050517 auipc a0,0x50 + 80007c88: bec50513 addi a0,a0,-1044 # 80057870 <_ZL7ZNTable> + 80007c8c: 01150533 add a0,a0,a7 + 80007c90: 00054503 lbu a0,0(a0) + 80007c94: fff64613 not a2,a2 + 80007c98: 00d7c7b3 xor a5,a5,a3 + 80007c9c: 0ff67613 andi a2,a2,255 + 80007ca0: 00c7f7b3 and a5,a5,a2 + 80007ca4: 03c77713 andi a4,a4,60 + 80007ca8: 00a76733 or a4,a4,a0 + 80007cac: 0017d79b srliw a5,a5,0x1 + 80007cb0: 0086d69b srliw a3,a3,0x8 + 80007cb4: 0407f793 andi a5,a5,64 + 80007cb8: 00d76733 or a4,a4,a3 + 80007cbc: 00445503 lhu a0,4(s0) + 80007cc0: 00e7e7b3 or a5,a5,a4 + 80007cc4: 00b40c23 sb a1,24(s0) + 80007cc8: 01040323 sb a6,6(s0) + 80007ccc: 00f40523 sb a5,10(s0) + 80007cd0: 01042483 lw s1,16(s0) + 80007cd4: 00ac8463 beq s9,a0,80007cdc <_Z14X6502_RunDebugi+0x6e7c> + 80007cd8: b94f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007cdc: c1cf906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007ce0: 00a44783 lbu a5,10(s0) + 80007ce4: 01042483 lw s1,16(s0) + 80007ce8: 0047e793 ori a5,a5,4 + 80007cec: 00f40523 sb a5,10(s0) + 80007cf0: 00ac8463 beq s9,a0,80007cf8 <_Z14X6502_RunDebugi+0x6e98> + 80007cf4: b78f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007cf8: c00f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007cfc: 00351793 slli a5,a0,0x3 + 80007d00: 00f907b3 add a5,s2,a5 + 80007d04: 0007b783 ld a5,0(a5) + 80007d08: 000780e7 jalr a5 + 80007d0c: 00445783 lhu a5,4(s0) + 80007d10: 00050713 mv a4,a0 + 80007d14: 00a40c23 sb a0,24(s0) + 80007d18: 0017879b addiw a5,a5,1 + 80007d1c: 03079513 slli a0,a5,0x30 + 80007d20: 03055513 srli a0,a0,0x30 + 80007d24: 00351793 slli a5,a0,0x3 + 80007d28: 00f907b3 add a5,s2,a5 + 80007d2c: 0007b783 ld a5,0(a5) + 80007d30: 00a41223 sh a0,4(s0) + 80007d34: 0007049b sext.w s1,a4 + 80007d38: 000780e7 jalr a5 + 80007d3c: 00844703 lbu a4,8(s0) + 80007d40: 00445683 lhu a3,4(s0) + 80007d44: 00851793 slli a5,a0,0x8 + 80007d48: 0097e7b3 or a5,a5,s1 + 80007d4c: 00f7073b addw a4,a4,a5 + 80007d50: 0016869b addiw a3,a3,1 + 80007d54: 00e7c7b3 xor a5,a5,a4 + 80007d58: 00a40c23 sb a0,24(s0) + 80007d5c: 00d41223 sh a3,4(s0) + 80007d60: 1007f793 andi a5,a5,256 + 80007d64: 0007049b sext.w s1,a4 + 80007d68: 06078463 beqz a5,80007dd0 <_Z14X6502_RunDebugi+0x6f70> + 80007d6c: 03071493 slli s1,a4,0x30 + 80007d70: 0304d493 srli s1,s1,0x30 + 80007d74: 1004c793 xori a5,s1,256 + 80007d78: 00379793 slli a5,a5,0x3 + 80007d7c: 00f907b3 add a5,s2,a5 + 80007d80: 0007b783 ld a5,0(a5) + 80007d84: 1004c513 xori a0,s1,256 + 80007d88: 000780e7 jalr a5 + 80007d8c: 00042683 lw a3,0(s0) + 80007d90: 01042703 lw a4,16(s0) + 80007d94: 0009a783 lw a5,0(s3) + 80007d98: 000a4603 lbu a2,0(s4) + 80007d9c: 0016869b addiw a3,a3,1 + 80007da0: fd07071b addiw a4,a4,-48 + 80007da4: 0017879b addiw a5,a5,1 + 80007da8: 00a40c23 sb a0,24(s0) + 80007dac: 00d42023 sw a3,0(s0) + 80007db0: 00e42823 sw a4,16(s0) + 80007db4: 00f9a023 sw a5,0(s3) + 80007db8: 00061c63 bnez a2,80007dd0 <_Z14X6502_RunDebugi+0x6f70> + 80007dbc: 001c4717 auipc a4,0x1c4 + 80007dc0: d8c70713 addi a4,a4,-628 # 801cbb48 + 80007dc4: 00072783 lw a5,0(a4) + 80007dc8: 0017879b addiw a5,a5,1 + 80007dcc: 00f72023 sw a5,0(a4) + 80007dd0: 02049793 slli a5,s1,0x20 + 80007dd4: 01d7d793 srli a5,a5,0x1d + 80007dd8: 00f90933 add s2,s2,a5 + 80007ddc: 00093783 ld a5,0(s2) + 80007de0: 00048513 mv a0,s1 + 80007de4: 000780e7 jalr a5 + 80007de8: 00050697 auipc a3,0x50 + 80007dec: a8868693 addi a3,a3,-1400 # 80057870 <_ZL7ZNTable> + 80007df0: 00a44783 lbu a5,10(s0) + 80007df4: 00a686b3 add a3,a3,a0 + 80007df8: 0006c683 lbu a3,0(a3) + 80007dfc: 00050713 mv a4,a0 + 80007e00: 07d7f793 andi a5,a5,125 + 80007e04: 00445503 lhu a0,4(s0) + 80007e08: 00d7e7b3 or a5,a5,a3 + 80007e0c: 00e40c23 sb a4,24(s0) + 80007e10: 00e403a3 sb a4,7(s0) + 80007e14: 00f40523 sb a5,10(s0) + 80007e18: 01042483 lw s1,16(s0) + 80007e1c: 00ac8463 beq s9,a0,80007e24 <_Z14X6502_RunDebugi+0x6fc4> + 80007e20: a4cf906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007e24: ad4f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007e28: 00351793 slli a5,a0,0x3 + 80007e2c: 00f907b3 add a5,s2,a5 + 80007e30: 0007b783 ld a5,0(a5) + 80007e34: 000780e7 jalr a5 + 80007e38: 00445783 lhu a5,4(s0) + 80007e3c: 00050713 mv a4,a0 + 80007e40: 00a40c23 sb a0,24(s0) + 80007e44: 0017879b addiw a5,a5,1 + 80007e48: 03079513 slli a0,a5,0x30 + 80007e4c: 03055513 srli a0,a0,0x30 + 80007e50: 00351793 slli a5,a0,0x3 + 80007e54: 00f907b3 add a5,s2,a5 + 80007e58: 0007b783 ld a5,0(a5) + 80007e5c: 00a41223 sh a0,4(s0) + 80007e60: 0007049b sext.w s1,a4 + 80007e64: 000780e7 jalr a5 + 80007e68: 00744703 lbu a4,7(s0) + 80007e6c: 00445683 lhu a3,4(s0) + 80007e70: 00851793 slli a5,a0,0x8 + 80007e74: 0097e7b3 or a5,a5,s1 + 80007e78: 00f7073b addw a4,a4,a5 + 80007e7c: 0016869b addiw a3,a3,1 + 80007e80: 00e7c7b3 xor a5,a5,a4 + 80007e84: 00a40c23 sb a0,24(s0) + 80007e88: 00d41223 sh a3,4(s0) + 80007e8c: 1007f793 andi a5,a5,256 + 80007e90: 0007049b sext.w s1,a4 + 80007e94: 06078463 beqz a5,80007efc <_Z14X6502_RunDebugi+0x709c> + 80007e98: 03071493 slli s1,a4,0x30 + 80007e9c: 0304d493 srli s1,s1,0x30 + 80007ea0: 1004c793 xori a5,s1,256 + 80007ea4: 00379793 slli a5,a5,0x3 + 80007ea8: 00f907b3 add a5,s2,a5 + 80007eac: 0007b783 ld a5,0(a5) + 80007eb0: 1004c513 xori a0,s1,256 + 80007eb4: 000780e7 jalr a5 + 80007eb8: 00042683 lw a3,0(s0) + 80007ebc: 01042703 lw a4,16(s0) + 80007ec0: 0009a783 lw a5,0(s3) + 80007ec4: 000a4603 lbu a2,0(s4) + 80007ec8: 0016869b addiw a3,a3,1 + 80007ecc: fd07071b addiw a4,a4,-48 + 80007ed0: 0017879b addiw a5,a5,1 + 80007ed4: 00a40c23 sb a0,24(s0) + 80007ed8: 00d42023 sw a3,0(s0) + 80007edc: 00e42823 sw a4,16(s0) + 80007ee0: 00f9a023 sw a5,0(s3) + 80007ee4: 00061c63 bnez a2,80007efc <_Z14X6502_RunDebugi+0x709c> + 80007ee8: 001c4717 auipc a4,0x1c4 + 80007eec: c6070713 addi a4,a4,-928 # 801cbb48 + 80007ef0: 00072783 lw a5,0(a4) + 80007ef4: 0017879b addiw a5,a5,1 + 80007ef8: 00f72023 sw a5,0(a4) + 80007efc: 02049793 slli a5,s1,0x20 + 80007f00: 01d7d793 srli a5,a5,0x1d + 80007f04: 00f90933 add s2,s2,a5 + 80007f08: 00093783 ld a5,0(s2) + 80007f0c: 00048513 mv a0,s1 + 80007f10: 000780e7 jalr a5 + 80007f14: 00050697 auipc a3,0x50 + 80007f18: 95c68693 addi a3,a3,-1700 # 80057870 <_ZL7ZNTable> + 80007f1c: 00a44783 lbu a5,10(s0) + 80007f20: 00a686b3 add a3,a3,a0 + 80007f24: 0006c683 lbu a3,0(a3) + 80007f28: 00050713 mv a4,a0 + 80007f2c: 07d7f793 andi a5,a5,125 + 80007f30: 00445503 lhu a0,4(s0) + 80007f34: 00d7e7b3 or a5,a5,a3 + 80007f38: 00e40c23 sb a4,24(s0) + 80007f3c: 00e40323 sb a4,6(s0) + 80007f40: 00f40523 sb a5,10(s0) + 80007f44: 01042483 lw s1,16(s0) + 80007f48: 00ac8463 beq s9,a0,80007f50 <_Z14X6502_RunDebugi+0x70f0> + 80007f4c: 920f906f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80007f50: 9a8f906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80007f54: 00351793 slli a5,a0,0x3 + 80007f58: 00f907b3 add a5,s2,a5 + 80007f5c: 0007b783 ld a5,0(a5) + 80007f60: 000780e7 jalr a5 + 80007f64: 00445783 lhu a5,4(s0) + 80007f68: 00050713 mv a4,a0 + 80007f6c: 00a40c23 sb a0,24(s0) + 80007f70: 0017879b addiw a5,a5,1 + 80007f74: 03079513 slli a0,a5,0x30 + 80007f78: 03055513 srli a0,a0,0x30 + 80007f7c: 00351793 slli a5,a0,0x3 + 80007f80: 00f907b3 add a5,s2,a5 + 80007f84: 0007b783 ld a5,0(a5) + 80007f88: 00a41223 sh a0,4(s0) + 80007f8c: 0007049b sext.w s1,a4 + 80007f90: 000780e7 jalr a5 + 80007f94: 00744703 lbu a4,7(s0) + 80007f98: 00445683 lhu a3,4(s0) + 80007f9c: 00851793 slli a5,a0,0x8 + 80007fa0: 0097e7b3 or a5,a5,s1 + 80007fa4: 00f7073b addw a4,a4,a5 + 80007fa8: 0016869b addiw a3,a3,1 + 80007fac: 00e7c7b3 xor a5,a5,a4 + 80007fb0: 00a40c23 sb a0,24(s0) + 80007fb4: 00d41223 sh a3,4(s0) + 80007fb8: 1007f793 andi a5,a5,256 + 80007fbc: 0007049b sext.w s1,a4 + 80007fc0: 06078463 beqz a5,80008028 <_Z14X6502_RunDebugi+0x71c8> + 80007fc4: 03071493 slli s1,a4,0x30 + 80007fc8: 0304d493 srli s1,s1,0x30 + 80007fcc: 1004c793 xori a5,s1,256 + 80007fd0: 00379793 slli a5,a5,0x3 + 80007fd4: 00f907b3 add a5,s2,a5 + 80007fd8: 0007b783 ld a5,0(a5) + 80007fdc: 1004c513 xori a0,s1,256 + 80007fe0: 000780e7 jalr a5 + 80007fe4: 00042683 lw a3,0(s0) + 80007fe8: 01042703 lw a4,16(s0) + 80007fec: 0009a783 lw a5,0(s3) + 80007ff0: 000a4603 lbu a2,0(s4) + 80007ff4: 0016869b addiw a3,a3,1 + 80007ff8: fd07071b addiw a4,a4,-48 + 80007ffc: 0017879b addiw a5,a5,1 + 80008000: 00a40c23 sb a0,24(s0) + 80008004: 00d42023 sw a3,0(s0) + 80008008: 00e42823 sw a4,16(s0) + 8000800c: 00f9a023 sw a5,0(s3) + 80008010: 00061c63 bnez a2,80008028 <_Z14X6502_RunDebugi+0x71c8> + 80008014: 001c4717 auipc a4,0x1c4 + 80008018: b3470713 addi a4,a4,-1228 # 801cbb48 + 8000801c: 00072783 lw a5,0(a4) + 80008020: 0017879b addiw a5,a5,1 + 80008024: 00f72023 sw a5,0(a4) + 80008028: 02049793 slli a5,s1,0x20 + 8000802c: 01d7d793 srli a5,a5,0x1d + 80008030: 00f90933 add s2,s2,a5 + 80008034: 00093783 ld a5,0(s2) + 80008038: 00048513 mv a0,s1 + 8000803c: 000780e7 jalr a5 + 80008040: 00050697 auipc a3,0x50 + 80008044: 83068693 addi a3,a3,-2000 # 80057870 <_ZL7ZNTable> + 80008048: 00a44783 lbu a5,10(s0) + 8000804c: 00a686b3 add a3,a3,a0 + 80008050: 0006c683 lbu a3,0(a3) + 80008054: 00050713 mv a4,a0 + 80008058: 07d7f793 andi a5,a5,125 + 8000805c: 00445503 lhu a0,4(s0) + 80008060: 00d7e7b3 or a5,a5,a3 + 80008064: 00e40c23 sb a4,24(s0) + 80008068: 00e40423 sb a4,8(s0) + 8000806c: 00f40523 sb a5,10(s0) + 80008070: 01042483 lw s1,16(s0) + 80008074: 00ac8463 beq s9,a0,8000807c <_Z14X6502_RunDebugi+0x721c> + 80008078: ff5f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000807c: 87cf906f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008080: 00351793 slli a5,a0,0x3 + 80008084: 00f907b3 add a5,s2,a5 + 80008088: 0007b783 ld a5,0(a5) + 8000808c: 000780e7 jalr a5 + 80008090: 00445783 lhu a5,4(s0) + 80008094: 00050713 mv a4,a0 + 80008098: 00a40c23 sb a0,24(s0) + 8000809c: 0017879b addiw a5,a5,1 + 800080a0: 03079513 slli a0,a5,0x30 + 800080a4: 03055513 srli a0,a0,0x30 + 800080a8: 00351793 slli a5,a0,0x3 + 800080ac: 00f907b3 add a5,s2,a5 + 800080b0: 0007b783 ld a5,0(a5) + 800080b4: 00a41223 sh a0,4(s0) + 800080b8: 0007049b sext.w s1,a4 + 800080bc: 000780e7 jalr a5 + 800080c0: 00844d03 lbu s10,8(s0) + 800080c4: 00851793 slli a5,a0,0x8 + 800080c8: 0097e7b3 or a5,a5,s1 + 800080cc: 00fd0d3b addw s10,s10,a5 + 800080d0: 0ffd7613 andi a2,s10,255 + 800080d4: 0187f7b3 and a5,a5,s8 + 800080d8: 00f66733 or a4,a2,a5 + 800080dc: 00445683 lhu a3,4(s0) + 800080e0: 00371713 slli a4,a4,0x3 + 800080e4: 00e90733 add a4,s2,a4 + 800080e8: 00073583 ld a1,0(a4) + 800080ec: 030d1d13 slli s10,s10,0x30 + 800080f0: 0016871b addiw a4,a3,1 + 800080f4: 030d5d13 srli s10,s10,0x30 + 800080f8: 00e41223 sh a4,4(s0) + 800080fc: 003d1493 slli s1,s10,0x3 + 80008100: 00a40c23 sb a0,24(s0) + 80008104: 00f66533 or a0,a2,a5 + 80008108: 000580e7 jalr a1 + 8000810c: 00990933 add s2,s2,s1 + 80008110: 00093783 ld a5,0(s2) + 80008114: 00a40c23 sb a0,24(s0) + 80008118: 00141917 auipc s2,0x141 + 8000811c: bc890913 addi s2,s2,-1080 # 80148ce0 + 80008120: 000d0513 mv a0,s10 + 80008124: 000780e7 jalr a5 + 80008128: 00990933 add s2,s2,s1 + 8000812c: 00093783 ld a5,0(s2) + 80008130: 00050493 mv s1,a0 + 80008134: 00050593 mv a1,a0 + 80008138: 00940c23 sb s1,24(s0) + 8000813c: 000d0513 mv a0,s10 + 80008140: 000780e7 jalr a5 + 80008144: 00944783 lbu a5,9(s0) + 80008148: 0004f697 auipc a3,0x4f + 8000814c: 72868693 addi a3,a3,1832 # 80057870 <_ZL7ZNTable> + 80008150: 00a44703 lbu a4,10(s0) + 80008154: 00f4f7b3 and a5,s1,a5 + 80008158: 00f686b3 add a3,a3,a5 + 8000815c: 0006c603 lbu a2,0(a3) + 80008160: 07d77713 andi a4,a4,125 + 80008164: 00093683 ld a3,0(s2) + 80008168: 00c76733 or a4,a4,a2 + 8000816c: 00048593 mv a1,s1 + 80008170: 000d0513 mv a0,s10 + 80008174: 00f404a3 sb a5,9(s0) + 80008178: 00f403a3 sb a5,7(s0) + 8000817c: 00f40323 sb a5,6(s0) + 80008180: 00e40523 sb a4,10(s0) + 80008184: 000680e7 jalr a3 + 80008188: 00445503 lhu a0,4(s0) + 8000818c: 01042483 lw s1,16(s0) + 80008190: 00ac8463 beq s9,a0,80008198 <_Z14X6502_RunDebugi+0x7338> + 80008194: ed9f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008198: f61f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000819c: 00944683 lbu a3,9(s0) + 800081a0: 0004f717 auipc a4,0x4f + 800081a4: 6d070713 addi a4,a4,1744 # 80057870 <_ZL7ZNTable> + 800081a8: 00a44783 lbu a5,10(s0) + 800081ac: 00d70733 add a4,a4,a3 + 800081b0: 00074703 lbu a4,0(a4) + 800081b4: 07d7f793 andi a5,a5,125 + 800081b8: 00d403a3 sb a3,7(s0) + 800081bc: 00e7e7b3 or a5,a5,a4 + 800081c0: 00f40523 sb a5,10(s0) + 800081c4: 01042483 lw s1,16(s0) + 800081c8: 00ac8463 beq s9,a0,800081d0 <_Z14X6502_RunDebugi+0x7370> + 800081cc: ea1f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800081d0: f29f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800081d4: 00351793 slli a5,a0,0x3 + 800081d8: 00f907b3 add a5,s2,a5 + 800081dc: 0007b783 ld a5,0(a5) + 800081e0: 000780e7 jalr a5 + 800081e4: 00445783 lhu a5,4(s0) + 800081e8: 00050713 mv a4,a0 + 800081ec: 00a40c23 sb a0,24(s0) + 800081f0: 0017879b addiw a5,a5,1 + 800081f4: 03079513 slli a0,a5,0x30 + 800081f8: 03055513 srli a0,a0,0x30 + 800081fc: 00351793 slli a5,a0,0x3 + 80008200: 00f907b3 add a5,s2,a5 + 80008204: 0007b783 ld a5,0(a5) + 80008208: 00a41223 sh a0,4(s0) + 8000820c: 0007049b sext.w s1,a4 + 80008210: 000780e7 jalr a5 + 80008214: 00844703 lbu a4,8(s0) + 80008218: 00445683 lhu a3,4(s0) + 8000821c: 00851793 slli a5,a0,0x8 + 80008220: 0097e7b3 or a5,a5,s1 + 80008224: 00f7073b addw a4,a4,a5 + 80008228: 0016869b addiw a3,a3,1 + 8000822c: 00e7c7b3 xor a5,a5,a4 + 80008230: 00a40c23 sb a0,24(s0) + 80008234: 00d41223 sh a3,4(s0) + 80008238: 1007f793 andi a5,a5,256 + 8000823c: 0007049b sext.w s1,a4 + 80008240: 06078463 beqz a5,800082a8 <_Z14X6502_RunDebugi+0x7448> + 80008244: 03071493 slli s1,a4,0x30 + 80008248: 0304d493 srli s1,s1,0x30 + 8000824c: 1004c793 xori a5,s1,256 + 80008250: 00379793 slli a5,a5,0x3 + 80008254: 00f907b3 add a5,s2,a5 + 80008258: 0007b783 ld a5,0(a5) + 8000825c: 1004c513 xori a0,s1,256 + 80008260: 000780e7 jalr a5 + 80008264: 00042683 lw a3,0(s0) + 80008268: 01042703 lw a4,16(s0) + 8000826c: 0009a783 lw a5,0(s3) + 80008270: 000a4603 lbu a2,0(s4) + 80008274: 0016869b addiw a3,a3,1 + 80008278: fd07071b addiw a4,a4,-48 + 8000827c: 0017879b addiw a5,a5,1 + 80008280: 00a40c23 sb a0,24(s0) + 80008284: 00d42023 sw a3,0(s0) + 80008288: 00e42823 sw a4,16(s0) + 8000828c: 00f9a023 sw a5,0(s3) + 80008290: 00061c63 bnez a2,800082a8 <_Z14X6502_RunDebugi+0x7448> + 80008294: 001c4717 auipc a4,0x1c4 + 80008298: 8b470713 addi a4,a4,-1868 # 801cbb48 + 8000829c: 00072783 lw a5,0(a4) + 800082a0: 0017879b addiw a5,a5,1 + 800082a4: 00f72023 sw a5,0(a4) + 800082a8: 02049793 slli a5,s1,0x20 + 800082ac: 01d7d793 srli a5,a5,0x1d + 800082b0: 00f90933 add s2,s2,a5 + 800082b4: 00093783 ld a5,0(s2) + 800082b8: 00048513 mv a0,s1 + 800082bc: 000780e7 jalr a5 + 800082c0: 0004f697 auipc a3,0x4f + 800082c4: 5b068693 addi a3,a3,1456 # 80057870 <_ZL7ZNTable> + 800082c8: 00a44783 lbu a5,10(s0) + 800082cc: 00a686b3 add a3,a3,a0 + 800082d0: 0006c683 lbu a3,0(a3) + 800082d4: 00050713 mv a4,a0 + 800082d8: 07d7f793 andi a5,a5,125 + 800082dc: 00445503 lhu a0,4(s0) + 800082e0: 00d7e7b3 or a5,a5,a3 + 800082e4: 00e40c23 sb a4,24(s0) + 800082e8: 00e40323 sb a4,6(s0) + 800082ec: 00f40523 sb a5,10(s0) + 800082f0: 01042483 lw s1,16(s0) + 800082f4: 00ac8463 beq s9,a0,800082fc <_Z14X6502_RunDebugi+0x749c> + 800082f8: d75f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800082fc: dfdf806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008300: 00a44783 lbu a5,10(s0) + 80008304: 01042483 lw s1,16(s0) + 80008308: fbf7f793 andi a5,a5,-65 + 8000830c: 00f40523 sb a5,10(s0) + 80008310: 00ac8463 beq s9,a0,80008318 <_Z14X6502_RunDebugi+0x74b8> + 80008314: d59f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008318: de1f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000831c: 00351793 slli a5,a0,0x3 + 80008320: 00f90933 add s2,s2,a5 + 80008324: 00093783 ld a5,0(s2) + 80008328: 00844483 lbu s1,8(s0) + 8000832c: 000780e7 jalr a5 + 80008330: 00a487bb addw a5,s1,a0 + 80008334: 001c1717 auipc a4,0x1c1 + 80008338: 9f470713 addi a4,a4,-1548 # 801c8d28 + 8000833c: 0ff7f793 andi a5,a5,255 + 80008340: 00f707b3 add a5,a4,a5 + 80008344: 0007c683 lbu a3,0(a5) + 80008348: 0004f717 auipc a4,0x4f + 8000834c: 52870713 addi a4,a4,1320 # 80057870 <_ZL7ZNTable> + 80008350: 00a44783 lbu a5,10(s0) + 80008354: 00d70733 add a4,a4,a3 + 80008358: 00074703 lbu a4,0(a4) + 8000835c: 00445503 lhu a0,4(s0) + 80008360: 00d40c23 sb a3,24(s0) + 80008364: 00f767b3 or a5,a4,a5 + 80008368: 0015051b addiw a0,a0,1 + 8000836c: 07d7f793 andi a5,a5,125 + 80008370: 03051513 slli a0,a0,0x30 + 80008374: 03055513 srli a0,a0,0x30 + 80008378: 00f767b3 or a5,a4,a5 + 8000837c: 00a41223 sh a0,4(s0) + 80008380: 00d40323 sb a3,6(s0) + 80008384: 00d403a3 sb a3,7(s0) + 80008388: 00f40523 sb a5,10(s0) + 8000838c: 01042483 lw s1,16(s0) + 80008390: 00ac8463 beq s9,a0,80008398 <_Z14X6502_RunDebugi+0x7538> + 80008394: cd9f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008398: d61f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000839c: 00a44783 lbu a5,10(s0) + 800083a0: 01042483 lw s1,16(s0) + 800083a4: 0087e793 ori a5,a5,8 + 800083a8: 00f40523 sb a5,10(s0) + 800083ac: 00ac8463 beq s9,a0,800083b4 <_Z14X6502_RunDebugi+0x7554> + 800083b0: cbdf806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800083b4: d45f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800083b8: 00351793 slli a5,a0,0x3 + 800083bc: 00f90933 add s2,s2,a5 + 800083c0: 00093783 ld a5,0(s2) + 800083c4: 00744483 lbu s1,7(s0) + 800083c8: 000780e7 jalr a5 + 800083cc: 00a487bb addw a5,s1,a0 + 800083d0: 0ff7f793 andi a5,a5,255 + 800083d4: 001c1597 auipc a1,0x1c1 + 800083d8: 95458593 addi a1,a1,-1708 # 801c8d28 + 800083dc: 00f585b3 add a1,a1,a5 + 800083e0: 0005c803 lbu a6,0(a1) + 800083e4: 0004f617 auipc a2,0x4f + 800083e8: 48c60613 addi a2,a2,1164 # 80057870 <_ZL7ZNTable> + 800083ec: 00a44703 lbu a4,10(s0) + 800083f0: 0018069b addiw a3,a6,1 + 800083f4: 0ff6f793 andi a5,a3,255 + 800083f8: 00f60533 add a0,a2,a5 + 800083fc: 00054883 lbu a7,0(a0) + 80008400: 07d77713 andi a4,a4,125 + 80008404: 00644503 lbu a0,6(s0) + 80008408: 01176733 or a4,a4,a7 + 8000840c: fff74893 not a7,a4 + 80008410: 40f507bb subw a5,a0,a5 + 80008414: 0018f893 andi a7,a7,1 + 80008418: 411787bb subw a5,a5,a7 + 8000841c: 0007889b sext.w a7,a5 + 80008420: 0ff8f313 andi t1,a7,255 + 80008424: 00660633 add a2,a2,t1 + 80008428: 00064e03 lbu t3,0(a2) + 8000842c: 00445303 lhu t1,4(s0) + 80008430: 0ff6f693 andi a3,a3,255 + 80008434: 4087d61b sraiw a2,a5,0x8 + 80008438: 00f547b3 xor a5,a0,a5 + 8000843c: 00d54533 xor a0,a0,a3 + 80008440: 00a7f7b3 and a5,a5,a0 + 80008444: 03c77713 andi a4,a4,60 + 80008448: 00164613 xori a2,a2,1 + 8000844c: 01c76733 or a4,a4,t3 + 80008450: 00167613 andi a2,a2,1 + 80008454: 0017d79b srliw a5,a5,0x1 + 80008458: 0013051b addiw a0,t1,1 + 8000845c: 00c76733 or a4,a4,a2 + 80008460: 0407f793 andi a5,a5,64 + 80008464: 03051513 slli a0,a0,0x30 + 80008468: 03055513 srli a0,a0,0x30 + 8000846c: 00f767b3 or a5,a4,a5 + 80008470: 00a41223 sh a0,4(s0) + 80008474: 01040c23 sb a6,24(s0) + 80008478: 01140323 sb a7,6(s0) + 8000847c: 00f40523 sb a5,10(s0) + 80008480: 00d58023 sb a3,0(a1) + 80008484: 01042483 lw s1,16(s0) + 80008488: 00ac8463 beq s9,a0,80008490 <_Z14X6502_RunDebugi+0x7630> + 8000848c: be1f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008490: c69f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008494: 00351793 slli a5,a0,0x3 + 80008498: 00f90933 add s2,s2,a5 + 8000849c: 00093783 ld a5,0(s2) + 800084a0: 00744483 lbu s1,7(s0) + 800084a4: 000780e7 jalr a5 + 800084a8: 00a487bb addw a5,s1,a0 + 800084ac: 0ff7f793 andi a5,a5,255 + 800084b0: 001c1717 auipc a4,0x1c1 + 800084b4: 87870713 addi a4,a4,-1928 # 801c8d28 + 800084b8: 00f70733 add a4,a4,a5 + 800084bc: 00074583 lbu a1,0(a4) + 800084c0: 00445503 lhu a0,4(s0) + 800084c4: 0004f697 auipc a3,0x4f + 800084c8: 3ac68693 addi a3,a3,940 # 80057870 <_ZL7ZNTable> + 800084cc: 0015861b addiw a2,a1,1 + 800084d0: 0ff67813 andi a6,a2,255 + 800084d4: 00a44783 lbu a5,10(s0) + 800084d8: 010686b3 add a3,a3,a6 + 800084dc: 0006c683 lbu a3,0(a3) + 800084e0: 0015051b addiw a0,a0,1 + 800084e4: 07d7f793 andi a5,a5,125 + 800084e8: 03051513 slli a0,a0,0x30 + 800084ec: 03055513 srli a0,a0,0x30 + 800084f0: 00d7e7b3 or a5,a5,a3 + 800084f4: 00a41223 sh a0,4(s0) + 800084f8: 00b40c23 sb a1,24(s0) + 800084fc: 00f40523 sb a5,10(s0) + 80008500: 00c70023 sb a2,0(a4) + 80008504: 01042483 lw s1,16(s0) + 80008508: 00ac8463 beq s9,a0,80008510 <_Z14X6502_RunDebugi+0x76b0> + 8000850c: b61f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008510: be9f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008514: 00351793 slli a5,a0,0x3 + 80008518: 00f90933 add s2,s2,a5 + 8000851c: 00093783 ld a5,0(s2) + 80008520: 00744483 lbu s1,7(s0) + 80008524: 000780e7 jalr a5 + 80008528: 00a487bb addw a5,s1,a0 + 8000852c: 001c0697 auipc a3,0x1c0 + 80008530: 7fc68693 addi a3,a3,2044 # 801c8d28 + 80008534: 0ff7f793 andi a5,a5,255 + 80008538: 00a44703 lbu a4,10(s0) + 8000853c: 00f687b3 add a5,a3,a5 + 80008540: 00644603 lbu a2,6(s0) + 80008544: 0007c583 lbu a1,0(a5) + 80008548: fff74793 not a5,a4 + 8000854c: 0017f693 andi a3,a5,1 + 80008550: 40b607bb subw a5,a2,a1 + 80008554: 40d787bb subw a5,a5,a3 + 80008558: 0007881b sext.w a6,a5 + 8000855c: 0ff87513 andi a0,a6,255 + 80008560: 0004f697 auipc a3,0x4f + 80008564: 31068693 addi a3,a3,784 # 80057870 <_ZL7ZNTable> + 80008568: 00a686b3 add a3,a3,a0 + 8000856c: 0006c883 lbu a7,0(a3) + 80008570: 00445503 lhu a0,4(s0) + 80008574: 4087d69b sraiw a3,a5,0x8 + 80008578: 00f647b3 xor a5,a2,a5 + 8000857c: 00b64633 xor a2,a2,a1 + 80008580: 03c77713 andi a4,a4,60 + 80008584: 0016c693 xori a3,a3,1 + 80008588: 00c7f7b3 and a5,a5,a2 + 8000858c: 01176733 or a4,a4,a7 + 80008590: 0016f693 andi a3,a3,1 + 80008594: 0017d79b srliw a5,a5,0x1 + 80008598: 0015051b addiw a0,a0,1 + 8000859c: 00d76733 or a4,a4,a3 + 800085a0: 0407f793 andi a5,a5,64 + 800085a4: 03051513 slli a0,a0,0x30 + 800085a8: 03055513 srli a0,a0,0x30 + 800085ac: 00f767b3 or a5,a4,a5 + 800085b0: 00a41223 sh a0,4(s0) + 800085b4: 00b40c23 sb a1,24(s0) + 800085b8: 01040323 sb a6,6(s0) + 800085bc: 00f40523 sb a5,10(s0) + 800085c0: 01042483 lw s1,16(s0) + 800085c4: 00ac8463 beq s9,a0,800085cc <_Z14X6502_RunDebugi+0x776c> + 800085c8: aa5f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800085cc: b2df806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800085d0: 00351793 slli a5,a0,0x3 + 800085d4: 00f907b3 add a5,s2,a5 + 800085d8: 0007b783 ld a5,0(a5) + 800085dc: 000780e7 jalr a5 + 800085e0: 0015079b addiw a5,a0,1 + 800085e4: 001c0717 auipc a4,0x1c0 + 800085e8: 74470713 addi a4,a4,1860 # 801c8d28 + 800085ec: 0ff7f793 andi a5,a5,255 + 800085f0: 02051513 slli a0,a0,0x20 + 800085f4: 00f707b3 add a5,a4,a5 + 800085f8: 02055513 srli a0,a0,0x20 + 800085fc: 0007c603 lbu a2,0(a5) + 80008600: 00a70533 add a0,a4,a0 + 80008604: 00054703 lbu a4,0(a0) + 80008608: 00844483 lbu s1,8(s0) + 8000860c: 0086179b slliw a5,a2,0x8 + 80008610: 00e7e7b3 or a5,a5,a4 + 80008614: 00f484bb addw s1,s1,a5 + 80008618: 0007879b sext.w a5,a5 + 8000861c: 0187f7b3 and a5,a5,s8 + 80008620: 0ff4f513 andi a0,s1,255 + 80008624: 00f56733 or a4,a0,a5 + 80008628: 00445683 lhu a3,4(s0) + 8000862c: 00371713 slli a4,a4,0x3 + 80008630: 00e90733 add a4,s2,a4 + 80008634: 00073583 ld a1,0(a4) + 80008638: 03049493 slli s1,s1,0x30 + 8000863c: 0016871b addiw a4,a3,1 + 80008640: 0304d493 srli s1,s1,0x30 + 80008644: 00e41223 sh a4,4(s0) + 80008648: 00c40c23 sb a2,24(s0) + 8000864c: 00349d13 slli s10,s1,0x3 + 80008650: 00f56533 or a0,a0,a5 + 80008654: 000580e7 jalr a1 + 80008658: 01a90933 add s2,s2,s10 + 8000865c: 00093783 ld a5,0(s2) + 80008660: 00a40c23 sb a0,24(s0) + 80008664: 00048513 mv a0,s1 + 80008668: 000780e7 jalr a5 + 8000866c: 00140797 auipc a5,0x140 + 80008670: 67478793 addi a5,a5,1652 # 80148ce0 + 80008674: 01a78d33 add s10,a5,s10 + 80008678: 000d3783 ld a5,0(s10) + 8000867c: 00050913 mv s2,a0 + 80008680: 00050593 mv a1,a0 + 80008684: 01240c23 sb s2,24(s0) + 80008688: 00048513 mv a0,s1 + 8000868c: 000780e7 jalr a5 + 80008690: 0019091b addiw s2,s2,1 + 80008694: 0ff97793 andi a5,s2,255 + 80008698: 0004f697 auipc a3,0x4f + 8000869c: 1d868693 addi a3,a3,472 # 80057870 <_ZL7ZNTable> + 800086a0: 00f68633 add a2,a3,a5 + 800086a4: 00a44703 lbu a4,10(s0) + 800086a8: 00064583 lbu a1,0(a2) + 800086ac: 00644603 lbu a2,6(s0) + 800086b0: 07d77713 andi a4,a4,125 + 800086b4: 00b76733 or a4,a4,a1 + 800086b8: fff74593 not a1,a4 + 800086bc: 40f607bb subw a5,a2,a5 + 800086c0: 0015f593 andi a1,a1,1 + 800086c4: 40b787bb subw a5,a5,a1 + 800086c8: 0007851b sext.w a0,a5 + 800086cc: 0ff57593 andi a1,a0,255 + 800086d0: 00b686b3 add a3,a3,a1 + 800086d4: 0006c803 lbu a6,0(a3) + 800086d8: 0ff97593 andi a1,s2,255 + 800086dc: 4087d69b sraiw a3,a5,0x8 + 800086e0: 00f647b3 xor a5,a2,a5 + 800086e4: 00b64633 xor a2,a2,a1 + 800086e8: 00c7f7b3 and a5,a5,a2 + 800086ec: 03c77713 andi a4,a4,60 + 800086f0: 0016c693 xori a3,a3,1 + 800086f4: 01076733 or a4,a4,a6 + 800086f8: 0016f693 andi a3,a3,1 + 800086fc: 0017d79b srliw a5,a5,0x1 + 80008700: 00d76733 or a4,a4,a3 + 80008704: 0407f793 andi a5,a5,64 + 80008708: 000d3683 ld a3,0(s10) + 8000870c: 00f767b3 or a5,a4,a5 + 80008710: 00a40323 sb a0,6(s0) + 80008714: 00f40523 sb a5,10(s0) + 80008718: 00048513 mv a0,s1 + 8000871c: 000680e7 jalr a3 + 80008720: 00445503 lhu a0,4(s0) + 80008724: 01042483 lw s1,16(s0) + 80008728: 00ac8463 beq s9,a0,80008730 <_Z14X6502_RunDebugi+0x78d0> + 8000872c: 941f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008730: 9c9f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008734: 00351793 slli a5,a0,0x3 + 80008738: 00f907b3 add a5,s2,a5 + 8000873c: 0007b783 ld a5,0(a5) + 80008740: 000780e7 jalr a5 + 80008744: 0015079b addiw a5,a0,1 + 80008748: 001c0717 auipc a4,0x1c0 + 8000874c: 5e070713 addi a4,a4,1504 # 801c8d28 + 80008750: 0ff7f793 andi a5,a5,255 + 80008754: 02051513 slli a0,a0,0x20 + 80008758: 00f707b3 add a5,a4,a5 + 8000875c: 02055513 srli a0,a0,0x20 + 80008760: 0007c603 lbu a2,0(a5) + 80008764: 00a70533 add a0,a4,a0 + 80008768: 00054583 lbu a1,0(a0) + 8000876c: 00844703 lbu a4,8(s0) + 80008770: 00445683 lhu a3,4(s0) + 80008774: 0086179b slliw a5,a2,0x8 + 80008778: 00b7e7b3 or a5,a5,a1 + 8000877c: 00f7073b addw a4,a4,a5 + 80008780: 0016869b addiw a3,a3,1 + 80008784: 00e7c7b3 xor a5,a5,a4 + 80008788: 00d41223 sh a3,4(s0) + 8000878c: 00c40c23 sb a2,24(s0) + 80008790: 1007f793 andi a5,a5,256 + 80008794: 0007049b sext.w s1,a4 + 80008798: 06078463 beqz a5,80008800 <_Z14X6502_RunDebugi+0x79a0> + 8000879c: 03071493 slli s1,a4,0x30 + 800087a0: 0304d493 srli s1,s1,0x30 + 800087a4: 1004c793 xori a5,s1,256 + 800087a8: 00379793 slli a5,a5,0x3 + 800087ac: 00f907b3 add a5,s2,a5 + 800087b0: 0007b783 ld a5,0(a5) + 800087b4: 1004c513 xori a0,s1,256 + 800087b8: 000780e7 jalr a5 + 800087bc: 00042683 lw a3,0(s0) + 800087c0: 01042703 lw a4,16(s0) + 800087c4: 0009a783 lw a5,0(s3) + 800087c8: 000a4603 lbu a2,0(s4) + 800087cc: 0016869b addiw a3,a3,1 + 800087d0: fd07071b addiw a4,a4,-48 + 800087d4: 0017879b addiw a5,a5,1 + 800087d8: 00a40c23 sb a0,24(s0) + 800087dc: 00d42023 sw a3,0(s0) + 800087e0: 00e42823 sw a4,16(s0) + 800087e4: 00f9a023 sw a5,0(s3) + 800087e8: 00061c63 bnez a2,80008800 <_Z14X6502_RunDebugi+0x79a0> + 800087ec: 001c3717 auipc a4,0x1c3 + 800087f0: 35c70713 addi a4,a4,860 # 801cbb48 + 800087f4: 00072783 lw a5,0(a4) + 800087f8: 0017879b addiw a5,a5,1 + 800087fc: 00f72023 sw a5,0(a4) + 80008800: 00349793 slli a5,s1,0x3 + 80008804: 00f90933 add s2,s2,a5 + 80008808: 00093783 ld a5,0(s2) + 8000880c: 00048513 mv a0,s1 + 80008810: 000780e7 jalr a5 + 80008814: 00a44703 lbu a4,10(s0) + 80008818: 00644603 lbu a2,6(s0) + 8000881c: 00050593 mv a1,a0 + 80008820: fff74693 not a3,a4 + 80008824: 40a607bb subw a5,a2,a0 + 80008828: 0016f693 andi a3,a3,1 + 8000882c: 40d787bb subw a5,a5,a3 + 80008830: 0007881b sext.w a6,a5 + 80008834: 0ff87513 andi a0,a6,255 + 80008838: 0004f697 auipc a3,0x4f + 8000883c: 03868693 addi a3,a3,56 # 80057870 <_ZL7ZNTable> + 80008840: 00a686b3 add a3,a3,a0 + 80008844: 0006c503 lbu a0,0(a3) + 80008848: 4087d69b sraiw a3,a5,0x8 + 8000884c: 00f647b3 xor a5,a2,a5 + 80008850: 00b64633 xor a2,a2,a1 + 80008854: 03c77713 andi a4,a4,60 + 80008858: 0016c693 xori a3,a3,1 + 8000885c: 00c7f7b3 and a5,a5,a2 + 80008860: 00a76733 or a4,a4,a0 + 80008864: 0016f693 andi a3,a3,1 + 80008868: 0017d79b srliw a5,a5,0x1 + 8000886c: 00d76733 or a4,a4,a3 + 80008870: 0407f793 andi a5,a5,64 + 80008874: 00445503 lhu a0,4(s0) + 80008878: 00f767b3 or a5,a4,a5 + 8000887c: 00b40c23 sb a1,24(s0) + 80008880: 01040323 sb a6,6(s0) + 80008884: 00f40523 sb a5,10(s0) + 80008888: 01042483 lw s1,16(s0) + 8000888c: 00ac8463 beq s9,a0,80008894 <_Z14X6502_RunDebugi+0x7a34> + 80008890: fdcf806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008894: 865f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008898: 00a44783 lbu a5,10(s0) + 8000889c: 0027f793 andi a5,a5,2 + 800088a0: 00079463 bnez a5,800088a8 <_Z14X6502_RunDebugi+0x7a48> + 800088a4: 3290206f j 8000b3cc <_Z14X6502_RunDebugi+0xa56c> + 800088a8: 00351793 slli a5,a0,0x3 + 800088ac: 00f90933 add s2,s2,a5 + 800088b0: 00093783 ld a5,0(s2) + 800088b4: 000780e7 jalr a5 + 800088b8: 00445783 lhu a5,4(s0) + 800088bc: 00050693 mv a3,a0 + 800088c0: 0185151b slliw a0,a0,0x18 + 800088c4: 0017879b addiw a5,a5,1 + 800088c8: 03079793 slli a5,a5,0x30 + 800088cc: 00042583 lw a1,0(s0) + 800088d0: 01042703 lw a4,16(s0) + 800088d4: 0009a603 lw a2,0(s3) + 800088d8: 0307d793 srli a5,a5,0x30 + 800088dc: 4185551b sraiw a0,a0,0x18 + 800088e0: 00f5053b addw a0,a0,a5 + 800088e4: 03051513 slli a0,a0,0x30 + 800088e8: 000a4803 lbu a6,0(s4) + 800088ec: fd07049b addiw s1,a4,-48 + 800088f0: 0015831b addiw t1,a1,1 + 800088f4: 0016089b addiw a7,a2,1 + 800088f8: 03055513 srli a0,a0,0x30 + 800088fc: 00a7c7b3 xor a5,a5,a0 + 80008900: 00d40c23 sb a3,24(s0) + 80008904: 00642023 sw t1,0(s0) + 80008908: 00942823 sw s1,16(s0) + 8000890c: 0119a023 sw a7,0(s3) + 80008910: 1007f793 andi a5,a5,256 + 80008914: 00080463 beqz a6,8000891c <_Z14X6502_RunDebugi+0x7abc> + 80008918: 4a10206f j 8000b5b8 <_Z14X6502_RunDebugi+0xa758> + 8000891c: 001c3817 auipc a6,0x1c3 + 80008920: 22c80813 addi a6,a6,556 # 801cbb48 + 80008924: 00082683 lw a3,0(a6) + 80008928: 00a41223 sh a0,4(s0) + 8000892c: 0016889b addiw a7,a3,1 + 80008930: 01182023 sw a7,0(a6) + 80008934: 00079463 bnez a5,8000893c <_Z14X6502_RunDebugi+0x7adc> + 80008938: f30f806f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000893c: fa07049b addiw s1,a4,-96 + 80008940: 0025859b addiw a1,a1,2 + 80008944: 0026061b addiw a2,a2,2 + 80008948: 0026869b addiw a3,a3,2 + 8000894c: 00b42023 sw a1,0(s0) + 80008950: 00942823 sw s1,16(s0) + 80008954: 00c9a023 sw a2,0(s3) + 80008958: 00d82023 sw a3,0(a6) + 8000895c: 00ac8463 beq s9,a0,80008964 <_Z14X6502_RunDebugi+0x7b04> + 80008960: f0cf806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008964: f94f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008968: 00351793 slli a5,a0,0x3 + 8000896c: 00f907b3 add a5,s2,a5 + 80008970: 0007b783 ld a5,0(a5) + 80008974: 000780e7 jalr a5 + 80008978: 00445783 lhu a5,4(s0) + 8000897c: 00050493 mv s1,a0 + 80008980: 00a40c23 sb a0,24(s0) + 80008984: 0017879b addiw a5,a5,1 + 80008988: 03079513 slli a0,a5,0x30 + 8000898c: 03055513 srli a0,a0,0x30 + 80008990: 00351793 slli a5,a0,0x3 + 80008994: 00f907b3 add a5,s2,a5 + 80008998: 0007b783 ld a5,0(a5) + 8000899c: 00a41223 sh a0,4(s0) + 800089a0: 0004849b sext.w s1,s1 + 800089a4: 000780e7 jalr a5 + 800089a8: 00851713 slli a4,a0,0x8 + 800089ac: 00976d33 or s10,a4,s1 + 800089b0: 00445783 lhu a5,4(s0) + 800089b4: 003d1d13 slli s10,s10,0x3 + 800089b8: 01a90933 add s2,s2,s10 + 800089bc: 00093683 ld a3,0(s2) + 800089c0: 0017879b addiw a5,a5,1 + 800089c4: 00976933 or s2,a4,s1 + 800089c8: 00a40c23 sb a0,24(s0) + 800089cc: 00f41223 sh a5,4(s0) + 800089d0: 00090513 mv a0,s2 + 800089d4: 000680e7 jalr a3 + 800089d8: 00140797 auipc a5,0x140 + 800089dc: 30878793 addi a5,a5,776 # 80148ce0 + 800089e0: 01a78d33 add s10,a5,s10 + 800089e4: 000d3783 ld a5,0(s10) + 800089e8: 00050493 mv s1,a0 + 800089ec: 00050593 mv a1,a0 + 800089f0: 00940c23 sb s1,24(s0) + 800089f4: 00090513 mv a0,s2 + 800089f8: 000780e7 jalr a5 + 800089fc: 0014849b addiw s1,s1,1 + 80008a00: 0ff4f793 andi a5,s1,255 + 80008a04: 0004f697 auipc a3,0x4f + 80008a08: e6c68693 addi a3,a3,-404 # 80057870 <_ZL7ZNTable> + 80008a0c: 00f68633 add a2,a3,a5 + 80008a10: 00a44703 lbu a4,10(s0) + 80008a14: 00064583 lbu a1,0(a2) + 80008a18: 00644603 lbu a2,6(s0) + 80008a1c: 07d77713 andi a4,a4,125 + 80008a20: 00b76733 or a4,a4,a1 + 80008a24: fff74593 not a1,a4 + 80008a28: 40f607bb subw a5,a2,a5 + 80008a2c: 0015f593 andi a1,a1,1 + 80008a30: 40b787bb subw a5,a5,a1 + 80008a34: 0007851b sext.w a0,a5 + 80008a38: 0ff57593 andi a1,a0,255 + 80008a3c: 00b686b3 add a3,a3,a1 + 80008a40: 0006c803 lbu a6,0(a3) + 80008a44: 0ff4f593 andi a1,s1,255 + 80008a48: 4087d69b sraiw a3,a5,0x8 + 80008a4c: 00f647b3 xor a5,a2,a5 + 80008a50: 00b64633 xor a2,a2,a1 + 80008a54: 00c7f7b3 and a5,a5,a2 + 80008a58: 03c77713 andi a4,a4,60 + 80008a5c: 0016c693 xori a3,a3,1 + 80008a60: 01076733 or a4,a4,a6 + 80008a64: 0016f693 andi a3,a3,1 + 80008a68: 0017d79b srliw a5,a5,0x1 + 80008a6c: 00d76733 or a4,a4,a3 + 80008a70: 0407f793 andi a5,a5,64 + 80008a74: 000d3683 ld a3,0(s10) + 80008a78: 00f767b3 or a5,a4,a5 + 80008a7c: 00a40323 sb a0,6(s0) + 80008a80: 00f40523 sb a5,10(s0) + 80008a84: 00090513 mv a0,s2 + 80008a88: 000680e7 jalr a3 + 80008a8c: 00445503 lhu a0,4(s0) + 80008a90: 01042483 lw s1,16(s0) + 80008a94: 00ac8463 beq s9,a0,80008a9c <_Z14X6502_RunDebugi+0x7c3c> + 80008a98: dd4f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008a9c: e5cf806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008aa0: 00351793 slli a5,a0,0x3 + 80008aa4: 00f907b3 add a5,s2,a5 + 80008aa8: 0007b783 ld a5,0(a5) + 80008aac: 000780e7 jalr a5 + 80008ab0: 00445783 lhu a5,4(s0) + 80008ab4: 00050493 mv s1,a0 + 80008ab8: 00a40c23 sb a0,24(s0) + 80008abc: 0017879b addiw a5,a5,1 + 80008ac0: 03079513 slli a0,a5,0x30 + 80008ac4: 03055513 srli a0,a0,0x30 + 80008ac8: 00351793 slli a5,a0,0x3 + 80008acc: 00f907b3 add a5,s2,a5 + 80008ad0: 0007b783 ld a5,0(a5) + 80008ad4: 00a41223 sh a0,4(s0) + 80008ad8: 0004849b sext.w s1,s1 + 80008adc: 000780e7 jalr a5 + 80008ae0: 00851713 slli a4,a0,0x8 + 80008ae4: 00976d33 or s10,a4,s1 + 80008ae8: 00445783 lhu a5,4(s0) + 80008aec: 003d1d93 slli s11,s10,0x3 + 80008af0: 01b90933 add s2,s2,s11 + 80008af4: 00093683 ld a3,0(s2) + 80008af8: 0017879b addiw a5,a5,1 + 80008afc: 000d0913 mv s2,s10 + 80008b00: 00a40c23 sb a0,24(s0) + 80008b04: 00f41223 sh a5,4(s0) + 80008b08: 000d0513 mv a0,s10 + 80008b0c: 00140d17 auipc s10,0x140 + 80008b10: 1d4d0d13 addi s10,s10,468 # 80148ce0 + 80008b14: 000680e7 jalr a3 + 80008b18: 01bd0d33 add s10,s10,s11 + 80008b1c: 000d3783 ld a5,0(s10) + 80008b20: 00050493 mv s1,a0 + 80008b24: 00050593 mv a1,a0 + 80008b28: 00940c23 sb s1,24(s0) + 80008b2c: 00090513 mv a0,s2 + 80008b30: 000780e7 jalr a5 + 80008b34: 0014859b addiw a1,s1,1 + 80008b38: 0ff5f693 andi a3,a1,255 + 80008b3c: 0004f717 auipc a4,0x4f + 80008b40: d3470713 addi a4,a4,-716 # 80057870 <_ZL7ZNTable> + 80008b44: 00a44783 lbu a5,10(s0) + 80008b48: 00d70733 add a4,a4,a3 + 80008b4c: 00074683 lbu a3,0(a4) + 80008b50: 07d7f793 andi a5,a5,125 + 80008b54: 000d3703 ld a4,0(s10) + 80008b58: 00d7e7b3 or a5,a5,a3 + 80008b5c: 00090513 mv a0,s2 + 80008b60: 00f40523 sb a5,10(s0) + 80008b64: 0ff5f593 andi a1,a1,255 + 80008b68: 000700e7 jalr a4 + 80008b6c: 00445503 lhu a0,4(s0) + 80008b70: 01042483 lw s1,16(s0) + 80008b74: 00ac8463 beq s9,a0,80008b7c <_Z14X6502_RunDebugi+0x7d1c> + 80008b78: cf4f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008b7c: d7cf806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008b80: 00351793 slli a5,a0,0x3 + 80008b84: 00f907b3 add a5,s2,a5 + 80008b88: 0007b783 ld a5,0(a5) + 80008b8c: 000780e7 jalr a5 + 80008b90: 00445783 lhu a5,4(s0) + 80008b94: 00050493 mv s1,a0 + 80008b98: 00a40c23 sb a0,24(s0) + 80008b9c: 0017879b addiw a5,a5,1 + 80008ba0: 03079513 slli a0,a5,0x30 + 80008ba4: 03055513 srli a0,a0,0x30 + 80008ba8: 00351793 slli a5,a0,0x3 + 80008bac: 00f907b3 add a5,s2,a5 + 80008bb0: 0007b783 ld a5,0(a5) + 80008bb4: 00a41223 sh a0,4(s0) + 80008bb8: 0004849b sext.w s1,s1 + 80008bbc: 000780e7 jalr a5 + 80008bc0: 00851693 slli a3,a0,0x8 + 80008bc4: 0096e7b3 or a5,a3,s1 + 80008bc8: 00445703 lhu a4,4(s0) + 80008bcc: 00379793 slli a5,a5,0x3 + 80008bd0: 00f90933 add s2,s2,a5 + 80008bd4: 00093603 ld a2,0(s2) + 80008bd8: 0017079b addiw a5,a4,1 + 80008bdc: 00a40c23 sb a0,24(s0) + 80008be0: 00f41223 sh a5,4(s0) + 80008be4: 0096e533 or a0,a3,s1 + 80008be8: 000600e7 jalr a2 + 80008bec: 00a44703 lbu a4,10(s0) + 80008bf0: 00644603 lbu a2,6(s0) + 80008bf4: 00050593 mv a1,a0 + 80008bf8: fff74693 not a3,a4 + 80008bfc: 40a607bb subw a5,a2,a0 + 80008c00: 0016f693 andi a3,a3,1 + 80008c04: 40d787bb subw a5,a5,a3 + 80008c08: 0007881b sext.w a6,a5 + 80008c0c: 0ff87513 andi a0,a6,255 + 80008c10: 0004f697 auipc a3,0x4f + 80008c14: c6068693 addi a3,a3,-928 # 80057870 <_ZL7ZNTable> + 80008c18: 00a686b3 add a3,a3,a0 + 80008c1c: 0006c503 lbu a0,0(a3) + 80008c20: 4087d69b sraiw a3,a5,0x8 + 80008c24: 00f647b3 xor a5,a2,a5 + 80008c28: 00b64633 xor a2,a2,a1 + 80008c2c: 03c77713 andi a4,a4,60 + 80008c30: 0016c693 xori a3,a3,1 + 80008c34: 00c7f7b3 and a5,a5,a2 + 80008c38: 00a76733 or a4,a4,a0 + 80008c3c: 0016f693 andi a3,a3,1 + 80008c40: 0017d79b srliw a5,a5,0x1 + 80008c44: 00d76733 or a4,a4,a3 + 80008c48: 0407f793 andi a5,a5,64 + 80008c4c: 00445503 lhu a0,4(s0) + 80008c50: 00f767b3 or a5,a4,a5 + 80008c54: 00b40c23 sb a1,24(s0) + 80008c58: 01040323 sb a6,6(s0) + 80008c5c: 00f40523 sb a5,10(s0) + 80008c60: 01042483 lw s1,16(s0) + 80008c64: 00ac8463 beq s9,a0,80008c6c <_Z14X6502_RunDebugi+0x7e0c> + 80008c68: c04f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008c6c: c8cf806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008c70: 00351793 slli a5,a0,0x3 + 80008c74: 00f907b3 add a5,s2,a5 + 80008c78: 0007b783 ld a5,0(a5) + 80008c7c: 000780e7 jalr a5 + 80008c80: 00744783 lbu a5,7(s0) + 80008c84: 001c0697 auipc a3,0x1c0 + 80008c88: 0a468693 addi a3,a3,164 # 801c8d28 + 80008c8c: 00445603 lhu a2,4(s0) + 80008c90: 00a787bb addw a5,a5,a0 + 80008c94: 00178713 addi a4,a5,1 + 80008c98: 0ff77713 andi a4,a4,255 + 80008c9c: 00e68733 add a4,a3,a4 + 80008ca0: 0ff7f793 andi a5,a5,255 + 80008ca4: 00074703 lbu a4,0(a4) + 80008ca8: 00f687b3 add a5,a3,a5 + 80008cac: 0007c783 lbu a5,0(a5) + 80008cb0: 0087151b slliw a0,a4,0x8 + 80008cb4: 0016061b addiw a2,a2,1 + 80008cb8: 00f56533 or a0,a0,a5 + 80008cbc: 03051793 slli a5,a0,0x30 + 80008cc0: 02d7d793 srli a5,a5,0x2d + 80008cc4: 00f90933 add s2,s2,a5 + 80008cc8: 00093783 ld a5,0(s2) + 80008ccc: 00c41223 sh a2,4(s0) + 80008cd0: 00e40c23 sb a4,24(s0) + 80008cd4: 0005051b sext.w a0,a0 + 80008cd8: 000780e7 jalr a5 + 80008cdc: 00a44703 lbu a4,10(s0) + 80008ce0: 00644603 lbu a2,6(s0) + 80008ce4: 00050593 mv a1,a0 + 80008ce8: fff74693 not a3,a4 + 80008cec: 40a607bb subw a5,a2,a0 + 80008cf0: 0016f693 andi a3,a3,1 + 80008cf4: 40d787bb subw a5,a5,a3 + 80008cf8: 0007881b sext.w a6,a5 + 80008cfc: 0ff87513 andi a0,a6,255 + 80008d00: 0004f697 auipc a3,0x4f + 80008d04: b7068693 addi a3,a3,-1168 # 80057870 <_ZL7ZNTable> + 80008d08: 00a686b3 add a3,a3,a0 + 80008d0c: 0006c503 lbu a0,0(a3) + 80008d10: 4087d69b sraiw a3,a5,0x8 + 80008d14: 00f647b3 xor a5,a2,a5 + 80008d18: 00b64633 xor a2,a2,a1 + 80008d1c: 03c77713 andi a4,a4,60 + 80008d20: 0016c693 xori a3,a3,1 + 80008d24: 00c7f7b3 and a5,a5,a2 + 80008d28: 00a76733 or a4,a4,a0 + 80008d2c: 0016f693 andi a3,a3,1 + 80008d30: 0017d79b srliw a5,a5,0x1 + 80008d34: 00d76733 or a4,a4,a3 + 80008d38: 0407f793 andi a5,a5,64 + 80008d3c: 00445503 lhu a0,4(s0) + 80008d40: 00f767b3 or a5,a4,a5 + 80008d44: 00b40c23 sb a1,24(s0) + 80008d48: 01040323 sb a6,6(s0) + 80008d4c: 00f40523 sb a5,10(s0) + 80008d50: 01042483 lw s1,16(s0) + 80008d54: 00ac8463 beq s9,a0,80008d5c <_Z14X6502_RunDebugi+0x7efc> + 80008d58: b14f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008d5c: b9cf806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008d60: 00351793 slli a5,a0,0x3 + 80008d64: 00f90933 add s2,s2,a5 + 80008d68: 00093783 ld a5,0(s2) + 80008d6c: 000780e7 jalr a5 + 80008d70: 00744783 lbu a5,7(s0) + 80008d74: 0004f617 auipc a2,0x4f + 80008d78: afc60613 addi a2,a2,-1284 # 80057870 <_ZL7ZNTable> + 80008d7c: 00a44703 lbu a4,10(s0) + 80008d80: 40a787bb subw a5,a5,a0 + 80008d84: 0ff7f593 andi a1,a5,255 + 80008d88: 00b60633 add a2,a2,a1 + 80008d8c: 00050693 mv a3,a0 + 80008d90: 00064603 lbu a2,0(a2) + 80008d94: 00445503 lhu a0,4(s0) + 80008d98: 07d77713 andi a4,a4,125 + 80008d9c: 4087d79b sraiw a5,a5,0x8 + 80008da0: 00c76733 or a4,a4,a2 + 80008da4: 0017c793 xori a5,a5,1 + 80008da8: 0015051b addiw a0,a0,1 + 80008dac: ffe77713 andi a4,a4,-2 + 80008db0: 0017f793 andi a5,a5,1 + 80008db4: 03051513 slli a0,a0,0x30 + 80008db8: 03055513 srli a0,a0,0x30 + 80008dbc: 00f767b3 or a5,a4,a5 + 80008dc0: 00d40c23 sb a3,24(s0) + 80008dc4: 00a41223 sh a0,4(s0) + 80008dc8: 00f40523 sb a5,10(s0) + 80008dcc: 01042483 lw s1,16(s0) + 80008dd0: 00ac8463 beq s9,a0,80008dd8 <_Z14X6502_RunDebugi+0x7f78> + 80008dd4: a98f806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008dd8: b20f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008ddc: 00351793 slli a5,a0,0x3 + 80008de0: 00f907b3 add a5,s2,a5 + 80008de4: 0007b783 ld a5,0(a5) + 80008de8: 000780e7 jalr a5 + 80008dec: 00445783 lhu a5,4(s0) + 80008df0: 00050713 mv a4,a0 + 80008df4: 00a40c23 sb a0,24(s0) + 80008df8: 0017879b addiw a5,a5,1 + 80008dfc: 03079513 slli a0,a5,0x30 + 80008e00: 03055513 srli a0,a0,0x30 + 80008e04: 00351793 slli a5,a0,0x3 + 80008e08: 00f907b3 add a5,s2,a5 + 80008e0c: 0007b783 ld a5,0(a5) + 80008e10: 00a41223 sh a0,4(s0) + 80008e14: 0007049b sext.w s1,a4 + 80008e18: 000780e7 jalr a5 + 80008e1c: 00744703 lbu a4,7(s0) + 80008e20: 00851793 slli a5,a0,0x8 + 80008e24: 0097e7b3 or a5,a5,s1 + 80008e28: 00f704bb addw s1,a4,a5 + 80008e2c: 0ff4f613 andi a2,s1,255 + 80008e30: 0187f7b3 and a5,a5,s8 + 80008e34: 00f66733 or a4,a2,a5 + 80008e38: 00445683 lhu a3,4(s0) + 80008e3c: 00371713 slli a4,a4,0x3 + 80008e40: 00e90733 add a4,s2,a4 + 80008e44: 00073583 ld a1,0(a4) + 80008e48: 03049493 slli s1,s1,0x30 + 80008e4c: 0016871b addiw a4,a3,1 + 80008e50: 0304d493 srli s1,s1,0x30 + 80008e54: 00e41223 sh a4,4(s0) + 80008e58: 00349d13 slli s10,s1,0x3 + 80008e5c: 00a40c23 sb a0,24(s0) + 80008e60: 00f66533 or a0,a2,a5 + 80008e64: 000580e7 jalr a1 + 80008e68: 01a90933 add s2,s2,s10 + 80008e6c: 00093783 ld a5,0(s2) + 80008e70: 00a40c23 sb a0,24(s0) + 80008e74: 00048513 mv a0,s1 + 80008e78: 000780e7 jalr a5 + 80008e7c: 00140797 auipc a5,0x140 + 80008e80: e6478793 addi a5,a5,-412 # 80148ce0 + 80008e84: 01a78d33 add s10,a5,s10 + 80008e88: 000d3783 ld a5,0(s10) + 80008e8c: 00050913 mv s2,a0 + 80008e90: 00050593 mv a1,a0 + 80008e94: 01240c23 sb s2,24(s0) + 80008e98: 00048513 mv a0,s1 + 80008e9c: 000780e7 jalr a5 + 80008ea0: 00644703 lbu a4,6(s0) + 80008ea4: fff9059b addiw a1,s2,-1 + 80008ea8: 0ff5f793 andi a5,a1,255 + 80008eac: 0004f697 auipc a3,0x4f + 80008eb0: 9c468693 addi a3,a3,-1596 # 80057870 <_ZL7ZNTable> + 80008eb4: 40f7073b subw a4,a4,a5 + 80008eb8: 00f687b3 add a5,a3,a5 + 80008ebc: 00a44603 lbu a2,10(s0) + 80008ec0: 0ff77513 andi a0,a4,255 + 80008ec4: 0007c783 lbu a5,0(a5) + 80008ec8: 00a686b3 add a3,a3,a0 + 80008ecc: 0006c683 lbu a3,0(a3) + 80008ed0: 00c7e7b3 or a5,a5,a2 + 80008ed4: 07d7f793 andi a5,a5,125 + 80008ed8: 4087571b sraiw a4,a4,0x8 + 80008edc: 00d7e7b3 or a5,a5,a3 + 80008ee0: 00174713 xori a4,a4,1 + 80008ee4: 00177713 andi a4,a4,1 + 80008ee8: 000d3683 ld a3,0(s10) + 80008eec: ffe7f793 andi a5,a5,-2 + 80008ef0: 00e7e7b3 or a5,a5,a4 + 80008ef4: 00048513 mv a0,s1 + 80008ef8: 00f40523 sb a5,10(s0) + 80008efc: 0ff5f593 andi a1,a1,255 + 80008f00: 000680e7 jalr a3 + 80008f04: 00445503 lhu a0,4(s0) + 80008f08: 01042483 lw s1,16(s0) + 80008f0c: 00ac8463 beq s9,a0,80008f14 <_Z14X6502_RunDebugi+0x80b4> + 80008f10: 95cf806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80008f14: 9e4f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80008f18: 00351793 slli a5,a0,0x3 + 80008f1c: 00f907b3 add a5,s2,a5 + 80008f20: 0007b783 ld a5,0(a5) + 80008f24: 000780e7 jalr a5 + 80008f28: 00445783 lhu a5,4(s0) + 80008f2c: 00050713 mv a4,a0 + 80008f30: 00a40c23 sb a0,24(s0) + 80008f34: 0017879b addiw a5,a5,1 + 80008f38: 03079513 slli a0,a5,0x30 + 80008f3c: 03055513 srli a0,a0,0x30 + 80008f40: 00351793 slli a5,a0,0x3 + 80008f44: 00f907b3 add a5,s2,a5 + 80008f48: 0007b783 ld a5,0(a5) + 80008f4c: 00a41223 sh a0,4(s0) + 80008f50: 0007049b sext.w s1,a4 + 80008f54: 000780e7 jalr a5 + 80008f58: 00744683 lbu a3,7(s0) + 80008f5c: 00851793 slli a5,a0,0x8 + 80008f60: 0097e7b3 or a5,a5,s1 + 80008f64: 00f686bb addw a3,a3,a5 + 80008f68: 0ff6f593 andi a1,a3,255 + 80008f6c: 0187f7b3 and a5,a5,s8 + 80008f70: 00f5e733 or a4,a1,a5 + 80008f74: 00445603 lhu a2,4(s0) + 80008f78: 00371713 slli a4,a4,0x3 + 80008f7c: 00e90733 add a4,s2,a4 + 80008f80: 00073803 ld a6,0(a4) + 80008f84: 03069d13 slli s10,a3,0x30 + 80008f88: 0016071b addiw a4,a2,1 + 80008f8c: 030d5d13 srli s10,s10,0x30 + 80008f90: 00e41223 sh a4,4(s0) + 80008f94: 003d1493 slli s1,s10,0x3 + 80008f98: 00a40c23 sb a0,24(s0) + 80008f9c: 00f5e533 or a0,a1,a5 + 80008fa0: 000800e7 jalr a6 + 80008fa4: 00990933 add s2,s2,s1 + 80008fa8: 00093783 ld a5,0(s2) + 80008fac: 00a40c23 sb a0,24(s0) + 80008fb0: 00140917 auipc s2,0x140 + 80008fb4: d3090913 addi s2,s2,-720 # 80148ce0 + 80008fb8: 000d0513 mv a0,s10 + 80008fbc: 000780e7 jalr a5 + 80008fc0: 00990933 add s2,s2,s1 + 80008fc4: 00093783 ld a5,0(s2) + 80008fc8: 00050493 mv s1,a0 + 80008fcc: 00050593 mv a1,a0 + 80008fd0: 00940c23 sb s1,24(s0) + 80008fd4: 000d0513 mv a0,s10 + 80008fd8: 000780e7 jalr a5 + 80008fdc: fff4859b addiw a1,s1,-1 + 80008fe0: 0ff5f693 andi a3,a1,255 + 80008fe4: 0004f717 auipc a4,0x4f + 80008fe8: 88c70713 addi a4,a4,-1908 # 80057870 <_ZL7ZNTable> + 80008fec: 00a44783 lbu a5,10(s0) + 80008ff0: 00d70733 add a4,a4,a3 + 80008ff4: 00074683 lbu a3,0(a4) + 80008ff8: 07d7f793 andi a5,a5,125 + 80008ffc: 00093703 ld a4,0(s2) + 80009000: 00d7e7b3 or a5,a5,a3 + 80009004: 000d0513 mv a0,s10 + 80009008: 00f40523 sb a5,10(s0) + 8000900c: 0ff5f593 andi a1,a1,255 + 80009010: 000700e7 jalr a4 + 80009014: 00445503 lhu a0,4(s0) + 80009018: 01042483 lw s1,16(s0) + 8000901c: 00ac8463 beq s9,a0,80009024 <_Z14X6502_RunDebugi+0x81c4> + 80009020: 84cf806f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009024: 8d4f806f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009028: 00351793 slli a5,a0,0x3 + 8000902c: 00f907b3 add a5,s2,a5 + 80009030: 0007b783 ld a5,0(a5) + 80009034: 000780e7 jalr a5 + 80009038: 00445783 lhu a5,4(s0) + 8000903c: 00050713 mv a4,a0 + 80009040: 00a40c23 sb a0,24(s0) + 80009044: 0017879b addiw a5,a5,1 + 80009048: 03079513 slli a0,a5,0x30 + 8000904c: 03055513 srli a0,a0,0x30 + 80009050: 00351793 slli a5,a0,0x3 + 80009054: 00f907b3 add a5,s2,a5 + 80009058: 0007b783 ld a5,0(a5) + 8000905c: 00a41223 sh a0,4(s0) + 80009060: 0007049b sext.w s1,a4 + 80009064: 000780e7 jalr a5 + 80009068: 00744703 lbu a4,7(s0) + 8000906c: 00445683 lhu a3,4(s0) + 80009070: 00851793 slli a5,a0,0x8 + 80009074: 0097e7b3 or a5,a5,s1 + 80009078: 00f7073b addw a4,a4,a5 + 8000907c: 0016869b addiw a3,a3,1 + 80009080: 00e7c7b3 xor a5,a5,a4 + 80009084: 00a40c23 sb a0,24(s0) + 80009088: 00d41223 sh a3,4(s0) + 8000908c: 1007f793 andi a5,a5,256 + 80009090: 0007049b sext.w s1,a4 + 80009094: 06078463 beqz a5,800090fc <_Z14X6502_RunDebugi+0x829c> + 80009098: 03071493 slli s1,a4,0x30 + 8000909c: 0304d493 srli s1,s1,0x30 + 800090a0: 1004c793 xori a5,s1,256 + 800090a4: 00379793 slli a5,a5,0x3 + 800090a8: 00f907b3 add a5,s2,a5 + 800090ac: 0007b783 ld a5,0(a5) + 800090b0: 1004c513 xori a0,s1,256 + 800090b4: 000780e7 jalr a5 + 800090b8: 00042683 lw a3,0(s0) + 800090bc: 01042703 lw a4,16(s0) + 800090c0: 0009a783 lw a5,0(s3) + 800090c4: 000a4603 lbu a2,0(s4) + 800090c8: 0016869b addiw a3,a3,1 + 800090cc: fd07071b addiw a4,a4,-48 + 800090d0: 0017879b addiw a5,a5,1 + 800090d4: 00a40c23 sb a0,24(s0) + 800090d8: 00d42023 sw a3,0(s0) + 800090dc: 00e42823 sw a4,16(s0) + 800090e0: 00f9a023 sw a5,0(s3) + 800090e4: 00061c63 bnez a2,800090fc <_Z14X6502_RunDebugi+0x829c> + 800090e8: 001c3717 auipc a4,0x1c3 + 800090ec: a6070713 addi a4,a4,-1440 # 801cbb48 + 800090f0: 00072783 lw a5,0(a4) + 800090f4: 0017879b addiw a5,a5,1 + 800090f8: 00f72023 sw a5,0(a4) + 800090fc: 02049793 slli a5,s1,0x20 + 80009100: 01d7d793 srli a5,a5,0x1d + 80009104: 00f90933 add s2,s2,a5 + 80009108: 00093783 ld a5,0(s2) + 8000910c: 00048513 mv a0,s1 + 80009110: 000780e7 jalr a5 + 80009114: 00644783 lbu a5,6(s0) + 80009118: 0004e617 auipc a2,0x4e + 8000911c: 75860613 addi a2,a2,1880 # 80057870 <_ZL7ZNTable> + 80009120: 00a44703 lbu a4,10(s0) + 80009124: 40a787bb subw a5,a5,a0 + 80009128: 0ff7f593 andi a1,a5,255 + 8000912c: 00b60633 add a2,a2,a1 + 80009130: 00064603 lbu a2,0(a2) + 80009134: 07d77713 andi a4,a4,125 + 80009138: 4087d79b sraiw a5,a5,0x8 + 8000913c: 00c76733 or a4,a4,a2 + 80009140: 0017c793 xori a5,a5,1 + 80009144: 00050693 mv a3,a0 + 80009148: ffe77713 andi a4,a4,-2 + 8000914c: 0017f793 andi a5,a5,1 + 80009150: 00445503 lhu a0,4(s0) + 80009154: 00f767b3 or a5,a4,a5 + 80009158: 00d40c23 sb a3,24(s0) + 8000915c: 00f40523 sb a5,10(s0) + 80009160: 01042483 lw s1,16(s0) + 80009164: 00ac8463 beq s9,a0,8000916c <_Z14X6502_RunDebugi+0x830c> + 80009168: f05f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000916c: f8df706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009170: 00351793 slli a5,a0,0x3 + 80009174: 00f907b3 add a5,s2,a5 + 80009178: 0007b783 ld a5,0(a5) + 8000917c: 000780e7 jalr a5 + 80009180: 00445783 lhu a5,4(s0) + 80009184: 00050713 mv a4,a0 + 80009188: 00a40c23 sb a0,24(s0) + 8000918c: 0017879b addiw a5,a5,1 + 80009190: 03079513 slli a0,a5,0x30 + 80009194: 03055513 srli a0,a0,0x30 + 80009198: 00351793 slli a5,a0,0x3 + 8000919c: 00f907b3 add a5,s2,a5 + 800091a0: 0007b783 ld a5,0(a5) + 800091a4: 00a41223 sh a0,4(s0) + 800091a8: 0007049b sext.w s1,a4 + 800091ac: 000780e7 jalr a5 + 800091b0: 00844703 lbu a4,8(s0) + 800091b4: 00851793 slli a5,a0,0x8 + 800091b8: 0097e7b3 or a5,a5,s1 + 800091bc: 00f704bb addw s1,a4,a5 + 800091c0: 0ff4f613 andi a2,s1,255 + 800091c4: 0187f7b3 and a5,a5,s8 + 800091c8: 00f66733 or a4,a2,a5 + 800091cc: 00445683 lhu a3,4(s0) + 800091d0: 00371713 slli a4,a4,0x3 + 800091d4: 00e90733 add a4,s2,a4 + 800091d8: 00073583 ld a1,0(a4) + 800091dc: 03049493 slli s1,s1,0x30 + 800091e0: 0016871b addiw a4,a3,1 + 800091e4: 0304d493 srli s1,s1,0x30 + 800091e8: 00e41223 sh a4,4(s0) + 800091ec: 00349d13 slli s10,s1,0x3 + 800091f0: 00a40c23 sb a0,24(s0) + 800091f4: 00f66533 or a0,a2,a5 + 800091f8: 000580e7 jalr a1 + 800091fc: 01a90933 add s2,s2,s10 + 80009200: 00093783 ld a5,0(s2) + 80009204: 00a40c23 sb a0,24(s0) + 80009208: 00048513 mv a0,s1 + 8000920c: 000780e7 jalr a5 + 80009210: 00140797 auipc a5,0x140 + 80009214: ad078793 addi a5,a5,-1328 # 80148ce0 + 80009218: 01a78d33 add s10,a5,s10 + 8000921c: 000d3783 ld a5,0(s10) + 80009220: 00050913 mv s2,a0 + 80009224: 00050593 mv a1,a0 + 80009228: 01240c23 sb s2,24(s0) + 8000922c: 00048513 mv a0,s1 + 80009230: 000780e7 jalr a5 + 80009234: 00644703 lbu a4,6(s0) + 80009238: fff9059b addiw a1,s2,-1 + 8000923c: 0ff5f793 andi a5,a1,255 + 80009240: 0004e697 auipc a3,0x4e + 80009244: 63068693 addi a3,a3,1584 # 80057870 <_ZL7ZNTable> + 80009248: 40f7073b subw a4,a4,a5 + 8000924c: 00f687b3 add a5,a3,a5 + 80009250: 00a44603 lbu a2,10(s0) + 80009254: 0ff77513 andi a0,a4,255 + 80009258: 0007c783 lbu a5,0(a5) + 8000925c: 00a686b3 add a3,a3,a0 + 80009260: 0006c683 lbu a3,0(a3) + 80009264: 00c7e7b3 or a5,a5,a2 + 80009268: 07d7f793 andi a5,a5,125 + 8000926c: 4087571b sraiw a4,a4,0x8 + 80009270: 00d7e7b3 or a5,a5,a3 + 80009274: 00174713 xori a4,a4,1 + 80009278: 00177713 andi a4,a4,1 + 8000927c: 000d3683 ld a3,0(s10) + 80009280: ffe7f793 andi a5,a5,-2 + 80009284: 00e7e7b3 or a5,a5,a4 + 80009288: 00048513 mv a0,s1 + 8000928c: 00f40523 sb a5,10(s0) + 80009290: 0ff5f593 andi a1,a1,255 + 80009294: 000680e7 jalr a3 + 80009298: 00445503 lhu a0,4(s0) + 8000929c: 01042483 lw s1,16(s0) + 800092a0: 00ac8463 beq s9,a0,800092a8 <_Z14X6502_RunDebugi+0x8448> + 800092a4: dc9f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800092a8: e51f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800092ac: 00351793 slli a5,a0,0x3 + 800092b0: 00f90933 add s2,s2,a5 + 800092b4: 00093783 ld a5,0(s2) + 800092b8: 000780e7 jalr a5 + 800092bc: 02051793 slli a5,a0,0x20 + 800092c0: 0207d793 srli a5,a5,0x20 + 800092c4: 001c0717 auipc a4,0x1c0 + 800092c8: a6470713 addi a4,a4,-1436 # 801c8d28 + 800092cc: 00f70733 add a4,a4,a5 + 800092d0: 00074583 lbu a1,0(a4) + 800092d4: 00445503 lhu a0,4(s0) + 800092d8: 0004e697 auipc a3,0x4e + 800092dc: 59868693 addi a3,a3,1432 # 80057870 <_ZL7ZNTable> + 800092e0: 0015861b addiw a2,a1,1 + 800092e4: 0ff67813 andi a6,a2,255 + 800092e8: 00a44783 lbu a5,10(s0) + 800092ec: 010686b3 add a3,a3,a6 + 800092f0: 0006c683 lbu a3,0(a3) + 800092f4: 0015051b addiw a0,a0,1 + 800092f8: 07d7f793 andi a5,a5,125 + 800092fc: 03051513 slli a0,a0,0x30 + 80009300: 03055513 srli a0,a0,0x30 + 80009304: 00d7e7b3 or a5,a5,a3 + 80009308: 00a41223 sh a0,4(s0) + 8000930c: 00b40c23 sb a1,24(s0) + 80009310: 00f40523 sb a5,10(s0) + 80009314: 00c70023 sb a2,0(a4) + 80009318: 01042483 lw s1,16(s0) + 8000931c: 00ac8463 beq s9,a0,80009324 <_Z14X6502_RunDebugi+0x84c4> + 80009320: d4df706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009324: dd5f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009328: 00351793 slli a5,a0,0x3 + 8000932c: 00f90933 add s2,s2,a5 + 80009330: 00093783 ld a5,0(s2) + 80009334: 000780e7 jalr a5 + 80009338: 02051693 slli a3,a0,0x20 + 8000933c: 0206d693 srli a3,a3,0x20 + 80009340: 001c0797 auipc a5,0x1c0 + 80009344: 9e878793 addi a5,a5,-1560 # 801c8d28 + 80009348: 00a44703 lbu a4,10(s0) + 8000934c: 00d787b3 add a5,a5,a3 + 80009350: 00644603 lbu a2,6(s0) + 80009354: 0007c583 lbu a1,0(a5) + 80009358: fff74793 not a5,a4 + 8000935c: 0017f693 andi a3,a5,1 + 80009360: 40b607bb subw a5,a2,a1 + 80009364: 40d787bb subw a5,a5,a3 + 80009368: 0007881b sext.w a6,a5 + 8000936c: 0ff87513 andi a0,a6,255 + 80009370: 0004e697 auipc a3,0x4e + 80009374: 50068693 addi a3,a3,1280 # 80057870 <_ZL7ZNTable> + 80009378: 00a686b3 add a3,a3,a0 + 8000937c: 0006c883 lbu a7,0(a3) + 80009380: 00445503 lhu a0,4(s0) + 80009384: 4087d69b sraiw a3,a5,0x8 + 80009388: 00f647b3 xor a5,a2,a5 + 8000938c: 00b64633 xor a2,a2,a1 + 80009390: 03c77713 andi a4,a4,60 + 80009394: 0016c693 xori a3,a3,1 + 80009398: 00c7f7b3 and a5,a5,a2 + 8000939c: 01176733 or a4,a4,a7 + 800093a0: 0016f693 andi a3,a3,1 + 800093a4: 0017d79b srliw a5,a5,0x1 + 800093a8: 0015051b addiw a0,a0,1 + 800093ac: 00d76733 or a4,a4,a3 + 800093b0: 0407f793 andi a5,a5,64 + 800093b4: 03051513 slli a0,a0,0x30 + 800093b8: 03055513 srli a0,a0,0x30 + 800093bc: 00f767b3 or a5,a4,a5 + 800093c0: 00a41223 sh a0,4(s0) + 800093c4: 00b40c23 sb a1,24(s0) + 800093c8: 01040323 sb a6,6(s0) + 800093cc: 00f40523 sb a5,10(s0) + 800093d0: 01042483 lw s1,16(s0) + 800093d4: 00ac8463 beq s9,a0,800093dc <_Z14X6502_RunDebugi+0x857c> + 800093d8: c95f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800093dc: d1df706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800093e0: 00351793 slli a5,a0,0x3 + 800093e4: 00f90933 add s2,s2,a5 + 800093e8: 00093783 ld a5,0(s2) + 800093ec: 000780e7 jalr a5 + 800093f0: 02051713 slli a4,a0,0x20 + 800093f4: 02075713 srli a4,a4,0x20 + 800093f8: 001c0797 auipc a5,0x1c0 + 800093fc: 93078793 addi a5,a5,-1744 # 801c8d28 + 80009400: 00e787b3 add a5,a5,a4 + 80009404: 0007c603 lbu a2,0(a5) + 80009408: 00744783 lbu a5,7(s0) + 8000940c: 0004e697 auipc a3,0x4e + 80009410: 46468693 addi a3,a3,1124 # 80057870 <_ZL7ZNTable> + 80009414: 00a44703 lbu a4,10(s0) + 80009418: 40c787bb subw a5,a5,a2 + 8000941c: 0ff7f593 andi a1,a5,255 + 80009420: 00b686b3 add a3,a3,a1 + 80009424: 00445503 lhu a0,4(s0) + 80009428: 0006c683 lbu a3,0(a3) + 8000942c: 07d77713 andi a4,a4,125 + 80009430: 4087d79b sraiw a5,a5,0x8 + 80009434: 00d76733 or a4,a4,a3 + 80009438: 0017c793 xori a5,a5,1 + 8000943c: 0015051b addiw a0,a0,1 + 80009440: ffe77713 andi a4,a4,-2 + 80009444: 0017f793 andi a5,a5,1 + 80009448: 03051513 slli a0,a0,0x30 + 8000944c: 03055513 srli a0,a0,0x30 + 80009450: 00f767b3 or a5,a4,a5 + 80009454: 00a41223 sh a0,4(s0) + 80009458: 00c40c23 sb a2,24(s0) + 8000945c: 00f40523 sb a5,10(s0) + 80009460: 01042483 lw s1,16(s0) + 80009464: 00ac8463 beq s9,a0,8000946c <_Z14X6502_RunDebugi+0x860c> + 80009468: c05f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000946c: c8df706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009470: 00351793 slli a5,a0,0x3 + 80009474: 00f907b3 add a5,s2,a5 + 80009478: 0007b783 ld a5,0(a5) + 8000947c: 00140d17 auipc s10,0x140 + 80009480: 864d0d13 addi s10,s10,-1948 # 80148ce0 + 80009484: 000780e7 jalr a5 + 80009488: 00744783 lbu a5,7(s0) + 8000948c: 001c0697 auipc a3,0x1c0 + 80009490: 89c68693 addi a3,a3,-1892 # 801c8d28 + 80009494: 00445603 lhu a2,4(s0) + 80009498: 00a787bb addw a5,a5,a0 + 8000949c: 00178713 addi a4,a5,1 + 800094a0: 0ff77713 andi a4,a4,255 + 800094a4: 00e68733 add a4,a3,a4 + 800094a8: 0ff7f793 andi a5,a5,255 + 800094ac: 00074703 lbu a4,0(a4) + 800094b0: 00f687b3 add a5,a3,a5 + 800094b4: 0007c683 lbu a3,0(a5) + 800094b8: 0087179b slliw a5,a4,0x8 + 800094bc: 0016061b addiw a2,a2,1 + 800094c0: 00d7e7b3 or a5,a5,a3 + 800094c4: 03079493 slli s1,a5,0x30 + 800094c8: 02d4d493 srli s1,s1,0x2d + 800094cc: 00990933 add s2,s2,s1 + 800094d0: 00093683 ld a3,0(s2) + 800094d4: 0007891b sext.w s2,a5 + 800094d8: 00c41223 sh a2,4(s0) + 800094dc: 00e40c23 sb a4,24(s0) + 800094e0: 00090513 mv a0,s2 + 800094e4: 000680e7 jalr a3 + 800094e8: 009d0d33 add s10,s10,s1 + 800094ec: 000d3783 ld a5,0(s10) + 800094f0: 00050493 mv s1,a0 + 800094f4: 00050593 mv a1,a0 + 800094f8: 00940c23 sb s1,24(s0) + 800094fc: 00090513 mv a0,s2 + 80009500: 000780e7 jalr a5 + 80009504: 0014849b addiw s1,s1,1 + 80009508: 0ff4f793 andi a5,s1,255 + 8000950c: 0004e697 auipc a3,0x4e + 80009510: 36468693 addi a3,a3,868 # 80057870 <_ZL7ZNTable> + 80009514: 00f68633 add a2,a3,a5 + 80009518: 00a44703 lbu a4,10(s0) + 8000951c: 00064583 lbu a1,0(a2) + 80009520: 00644603 lbu a2,6(s0) + 80009524: 07d77713 andi a4,a4,125 + 80009528: 00b76733 or a4,a4,a1 + 8000952c: fff74593 not a1,a4 + 80009530: 40f607bb subw a5,a2,a5 + 80009534: 0015f593 andi a1,a1,1 + 80009538: 40b787bb subw a5,a5,a1 + 8000953c: 0007851b sext.w a0,a5 + 80009540: 0ff57593 andi a1,a0,255 + 80009544: 00b686b3 add a3,a3,a1 + 80009548: 0006c803 lbu a6,0(a3) + 8000954c: 0ff4f593 andi a1,s1,255 + 80009550: 4087d69b sraiw a3,a5,0x8 + 80009554: 00f647b3 xor a5,a2,a5 + 80009558: 00b64633 xor a2,a2,a1 + 8000955c: 00c7f7b3 and a5,a5,a2 + 80009560: 03c77713 andi a4,a4,60 + 80009564: 0016c693 xori a3,a3,1 + 80009568: 01076733 or a4,a4,a6 + 8000956c: 0016f693 andi a3,a3,1 + 80009570: 0017d79b srliw a5,a5,0x1 + 80009574: 00d76733 or a4,a4,a3 + 80009578: 0407f793 andi a5,a5,64 + 8000957c: 000d3683 ld a3,0(s10) + 80009580: 00f767b3 or a5,a4,a5 + 80009584: 00a40323 sb a0,6(s0) + 80009588: 00f40523 sb a5,10(s0) + 8000958c: 00090513 mv a0,s2 + 80009590: 000680e7 jalr a3 + 80009594: 00445503 lhu a0,4(s0) + 80009598: 01042483 lw s1,16(s0) + 8000959c: 00ac8463 beq s9,a0,800095a4 <_Z14X6502_RunDebugi+0x8744> + 800095a0: acdf706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800095a4: b55f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800095a8: 00351793 slli a5,a0,0x3 + 800095ac: 00f907b3 add a5,s2,a5 + 800095b0: 0007b783 ld a5,0(a5) + 800095b4: 000780e7 jalr a5 + 800095b8: 00445783 lhu a5,4(s0) + 800095bc: 00050713 mv a4,a0 + 800095c0: 00a40c23 sb a0,24(s0) + 800095c4: 0017879b addiw a5,a5,1 + 800095c8: 03079513 slli a0,a5,0x30 + 800095cc: 03055513 srli a0,a0,0x30 + 800095d0: 00351793 slli a5,a0,0x3 + 800095d4: 00f907b3 add a5,s2,a5 + 800095d8: 0007b783 ld a5,0(a5) + 800095dc: 00a41223 sh a0,4(s0) + 800095e0: 0007049b sext.w s1,a4 + 800095e4: 000780e7 jalr a5 + 800095e8: 00744703 lbu a4,7(s0) + 800095ec: 00445683 lhu a3,4(s0) + 800095f0: 00851793 slli a5,a0,0x8 + 800095f4: 0097e7b3 or a5,a5,s1 + 800095f8: 00f7073b addw a4,a4,a5 + 800095fc: 0016869b addiw a3,a3,1 + 80009600: 00e7c7b3 xor a5,a5,a4 + 80009604: 00a40c23 sb a0,24(s0) + 80009608: 00d41223 sh a3,4(s0) + 8000960c: 1007f793 andi a5,a5,256 + 80009610: 0007049b sext.w s1,a4 + 80009614: 06078463 beqz a5,8000967c <_Z14X6502_RunDebugi+0x881c> + 80009618: 03071493 slli s1,a4,0x30 + 8000961c: 0304d493 srli s1,s1,0x30 + 80009620: 1004c793 xori a5,s1,256 + 80009624: 00379793 slli a5,a5,0x3 + 80009628: 00f907b3 add a5,s2,a5 + 8000962c: 0007b783 ld a5,0(a5) + 80009630: 1004c513 xori a0,s1,256 + 80009634: 000780e7 jalr a5 + 80009638: 00042683 lw a3,0(s0) + 8000963c: 01042703 lw a4,16(s0) + 80009640: 0009a783 lw a5,0(s3) + 80009644: 000a4603 lbu a2,0(s4) + 80009648: 0016869b addiw a3,a3,1 + 8000964c: fd07071b addiw a4,a4,-48 + 80009650: 0017879b addiw a5,a5,1 + 80009654: 00a40c23 sb a0,24(s0) + 80009658: 00d42023 sw a3,0(s0) + 8000965c: 00e42823 sw a4,16(s0) + 80009660: 00f9a023 sw a5,0(s3) + 80009664: 00061c63 bnez a2,8000967c <_Z14X6502_RunDebugi+0x881c> + 80009668: 001c2717 auipc a4,0x1c2 + 8000966c: 4e070713 addi a4,a4,1248 # 801cbb48 + 80009670: 00072783 lw a5,0(a4) + 80009674: 0017879b addiw a5,a5,1 + 80009678: 00f72023 sw a5,0(a4) + 8000967c: 02049793 slli a5,s1,0x20 + 80009680: 01d7d793 srli a5,a5,0x1d + 80009684: 00f90933 add s2,s2,a5 + 80009688: 00093783 ld a5,0(s2) + 8000968c: 00048513 mv a0,s1 + 80009690: 000780e7 jalr a5 + 80009694: 00644703 lbu a4,6(s0) + 80009698: 0004e617 auipc a2,0x4e + 8000969c: 1d860613 addi a2,a2,472 # 80057870 <_ZL7ZNTable> + 800096a0: 00a44783 lbu a5,10(s0) + 800096a4: 00e56733 or a4,a0,a4 + 800096a8: 00e60633 add a2,a2,a4 + 800096ac: 00064603 lbu a2,0(a2) + 800096b0: 00050693 mv a3,a0 + 800096b4: 07d7f793 andi a5,a5,125 + 800096b8: 00445503 lhu a0,4(s0) + 800096bc: 00c7e7b3 or a5,a5,a2 + 800096c0: 00d40c23 sb a3,24(s0) + 800096c4: 00e40323 sb a4,6(s0) + 800096c8: 00f40523 sb a5,10(s0) + 800096cc: 01042483 lw s1,16(s0) + 800096d0: 00ac8463 beq s9,a0,800096d8 <_Z14X6502_RunDebugi+0x8878> + 800096d4: 999f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800096d8: a21f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800096dc: 00351793 slli a5,a0,0x3 + 800096e0: 00f907b3 add a5,s2,a5 + 800096e4: 0007b783 ld a5,0(a5) + 800096e8: 000780e7 jalr a5 + 800096ec: 00744783 lbu a5,7(s0) + 800096f0: 001bf697 auipc a3,0x1bf + 800096f4: 63868693 addi a3,a3,1592 # 801c8d28 + 800096f8: 00445603 lhu a2,4(s0) + 800096fc: 00a787bb addw a5,a5,a0 + 80009700: 00178713 addi a4,a5,1 + 80009704: 0ff77713 andi a4,a4,255 + 80009708: 00e68733 add a4,a3,a4 + 8000970c: 0ff7f793 andi a5,a5,255 + 80009710: 00074703 lbu a4,0(a4) + 80009714: 00f687b3 add a5,a3,a5 + 80009718: 0007c783 lbu a5,0(a5) + 8000971c: 0087151b slliw a0,a4,0x8 + 80009720: 0016061b addiw a2,a2,1 + 80009724: 00f56533 or a0,a0,a5 + 80009728: 03051793 slli a5,a0,0x30 + 8000972c: 02d7d793 srli a5,a5,0x2d + 80009730: 00f90933 add s2,s2,a5 + 80009734: 00093783 ld a5,0(s2) + 80009738: 00c41223 sh a2,4(s0) + 8000973c: 00e40c23 sb a4,24(s0) + 80009740: 0005051b sext.w a0,a0 + 80009744: 000780e7 jalr a5 + 80009748: 00644703 lbu a4,6(s0) + 8000974c: 0004e617 auipc a2,0x4e + 80009750: 12460613 addi a2,a2,292 # 80057870 <_ZL7ZNTable> + 80009754: 00a44783 lbu a5,10(s0) + 80009758: 00e56733 or a4,a0,a4 + 8000975c: 00e60633 add a2,a2,a4 + 80009760: 00064603 lbu a2,0(a2) + 80009764: 00050693 mv a3,a0 + 80009768: 07d7f793 andi a5,a5,125 + 8000976c: 00445503 lhu a0,4(s0) + 80009770: 00c7e7b3 or a5,a5,a2 + 80009774: 00d40c23 sb a3,24(s0) + 80009778: 00e40323 sb a4,6(s0) + 8000977c: 00f40523 sb a5,10(s0) + 80009780: 01042483 lw s1,16(s0) + 80009784: 00ac8463 beq s9,a0,8000978c <_Z14X6502_RunDebugi+0x892c> + 80009788: 8e5f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000978c: 96df706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009790: 00351793 slli a5,a0,0x3 + 80009794: 00f907b3 add a5,s2,a5 + 80009798: 0007b783 ld a5,0(a5) + 8000979c: 000780e7 jalr a5 + 800097a0: 00445783 lhu a5,4(s0) + 800097a4: 00050713 mv a4,a0 + 800097a8: 00a40c23 sb a0,24(s0) + 800097ac: 0017879b addiw a5,a5,1 + 800097b0: 03079513 slli a0,a5,0x30 + 800097b4: 03055513 srli a0,a0,0x30 + 800097b8: 00351793 slli a5,a0,0x3 + 800097bc: 00f907b3 add a5,s2,a5 + 800097c0: 0007b783 ld a5,0(a5) + 800097c4: 00a41223 sh a0,4(s0) + 800097c8: 0007049b sext.w s1,a4 + 800097cc: 000780e7 jalr a5 + 800097d0: 00844d03 lbu s10,8(s0) + 800097d4: 00851793 slli a5,a0,0x8 + 800097d8: 0097e7b3 or a5,a5,s1 + 800097dc: 00fd0d3b addw s10,s10,a5 + 800097e0: 0ffd7613 andi a2,s10,255 + 800097e4: 0187f7b3 and a5,a5,s8 + 800097e8: 00f66733 or a4,a2,a5 + 800097ec: 00445683 lhu a3,4(s0) + 800097f0: 00371713 slli a4,a4,0x3 + 800097f4: 00e90733 add a4,s2,a4 + 800097f8: 00073583 ld a1,0(a4) + 800097fc: 030d1d13 slli s10,s10,0x30 + 80009800: 0016871b addiw a4,a3,1 + 80009804: 030d5d13 srli s10,s10,0x30 + 80009808: 00e41223 sh a4,4(s0) + 8000980c: 003d1493 slli s1,s10,0x3 + 80009810: 00a40c23 sb a0,24(s0) + 80009814: 00f66533 or a0,a2,a5 + 80009818: 000580e7 jalr a1 + 8000981c: 00990933 add s2,s2,s1 + 80009820: 00093783 ld a5,0(s2) + 80009824: 00a40c23 sb a0,24(s0) + 80009828: 0013f917 auipc s2,0x13f + 8000982c: 4b890913 addi s2,s2,1208 # 80148ce0 + 80009830: 000d0513 mv a0,s10 + 80009834: 000780e7 jalr a5 + 80009838: 00990933 add s2,s2,s1 + 8000983c: 00093783 ld a5,0(s2) + 80009840: 00050493 mv s1,a0 + 80009844: 00050593 mv a1,a0 + 80009848: 00940c23 sb s1,24(s0) + 8000984c: 000d0513 mv a0,s10 + 80009850: 000780e7 jalr a5 + 80009854: 0014849b addiw s1,s1,1 + 80009858: 0ff4f793 andi a5,s1,255 + 8000985c: 0004e697 auipc a3,0x4e + 80009860: 01468693 addi a3,a3,20 # 80057870 <_ZL7ZNTable> + 80009864: 00f68633 add a2,a3,a5 + 80009868: 00a44703 lbu a4,10(s0) + 8000986c: 00064583 lbu a1,0(a2) + 80009870: 00644603 lbu a2,6(s0) + 80009874: 07d77713 andi a4,a4,125 + 80009878: 00b76733 or a4,a4,a1 + 8000987c: fff74593 not a1,a4 + 80009880: 40f607bb subw a5,a2,a5 + 80009884: 0015f593 andi a1,a1,1 + 80009888: 40b787bb subw a5,a5,a1 + 8000988c: 0007851b sext.w a0,a5 + 80009890: 0ff57593 andi a1,a0,255 + 80009894: 00b686b3 add a3,a3,a1 + 80009898: 0006c803 lbu a6,0(a3) + 8000989c: 0ff4f593 andi a1,s1,255 + 800098a0: 4087d69b sraiw a3,a5,0x8 + 800098a4: 00f647b3 xor a5,a2,a5 + 800098a8: 00b64633 xor a2,a2,a1 + 800098ac: 00c7f7b3 and a5,a5,a2 + 800098b0: 03c77713 andi a4,a4,60 + 800098b4: 0016c693 xori a3,a3,1 + 800098b8: 01076733 or a4,a4,a6 + 800098bc: 0016f693 andi a3,a3,1 + 800098c0: 0017d79b srliw a5,a5,0x1 + 800098c4: 00d76733 or a4,a4,a3 + 800098c8: 0407f793 andi a5,a5,64 + 800098cc: 00093683 ld a3,0(s2) + 800098d0: 00f767b3 or a5,a4,a5 + 800098d4: 00a40323 sb a0,6(s0) + 800098d8: 00f40523 sb a5,10(s0) + 800098dc: 000d0513 mv a0,s10 + 800098e0: 000680e7 jalr a3 + 800098e4: 00445503 lhu a0,4(s0) + 800098e8: 01042483 lw s1,16(s0) + 800098ec: 00ac8463 beq s9,a0,800098f4 <_Z14X6502_RunDebugi+0x8a94> + 800098f0: f7cf706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 800098f4: 805f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 800098f8: 00351793 slli a5,a0,0x3 + 800098fc: 00f907b3 add a5,s2,a5 + 80009900: 0007b783 ld a5,0(a5) + 80009904: 000780e7 jalr a5 + 80009908: 00445783 lhu a5,4(s0) + 8000990c: 00050713 mv a4,a0 + 80009910: 00a40c23 sb a0,24(s0) + 80009914: 0017879b addiw a5,a5,1 + 80009918: 03079513 slli a0,a5,0x30 + 8000991c: 03055513 srli a0,a0,0x30 + 80009920: 00351793 slli a5,a0,0x3 + 80009924: 00f907b3 add a5,s2,a5 + 80009928: 0007b783 ld a5,0(a5) + 8000992c: 00a41223 sh a0,4(s0) + 80009930: 0007049b sext.w s1,a4 + 80009934: 000780e7 jalr a5 + 80009938: 00844703 lbu a4,8(s0) + 8000993c: 00445683 lhu a3,4(s0) + 80009940: 00851793 slli a5,a0,0x8 + 80009944: 0097e7b3 or a5,a5,s1 + 80009948: 00f7073b addw a4,a4,a5 + 8000994c: 0016869b addiw a3,a3,1 + 80009950: 00e7c7b3 xor a5,a5,a4 + 80009954: 00a40c23 sb a0,24(s0) + 80009958: 00d41223 sh a3,4(s0) + 8000995c: 1007f793 andi a5,a5,256 + 80009960: 0007049b sext.w s1,a4 + 80009964: 06078463 beqz a5,800099cc <_Z14X6502_RunDebugi+0x8b6c> + 80009968: 03071493 slli s1,a4,0x30 + 8000996c: 0304d493 srli s1,s1,0x30 + 80009970: 1004c793 xori a5,s1,256 + 80009974: 00379793 slli a5,a5,0x3 + 80009978: 00f907b3 add a5,s2,a5 + 8000997c: 0007b783 ld a5,0(a5) + 80009980: 1004c513 xori a0,s1,256 + 80009984: 000780e7 jalr a5 + 80009988: 00042683 lw a3,0(s0) + 8000998c: 01042703 lw a4,16(s0) + 80009990: 0009a783 lw a5,0(s3) + 80009994: 000a4603 lbu a2,0(s4) + 80009998: 0016869b addiw a3,a3,1 + 8000999c: fd07071b addiw a4,a4,-48 + 800099a0: 0017879b addiw a5,a5,1 + 800099a4: 00a40c23 sb a0,24(s0) + 800099a8: 00d42023 sw a3,0(s0) + 800099ac: 00e42823 sw a4,16(s0) + 800099b0: 00f9a023 sw a5,0(s3) + 800099b4: 00061c63 bnez a2,800099cc <_Z14X6502_RunDebugi+0x8b6c> + 800099b8: 001c2717 auipc a4,0x1c2 + 800099bc: 19070713 addi a4,a4,400 # 801cbb48 + 800099c0: 00072783 lw a5,0(a4) + 800099c4: 0017879b addiw a5,a5,1 + 800099c8: 00f72023 sw a5,0(a4) + 800099cc: 02049793 slli a5,s1,0x20 + 800099d0: 01d7d793 srli a5,a5,0x1d + 800099d4: 00f90933 add s2,s2,a5 + 800099d8: 00093783 ld a5,0(s2) + 800099dc: 00048513 mv a0,s1 + 800099e0: 000780e7 jalr a5 + 800099e4: 00a44703 lbu a4,10(s0) + 800099e8: 00644603 lbu a2,6(s0) + 800099ec: 00050593 mv a1,a0 + 800099f0: fff74693 not a3,a4 + 800099f4: 40a607bb subw a5,a2,a0 + 800099f8: 0016f693 andi a3,a3,1 + 800099fc: 40d787bb subw a5,a5,a3 + 80009a00: 0007881b sext.w a6,a5 + 80009a04: 0ff87513 andi a0,a6,255 + 80009a08: 0004e697 auipc a3,0x4e + 80009a0c: e6868693 addi a3,a3,-408 # 80057870 <_ZL7ZNTable> + 80009a10: 00a686b3 add a3,a3,a0 + 80009a14: 0006c503 lbu a0,0(a3) + 80009a18: 4087d69b sraiw a3,a5,0x8 + 80009a1c: 00f647b3 xor a5,a2,a5 + 80009a20: 00b64633 xor a2,a2,a1 + 80009a24: 03c77713 andi a4,a4,60 + 80009a28: 0016c693 xori a3,a3,1 + 80009a2c: 00c7f7b3 and a5,a5,a2 + 80009a30: 00a76733 or a4,a4,a0 + 80009a34: 0016f693 andi a3,a3,1 + 80009a38: 0017d79b srliw a5,a5,0x1 + 80009a3c: 00d76733 or a4,a4,a3 + 80009a40: 0407f793 andi a5,a5,64 + 80009a44: 00445503 lhu a0,4(s0) + 80009a48: 00f767b3 or a5,a4,a5 + 80009a4c: 00b40c23 sb a1,24(s0) + 80009a50: 01040323 sb a6,6(s0) + 80009a54: 00f40523 sb a5,10(s0) + 80009a58: 01042483 lw s1,16(s0) + 80009a5c: 00ac8463 beq s9,a0,80009a64 <_Z14X6502_RunDebugi+0x8c04> + 80009a60: e0cf706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009a64: e94f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009a68: 00351793 slli a5,a0,0x3 + 80009a6c: 00f907b3 add a5,s2,a5 + 80009a70: 0007b783 ld a5,0(a5) + 80009a74: 000780e7 jalr a5 + 80009a78: 00445783 lhu a5,4(s0) + 80009a7c: 00050713 mv a4,a0 + 80009a80: 00a40c23 sb a0,24(s0) + 80009a84: 0017879b addiw a5,a5,1 + 80009a88: 03079513 slli a0,a5,0x30 + 80009a8c: 03055513 srli a0,a0,0x30 + 80009a90: 00351793 slli a5,a0,0x3 + 80009a94: 00f907b3 add a5,s2,a5 + 80009a98: 0007b783 ld a5,0(a5) + 80009a9c: 00a41223 sh a0,4(s0) + 80009aa0: 0007049b sext.w s1,a4 + 80009aa4: 000780e7 jalr a5 + 80009aa8: 00844703 lbu a4,8(s0) + 80009aac: 00445683 lhu a3,4(s0) + 80009ab0: 00851793 slli a5,a0,0x8 + 80009ab4: 0097e7b3 or a5,a5,s1 + 80009ab8: 00f7073b addw a4,a4,a5 + 80009abc: 0016869b addiw a3,a3,1 + 80009ac0: 00e7c7b3 xor a5,a5,a4 + 80009ac4: 00a40c23 sb a0,24(s0) + 80009ac8: 00d41223 sh a3,4(s0) + 80009acc: 1007f793 andi a5,a5,256 + 80009ad0: 0007049b sext.w s1,a4 + 80009ad4: 06078463 beqz a5,80009b3c <_Z14X6502_RunDebugi+0x8cdc> + 80009ad8: 03071493 slli s1,a4,0x30 + 80009adc: 0304d493 srli s1,s1,0x30 + 80009ae0: 1004c793 xori a5,s1,256 + 80009ae4: 00379793 slli a5,a5,0x3 + 80009ae8: 00f907b3 add a5,s2,a5 + 80009aec: 0007b783 ld a5,0(a5) + 80009af0: 1004c513 xori a0,s1,256 + 80009af4: 000780e7 jalr a5 + 80009af8: 00042683 lw a3,0(s0) + 80009afc: 01042703 lw a4,16(s0) + 80009b00: 0009a783 lw a5,0(s3) + 80009b04: 000a4603 lbu a2,0(s4) + 80009b08: 0016869b addiw a3,a3,1 + 80009b0c: fd07071b addiw a4,a4,-48 + 80009b10: 0017879b addiw a5,a5,1 + 80009b14: 00a40c23 sb a0,24(s0) + 80009b18: 00d42023 sw a3,0(s0) + 80009b1c: 00e42823 sw a4,16(s0) + 80009b20: 00f9a023 sw a5,0(s3) + 80009b24: 00061c63 bnez a2,80009b3c <_Z14X6502_RunDebugi+0x8cdc> + 80009b28: 001c2717 auipc a4,0x1c2 + 80009b2c: 02070713 addi a4,a4,32 # 801cbb48 + 80009b30: 00072783 lw a5,0(a4) + 80009b34: 0017879b addiw a5,a5,1 + 80009b38: 00f72023 sw a5,0(a4) + 80009b3c: 02049793 slli a5,s1,0x20 + 80009b40: 01d7d793 srli a5,a5,0x1d + 80009b44: 00f90933 add s2,s2,a5 + 80009b48: 00093783 ld a5,0(s2) + 80009b4c: 00048513 mv a0,s1 + 80009b50: 000780e7 jalr a5 + 80009b54: 00644703 lbu a4,6(s0) + 80009b58: 0004e617 auipc a2,0x4e + 80009b5c: d1860613 addi a2,a2,-744 # 80057870 <_ZL7ZNTable> + 80009b60: 00a44783 lbu a5,10(s0) + 80009b64: 00e54733 xor a4,a0,a4 + 80009b68: 00e60633 add a2,a2,a4 + 80009b6c: 00064603 lbu a2,0(a2) + 80009b70: 00050693 mv a3,a0 + 80009b74: 07d7f793 andi a5,a5,125 + 80009b78: 00445503 lhu a0,4(s0) + 80009b7c: 00c7e7b3 or a5,a5,a2 + 80009b80: 00d40c23 sb a3,24(s0) + 80009b84: 00e40323 sb a4,6(s0) + 80009b88: 00f40523 sb a5,10(s0) + 80009b8c: 01042483 lw s1,16(s0) + 80009b90: 00ac8463 beq s9,a0,80009b98 <_Z14X6502_RunDebugi+0x8d38> + 80009b94: cd8f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009b98: d60f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009b9c: 00a44783 lbu a5,10(s0) + 80009ba0: 01042483 lw s1,16(s0) + 80009ba4: ffb7f793 andi a5,a5,-5 + 80009ba8: 00f40523 sb a5,10(s0) + 80009bac: 00ac8463 beq s9,a0,80009bb4 <_Z14X6502_RunDebugi+0x8d54> + 80009bb0: cbcf706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009bb4: d44f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009bb8: 00351793 slli a5,a0,0x3 + 80009bbc: 00f90933 add s2,s2,a5 + 80009bc0: 00093783 ld a5,0(s2) + 80009bc4: 00744483 lbu s1,7(s0) + 80009bc8: 000780e7 jalr a5 + 80009bcc: 00a487bb addw a5,s1,a0 + 80009bd0: 0ff7f793 andi a5,a5,255 + 80009bd4: 001bf617 auipc a2,0x1bf + 80009bd8: 15460613 addi a2,a2,340 # 801c8d28 + 80009bdc: 00f60633 add a2,a2,a5 + 80009be0: 00064803 lbu a6,0(a2) + 80009be4: 00644583 lbu a1,6(s0) + 80009be8: 00a44783 lbu a5,10(s0) + 80009bec: 0004e717 auipc a4,0x4e + 80009bf0: c8470713 addi a4,a4,-892 # 80057870 <_ZL7ZNTable> + 80009bf4: 00185693 srli a3,a6,0x1 + 80009bf8: 00068893 mv a7,a3 + 80009bfc: 00d706b3 add a3,a4,a3 + 80009c00: 00445503 lhu a0,4(s0) + 80009c04: 00b8c5b3 xor a1,a7,a1 + 80009c08: 0006c683 lbu a3,0(a3) + 80009c0c: 07c7f793 andi a5,a5,124 + 80009c10: 00187313 andi t1,a6,1 + 80009c14: 00b70733 add a4,a4,a1 + 80009c18: 00074703 lbu a4,0(a4) + 80009c1c: 0067e7b3 or a5,a5,t1 + 80009c20: 00d7e7b3 or a5,a5,a3 + 80009c24: 0015051b addiw a0,a0,1 + 80009c28: 07d7f793 andi a5,a5,125 + 80009c2c: 03051513 slli a0,a0,0x30 + 80009c30: 03055513 srli a0,a0,0x30 + 80009c34: 00e7e7b3 or a5,a5,a4 + 80009c38: 00a41223 sh a0,4(s0) + 80009c3c: 01040c23 sb a6,24(s0) + 80009c40: 00b40323 sb a1,6(s0) + 80009c44: 00f40523 sb a5,10(s0) + 80009c48: 01160023 sb a7,0(a2) + 80009c4c: 01042483 lw s1,16(s0) + 80009c50: 00ac8463 beq s9,a0,80009c58 <_Z14X6502_RunDebugi+0x8df8> + 80009c54: c18f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009c58: ca0f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009c5c: 00351793 slli a5,a0,0x3 + 80009c60: 00f90933 add s2,s2,a5 + 80009c64: 00093783 ld a5,0(s2) + 80009c68: 00744483 lbu s1,7(s0) + 80009c6c: 000780e7 jalr a5 + 80009c70: 00a487bb addw a5,s1,a0 + 80009c74: 0ff7f793 andi a5,a5,255 + 80009c78: 001bf717 auipc a4,0x1bf + 80009c7c: 0b070713 addi a4,a4,176 # 801c8d28 + 80009c80: 00f70733 add a4,a4,a5 + 80009c84: 00074683 lbu a3,0(a4) + 80009c88: 00a44783 lbu a5,10(s0) + 80009c8c: 00445503 lhu a0,4(s0) + 80009c90: 0016d593 srli a1,a3,0x1 + 80009c94: 0004e617 auipc a2,0x4e + 80009c98: bdc60613 addi a2,a2,-1060 # 80057870 <_ZL7ZNTable> + 80009c9c: 00b60633 add a2,a2,a1 + 80009ca0: 00064603 lbu a2,0(a2) + 80009ca4: 07c7f793 andi a5,a5,124 + 80009ca8: 0016f813 andi a6,a3,1 + 80009cac: 0015051b addiw a0,a0,1 + 80009cb0: 0107e7b3 or a5,a5,a6 + 80009cb4: 03051513 slli a0,a0,0x30 + 80009cb8: 03055513 srli a0,a0,0x30 + 80009cbc: 00c7e7b3 or a5,a5,a2 + 80009cc0: 00a41223 sh a0,4(s0) + 80009cc4: 00d40c23 sb a3,24(s0) + 80009cc8: 00f40523 sb a5,10(s0) + 80009ccc: 00b70023 sb a1,0(a4) + 80009cd0: 01042483 lw s1,16(s0) + 80009cd4: 00ac8463 beq s9,a0,80009cdc <_Z14X6502_RunDebugi+0x8e7c> + 80009cd8: b94f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009cdc: c1cf706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009ce0: 00351793 slli a5,a0,0x3 + 80009ce4: 00f90933 add s2,s2,a5 + 80009ce8: 00093783 ld a5,0(s2) + 80009cec: 00744483 lbu s1,7(s0) + 80009cf0: 000780e7 jalr a5 + 80009cf4: 00a487bb addw a5,s1,a0 + 80009cf8: 001bf717 auipc a4,0x1bf + 80009cfc: 03070713 addi a4,a4,48 # 801c8d28 + 80009d00: 0ff7f793 andi a5,a5,255 + 80009d04: 00f707b3 add a5,a4,a5 + 80009d08: 0007c603 lbu a2,0(a5) + 80009d0c: 00644703 lbu a4,6(s0) + 80009d10: 00445503 lhu a0,4(s0) + 80009d14: 0004e697 auipc a3,0x4e + 80009d18: b5c68693 addi a3,a3,-1188 # 80057870 <_ZL7ZNTable> + 80009d1c: 00e64733 xor a4,a2,a4 + 80009d20: 00a44783 lbu a5,10(s0) + 80009d24: 00e686b3 add a3,a3,a4 + 80009d28: 0006c683 lbu a3,0(a3) + 80009d2c: 0015051b addiw a0,a0,1 + 80009d30: 07d7f793 andi a5,a5,125 + 80009d34: 03051513 slli a0,a0,0x30 + 80009d38: 03055513 srli a0,a0,0x30 + 80009d3c: 00d7e7b3 or a5,a5,a3 + 80009d40: 00a41223 sh a0,4(s0) + 80009d44: 00c40c23 sb a2,24(s0) + 80009d48: 00e40323 sb a4,6(s0) + 80009d4c: 00f40523 sb a5,10(s0) + 80009d50: 01042483 lw s1,16(s0) + 80009d54: 00ac8463 beq s9,a0,80009d5c <_Z14X6502_RunDebugi+0x8efc> + 80009d58: b14f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009d5c: b9cf706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009d60: 00351793 slli a5,a0,0x3 + 80009d64: 00f907b3 add a5,s2,a5 + 80009d68: 0007b783 ld a5,0(a5) + 80009d6c: 000780e7 jalr a5 + 80009d70: 0015079b addiw a5,a0,1 + 80009d74: 001bf717 auipc a4,0x1bf + 80009d78: fb470713 addi a4,a4,-76 # 801c8d28 + 80009d7c: 0ff7f793 andi a5,a5,255 + 80009d80: 02051513 slli a0,a0,0x20 + 80009d84: 00f707b3 add a5,a4,a5 + 80009d88: 02055513 srli a0,a0,0x20 + 80009d8c: 0007c583 lbu a1,0(a5) + 80009d90: 00a70533 add a0,a4,a0 + 80009d94: 00054703 lbu a4,0(a0) + 80009d98: 00844683 lbu a3,8(s0) + 80009d9c: 0085979b slliw a5,a1,0x8 + 80009da0: 00e7e7b3 or a5,a5,a4 + 80009da4: 00f686bb addw a3,a3,a5 + 80009da8: 0007879b sext.w a5,a5 + 80009dac: 0ff6f513 andi a0,a3,255 + 80009db0: 0187f7b3 and a5,a5,s8 + 80009db4: 00f56733 or a4,a0,a5 + 80009db8: 00445603 lhu a2,4(s0) + 80009dbc: 00371713 slli a4,a4,0x3 + 80009dc0: 00e90733 add a4,s2,a4 + 80009dc4: 00073803 ld a6,0(a4) + 80009dc8: 03069d13 slli s10,a3,0x30 + 80009dcc: 0016071b addiw a4,a2,1 + 80009dd0: 030d5d13 srli s10,s10,0x30 + 80009dd4: 00e41223 sh a4,4(s0) + 80009dd8: 003d1493 slli s1,s10,0x3 + 80009ddc: 00b40c23 sb a1,24(s0) + 80009de0: 00f56533 or a0,a0,a5 + 80009de4: 000800e7 jalr a6 + 80009de8: 00990933 add s2,s2,s1 + 80009dec: 00093783 ld a5,0(s2) + 80009df0: 00a40c23 sb a0,24(s0) + 80009df4: 0013f917 auipc s2,0x13f + 80009df8: eec90913 addi s2,s2,-276 # 80148ce0 + 80009dfc: 000d0513 mv a0,s10 + 80009e00: 000780e7 jalr a5 + 80009e04: 00990933 add s2,s2,s1 + 80009e08: 00093783 ld a5,0(s2) + 80009e0c: 00050493 mv s1,a0 + 80009e10: 00050593 mv a1,a0 + 80009e14: 00940c23 sb s1,24(s0) + 80009e18: 000d0513 mv a0,s10 + 80009e1c: 000780e7 jalr a5 + 80009e20: 00644703 lbu a4,6(s0) + 80009e24: 00a44783 lbu a5,10(s0) + 80009e28: 0014d613 srli a2,s1,0x1 + 80009e2c: 0004e697 auipc a3,0x4e + 80009e30: a4468693 addi a3,a3,-1468 # 80057870 <_ZL7ZNTable> + 80009e34: 00060593 mv a1,a2 + 80009e38: 00c68633 add a2,a3,a2 + 80009e3c: 00064503 lbu a0,0(a2) + 80009e40: 00e5c733 xor a4,a1,a4 + 80009e44: 00e686b3 add a3,a3,a4 + 80009e48: 0014f493 andi s1,s1,1 + 80009e4c: 07c7f793 andi a5,a5,124 + 80009e50: 0006c603 lbu a2,0(a3) + 80009e54: 0097e7b3 or a5,a5,s1 + 80009e58: 00a7e7b3 or a5,a5,a0 + 80009e5c: 00093683 ld a3,0(s2) + 80009e60: 07d7f793 andi a5,a5,125 + 80009e64: 00c7e7b3 or a5,a5,a2 + 80009e68: 000d0513 mv a0,s10 + 80009e6c: 00e40323 sb a4,6(s0) + 80009e70: 00f40523 sb a5,10(s0) + 80009e74: 000680e7 jalr a3 + 80009e78: 00445503 lhu a0,4(s0) + 80009e7c: 01042483 lw s1,16(s0) + 80009e80: 00ac8463 beq s9,a0,80009e88 <_Z14X6502_RunDebugi+0x9028> + 80009e84: 9e8f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009e88: a70f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009e8c: 00351793 slli a5,a0,0x3 + 80009e90: 00f907b3 add a5,s2,a5 + 80009e94: 0007b783 ld a5,0(a5) + 80009e98: 000780e7 jalr a5 + 80009e9c: 0015079b addiw a5,a0,1 + 80009ea0: 001bf717 auipc a4,0x1bf + 80009ea4: e8870713 addi a4,a4,-376 # 801c8d28 + 80009ea8: 0ff7f793 andi a5,a5,255 + 80009eac: 02051513 slli a0,a0,0x20 + 80009eb0: 00f707b3 add a5,a4,a5 + 80009eb4: 02055513 srli a0,a0,0x20 + 80009eb8: 0007c603 lbu a2,0(a5) + 80009ebc: 00a70533 add a0,a4,a0 + 80009ec0: 00054583 lbu a1,0(a0) + 80009ec4: 00844703 lbu a4,8(s0) + 80009ec8: 00445683 lhu a3,4(s0) + 80009ecc: 0086179b slliw a5,a2,0x8 + 80009ed0: 00b7e7b3 or a5,a5,a1 + 80009ed4: 00f7073b addw a4,a4,a5 + 80009ed8: 0016869b addiw a3,a3,1 + 80009edc: 00e7c7b3 xor a5,a5,a4 + 80009ee0: 00d41223 sh a3,4(s0) + 80009ee4: 00c40c23 sb a2,24(s0) + 80009ee8: 1007f793 andi a5,a5,256 + 80009eec: 0007049b sext.w s1,a4 + 80009ef0: 06078463 beqz a5,80009f58 <_Z14X6502_RunDebugi+0x90f8> + 80009ef4: 03071493 slli s1,a4,0x30 + 80009ef8: 0304d493 srli s1,s1,0x30 + 80009efc: 1004c793 xori a5,s1,256 + 80009f00: 00379793 slli a5,a5,0x3 + 80009f04: 00f907b3 add a5,s2,a5 + 80009f08: 0007b783 ld a5,0(a5) + 80009f0c: 1004c513 xori a0,s1,256 + 80009f10: 000780e7 jalr a5 + 80009f14: 00042683 lw a3,0(s0) + 80009f18: 01042703 lw a4,16(s0) + 80009f1c: 0009a783 lw a5,0(s3) + 80009f20: 000a4603 lbu a2,0(s4) + 80009f24: 0016869b addiw a3,a3,1 + 80009f28: fd07071b addiw a4,a4,-48 + 80009f2c: 0017879b addiw a5,a5,1 + 80009f30: 00a40c23 sb a0,24(s0) + 80009f34: 00d42023 sw a3,0(s0) + 80009f38: 00e42823 sw a4,16(s0) + 80009f3c: 00f9a023 sw a5,0(s3) + 80009f40: 00061c63 bnez a2,80009f58 <_Z14X6502_RunDebugi+0x90f8> + 80009f44: 001c2717 auipc a4,0x1c2 + 80009f48: c0470713 addi a4,a4,-1020 # 801cbb48 + 80009f4c: 00072783 lw a5,0(a4) + 80009f50: 0017879b addiw a5,a5,1 + 80009f54: 00f72023 sw a5,0(a4) + 80009f58: 00349793 slli a5,s1,0x3 + 80009f5c: 00f90933 add s2,s2,a5 + 80009f60: 00093783 ld a5,0(s2) + 80009f64: 00048513 mv a0,s1 + 80009f68: 000780e7 jalr a5 + 80009f6c: 00644703 lbu a4,6(s0) + 80009f70: 0004e617 auipc a2,0x4e + 80009f74: 90060613 addi a2,a2,-1792 # 80057870 <_ZL7ZNTable> + 80009f78: 00a44783 lbu a5,10(s0) + 80009f7c: 00e54733 xor a4,a0,a4 + 80009f80: 00e60633 add a2,a2,a4 + 80009f84: 00064603 lbu a2,0(a2) + 80009f88: 00050693 mv a3,a0 + 80009f8c: 07d7f793 andi a5,a5,125 + 80009f90: 00445503 lhu a0,4(s0) + 80009f94: 00c7e7b3 or a5,a5,a2 + 80009f98: 00d40c23 sb a3,24(s0) + 80009f9c: 00e40323 sb a4,6(s0) + 80009fa0: 00f40523 sb a5,10(s0) + 80009fa4: 01042483 lw s1,16(s0) + 80009fa8: 00ac8463 beq s9,a0,80009fb0 <_Z14X6502_RunDebugi+0x9150> + 80009fac: 8c0f706f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 80009fb0: 948f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 80009fb4: 00a44783 lbu a5,10(s0) + 80009fb8: 0407f793 andi a5,a5,64 + 80009fbc: 00078463 beqz a5,80009fc4 <_Z14X6502_RunDebugi+0x9164> + 80009fc0: 3cc0106f j 8000b38c <_Z14X6502_RunDebugi+0xa52c> + 80009fc4: 00351793 slli a5,a0,0x3 + 80009fc8: 00f90933 add s2,s2,a5 + 80009fcc: 00093783 ld a5,0(s2) + 80009fd0: 000780e7 jalr a5 + 80009fd4: 00445783 lhu a5,4(s0) + 80009fd8: 00050713 mv a4,a0 + 80009fdc: 0185151b slliw a0,a0,0x18 + 80009fe0: 0017879b addiw a5,a5,1 + 80009fe4: 03079793 slli a5,a5,0x30 + 80009fe8: 00042583 lw a1,0(s0) + 80009fec: 01042683 lw a3,16(s0) + 80009ff0: 0009a603 lw a2,0(s3) + 80009ff4: 0307d793 srli a5,a5,0x30 + 80009ff8: 4185551b sraiw a0,a0,0x18 + 80009ffc: 00f5053b addw a0,a0,a5 + 8000a000: 03051513 slli a0,a0,0x30 + 8000a004: 000a4803 lbu a6,0(s4) + 8000a008: fd06849b addiw s1,a3,-48 + 8000a00c: 0015831b addiw t1,a1,1 + 8000a010: 0016089b addiw a7,a2,1 + 8000a014: 03055513 srli a0,a0,0x30 + 8000a018: 00a7c7b3 xor a5,a5,a0 + 8000a01c: 00e40c23 sb a4,24(s0) + 8000a020: 00642023 sw t1,0(s0) + 8000a024: 00942823 sw s1,16(s0) + 8000a028: 0119a023 sw a7,0(s3) + 8000a02c: 1007f793 andi a5,a5,256 + 8000a030: 00080463 beqz a6,8000a038 <_Z14X6502_RunDebugi+0x91d8> + 8000a034: 5240106f j 8000b558 <_Z14X6502_RunDebugi+0xa6f8> + 8000a038: 001c2817 auipc a6,0x1c2 + 8000a03c: b1080813 addi a6,a6,-1264 # 801cbb48 + 8000a040: 00082703 lw a4,0(a6) + 8000a044: 00a41223 sh a0,4(s0) + 8000a048: 0017089b addiw a7,a4,1 + 8000a04c: 01182023 sw a7,0(a6) + 8000a050: 00079463 bnez a5,8000a058 <_Z14X6502_RunDebugi+0x91f8> + 8000a054: 814f706f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000a058: fa06849b addiw s1,a3,-96 + 8000a05c: 0025859b addiw a1,a1,2 + 8000a060: 0026061b addiw a2,a2,2 + 8000a064: 0027071b addiw a4,a4,2 + 8000a068: 00b42023 sw a1,0(s0) + 8000a06c: 00942823 sw s1,16(s0) + 8000a070: 00c9a023 sw a2,0(s3) + 8000a074: 00e82023 sw a4,0(a6) + 8000a078: 00ac8463 beq s9,a0,8000a080 <_Z14X6502_RunDebugi+0x9220> + 8000a07c: ff1f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a080: 878f706f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a084: 00351793 slli a5,a0,0x3 + 8000a088: 00f90933 add s2,s2,a5 + 8000a08c: 00093783 ld a5,0(s2) + 8000a090: 000780e7 jalr a5 + 8000a094: 02051793 slli a5,a0,0x20 + 8000a098: 0207d793 srli a5,a5,0x20 + 8000a09c: 001bf617 auipc a2,0x1bf + 8000a0a0: c8c60613 addi a2,a2,-884 # 801c8d28 + 8000a0a4: 00f60633 add a2,a2,a5 + 8000a0a8: 00064803 lbu a6,0(a2) + 8000a0ac: 00644583 lbu a1,6(s0) + 8000a0b0: 00a44783 lbu a5,10(s0) + 8000a0b4: 0004d717 auipc a4,0x4d + 8000a0b8: 7bc70713 addi a4,a4,1980 # 80057870 <_ZL7ZNTable> + 8000a0bc: 00185693 srli a3,a6,0x1 + 8000a0c0: 00068893 mv a7,a3 + 8000a0c4: 00d706b3 add a3,a4,a3 + 8000a0c8: 00445503 lhu a0,4(s0) + 8000a0cc: 00b8c5b3 xor a1,a7,a1 + 8000a0d0: 0006c683 lbu a3,0(a3) + 8000a0d4: 07c7f793 andi a5,a5,124 + 8000a0d8: 00187313 andi t1,a6,1 + 8000a0dc: 00b70733 add a4,a4,a1 + 8000a0e0: 00074703 lbu a4,0(a4) + 8000a0e4: 0067e7b3 or a5,a5,t1 + 8000a0e8: 00d7e7b3 or a5,a5,a3 + 8000a0ec: 0015051b addiw a0,a0,1 + 8000a0f0: 07d7f793 andi a5,a5,125 + 8000a0f4: 03051513 slli a0,a0,0x30 + 8000a0f8: 03055513 srli a0,a0,0x30 + 8000a0fc: 00e7e7b3 or a5,a5,a4 + 8000a100: 00a41223 sh a0,4(s0) + 8000a104: 01040c23 sb a6,24(s0) + 8000a108: 00b40323 sb a1,6(s0) + 8000a10c: 00f40523 sb a5,10(s0) + 8000a110: 01160023 sb a7,0(a2) + 8000a114: 01042483 lw s1,16(s0) + 8000a118: 00ac8463 beq s9,a0,8000a120 <_Z14X6502_RunDebugi+0x92c0> + 8000a11c: f51f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a120: fd9f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a124: 00351793 slli a5,a0,0x3 + 8000a128: 00f90933 add s2,s2,a5 + 8000a12c: 00093783 ld a5,0(s2) + 8000a130: 000780e7 jalr a5 + 8000a134: 02051793 slli a5,a0,0x20 + 8000a138: 0207d793 srli a5,a5,0x20 + 8000a13c: 001bf717 auipc a4,0x1bf + 8000a140: bec70713 addi a4,a4,-1044 # 801c8d28 + 8000a144: 00f70733 add a4,a4,a5 + 8000a148: 00074683 lbu a3,0(a4) + 8000a14c: 00a44783 lbu a5,10(s0) + 8000a150: 00445503 lhu a0,4(s0) + 8000a154: 0016d593 srli a1,a3,0x1 + 8000a158: 0004d617 auipc a2,0x4d + 8000a15c: 71860613 addi a2,a2,1816 # 80057870 <_ZL7ZNTable> + 8000a160: 00b60633 add a2,a2,a1 + 8000a164: 00064603 lbu a2,0(a2) + 8000a168: 07c7f793 andi a5,a5,124 + 8000a16c: 0016f813 andi a6,a3,1 + 8000a170: 0015051b addiw a0,a0,1 + 8000a174: 0107e7b3 or a5,a5,a6 + 8000a178: 03051513 slli a0,a0,0x30 + 8000a17c: 03055513 srli a0,a0,0x30 + 8000a180: 00c7e7b3 or a5,a5,a2 + 8000a184: 00a41223 sh a0,4(s0) + 8000a188: 00d40c23 sb a3,24(s0) + 8000a18c: 00f40523 sb a5,10(s0) + 8000a190: 00b70023 sb a1,0(a4) + 8000a194: 01042483 lw s1,16(s0) + 8000a198: 00ac8463 beq s9,a0,8000a1a0 <_Z14X6502_RunDebugi+0x9340> + 8000a19c: ed1f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a1a0: f59f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a1a4: 00351793 slli a5,a0,0x3 + 8000a1a8: 00f907b3 add a5,s2,a5 + 8000a1ac: 0007b783 ld a5,0(a5) + 8000a1b0: 000780e7 jalr a5 + 8000a1b4: 00445783 lhu a5,4(s0) + 8000a1b8: 00050713 mv a4,a0 + 8000a1bc: 00a40c23 sb a0,24(s0) + 8000a1c0: 0017879b addiw a5,a5,1 + 8000a1c4: 03079513 slli a0,a5,0x30 + 8000a1c8: 03055513 srli a0,a0,0x30 + 8000a1cc: 00351793 slli a5,a0,0x3 + 8000a1d0: 00f907b3 add a5,s2,a5 + 8000a1d4: 0007b783 ld a5,0(a5) + 8000a1d8: 00a41223 sh a0,4(s0) + 8000a1dc: 0007049b sext.w s1,a4 + 8000a1e0: 000780e7 jalr a5 + 8000a1e4: 00744703 lbu a4,7(s0) + 8000a1e8: 00445683 lhu a3,4(s0) + 8000a1ec: 00851793 slli a5,a0,0x8 + 8000a1f0: 0097e7b3 or a5,a5,s1 + 8000a1f4: 00f7073b addw a4,a4,a5 + 8000a1f8: 0016869b addiw a3,a3,1 + 8000a1fc: 00e7c7b3 xor a5,a5,a4 + 8000a200: 00a40c23 sb a0,24(s0) + 8000a204: 00d41223 sh a3,4(s0) + 8000a208: 1007f793 andi a5,a5,256 + 8000a20c: 0007049b sext.w s1,a4 + 8000a210: 06078463 beqz a5,8000a278 <_Z14X6502_RunDebugi+0x9418> + 8000a214: 03071493 slli s1,a4,0x30 + 8000a218: 0304d493 srli s1,s1,0x30 + 8000a21c: 1004c793 xori a5,s1,256 + 8000a220: 00379793 slli a5,a5,0x3 + 8000a224: 00f907b3 add a5,s2,a5 + 8000a228: 0007b783 ld a5,0(a5) + 8000a22c: 1004c513 xori a0,s1,256 + 8000a230: 000780e7 jalr a5 + 8000a234: 00042683 lw a3,0(s0) + 8000a238: 01042703 lw a4,16(s0) + 8000a23c: 0009a783 lw a5,0(s3) + 8000a240: 000a4603 lbu a2,0(s4) + 8000a244: 0016869b addiw a3,a3,1 + 8000a248: fd07071b addiw a4,a4,-48 + 8000a24c: 0017879b addiw a5,a5,1 + 8000a250: 00a40c23 sb a0,24(s0) + 8000a254: 00d42023 sw a3,0(s0) + 8000a258: 00e42823 sw a4,16(s0) + 8000a25c: 00f9a023 sw a5,0(s3) + 8000a260: 00061c63 bnez a2,8000a278 <_Z14X6502_RunDebugi+0x9418> + 8000a264: 001c2717 auipc a4,0x1c2 + 8000a268: 8e470713 addi a4,a4,-1820 # 801cbb48 + 8000a26c: 00072783 lw a5,0(a4) + 8000a270: 0017879b addiw a5,a5,1 + 8000a274: 00f72023 sw a5,0(a4) + 8000a278: 02049793 slli a5,s1,0x20 + 8000a27c: 01d7d793 srli a5,a5,0x1d + 8000a280: 00f90933 add s2,s2,a5 + 8000a284: 00093783 ld a5,0(s2) + 8000a288: 00048513 mv a0,s1 + 8000a28c: 000780e7 jalr a5 + 8000a290: 00644703 lbu a4,6(s0) + 8000a294: 0004d617 auipc a2,0x4d + 8000a298: 5dc60613 addi a2,a2,1500 # 80057870 <_ZL7ZNTable> + 8000a29c: 00a44783 lbu a5,10(s0) + 8000a2a0: 00e57733 and a4,a0,a4 + 8000a2a4: 00e60633 add a2,a2,a4 + 8000a2a8: 00064603 lbu a2,0(a2) + 8000a2ac: 00050693 mv a3,a0 + 8000a2b0: 07d7f793 andi a5,a5,125 + 8000a2b4: 00445503 lhu a0,4(s0) + 8000a2b8: 00c7e7b3 or a5,a5,a2 + 8000a2bc: 00d40c23 sb a3,24(s0) + 8000a2c0: 00e40323 sb a4,6(s0) + 8000a2c4: 00f40523 sb a5,10(s0) + 8000a2c8: 01042483 lw s1,16(s0) + 8000a2cc: 00ac8463 beq s9,a0,8000a2d4 <_Z14X6502_RunDebugi+0x9474> + 8000a2d0: d9df606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a2d4: e25f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a2d8: 00351793 slli a5,a0,0x3 + 8000a2dc: 00f907b3 add a5,s2,a5 + 8000a2e0: 0007b783 ld a5,0(a5) + 8000a2e4: 000780e7 jalr a5 + 8000a2e8: 00445783 lhu a5,4(s0) + 8000a2ec: 00050713 mv a4,a0 + 8000a2f0: 00a40c23 sb a0,24(s0) + 8000a2f4: 0017879b addiw a5,a5,1 + 8000a2f8: 03079513 slli a0,a5,0x30 + 8000a2fc: 03055513 srli a0,a0,0x30 + 8000a300: 00351793 slli a5,a0,0x3 + 8000a304: 00f907b3 add a5,s2,a5 + 8000a308: 0007b783 ld a5,0(a5) + 8000a30c: 00a41223 sh a0,4(s0) + 8000a310: 0007049b sext.w s1,a4 + 8000a314: 000780e7 jalr a5 + 8000a318: 00844d03 lbu s10,8(s0) + 8000a31c: 00851793 slli a5,a0,0x8 + 8000a320: 0097e7b3 or a5,a5,s1 + 8000a324: 00fd0d3b addw s10,s10,a5 + 8000a328: 0ffd7613 andi a2,s10,255 + 8000a32c: 0187f7b3 and a5,a5,s8 + 8000a330: 00f66733 or a4,a2,a5 + 8000a334: 00445683 lhu a3,4(s0) + 8000a338: 00371713 slli a4,a4,0x3 + 8000a33c: 00e90733 add a4,s2,a4 + 8000a340: 00073583 ld a1,0(a4) + 8000a344: 030d1d13 slli s10,s10,0x30 + 8000a348: 0016871b addiw a4,a3,1 + 8000a34c: 030d5d13 srli s10,s10,0x30 + 8000a350: 00e41223 sh a4,4(s0) + 8000a354: 003d1493 slli s1,s10,0x3 + 8000a358: 00a40c23 sb a0,24(s0) + 8000a35c: 00f66533 or a0,a2,a5 + 8000a360: 000580e7 jalr a1 + 8000a364: 00990933 add s2,s2,s1 + 8000a368: 00093783 ld a5,0(s2) + 8000a36c: 00a40c23 sb a0,24(s0) + 8000a370: 0013f917 auipc s2,0x13f + 8000a374: 97090913 addi s2,s2,-1680 # 80148ce0 + 8000a378: 000d0513 mv a0,s10 + 8000a37c: 000780e7 jalr a5 + 8000a380: 00990933 add s2,s2,s1 + 8000a384: 00093783 ld a5,0(s2) + 8000a388: 00050493 mv s1,a0 + 8000a38c: 00050593 mv a1,a0 + 8000a390: 00940c23 sb s1,24(s0) + 8000a394: 000d0513 mv a0,s10 + 8000a398: 000780e7 jalr a5 + 8000a39c: 00a44783 lbu a5,10(s0) + 8000a3a0: 0014959b slliw a1,s1,0x1 + 8000a3a4: 00644703 lbu a4,6(s0) + 8000a3a8: 0017f513 andi a0,a5,1 + 8000a3ac: 0ff5f593 andi a1,a1,255 + 8000a3b0: 00a5e633 or a2,a1,a0 + 8000a3b4: 0004d697 auipc a3,0x4d + 8000a3b8: 4bc68693 addi a3,a3,1212 # 80057870 <_ZL7ZNTable> + 8000a3bc: 00060593 mv a1,a2 + 8000a3c0: 00c68633 add a2,a3,a2 + 8000a3c4: 00064503 lbu a0,0(a2) + 8000a3c8: 00e5f733 and a4,a1,a4 + 8000a3cc: 00e686b3 add a3,a3,a4 + 8000a3d0: 4074d49b sraiw s1,s1,0x7 + 8000a3d4: 07c7f793 andi a5,a5,124 + 8000a3d8: 0006c603 lbu a2,0(a3) + 8000a3dc: 0097e7b3 or a5,a5,s1 + 8000a3e0: 00a7e7b3 or a5,a5,a0 + 8000a3e4: 00093683 ld a3,0(s2) + 8000a3e8: 07d7f793 andi a5,a5,125 + 8000a3ec: 00c7e7b3 or a5,a5,a2 + 8000a3f0: 000d0513 mv a0,s10 + 8000a3f4: 00e40323 sb a4,6(s0) + 8000a3f8: 00f40523 sb a5,10(s0) + 8000a3fc: 000680e7 jalr a3 + 8000a400: 00445503 lhu a0,4(s0) + 8000a404: 01042483 lw s1,16(s0) + 8000a408: 00ac8463 beq s9,a0,8000a410 <_Z14X6502_RunDebugi+0x95b0> + 8000a40c: c61f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a410: ce9f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a414: 00351793 slli a5,a0,0x3 + 8000a418: 00f907b3 add a5,s2,a5 + 8000a41c: 0007b783 ld a5,0(a5) + 8000a420: 000780e7 jalr a5 + 8000a424: 00445783 lhu a5,4(s0) + 8000a428: 00050713 mv a4,a0 + 8000a42c: 00a40c23 sb a0,24(s0) + 8000a430: 0017879b addiw a5,a5,1 + 8000a434: 03079513 slli a0,a5,0x30 + 8000a438: 03055513 srli a0,a0,0x30 + 8000a43c: 00351793 slli a5,a0,0x3 + 8000a440: 00f907b3 add a5,s2,a5 + 8000a444: 0007b783 ld a5,0(a5) + 8000a448: 00a41223 sh a0,4(s0) + 8000a44c: 0007049b sext.w s1,a4 + 8000a450: 000780e7 jalr a5 + 8000a454: 00844703 lbu a4,8(s0) + 8000a458: 00445683 lhu a3,4(s0) + 8000a45c: 00851793 slli a5,a0,0x8 + 8000a460: 0097e7b3 or a5,a5,s1 + 8000a464: 00f7073b addw a4,a4,a5 + 8000a468: 0016869b addiw a3,a3,1 + 8000a46c: 00e7c7b3 xor a5,a5,a4 + 8000a470: 00a40c23 sb a0,24(s0) + 8000a474: 00d41223 sh a3,4(s0) + 8000a478: 1007f793 andi a5,a5,256 + 8000a47c: 0007049b sext.w s1,a4 + 8000a480: 06078463 beqz a5,8000a4e8 <_Z14X6502_RunDebugi+0x9688> + 8000a484: 03071493 slli s1,a4,0x30 + 8000a488: 0304d493 srli s1,s1,0x30 + 8000a48c: 1004c793 xori a5,s1,256 + 8000a490: 00379793 slli a5,a5,0x3 + 8000a494: 00f907b3 add a5,s2,a5 + 8000a498: 0007b783 ld a5,0(a5) + 8000a49c: 1004c513 xori a0,s1,256 + 8000a4a0: 000780e7 jalr a5 + 8000a4a4: 00042683 lw a3,0(s0) + 8000a4a8: 01042703 lw a4,16(s0) + 8000a4ac: 0009a783 lw a5,0(s3) + 8000a4b0: 000a4603 lbu a2,0(s4) + 8000a4b4: 0016869b addiw a3,a3,1 + 8000a4b8: fd07071b addiw a4,a4,-48 + 8000a4bc: 0017879b addiw a5,a5,1 + 8000a4c0: 00a40c23 sb a0,24(s0) + 8000a4c4: 00d42023 sw a3,0(s0) + 8000a4c8: 00e42823 sw a4,16(s0) + 8000a4cc: 00f9a023 sw a5,0(s3) + 8000a4d0: 00061c63 bnez a2,8000a4e8 <_Z14X6502_RunDebugi+0x9688> + 8000a4d4: 001c1717 auipc a4,0x1c1 + 8000a4d8: 67470713 addi a4,a4,1652 # 801cbb48 + 8000a4dc: 00072783 lw a5,0(a4) + 8000a4e0: 0017879b addiw a5,a5,1 + 8000a4e4: 00f72023 sw a5,0(a4) + 8000a4e8: 02049793 slli a5,s1,0x20 + 8000a4ec: 01d7d793 srli a5,a5,0x1d + 8000a4f0: 00f90933 add s2,s2,a5 + 8000a4f4: 00093783 ld a5,0(s2) + 8000a4f8: 00048513 mv a0,s1 + 8000a4fc: 000780e7 jalr a5 + 8000a500: 00644703 lbu a4,6(s0) + 8000a504: 0004d617 auipc a2,0x4d + 8000a508: 36c60613 addi a2,a2,876 # 80057870 <_ZL7ZNTable> + 8000a50c: 00a44783 lbu a5,10(s0) + 8000a510: 00e57733 and a4,a0,a4 + 8000a514: 00e60633 add a2,a2,a4 + 8000a518: 00064603 lbu a2,0(a2) + 8000a51c: 00050693 mv a3,a0 + 8000a520: 07d7f793 andi a5,a5,125 + 8000a524: 00445503 lhu a0,4(s0) + 8000a528: 00c7e7b3 or a5,a5,a2 + 8000a52c: 00d40c23 sb a3,24(s0) + 8000a530: 00e40323 sb a4,6(s0) + 8000a534: 00f40523 sb a5,10(s0) + 8000a538: 01042483 lw s1,16(s0) + 8000a53c: 00ac8463 beq s9,a0,8000a544 <_Z14X6502_RunDebugi+0x96e4> + 8000a540: b2df606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a544: bb5f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a548: 00a44783 lbu a5,10(s0) + 8000a54c: 01042483 lw s1,16(s0) + 8000a550: 0017e793 ori a5,a5,1 + 8000a554: 00f40523 sb a5,10(s0) + 8000a558: 00ac8463 beq s9,a0,8000a560 <_Z14X6502_RunDebugi+0x9700> + 8000a55c: b11f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a560: b99f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a564: 00351793 slli a5,a0,0x3 + 8000a568: 00f90933 add s2,s2,a5 + 8000a56c: 00093783 ld a5,0(s2) + 8000a570: 00744483 lbu s1,7(s0) + 8000a574: 000780e7 jalr a5 + 8000a578: 00a487bb addw a5,s1,a0 + 8000a57c: 0ff7f793 andi a5,a5,255 + 8000a580: 001be597 auipc a1,0x1be + 8000a584: 7a858593 addi a1,a1,1960 # 801c8d28 + 8000a588: 00f585b3 add a1,a1,a5 + 8000a58c: 0005c803 lbu a6,0(a1) + 8000a590: 00a44783 lbu a5,10(s0) + 8000a594: 00644883 lbu a7,6(s0) + 8000a598: 0018169b slliw a3,a6,0x1 + 8000a59c: 0017f513 andi a0,a5,1 + 8000a5a0: 0ff6f693 andi a3,a3,255 + 8000a5a4: 00a6e633 or a2,a3,a0 + 8000a5a8: 0004d717 auipc a4,0x4d + 8000a5ac: 2c870713 addi a4,a4,712 # 80057870 <_ZL7ZNTable> + 8000a5b0: 00060693 mv a3,a2 + 8000a5b4: 00c70633 add a2,a4,a2 + 8000a5b8: 00445503 lhu a0,4(s0) + 8000a5bc: 0116f8b3 and a7,a3,a7 + 8000a5c0: 00064603 lbu a2,0(a2) + 8000a5c4: 07c7f793 andi a5,a5,124 + 8000a5c8: 4078531b sraiw t1,a6,0x7 + 8000a5cc: 01170733 add a4,a4,a7 + 8000a5d0: 00074703 lbu a4,0(a4) + 8000a5d4: 0067e7b3 or a5,a5,t1 + 8000a5d8: 00c7e7b3 or a5,a5,a2 + 8000a5dc: 0015051b addiw a0,a0,1 + 8000a5e0: 07d7f793 andi a5,a5,125 + 8000a5e4: 03051513 slli a0,a0,0x30 + 8000a5e8: 03055513 srli a0,a0,0x30 + 8000a5ec: 00e7e7b3 or a5,a5,a4 + 8000a5f0: 00a41223 sh a0,4(s0) + 8000a5f4: 01040c23 sb a6,24(s0) + 8000a5f8: 01140323 sb a7,6(s0) + 8000a5fc: 00f40523 sb a5,10(s0) + 8000a600: 00d58023 sb a3,0(a1) + 8000a604: 01042483 lw s1,16(s0) + 8000a608: 00ac8463 beq s9,a0,8000a610 <_Z14X6502_RunDebugi+0x97b0> + 8000a60c: a61f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a610: ae9f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a614: 00351793 slli a5,a0,0x3 + 8000a618: 00f90933 add s2,s2,a5 + 8000a61c: 00093783 ld a5,0(s2) + 8000a620: 00744483 lbu s1,7(s0) + 8000a624: 000780e7 jalr a5 + 8000a628: 00a487bb addw a5,s1,a0 + 8000a62c: 0ff7f793 andi a5,a5,255 + 8000a630: 001be697 auipc a3,0x1be + 8000a634: 6f868693 addi a3,a3,1784 # 801c8d28 + 8000a638: 00f686b3 add a3,a3,a5 + 8000a63c: 0006c603 lbu a2,0(a3) + 8000a640: 00a44783 lbu a5,10(s0) + 8000a644: 00445503 lhu a0,4(s0) + 8000a648: 0016171b slliw a4,a2,0x1 + 8000a64c: 0017f813 andi a6,a5,1 + 8000a650: 0ff77713 andi a4,a4,255 + 8000a654: 010768b3 or a7,a4,a6 + 8000a658: 0004d597 auipc a1,0x4d + 8000a65c: 21858593 addi a1,a1,536 # 80057870 <_ZL7ZNTable> + 8000a660: 011585b3 add a1,a1,a7 + 8000a664: 0005c583 lbu a1,0(a1) + 8000a668: 07c7f793 andi a5,a5,124 + 8000a66c: 4076589b sraiw a7,a2,0x7 + 8000a670: 0015051b addiw a0,a0,1 + 8000a674: 0117e7b3 or a5,a5,a7 + 8000a678: 03051513 slli a0,a0,0x30 + 8000a67c: 03055513 srli a0,a0,0x30 + 8000a680: 01076733 or a4,a4,a6 + 8000a684: 00b7e7b3 or a5,a5,a1 + 8000a688: 00a41223 sh a0,4(s0) + 8000a68c: 00c40c23 sb a2,24(s0) + 8000a690: 00f40523 sb a5,10(s0) + 8000a694: 00e68023 sb a4,0(a3) + 8000a698: 01042483 lw s1,16(s0) + 8000a69c: 00ac8463 beq s9,a0,8000a6a4 <_Z14X6502_RunDebugi+0x9844> + 8000a6a0: 9cdf606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a6a4: a55f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a6a8: 00351793 slli a5,a0,0x3 + 8000a6ac: 00f90933 add s2,s2,a5 + 8000a6b0: 00093783 ld a5,0(s2) + 8000a6b4: 00744483 lbu s1,7(s0) + 8000a6b8: 000780e7 jalr a5 + 8000a6bc: 00a487bb addw a5,s1,a0 + 8000a6c0: 001be717 auipc a4,0x1be + 8000a6c4: 66870713 addi a4,a4,1640 # 801c8d28 + 8000a6c8: 0ff7f793 andi a5,a5,255 + 8000a6cc: 00f707b3 add a5,a4,a5 + 8000a6d0: 0007c603 lbu a2,0(a5) + 8000a6d4: 00644703 lbu a4,6(s0) + 8000a6d8: 00445503 lhu a0,4(s0) + 8000a6dc: 0004d697 auipc a3,0x4d + 8000a6e0: 19468693 addi a3,a3,404 # 80057870 <_ZL7ZNTable> + 8000a6e4: 00e67733 and a4,a2,a4 + 8000a6e8: 00a44783 lbu a5,10(s0) + 8000a6ec: 00e686b3 add a3,a3,a4 + 8000a6f0: 0006c683 lbu a3,0(a3) + 8000a6f4: 0015051b addiw a0,a0,1 + 8000a6f8: 07d7f793 andi a5,a5,125 + 8000a6fc: 03051513 slli a0,a0,0x30 + 8000a700: 03055513 srli a0,a0,0x30 + 8000a704: 00d7e7b3 or a5,a5,a3 + 8000a708: 00a41223 sh a0,4(s0) + 8000a70c: 00c40c23 sb a2,24(s0) + 8000a710: 00e40323 sb a4,6(s0) + 8000a714: 00f40523 sb a5,10(s0) + 8000a718: 01042483 lw s1,16(s0) + 8000a71c: 00ac8463 beq s9,a0,8000a724 <_Z14X6502_RunDebugi+0x98c4> + 8000a720: 94df606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a724: 9d5f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a728: 00351793 slli a5,a0,0x3 + 8000a72c: 00f907b3 add a5,s2,a5 + 8000a730: 0007b783 ld a5,0(a5) + 8000a734: 000780e7 jalr a5 + 8000a738: 0015079b addiw a5,a0,1 + 8000a73c: 001be717 auipc a4,0x1be + 8000a740: 5ec70713 addi a4,a4,1516 # 801c8d28 + 8000a744: 0ff7f793 andi a5,a5,255 + 8000a748: 02051513 slli a0,a0,0x20 + 8000a74c: 00f707b3 add a5,a4,a5 + 8000a750: 02055513 srli a0,a0,0x20 + 8000a754: 0007c603 lbu a2,0(a5) + 8000a758: 00a70533 add a0,a4,a0 + 8000a75c: 00054703 lbu a4,0(a0) + 8000a760: 00844d03 lbu s10,8(s0) + 8000a764: 0086179b slliw a5,a2,0x8 + 8000a768: 00e7e7b3 or a5,a5,a4 + 8000a76c: 00fd0d3b addw s10,s10,a5 + 8000a770: 0007879b sext.w a5,a5 + 8000a774: 0187f7b3 and a5,a5,s8 + 8000a778: 0ffd7513 andi a0,s10,255 + 8000a77c: 00f56733 or a4,a0,a5 + 8000a780: 00445683 lhu a3,4(s0) + 8000a784: 00371713 slli a4,a4,0x3 + 8000a788: 00e90733 add a4,s2,a4 + 8000a78c: 00073583 ld a1,0(a4) + 8000a790: 030d1d13 slli s10,s10,0x30 + 8000a794: 0016871b addiw a4,a3,1 + 8000a798: 030d5d13 srli s10,s10,0x30 + 8000a79c: 00e41223 sh a4,4(s0) + 8000a7a0: 00c40c23 sb a2,24(s0) + 8000a7a4: 003d1493 slli s1,s10,0x3 + 8000a7a8: 00f56533 or a0,a0,a5 + 8000a7ac: 000580e7 jalr a1 + 8000a7b0: 00990933 add s2,s2,s1 + 8000a7b4: 00093783 ld a5,0(s2) + 8000a7b8: 00a40c23 sb a0,24(s0) + 8000a7bc: 0013e917 auipc s2,0x13e + 8000a7c0: 52490913 addi s2,s2,1316 # 80148ce0 + 8000a7c4: 000d0513 mv a0,s10 + 8000a7c8: 000780e7 jalr a5 + 8000a7cc: 00990933 add s2,s2,s1 + 8000a7d0: 00093783 ld a5,0(s2) + 8000a7d4: 00050493 mv s1,a0 + 8000a7d8: 00050593 mv a1,a0 + 8000a7dc: 00940c23 sb s1,24(s0) + 8000a7e0: 000d0513 mv a0,s10 + 8000a7e4: 000780e7 jalr a5 + 8000a7e8: 00a44783 lbu a5,10(s0) + 8000a7ec: 0014959b slliw a1,s1,0x1 + 8000a7f0: 00644703 lbu a4,6(s0) + 8000a7f4: 0017f513 andi a0,a5,1 + 8000a7f8: 0ff5f593 andi a1,a1,255 + 8000a7fc: 00a5e633 or a2,a1,a0 + 8000a800: 0004d697 auipc a3,0x4d + 8000a804: 07068693 addi a3,a3,112 # 80057870 <_ZL7ZNTable> + 8000a808: 00060593 mv a1,a2 + 8000a80c: 00c68633 add a2,a3,a2 + 8000a810: 00064503 lbu a0,0(a2) + 8000a814: 00e5f733 and a4,a1,a4 + 8000a818: 00e686b3 add a3,a3,a4 + 8000a81c: 4074d49b sraiw s1,s1,0x7 + 8000a820: 07c7f793 andi a5,a5,124 + 8000a824: 0006c603 lbu a2,0(a3) + 8000a828: 0097e7b3 or a5,a5,s1 + 8000a82c: 00a7e7b3 or a5,a5,a0 + 8000a830: 00093683 ld a3,0(s2) + 8000a834: 07d7f793 andi a5,a5,125 + 8000a838: 00c7e7b3 or a5,a5,a2 + 8000a83c: 000d0513 mv a0,s10 + 8000a840: 00e40323 sb a4,6(s0) + 8000a844: 00f40523 sb a5,10(s0) + 8000a848: 000680e7 jalr a3 + 8000a84c: 00445503 lhu a0,4(s0) + 8000a850: 01042483 lw s1,16(s0) + 8000a854: 00ac8463 beq s9,a0,8000a85c <_Z14X6502_RunDebugi+0x99fc> + 8000a858: 815f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a85c: 89df606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a860: 00351793 slli a5,a0,0x3 + 8000a864: 00f907b3 add a5,s2,a5 + 8000a868: 0007b783 ld a5,0(a5) + 8000a86c: 000780e7 jalr a5 + 8000a870: 0015079b addiw a5,a0,1 + 8000a874: 001be717 auipc a4,0x1be + 8000a878: 4b470713 addi a4,a4,1204 # 801c8d28 + 8000a87c: 0ff7f793 andi a5,a5,255 + 8000a880: 02051513 slli a0,a0,0x20 + 8000a884: 00f707b3 add a5,a4,a5 + 8000a888: 02055513 srli a0,a0,0x20 + 8000a88c: 0007c603 lbu a2,0(a5) + 8000a890: 00a70533 add a0,a4,a0 + 8000a894: 00054583 lbu a1,0(a0) + 8000a898: 00844703 lbu a4,8(s0) + 8000a89c: 00445683 lhu a3,4(s0) + 8000a8a0: 0086179b slliw a5,a2,0x8 + 8000a8a4: 00b7e7b3 or a5,a5,a1 + 8000a8a8: 00f7073b addw a4,a4,a5 + 8000a8ac: 0016869b addiw a3,a3,1 + 8000a8b0: 00e7c7b3 xor a5,a5,a4 + 8000a8b4: 00d41223 sh a3,4(s0) + 8000a8b8: 00c40c23 sb a2,24(s0) + 8000a8bc: 1007f793 andi a5,a5,256 + 8000a8c0: 0007049b sext.w s1,a4 + 8000a8c4: 06078463 beqz a5,8000a92c <_Z14X6502_RunDebugi+0x9acc> + 8000a8c8: 03071493 slli s1,a4,0x30 + 8000a8cc: 0304d493 srli s1,s1,0x30 + 8000a8d0: 1004c793 xori a5,s1,256 + 8000a8d4: 00379793 slli a5,a5,0x3 + 8000a8d8: 00f907b3 add a5,s2,a5 + 8000a8dc: 0007b783 ld a5,0(a5) + 8000a8e0: 1004c513 xori a0,s1,256 + 8000a8e4: 000780e7 jalr a5 + 8000a8e8: 00042683 lw a3,0(s0) + 8000a8ec: 01042703 lw a4,16(s0) + 8000a8f0: 0009a783 lw a5,0(s3) + 8000a8f4: 000a4603 lbu a2,0(s4) + 8000a8f8: 0016869b addiw a3,a3,1 + 8000a8fc: fd07071b addiw a4,a4,-48 + 8000a900: 0017879b addiw a5,a5,1 + 8000a904: 00a40c23 sb a0,24(s0) + 8000a908: 00d42023 sw a3,0(s0) + 8000a90c: 00e42823 sw a4,16(s0) + 8000a910: 00f9a023 sw a5,0(s3) + 8000a914: 00061c63 bnez a2,8000a92c <_Z14X6502_RunDebugi+0x9acc> + 8000a918: 001c1717 auipc a4,0x1c1 + 8000a91c: 23070713 addi a4,a4,560 # 801cbb48 + 8000a920: 00072783 lw a5,0(a4) + 8000a924: 0017879b addiw a5,a5,1 + 8000a928: 00f72023 sw a5,0(a4) + 8000a92c: 00349793 slli a5,s1,0x3 + 8000a930: 00f90933 add s2,s2,a5 + 8000a934: 00093783 ld a5,0(s2) + 8000a938: 00048513 mv a0,s1 + 8000a93c: 000780e7 jalr a5 + 8000a940: 00644703 lbu a4,6(s0) + 8000a944: 0004d617 auipc a2,0x4d + 8000a948: f2c60613 addi a2,a2,-212 # 80057870 <_ZL7ZNTable> + 8000a94c: 00a44783 lbu a5,10(s0) + 8000a950: 00e57733 and a4,a0,a4 + 8000a954: 00e60633 add a2,a2,a4 + 8000a958: 00064603 lbu a2,0(a2) + 8000a95c: 00050693 mv a3,a0 + 8000a960: 07d7f793 andi a5,a5,125 + 8000a964: 00445503 lhu a0,4(s0) + 8000a968: 00c7e7b3 or a5,a5,a2 + 8000a96c: 00d40c23 sb a3,24(s0) + 8000a970: 00e40323 sb a4,6(s0) + 8000a974: 00f40523 sb a5,10(s0) + 8000a978: 01042483 lw s1,16(s0) + 8000a97c: 00ac8463 beq s9,a0,8000a984 <_Z14X6502_RunDebugi+0x9b24> + 8000a980: eecf606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a984: f74f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a988: 00a40783 lb a5,10(s0) + 8000a98c: 2e07c0e3 bltz a5,8000b46c <_Z14X6502_RunDebugi+0xa60c> + 8000a990: 002c851b addiw a0,s9,2 + 8000a994: 03051513 slli a0,a0,0x30 + 8000a998: 03055513 srli a0,a0,0x30 + 8000a99c: 00a41223 sh a0,4(s0) + 8000a9a0: 01042483 lw s1,16(s0) + 8000a9a4: 00ac8463 beq s9,a0,8000a9ac <_Z14X6502_RunDebugi+0x9b4c> + 8000a9a8: ec4f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000a9ac: f4cf606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000a9b0: 00351793 slli a5,a0,0x3 + 8000a9b4: 00f907b3 add a5,s2,a5 + 8000a9b8: 0007b783 ld a5,0(a5) + 8000a9bc: 000780e7 jalr a5 + 8000a9c0: 00445783 lhu a5,4(s0) + 8000a9c4: 00050493 mv s1,a0 + 8000a9c8: 00a40c23 sb a0,24(s0) + 8000a9cc: 0017879b addiw a5,a5,1 + 8000a9d0: 03079513 slli a0,a5,0x30 + 8000a9d4: 03055513 srli a0,a0,0x30 + 8000a9d8: 00351793 slli a5,a0,0x3 + 8000a9dc: 00f907b3 add a5,s2,a5 + 8000a9e0: 0007b783 ld a5,0(a5) + 8000a9e4: 00a41223 sh a0,4(s0) + 8000a9e8: 0004849b sext.w s1,s1 + 8000a9ec: 000780e7 jalr a5 + 8000a9f0: 00851713 slli a4,a0,0x8 + 8000a9f4: 00976d33 or s10,a4,s1 + 8000a9f8: 00445783 lhu a5,4(s0) + 8000a9fc: 003d1d13 slli s10,s10,0x3 + 8000aa00: 01a90933 add s2,s2,s10 + 8000aa04: 00093683 ld a3,0(s2) + 8000aa08: 0017879b addiw a5,a5,1 + 8000aa0c: 00976933 or s2,a4,s1 + 8000aa10: 00a40c23 sb a0,24(s0) + 8000aa14: 00f41223 sh a5,4(s0) + 8000aa18: 00090513 mv a0,s2 + 8000aa1c: 000680e7 jalr a3 + 8000aa20: 0013e797 auipc a5,0x13e + 8000aa24: 2c078793 addi a5,a5,704 # 80148ce0 + 8000aa28: 01a78d33 add s10,a5,s10 + 8000aa2c: 000d3783 ld a5,0(s10) + 8000aa30: 00050493 mv s1,a0 + 8000aa34: 00050593 mv a1,a0 + 8000aa38: 00940c23 sb s1,24(s0) + 8000aa3c: 00090513 mv a0,s2 + 8000aa40: 000780e7 jalr a5 + 8000aa44: 00a44783 lbu a5,10(s0) + 8000aa48: 0014959b slliw a1,s1,0x1 + 8000aa4c: 00644703 lbu a4,6(s0) + 8000aa50: 0017f513 andi a0,a5,1 + 8000aa54: 0ff5f593 andi a1,a1,255 + 8000aa58: 00a5e633 or a2,a1,a0 + 8000aa5c: 0004d697 auipc a3,0x4d + 8000aa60: e1468693 addi a3,a3,-492 # 80057870 <_ZL7ZNTable> + 8000aa64: 00060593 mv a1,a2 + 8000aa68: 00c68633 add a2,a3,a2 + 8000aa6c: 00064503 lbu a0,0(a2) + 8000aa70: 00e5f733 and a4,a1,a4 + 8000aa74: 00e686b3 add a3,a3,a4 + 8000aa78: 4074d49b sraiw s1,s1,0x7 + 8000aa7c: 07c7f793 andi a5,a5,124 + 8000aa80: 0006c603 lbu a2,0(a3) + 8000aa84: 0097e7b3 or a5,a5,s1 + 8000aa88: 00a7e7b3 or a5,a5,a0 + 8000aa8c: 000d3683 ld a3,0(s10) + 8000aa90: 07d7f793 andi a5,a5,125 + 8000aa94: 00c7e7b3 or a5,a5,a2 + 8000aa98: 00090513 mv a0,s2 + 8000aa9c: 00e40323 sb a4,6(s0) + 8000aaa0: 00f40523 sb a5,10(s0) + 8000aaa4: 000680e7 jalr a3 + 8000aaa8: 00445503 lhu a0,4(s0) + 8000aaac: 01042483 lw s1,16(s0) + 8000aab0: 00ac8463 beq s9,a0,8000aab8 <_Z14X6502_RunDebugi+0x9c58> + 8000aab4: db8f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000aab8: e40f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000aabc: 00351793 slli a5,a0,0x3 + 8000aac0: 00f907b3 add a5,s2,a5 + 8000aac4: 0007b783 ld a5,0(a5) + 8000aac8: 000780e7 jalr a5 + 8000aacc: 00445783 lhu a5,4(s0) + 8000aad0: 00050493 mv s1,a0 + 8000aad4: 00a40c23 sb a0,24(s0) + 8000aad8: 0017879b addiw a5,a5,1 + 8000aadc: 03079513 slli a0,a5,0x30 + 8000aae0: 03055513 srli a0,a0,0x30 + 8000aae4: 00351793 slli a5,a0,0x3 + 8000aae8: 00f907b3 add a5,s2,a5 + 8000aaec: 0007b783 ld a5,0(a5) + 8000aaf0: 00a41223 sh a0,4(s0) + 8000aaf4: 0004849b sext.w s1,s1 + 8000aaf8: 000780e7 jalr a5 + 8000aafc: 00851d93 slli s11,a0,0x8 + 8000ab00: 009ded33 or s10,s11,s1 + 8000ab04: 00445783 lhu a5,4(s0) + 8000ab08: 003d1d13 slli s10,s10,0x3 + 8000ab0c: 01a90933 add s2,s2,s10 + 8000ab10: 00093703 ld a4,0(s2) + 8000ab14: 009dedb3 or s11,s11,s1 + 8000ab18: 0017879b addiw a5,a5,1 + 8000ab1c: 00a40c23 sb a0,24(s0) + 8000ab20: 00f41223 sh a5,4(s0) + 8000ab24: 000d8513 mv a0,s11 + 8000ab28: 0013e917 auipc s2,0x13e + 8000ab2c: 1b890913 addi s2,s2,440 # 80148ce0 + 8000ab30: 000700e7 jalr a4 + 8000ab34: 01a90933 add s2,s2,s10 + 8000ab38: 00093783 ld a5,0(s2) + 8000ab3c: 00050493 mv s1,a0 + 8000ab40: 00050593 mv a1,a0 + 8000ab44: 00940c23 sb s1,24(s0) + 8000ab48: 000d8513 mv a0,s11 + 8000ab4c: 000780e7 jalr a5 + 8000ab50: 00a44783 lbu a5,10(s0) + 8000ab54: 0014971b slliw a4,s1,0x1 + 8000ab58: 0ff77713 andi a4,a4,255 + 8000ab5c: 0017f593 andi a1,a5,1 + 8000ab60: 00b76633 or a2,a4,a1 + 8000ab64: 0004d697 auipc a3,0x4d + 8000ab68: d0c68693 addi a3,a3,-756 # 80057870 <_ZL7ZNTable> + 8000ab6c: 00c686b3 add a3,a3,a2 + 8000ab70: 0006c603 lbu a2,0(a3) + 8000ab74: 4074d49b sraiw s1,s1,0x7 + 8000ab78: 07c7f793 andi a5,a5,124 + 8000ab7c: 0097e7b3 or a5,a5,s1 + 8000ab80: 00093683 ld a3,0(s2) + 8000ab84: 00c7e7b3 or a5,a5,a2 + 8000ab88: 000d8513 mv a0,s11 + 8000ab8c: 00f40523 sb a5,10(s0) + 8000ab90: 00b765b3 or a1,a4,a1 + 8000ab94: 000680e7 jalr a3 + 8000ab98: 00445503 lhu a0,4(s0) + 8000ab9c: 01042483 lw s1,16(s0) + 8000aba0: 00ac8463 beq s9,a0,8000aba8 <_Z14X6502_RunDebugi+0x9d48> + 8000aba4: cc8f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000aba8: d50f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000abac: 00351793 slli a5,a0,0x3 + 8000abb0: 00f907b3 add a5,s2,a5 + 8000abb4: 0007b783 ld a5,0(a5) + 8000abb8: 000780e7 jalr a5 + 8000abbc: 00445783 lhu a5,4(s0) + 8000abc0: 00050493 mv s1,a0 + 8000abc4: 00a40c23 sb a0,24(s0) + 8000abc8: 0017879b addiw a5,a5,1 + 8000abcc: 03079513 slli a0,a5,0x30 + 8000abd0: 03055513 srli a0,a0,0x30 + 8000abd4: 00351793 slli a5,a0,0x3 + 8000abd8: 00f907b3 add a5,s2,a5 + 8000abdc: 0007b783 ld a5,0(a5) + 8000abe0: 00a41223 sh a0,4(s0) + 8000abe4: 0004849b sext.w s1,s1 + 8000abe8: 000780e7 jalr a5 + 8000abec: 00851693 slli a3,a0,0x8 + 8000abf0: 0096e7b3 or a5,a3,s1 + 8000abf4: 00445703 lhu a4,4(s0) + 8000abf8: 00379793 slli a5,a5,0x3 + 8000abfc: 00f90933 add s2,s2,a5 + 8000ac00: 00093603 ld a2,0(s2) + 8000ac04: 0017079b addiw a5,a4,1 + 8000ac08: 00a40c23 sb a0,24(s0) + 8000ac0c: 00f41223 sh a5,4(s0) + 8000ac10: 0096e533 or a0,a3,s1 + 8000ac14: 000600e7 jalr a2 + 8000ac18: 00644703 lbu a4,6(s0) + 8000ac1c: 0004d617 auipc a2,0x4d + 8000ac20: c5460613 addi a2,a2,-940 # 80057870 <_ZL7ZNTable> + 8000ac24: 00a44783 lbu a5,10(s0) + 8000ac28: 00e57733 and a4,a0,a4 + 8000ac2c: 00e60633 add a2,a2,a4 + 8000ac30: 00064603 lbu a2,0(a2) + 8000ac34: 00050693 mv a3,a0 + 8000ac38: 07d7f793 andi a5,a5,125 + 8000ac3c: 00445503 lhu a0,4(s0) + 8000ac40: 00c7e7b3 or a5,a5,a2 + 8000ac44: 00d40c23 sb a3,24(s0) + 8000ac48: 00e40323 sb a4,6(s0) + 8000ac4c: 00f40523 sb a5,10(s0) + 8000ac50: 01042483 lw s1,16(s0) + 8000ac54: 00ac8463 beq s9,a0,8000ac5c <_Z14X6502_RunDebugi+0x9dfc> + 8000ac58: c14f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000ac5c: c9cf606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000ac60: 00351793 slli a5,a0,0x3 + 8000ac64: 00f907b3 add a5,s2,a5 + 8000ac68: 0007b783 ld a5,0(a5) + 8000ac6c: 000780e7 jalr a5 + 8000ac70: 00445783 lhu a5,4(s0) + 8000ac74: 00050493 mv s1,a0 + 8000ac78: 00a40c23 sb a0,24(s0) + 8000ac7c: 0017879b addiw a5,a5,1 + 8000ac80: 03079513 slli a0,a5,0x30 + 8000ac84: 03055513 srli a0,a0,0x30 + 8000ac88: 00351793 slli a5,a0,0x3 + 8000ac8c: 00f907b3 add a5,s2,a5 + 8000ac90: 0007b783 ld a5,0(a5) + 8000ac94: 00a41223 sh a0,4(s0) + 8000ac98: 0004849b sext.w s1,s1 + 8000ac9c: 000780e7 jalr a5 + 8000aca0: 00851693 slli a3,a0,0x8 + 8000aca4: 0096e7b3 or a5,a3,s1 + 8000aca8: 00445703 lhu a4,4(s0) + 8000acac: 00379793 slli a5,a5,0x3 + 8000acb0: 00f90933 add s2,s2,a5 + 8000acb4: 00093603 ld a2,0(s2) + 8000acb8: 0017079b addiw a5,a4,1 + 8000acbc: 00a40c23 sb a0,24(s0) + 8000acc0: 00f41223 sh a5,4(s0) + 8000acc4: 0096e533 or a0,a3,s1 + 8000acc8: 000600e7 jalr a2 + 8000accc: 00644783 lbu a5,6(s0) + 8000acd0: 00050713 mv a4,a0 + 8000acd4: fc057613 andi a2,a0,-64 + 8000acd8: 00f576b3 and a3,a0,a5 + 8000acdc: 0004d797 auipc a5,0x4d + 8000ace0: b9478793 addi a5,a5,-1132 # 80057870 <_ZL7ZNTable> + 8000ace4: 00d787b3 add a5,a5,a3 + 8000ace8: 0007c783 lbu a5,0(a5) + 8000acec: 00a44683 lbu a3,10(s0) + 8000acf0: 00445503 lhu a0,4(s0) + 8000acf4: 0027f793 andi a5,a5,2 + 8000acf8: 03d6f693 andi a3,a3,61 + 8000acfc: 00d7e7b3 or a5,a5,a3 + 8000ad00: 00c7e7b3 or a5,a5,a2 + 8000ad04: 00e40c23 sb a4,24(s0) + 8000ad08: 00f40523 sb a5,10(s0) + 8000ad0c: 01042483 lw s1,16(s0) + 8000ad10: 00ac8463 beq s9,a0,8000ad18 <_Z14X6502_RunDebugi+0x9eb8> + 8000ad14: b58f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000ad18: be0f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000ad1c: 00644683 lbu a3,6(s0) + 8000ad20: 00a44783 lbu a5,10(s0) + 8000ad24: 0004d617 auipc a2,0x4d + 8000ad28: b4c60613 addi a2,a2,-1204 # 80057870 <_ZL7ZNTable> + 8000ad2c: 0016971b slliw a4,a3,0x1 + 8000ad30: 0017f593 andi a1,a5,1 + 8000ad34: 0ff77713 andi a4,a4,255 + 8000ad38: 00b76833 or a6,a4,a1 + 8000ad3c: 01060633 add a2,a2,a6 + 8000ad40: 00064603 lbu a2,0(a2) + 8000ad44: 07c7f793 andi a5,a5,124 + 8000ad48: 4076d69b sraiw a3,a3,0x7 + 8000ad4c: 00d7e7b3 or a5,a5,a3 + 8000ad50: 00c7e7b3 or a5,a5,a2 + 8000ad54: 00f40523 sb a5,10(s0) + 8000ad58: 01040323 sb a6,6(s0) + 8000ad5c: 01042483 lw s1,16(s0) + 8000ad60: 00ac8463 beq s9,a0,8000ad68 <_Z14X6502_RunDebugi+0x9f08> + 8000ad64: b08f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000ad68: b90f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000ad6c: 00351793 slli a5,a0,0x3 + 8000ad70: 00f90933 add s2,s2,a5 + 8000ad74: 00093783 ld a5,0(s2) + 8000ad78: 000780e7 jalr a5 + 8000ad7c: 00644703 lbu a4,6(s0) + 8000ad80: 00050693 mv a3,a0 + 8000ad84: 0004d617 auipc a2,0x4d + 8000ad88: aec60613 addi a2,a2,-1300 # 80057870 <_ZL7ZNTable> + 8000ad8c: 00e57733 and a4,a0,a4 + 8000ad90: 00445503 lhu a0,4(s0) + 8000ad94: 00a44783 lbu a5,10(s0) + 8000ad98: 00e60633 add a2,a2,a4 + 8000ad9c: 00064603 lbu a2,0(a2) + 8000ada0: 0015051b addiw a0,a0,1 + 8000ada4: 07d7f793 andi a5,a5,125 + 8000ada8: 03051513 slli a0,a0,0x30 + 8000adac: 03055513 srli a0,a0,0x30 + 8000adb0: 00c7e7b3 or a5,a5,a2 + 8000adb4: 00d40c23 sb a3,24(s0) + 8000adb8: 00a41223 sh a0,4(s0) + 8000adbc: 00e40323 sb a4,6(s0) + 8000adc0: 00f40523 sb a5,10(s0) + 8000adc4: 01042483 lw s1,16(s0) + 8000adc8: 00ac8463 beq s9,a0,8000add0 <_Z14X6502_RunDebugi+0x9f70> + 8000adcc: aa0f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000add0: b28f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000add4: 00351793 slli a5,a0,0x3 + 8000add8: 00f907b3 add a5,s2,a5 + 8000addc: 0007b783 ld a5,0(a5) + 8000ade0: 000780e7 jalr a5 + 8000ade4: 00744783 lbu a5,7(s0) + 8000ade8: 001be697 auipc a3,0x1be + 8000adec: f4068693 addi a3,a3,-192 # 801c8d28 + 8000adf0: 00445603 lhu a2,4(s0) + 8000adf4: 00a787bb addw a5,a5,a0 + 8000adf8: 00178713 addi a4,a5,1 + 8000adfc: 0ff77713 andi a4,a4,255 + 8000ae00: 00e68733 add a4,a3,a4 + 8000ae04: 0ff7f793 andi a5,a5,255 + 8000ae08: 00074703 lbu a4,0(a4) + 8000ae0c: 00f687b3 add a5,a3,a5 + 8000ae10: 0007c783 lbu a5,0(a5) + 8000ae14: 0087151b slliw a0,a4,0x8 + 8000ae18: 0016061b addiw a2,a2,1 + 8000ae1c: 00f56533 or a0,a0,a5 + 8000ae20: 03051793 slli a5,a0,0x30 + 8000ae24: 02d7d793 srli a5,a5,0x2d + 8000ae28: 00f90933 add s2,s2,a5 + 8000ae2c: 00093783 ld a5,0(s2) + 8000ae30: 00c41223 sh a2,4(s0) + 8000ae34: 00e40c23 sb a4,24(s0) + 8000ae38: 0005051b sext.w a0,a0 + 8000ae3c: 000780e7 jalr a5 + 8000ae40: 00644703 lbu a4,6(s0) + 8000ae44: 0004d617 auipc a2,0x4d + 8000ae48: a2c60613 addi a2,a2,-1492 # 80057870 <_ZL7ZNTable> + 8000ae4c: 00a44783 lbu a5,10(s0) + 8000ae50: 00e54733 xor a4,a0,a4 + 8000ae54: 00e60633 add a2,a2,a4 + 8000ae58: 00064603 lbu a2,0(a2) + 8000ae5c: 00050693 mv a3,a0 + 8000ae60: 07d7f793 andi a5,a5,125 + 8000ae64: 00445503 lhu a0,4(s0) + 8000ae68: 00c7e7b3 or a5,a5,a2 + 8000ae6c: 00d40c23 sb a3,24(s0) + 8000ae70: 00e40323 sb a4,6(s0) + 8000ae74: 00f40523 sb a5,10(s0) + 8000ae78: 01042483 lw s1,16(s0) + 8000ae7c: 00ac8463 beq s9,a0,8000ae84 <_Z14X6502_RunDebugi+0xa024> + 8000ae80: 9ecf606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000ae84: a74f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000ae88: 00944783 lbu a5,9(s0) + 8000ae8c: 001be717 auipc a4,0x1be + 8000ae90: e9c70713 addi a4,a4,-356 # 801c8d28 + 8000ae94: 01042483 lw s1,16(s0) + 8000ae98: 0037861b addiw a2,a5,3 + 8000ae9c: 0ff67613 andi a2,a2,255 + 8000aea0: 0027869b addiw a3,a5,2 + 8000aea4: 0ff6f693 andi a3,a3,255 + 8000aea8: 0017879b addiw a5,a5,1 + 8000aeac: 00c705b3 add a1,a4,a2 + 8000aeb0: 1005c583 lbu a1,256(a1) + 8000aeb4: 0ff7f793 andi a5,a5,255 + 8000aeb8: 00d706b3 add a3,a4,a3 + 8000aebc: 1006c683 lbu a3,256(a3) + 8000aec0: 00f707b3 add a5,a4,a5 + 8000aec4: 1007c783 lbu a5,256(a5) + 8000aec8: 00859513 slli a0,a1,0x8 + 8000aecc: 00d56533 or a0,a0,a3 + 8000aed0: 00f40523 sb a5,10(s0) + 8000aed4: 00f405a3 sb a5,11(s0) + 8000aed8: 00c404a3 sb a2,9(s0) + 8000aedc: 00b40c23 sb a1,24(s0) + 8000aee0: 00a41223 sh a0,4(s0) + 8000aee4: 00ac8463 beq s9,a0,8000aeec <_Z14X6502_RunDebugi+0xa08c> + 8000aee8: 984f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000aeec: a0cf606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000aef0: 00351793 slli a5,a0,0x3 + 8000aef4: 00f907b3 add a5,s2,a5 + 8000aef8: 0007b783 ld a5,0(a5) + 8000aefc: 000780e7 jalr a5 + 8000af00: 00445783 lhu a5,4(s0) + 8000af04: 00050713 mv a4,a0 + 8000af08: 00a40c23 sb a0,24(s0) + 8000af0c: 0017879b addiw a5,a5,1 + 8000af10: 03079513 slli a0,a5,0x30 + 8000af14: 03055513 srli a0,a0,0x30 + 8000af18: 00351793 slli a5,a0,0x3 + 8000af1c: 00f907b3 add a5,s2,a5 + 8000af20: 0007b783 ld a5,0(a5) + 8000af24: 00a41223 sh a0,4(s0) + 8000af28: 0007049b sext.w s1,a4 + 8000af2c: 000780e7 jalr a5 + 8000af30: 00744d03 lbu s10,7(s0) + 8000af34: 00851793 slli a5,a0,0x8 + 8000af38: 0097e7b3 or a5,a5,s1 + 8000af3c: 00fd0d3b addw s10,s10,a5 + 8000af40: 0ffd7613 andi a2,s10,255 + 8000af44: 0187f7b3 and a5,a5,s8 + 8000af48: 00f66733 or a4,a2,a5 + 8000af4c: 00445683 lhu a3,4(s0) + 8000af50: 00371713 slli a4,a4,0x3 + 8000af54: 00e90733 add a4,s2,a4 + 8000af58: 00073583 ld a1,0(a4) + 8000af5c: 030d1d13 slli s10,s10,0x30 + 8000af60: 0016871b addiw a4,a3,1 + 8000af64: 030d5d13 srli s10,s10,0x30 + 8000af68: 00e41223 sh a4,4(s0) + 8000af6c: 003d1493 slli s1,s10,0x3 + 8000af70: 00a40c23 sb a0,24(s0) + 8000af74: 00f66533 or a0,a2,a5 + 8000af78: 000580e7 jalr a1 + 8000af7c: 00990933 add s2,s2,s1 + 8000af80: 00093783 ld a5,0(s2) + 8000af84: 00a40c23 sb a0,24(s0) + 8000af88: 0013e917 auipc s2,0x13e + 8000af8c: d5890913 addi s2,s2,-680 # 80148ce0 + 8000af90: 000d0513 mv a0,s10 + 8000af94: 000780e7 jalr a5 + 8000af98: 00990933 add s2,s2,s1 + 8000af9c: 00093783 ld a5,0(s2) + 8000afa0: 00050493 mv s1,a0 + 8000afa4: 00050593 mv a1,a0 + 8000afa8: 00940c23 sb s1,24(s0) + 8000afac: 000d0513 mv a0,s10 + 8000afb0: 000780e7 jalr a5 + 8000afb4: 00a44783 lbu a5,10(s0) + 8000afb8: 0014959b slliw a1,s1,0x1 + 8000afbc: 00644703 lbu a4,6(s0) + 8000afc0: 0017f513 andi a0,a5,1 + 8000afc4: 0ff5f593 andi a1,a1,255 + 8000afc8: 00a5e633 or a2,a1,a0 + 8000afcc: 0004d697 auipc a3,0x4d + 8000afd0: 8a468693 addi a3,a3,-1884 # 80057870 <_ZL7ZNTable> + 8000afd4: 00060593 mv a1,a2 + 8000afd8: 00c68633 add a2,a3,a2 + 8000afdc: 00064503 lbu a0,0(a2) + 8000afe0: 00e5f733 and a4,a1,a4 + 8000afe4: 00e686b3 add a3,a3,a4 + 8000afe8: 4074d49b sraiw s1,s1,0x7 + 8000afec: 07c7f793 andi a5,a5,124 + 8000aff0: 0006c603 lbu a2,0(a3) + 8000aff4: 0097e7b3 or a5,a5,s1 + 8000aff8: 00a7e7b3 or a5,a5,a0 + 8000affc: 00093683 ld a3,0(s2) + 8000b000: 07d7f793 andi a5,a5,125 + 8000b004: 00c7e7b3 or a5,a5,a2 + 8000b008: 000d0513 mv a0,s10 + 8000b00c: 00e40323 sb a4,6(s0) + 8000b010: 00f40523 sb a5,10(s0) + 8000b014: 000680e7 jalr a3 + 8000b018: 00445503 lhu a0,4(s0) + 8000b01c: 01042483 lw s1,16(s0) + 8000b020: 00ac8463 beq s9,a0,8000b028 <_Z14X6502_RunDebugi+0xa1c8> + 8000b024: 848f606f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b028: 8d0f606f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b02c: 00351793 slli a5,a0,0x3 + 8000b030: 00f907b3 add a5,s2,a5 + 8000b034: 0007b783 ld a5,0(a5) + 8000b038: 000780e7 jalr a5 + 8000b03c: 00445783 lhu a5,4(s0) + 8000b040: 00050713 mv a4,a0 + 8000b044: 00a40c23 sb a0,24(s0) + 8000b048: 0017879b addiw a5,a5,1 + 8000b04c: 03079513 slli a0,a5,0x30 + 8000b050: 03055513 srli a0,a0,0x30 + 8000b054: 00351793 slli a5,a0,0x3 + 8000b058: 00f907b3 add a5,s2,a5 + 8000b05c: 0007b783 ld a5,0(a5) + 8000b060: 00a41223 sh a0,4(s0) + 8000b064: 0007049b sext.w s1,a4 + 8000b068: 000780e7 jalr a5 + 8000b06c: 00744683 lbu a3,7(s0) + 8000b070: 00851793 slli a5,a0,0x8 + 8000b074: 0097e7b3 or a5,a5,s1 + 8000b078: 00f686bb addw a3,a3,a5 + 8000b07c: 0ff6f593 andi a1,a3,255 + 8000b080: 0187f7b3 and a5,a5,s8 + 8000b084: 00f5e733 or a4,a1,a5 + 8000b088: 00445603 lhu a2,4(s0) + 8000b08c: 00371713 slli a4,a4,0x3 + 8000b090: 00e90733 add a4,s2,a4 + 8000b094: 00073803 ld a6,0(a4) + 8000b098: 03069d13 slli s10,a3,0x30 + 8000b09c: 0016071b addiw a4,a2,1 + 8000b0a0: 030d5d13 srli s10,s10,0x30 + 8000b0a4: 00e41223 sh a4,4(s0) + 8000b0a8: 003d1493 slli s1,s10,0x3 + 8000b0ac: 00a40c23 sb a0,24(s0) + 8000b0b0: 00f5e533 or a0,a1,a5 + 8000b0b4: 000800e7 jalr a6 + 8000b0b8: 00990933 add s2,s2,s1 + 8000b0bc: 00093783 ld a5,0(s2) + 8000b0c0: 00a40c23 sb a0,24(s0) + 8000b0c4: 0013e917 auipc s2,0x13e + 8000b0c8: c1c90913 addi s2,s2,-996 # 80148ce0 + 8000b0cc: 000d0513 mv a0,s10 + 8000b0d0: 000780e7 jalr a5 + 8000b0d4: 00990933 add s2,s2,s1 + 8000b0d8: 00093783 ld a5,0(s2) + 8000b0dc: 00050493 mv s1,a0 + 8000b0e0: 00050593 mv a1,a0 + 8000b0e4: 00940c23 sb s1,24(s0) + 8000b0e8: 000d0513 mv a0,s10 + 8000b0ec: 000780e7 jalr a5 + 8000b0f0: 00a44783 lbu a5,10(s0) + 8000b0f4: 0014971b slliw a4,s1,0x1 + 8000b0f8: 0ff77713 andi a4,a4,255 + 8000b0fc: 0017f593 andi a1,a5,1 + 8000b100: 00b76633 or a2,a4,a1 + 8000b104: 0004c697 auipc a3,0x4c + 8000b108: 76c68693 addi a3,a3,1900 # 80057870 <_ZL7ZNTable> + 8000b10c: 00c686b3 add a3,a3,a2 + 8000b110: 0006c603 lbu a2,0(a3) + 8000b114: 4074d49b sraiw s1,s1,0x7 + 8000b118: 07c7f793 andi a5,a5,124 + 8000b11c: 0097e7b3 or a5,a5,s1 + 8000b120: 00093683 ld a3,0(s2) + 8000b124: 00c7e7b3 or a5,a5,a2 + 8000b128: 000d0513 mv a0,s10 + 8000b12c: 00f40523 sb a5,10(s0) + 8000b130: 00b765b3 or a1,a4,a1 + 8000b134: 000680e7 jalr a3 + 8000b138: 00445503 lhu a0,4(s0) + 8000b13c: 01042483 lw s1,16(s0) + 8000b140: 00ac8463 beq s9,a0,8000b148 <_Z14X6502_RunDebugi+0xa2e8> + 8000b144: f29f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b148: fb1f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b14c: 00351793 slli a5,a0,0x3 + 8000b150: 00f90933 add s2,s2,a5 + 8000b154: 00093783 ld a5,0(s2) + 8000b158: 000780e7 jalr a5 + 8000b15c: 02051713 slli a4,a0,0x20 + 8000b160: 02075713 srli a4,a4,0x20 + 8000b164: 001be797 auipc a5,0x1be + 8000b168: bc478793 addi a5,a5,-1084 # 801c8d28 + 8000b16c: 00e787b3 add a5,a5,a4 + 8000b170: 0007c603 lbu a2,0(a5) + 8000b174: 00644703 lbu a4,6(s0) + 8000b178: 00445503 lhu a0,4(s0) + 8000b17c: 0004c697 auipc a3,0x4c + 8000b180: 6f468693 addi a3,a3,1780 # 80057870 <_ZL7ZNTable> + 8000b184: 00e64733 xor a4,a2,a4 + 8000b188: 00a44783 lbu a5,10(s0) + 8000b18c: 00e686b3 add a3,a3,a4 + 8000b190: 0006c683 lbu a3,0(a3) + 8000b194: 0015051b addiw a0,a0,1 + 8000b198: 07d7f793 andi a5,a5,125 + 8000b19c: 03051513 slli a0,a0,0x30 + 8000b1a0: 03055513 srli a0,a0,0x30 + 8000b1a4: 00d7e7b3 or a5,a5,a3 + 8000b1a8: 00a41223 sh a0,4(s0) + 8000b1ac: 00c40c23 sb a2,24(s0) + 8000b1b0: 00e40323 sb a4,6(s0) + 8000b1b4: 00f40523 sb a5,10(s0) + 8000b1b8: 01042483 lw s1,16(s0) + 8000b1bc: 00ac8463 beq s9,a0,8000b1c4 <_Z14X6502_RunDebugi+0xa364> + 8000b1c0: eadf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b1c4: f35f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b1c8: 00351793 slli a5,a0,0x3 + 8000b1cc: 00f907b3 add a5,s2,a5 + 8000b1d0: 0007b783 ld a5,0(a5) + 8000b1d4: 0013ed17 auipc s10,0x13e + 8000b1d8: b0cd0d13 addi s10,s10,-1268 # 80148ce0 + 8000b1dc: 000780e7 jalr a5 + 8000b1e0: 00744783 lbu a5,7(s0) + 8000b1e4: 001be697 auipc a3,0x1be + 8000b1e8: b4468693 addi a3,a3,-1212 # 801c8d28 + 8000b1ec: 00445603 lhu a2,4(s0) + 8000b1f0: 00a787bb addw a5,a5,a0 + 8000b1f4: 00178713 addi a4,a5,1 + 8000b1f8: 0ff77713 andi a4,a4,255 + 8000b1fc: 00e68733 add a4,a3,a4 + 8000b200: 0ff7f793 andi a5,a5,255 + 8000b204: 00074703 lbu a4,0(a4) + 8000b208: 00f687b3 add a5,a3,a5 + 8000b20c: 0007c683 lbu a3,0(a5) + 8000b210: 0087179b slliw a5,a4,0x8 + 8000b214: 0016061b addiw a2,a2,1 + 8000b218: 00d7e7b3 or a5,a5,a3 + 8000b21c: 03079493 slli s1,a5,0x30 + 8000b220: 02d4d493 srli s1,s1,0x2d + 8000b224: 00990933 add s2,s2,s1 + 8000b228: 00093683 ld a3,0(s2) + 8000b22c: 0007891b sext.w s2,a5 + 8000b230: 00c41223 sh a2,4(s0) + 8000b234: 00e40c23 sb a4,24(s0) + 8000b238: 00090513 mv a0,s2 + 8000b23c: 000680e7 jalr a3 + 8000b240: 009d0d33 add s10,s10,s1 + 8000b244: 000d3783 ld a5,0(s10) + 8000b248: 00050493 mv s1,a0 + 8000b24c: 00050593 mv a1,a0 + 8000b250: 00940c23 sb s1,24(s0) + 8000b254: 00090513 mv a0,s2 + 8000b258: 000780e7 jalr a5 + 8000b25c: 00644703 lbu a4,6(s0) + 8000b260: 00a44783 lbu a5,10(s0) + 8000b264: 0014d613 srli a2,s1,0x1 + 8000b268: 0004c697 auipc a3,0x4c + 8000b26c: 60868693 addi a3,a3,1544 # 80057870 <_ZL7ZNTable> + 8000b270: 00060593 mv a1,a2 + 8000b274: 00c68633 add a2,a3,a2 + 8000b278: 00064503 lbu a0,0(a2) + 8000b27c: 00e5c733 xor a4,a1,a4 + 8000b280: 00e686b3 add a3,a3,a4 + 8000b284: 0014f493 andi s1,s1,1 + 8000b288: 07c7f793 andi a5,a5,124 + 8000b28c: 0006c603 lbu a2,0(a3) + 8000b290: 0097e7b3 or a5,a5,s1 + 8000b294: 00a7e7b3 or a5,a5,a0 + 8000b298: 000d3683 ld a3,0(s10) + 8000b29c: 07d7f793 andi a5,a5,125 + 8000b2a0: 00c7e7b3 or a5,a5,a2 + 8000b2a4: 00090513 mv a0,s2 + 8000b2a8: 00e40323 sb a4,6(s0) + 8000b2ac: 00f40523 sb a5,10(s0) + 8000b2b0: 000680e7 jalr a3 + 8000b2b4: 00445503 lhu a0,4(s0) + 8000b2b8: 01042483 lw s1,16(s0) + 8000b2bc: 00ac8463 beq s9,a0,8000b2c4 <_Z14X6502_RunDebugi+0xa464> + 8000b2c0: dadf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b2c4: e35f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b2c8: 00944703 lbu a4,9(s0) + 8000b2cc: 002c879b addiw a5,s9,2 + 8000b2d0: 03079793 slli a5,a5,0x30 + 8000b2d4: 0307d793 srli a5,a5,0x30 + 8000b2d8: 00a44583 lbu a1,10(s0) + 8000b2dc: 00b44503 lbu a0,11(s0) + 8000b2e0: 001be697 auipc a3,0x1be + 8000b2e4: a4868693 addi a3,a3,-1464 # 801c8d28 + 8000b2e8: fff7061b addiw a2,a4,-1 + 8000b2ec: 00e688b3 add a7,a3,a4 + 8000b2f0: 4087d31b sraiw t1,a5,0x8 + 8000b2f4: 0013e497 auipc s1,0x13e + 8000b2f8: 9ec48493 addi s1,s1,-1556 # 80148ce0 + 8000b2fc: ffe7081b addiw a6,a4,-2 + 8000b300: 0ff67613 andi a2,a2,255 + 8000b304: 10688023 sb t1,256(a7) + 8000b308: 00c68633 add a2,a3,a2 + 8000b30c: ff04b883 ld a7,-16(s1) + 8000b310: 0ff87813 andi a6,a6,255 + 8000b314: 10f60023 sb a5,256(a2) + 8000b318: 010686b3 add a3,a3,a6 + 8000b31c: ffd7071b addiw a4,a4,-3 + 8000b320: 0305e813 ori a6,a1,48 + 8000b324: 00456613 ori a2,a0,4 + 8000b328: 0045e593 ori a1,a1,4 + 8000b32c: 00e404a3 sb a4,9(s0) + 8000b330: 00f41223 sh a5,4(s0) + 8000b334: 11068023 sb a6,256(a3) + 8000b338: 00b40523 sb a1,10(s0) + 8000b33c: 00c405a3 sb a2,11(s0) + 8000b340: ffea8513 addi a0,s5,-2 + 8000b344: 000880e7 jalr a7 + 8000b348: ff84b783 ld a5,-8(s1) + 8000b34c: 00a40c23 sb a0,24(s0) + 8000b350: 00a41223 sh a0,4(s0) + 8000b354: fffa8513 addi a0,s5,-1 + 8000b358: 000780e7 jalr a5 + 8000b35c: 00445703 lhu a4,4(s0) + 8000b360: 00050793 mv a5,a0 + 8000b364: 00851513 slli a0,a0,0x8 + 8000b368: 00a76733 or a4,a4,a0 + 8000b36c: 03071513 slli a0,a4,0x30 + 8000b370: 03055513 srli a0,a0,0x30 + 8000b374: 00f40c23 sb a5,24(s0) + 8000b378: 00a41223 sh a0,4(s0) + 8000b37c: 01042483 lw s1,16(s0) + 8000b380: 00ac8463 beq s9,a0,8000b388 <_Z14X6502_RunDebugi+0xa528> + 8000b384: ce9f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b388: d71f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b38c: 002c851b addiw a0,s9,2 + 8000b390: 03051513 slli a0,a0,0x30 + 8000b394: 03055513 srli a0,a0,0x30 + 8000b398: 00a41223 sh a0,4(s0) + 8000b39c: 01042483 lw s1,16(s0) + 8000b3a0: 00ac8463 beq s9,a0,8000b3a8 <_Z14X6502_RunDebugi+0xa548> + 8000b3a4: cc9f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b3a8: d51f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b3ac: 002c851b addiw a0,s9,2 + 8000b3b0: 03051513 slli a0,a0,0x30 + 8000b3b4: 03055513 srli a0,a0,0x30 + 8000b3b8: 00a41223 sh a0,4(s0) + 8000b3bc: 01042483 lw s1,16(s0) + 8000b3c0: 00ac8463 beq s9,a0,8000b3c8 <_Z14X6502_RunDebugi+0xa568> + 8000b3c4: ca9f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b3c8: d31f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b3cc: 002c851b addiw a0,s9,2 + 8000b3d0: 03051513 slli a0,a0,0x30 + 8000b3d4: 03055513 srli a0,a0,0x30 + 8000b3d8: 00a41223 sh a0,4(s0) + 8000b3dc: 01042483 lw s1,16(s0) + 8000b3e0: 00ac8463 beq s9,a0,8000b3e8 <_Z14X6502_RunDebugi+0xa588> + 8000b3e4: c89f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b3e8: d11f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b3ec: 002c851b addiw a0,s9,2 + 8000b3f0: 03051513 slli a0,a0,0x30 + 8000b3f4: 03055513 srli a0,a0,0x30 + 8000b3f8: 00a41223 sh a0,4(s0) + 8000b3fc: 01042483 lw s1,16(s0) + 8000b400: 00ac8463 beq s9,a0,8000b408 <_Z14X6502_RunDebugi+0xa5a8> + 8000b404: c69f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b408: cf1f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b40c: 002c851b addiw a0,s9,2 + 8000b410: 03051513 slli a0,a0,0x30 + 8000b414: 03055513 srli a0,a0,0x30 + 8000b418: 00a41223 sh a0,4(s0) + 8000b41c: 01042483 lw s1,16(s0) + 8000b420: 00ac8463 beq s9,a0,8000b428 <_Z14X6502_RunDebugi+0xa5c8> + 8000b424: c49f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b428: cd1f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b42c: 002c851b addiw a0,s9,2 + 8000b430: 03051513 slli a0,a0,0x30 + 8000b434: 03055513 srli a0,a0,0x30 + 8000b438: 00a41223 sh a0,4(s0) + 8000b43c: 01042483 lw s1,16(s0) + 8000b440: 00ac8463 beq s9,a0,8000b448 <_Z14X6502_RunDebugi+0xa5e8> + 8000b444: c29f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b448: cb1f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b44c: 002c851b addiw a0,s9,2 + 8000b450: 03051513 slli a0,a0,0x30 + 8000b454: 03055513 srli a0,a0,0x30 + 8000b458: 00a41223 sh a0,4(s0) + 8000b45c: 01042483 lw s1,16(s0) + 8000b460: 00ac8463 beq s9,a0,8000b468 <_Z14X6502_RunDebugi+0xa608> + 8000b464: c09f506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b468: c91f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b46c: 00351793 slli a5,a0,0x3 + 8000b470: 00f90933 add s2,s2,a5 + 8000b474: 00093783 ld a5,0(s2) + 8000b478: 000780e7 jalr a5 + 8000b47c: 00445783 lhu a5,4(s0) + 8000b480: 00050613 mv a2,a0 + 8000b484: 0185151b slliw a0,a0,0x18 + 8000b488: 0017879b addiw a5,a5,1 + 8000b48c: 03079793 slli a5,a5,0x30 + 8000b490: 00042683 lw a3,0(s0) + 8000b494: 01042703 lw a4,16(s0) + 8000b498: 0009a803 lw a6,0(s3) + 8000b49c: 0307d793 srli a5,a5,0x30 + 8000b4a0: 4185551b sraiw a0,a0,0x18 + 8000b4a4: 00f5053b addw a0,a0,a5 + 8000b4a8: 03051513 slli a0,a0,0x30 + 8000b4ac: 000a4583 lbu a1,0(s4) + 8000b4b0: fd07049b addiw s1,a4,-48 + 8000b4b4: 0016831b addiw t1,a3,1 + 8000b4b8: 0018089b addiw a7,a6,1 + 8000b4bc: 03055513 srli a0,a0,0x30 + 8000b4c0: 00a7c7b3 xor a5,a5,a0 + 8000b4c4: 00c40c23 sb a2,24(s0) + 8000b4c8: 00642023 sw t1,0(s0) + 8000b4cc: 00942823 sw s1,16(s0) + 8000b4d0: 0119a023 sw a7,0(s3) + 8000b4d4: 1007f793 andi a5,a5,256 + 8000b4d8: 1a059063 bnez a1,8000b678 <_Z14X6502_RunDebugi+0xa818> + 8000b4dc: 001c0597 auipc a1,0x1c0 + 8000b4e0: 66c58593 addi a1,a1,1644 # 801cbb48 + 8000b4e4: 0005a603 lw a2,0(a1) + 8000b4e8: 00a41223 sh a0,4(s0) + 8000b4ec: 0016089b addiw a7,a2,1 + 8000b4f0: 0115a023 sw a7,0(a1) + 8000b4f4: 00079463 bnez a5,8000b4fc <_Z14X6502_RunDebugi+0xa69c> + 8000b4f8: b71f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b4fc: fa07049b addiw s1,a4,-96 + 8000b500: 0026869b addiw a3,a3,2 + 8000b504: 0028079b addiw a5,a6,2 + 8000b508: 0026061b addiw a2,a2,2 + 8000b50c: 00d42023 sw a3,0(s0) + 8000b510: 00942823 sw s1,16(s0) + 8000b514: 00f9a023 sw a5,0(s3) + 8000b518: 00c5a023 sw a2,0(a1) + 8000b51c: 00ac8463 beq s9,a0,8000b524 <_Z14X6502_RunDebugi+0xa6c4> + 8000b520: b4df506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b524: bd5f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b528: 00a41223 sh a0,4(s0) + 8000b52c: 00079463 bnez a5,8000b534 <_Z14X6502_RunDebugi+0xa6d4> + 8000b530: b39f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b534: fa06849b addiw s1,a3,-96 + 8000b538: 0025871b addiw a4,a1,2 + 8000b53c: 0026079b addiw a5,a2,2 + 8000b540: 00e42023 sw a4,0(s0) + 8000b544: 00942823 sw s1,16(s0) + 8000b548: 00f9a023 sw a5,0(s3) + 8000b54c: 00ac8463 beq s9,a0,8000b554 <_Z14X6502_RunDebugi+0xa6f4> + 8000b550: b1df506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b554: ba5f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b558: 00a41223 sh a0,4(s0) + 8000b55c: 00079463 bnez a5,8000b564 <_Z14X6502_RunDebugi+0xa704> + 8000b560: b09f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b564: fa06849b addiw s1,a3,-96 + 8000b568: 0025859b addiw a1,a1,2 + 8000b56c: 0026061b addiw a2,a2,2 + 8000b570: 00b42023 sw a1,0(s0) + 8000b574: 00942823 sw s1,16(s0) + 8000b578: 00c9a023 sw a2,0(s3) + 8000b57c: 00ac8463 beq s9,a0,8000b584 <_Z14X6502_RunDebugi+0xa724> + 8000b580: aedf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b584: b75f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b588: 00a41223 sh a0,4(s0) + 8000b58c: 00079463 bnez a5,8000b594 <_Z14X6502_RunDebugi+0xa734> + 8000b590: ad9f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b594: fa07049b addiw s1,a4,-96 + 8000b598: 0025859b addiw a1,a1,2 + 8000b59c: 0026061b addiw a2,a2,2 + 8000b5a0: 00b42023 sw a1,0(s0) + 8000b5a4: 00942823 sw s1,16(s0) + 8000b5a8: 00c9a023 sw a2,0(s3) + 8000b5ac: 00ac8463 beq s9,a0,8000b5b4 <_Z14X6502_RunDebugi+0xa754> + 8000b5b0: abdf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b5b4: b45f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b5b8: 00a41223 sh a0,4(s0) + 8000b5bc: 00079463 bnez a5,8000b5c4 <_Z14X6502_RunDebugi+0xa764> + 8000b5c0: aa9f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b5c4: fa07049b addiw s1,a4,-96 + 8000b5c8: 0025859b addiw a1,a1,2 + 8000b5cc: 0026061b addiw a2,a2,2 + 8000b5d0: 00b42023 sw a1,0(s0) + 8000b5d4: 00942823 sw s1,16(s0) + 8000b5d8: 00c9a023 sw a2,0(s3) + 8000b5dc: 00ac8463 beq s9,a0,8000b5e4 <_Z14X6502_RunDebugi+0xa784> + 8000b5e0: a8df506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b5e4: b15f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b5e8: 00a41223 sh a0,4(s0) + 8000b5ec: 00079463 bnez a5,8000b5f4 <_Z14X6502_RunDebugi+0xa794> + 8000b5f0: a79f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b5f4: fa06849b addiw s1,a3,-96 + 8000b5f8: 0025859b addiw a1,a1,2 + 8000b5fc: 0026061b addiw a2,a2,2 + 8000b600: 00b42023 sw a1,0(s0) + 8000b604: 00942823 sw s1,16(s0) + 8000b608: 00c9a023 sw a2,0(s3) + 8000b60c: 00ac8463 beq s9,a0,8000b614 <_Z14X6502_RunDebugi+0xa7b4> + 8000b610: a5df506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b614: ae5f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b618: 00a41223 sh a0,4(s0) + 8000b61c: 00079463 bnez a5,8000b624 <_Z14X6502_RunDebugi+0xa7c4> + 8000b620: a49f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b624: fa07049b addiw s1,a4,-96 + 8000b628: 0025859b addiw a1,a1,2 + 8000b62c: 0026061b addiw a2,a2,2 + 8000b630: 00b42023 sw a1,0(s0) + 8000b634: 00942823 sw s1,16(s0) + 8000b638: 00c9a023 sw a2,0(s3) + 8000b63c: 00ac8463 beq s9,a0,8000b644 <_Z14X6502_RunDebugi+0xa7e4> + 8000b640: a2df506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b644: ab5f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b648: 00a41223 sh a0,4(s0) + 8000b64c: 00079463 bnez a5,8000b654 <_Z14X6502_RunDebugi+0xa7f4> + 8000b650: a19f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b654: fa06849b addiw s1,a3,-96 + 8000b658: 0025859b addiw a1,a1,2 + 8000b65c: 0026061b addiw a2,a2,2 + 8000b660: 00b42023 sw a1,0(s0) + 8000b664: 00942823 sw s1,16(s0) + 8000b668: 00c9a023 sw a2,0(s3) + 8000b66c: 00ac8463 beq s9,a0,8000b674 <_Z14X6502_RunDebugi+0xa814> + 8000b670: 9fdf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b674: a85f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + 8000b678: 00a41223 sh a0,4(s0) + 8000b67c: 00079463 bnez a5,8000b684 <_Z14X6502_RunDebugi+0xa824> + 8000b680: 9e9f506f j 80001068 <_Z14X6502_RunDebugi+0x208> + 8000b684: fa07049b addiw s1,a4,-96 + 8000b688: 0026869b addiw a3,a3,2 + 8000b68c: 0028079b addiw a5,a6,2 + 8000b690: 00d42023 sw a3,0(s0) + 8000b694: 00942823 sw s1,16(s0) + 8000b698: 00f9a023 sw a5,0(s3) + 8000b69c: 00ac8463 beq s9,a0,8000b6a4 <_Z14X6502_RunDebugi+0xa844> + 8000b6a0: 9cdf506f j 8000106c <_Z14X6502_RunDebugi+0x20c> + 8000b6a4: a55f506f j 800010f8 <_Z14X6502_RunDebugi+0x298> + +000000008000b6a8 <_ZL9Dummyfuncv>: + 8000b6a8: 00008067 ret + +000000008000b6ac <_Z6RDoPCMv>: + 8000b6ac: 001c0517 auipc a0,0x1c0 + 8000b6b0: 4b154503 lbu a0,1201(a0) # 801cbb5d + 8000b6b4: ff010113 addi sp,sp,-16 + 8000b6b8: 001bd597 auipc a1,0x1bd + 8000b6bc: 6445a583 lw a1,1604(a1) # 801c8cfc + 8000b6c0: 0085151b slliw a0,a0,0x8 + 8000b6c4: 00113423 sd ra,8(sp) + 8000b6c8: 6f42e0ef jal ra,80039dbc <__muldi3> + 8000b6cc: 00078597 auipc a1,0x78 + 8000b6d0: 7bc58593 addi a1,a1,1980 # 80083e88 <_ZL9ChannelBC> + 8000b6d4: 001c0717 auipc a4,0x1c0 + 8000b6d8: 4fc72703 lw a4,1276(a4) # 801cbbd0 + 8000b6dc: 001c0617 auipc a2,0x1c0 + 8000b6e0: 46c62603 lw a2,1132(a2) # 801cbb48 + 8000b6e4: 0105a783 lw a5,16(a1) + 8000b6e8: 00e6063b addw a2,a2,a4 + 8000b6ec: ffff0737 lui a4,0xffff0 + 8000b6f0: 00e57533 and a0,a0,a4 + 8000b6f4: 0006071b sext.w a4,a2 + 8000b6f8: 04e7fc63 bgeu a5,a4,8000b750 <_Z6RDoPCMv+0xa4> + 8000b6fc: 40f606bb subw a3,a2,a5 + 8000b700: fff6869b addiw a3,a3,-1 + 8000b704: 02079793 slli a5,a5,0x20 + 8000b708: 02069693 slli a3,a3,0x20 + 8000b70c: 0207d793 srli a5,a5,0x20 + 8000b710: 0206d693 srli a3,a3,0x20 + 8000b714: 00f686b3 add a3,a3,a5 + 8000b718: 00051717 auipc a4,0x51 + 8000b71c: 2b070713 addi a4,a4,688 # 8005c9c8 + 8000b720: 00279793 slli a5,a5,0x2 + 8000b724: 00269693 slli a3,a3,0x2 + 8000b728: 00e787b3 add a5,a5,a4 + 8000b72c: 00051717 auipc a4,0x51 + 8000b730: 2a070713 addi a4,a4,672 # 8005c9cc + 8000b734: 0005051b sext.w a0,a0 + 8000b738: 00e686b3 add a3,a3,a4 + 8000b73c: 0007a703 lw a4,0(a5) + 8000b740: 00478793 addi a5,a5,4 + 8000b744: 00a7073b addw a4,a4,a0 + 8000b748: fee7ae23 sw a4,-4(a5) + 8000b74c: fed798e3 bne a5,a3,8000b73c <_Z6RDoPCMv+0x90> + 8000b750: 00813083 ld ra,8(sp) + 8000b754: 00c5a823 sw a2,16(a1) + 8000b758: 01010113 addi sp,sp,16 + 8000b75c: 00008067 ret + +000000008000b760 <_ZL11RDoTrianglev>: + 8000b760: f9010113 addi sp,sp,-112 + 8000b764: 03713423 sd s7,40(sp) + 8000b768: 001c0b97 auipc s7,0x1c0 + 8000b76c: 43cb8b93 addi s7,s7,1084 # 801cbba4 <_ZL7tristep> + 8000b770: 03813023 sd s8,32(sp) + 8000b774: 000bac03 lw s8,0(s7) + 8000b778: 06113423 sd ra,104(sp) + 8000b77c: 06813023 sd s0,96(sp) + 8000b780: 04913c23 sd s1,88(sp) + 8000b784: 05213823 sd s2,80(sp) + 8000b788: 05313423 sd s3,72(sp) + 8000b78c: 05413023 sd s4,64(sp) + 8000b790: 03513c23 sd s5,56(sp) + 8000b794: 03613823 sd s6,48(sp) + 8000b798: 01913c23 sd s9,24(sp) + 8000b79c: 01a13823 sd s10,16(sp) + 8000b7a0: 01b13423 sd s11,8(sp) + 8000b7a4: 010c7693 andi a3,s8,16 + 8000b7a8: 00fc7793 andi a5,s8,15 + 8000b7ac: 00069463 bnez a3,8000b7b4 <_ZL11RDoTrianglev+0x54> + 8000b7b0: 00f7c793 xori a5,a5,15 + 8000b7b4: 0017951b slliw a0,a5,0x1 + 8000b7b8: 00f5053b addw a0,a0,a5 + 8000b7bc: 001c0497 auipc s1,0x1c0 + 8000b7c0: 38c4a483 lw s1,908(s1) # 801cbb48 + 8000b7c4: 001c0797 auipc a5,0x1c0 + 8000b7c8: 40c7a783 lw a5,1036(a5) # 801cbbd0 + 8000b7cc: 00f48a3b addw s4,s1,a5 + 8000b7d0: 0105199b slliw s3,a0,0x10 + 8000b7d4: 00078917 auipc s2,0x78 + 8000b7d8: 6b490913 addi s2,s2,1716 # 80083e88 <_ZL9ChannelBC> + 8000b7dc: 00078797 auipc a5,0x78 + 8000b7e0: 6cc7a783 lw a5,1740(a5) # 80083ea8 + 8000b7e4: 00892403 lw s0,8(s2) + 8000b7e8: 00098513 mv a0,s3 + 8000b7ec: 000a0493 mv s1,s4 + 8000b7f0: 12078a63 beqz a5,8000b924 <_ZL11RDoTrianglev+0x1c4> + 8000b7f4: 001c0797 auipc a5,0x1c0 + 8000b7f8: 36a7c783 lbu a5,874(a5) # 801cbb5e + 8000b7fc: 12078463 beqz a5,8000b924 <_ZL11RDoTrianglev+0x1c4> + 8000b800: 0f447263 bgeu s0,s4,8000b8e4 <_ZL11RDoTrianglev+0x184> + 8000b804: 0004c797 auipc a5,0x4c + 8000b808: 1b478793 addi a5,a5,436 # 800579b8 + 8000b80c: 00b7ca83 lbu s5,11(a5) + 8000b810: 408a0a3b subw s4,s4,s0 + 8000b814: 00a7c783 lbu a5,10(a5) + 8000b818: fffa0a1b addiw s4,s4,-1 + 8000b81c: 02041413 slli s0,s0,0x20 + 8000b820: 008a9a9b slliw s5,s5,0x8 + 8000b824: 020a1a13 slli s4,s4,0x20 + 8000b828: 02045413 srli s0,s0,0x20 + 8000b82c: 020a5a13 srli s4,s4,0x20 + 8000b830: 700afa93 andi s5,s5,1792 + 8000b834: 00078717 auipc a4,0x78 + 8000b838: 29470713 addi a4,a4,660 # 80083ac8 <_ZL7wlcount> + 8000b83c: 008a0a33 add s4,s4,s0 + 8000b840: 00faeab3 or s5,s5,a5 + 8000b844: 00241413 slli s0,s0,0x2 + 8000b848: 00051797 auipc a5,0x51 + 8000b84c: 18078793 addi a5,a5,384 # 8005c9c8 + 8000b850: 00872d83 lw s11,8(a4) + 8000b854: 002a1a13 slli s4,s4,0x2 + 8000b858: 00f40433 add s0,s0,a5 + 8000b85c: 00051797 auipc a5,0x51 + 8000b860: 17078793 addi a5,a5,368 # 8005c9cc + 8000b864: 001bdc97 auipc s9,0x1bd + 8000b868: 488cac83 lw s9,1160(s9) # 801c8cec + 8000b86c: 001a8a9b addiw s5,s5,1 + 8000b870: 00fa0a33 add s4,s4,a5 + 8000b874: 00000d13 li s10,0 + 8000b878: ffff0b37 lui s6,0xffff0 + 8000b87c: 4089d593 srai a1,s3,0x8 + 8000b880: 000c8513 mv a0,s9 + 8000b884: 5382e0ef jal ra,80039dbc <__muldi3> + 8000b888: 00042783 lw a5,0(s0) + 8000b88c: 01657533 and a0,a0,s6 + 8000b890: fffd8d9b addiw s11,s11,-1 + 8000b894: 00a787bb addw a5,a5,a0 + 8000b898: 00f42023 sw a5,0(s0) + 8000b89c: 00440413 addi s0,s0,4 + 8000b8a0: 020d9663 bnez s11,8000b8cc <_ZL11RDoTrianglev+0x16c> + 8000b8a4: 001c0c1b addiw s8,s8,1 + 8000b8a8: 010c7693 andi a3,s8,16 + 8000b8ac: 00fc7793 andi a5,s8,15 + 8000b8b0: 00069463 bnez a3,8000b8b8 <_ZL11RDoTrianglev+0x158> + 8000b8b4: 00f7c793 xori a5,a5,15 + 8000b8b8: 0017999b slliw s3,a5,0x1 + 8000b8bc: 00f989bb addw s3,s3,a5 + 8000b8c0: 0109999b slliw s3,s3,0x10 + 8000b8c4: 000a8d93 mv s11,s5 + 8000b8c8: 00100d13 li s10,1 + 8000b8cc: fa8a18e3 bne s4,s0,8000b87c <_ZL11RDoTrianglev+0x11c> + 8000b8d0: 00078797 auipc a5,0x78 + 8000b8d4: 1f878793 addi a5,a5,504 # 80083ac8 <_ZL7wlcount> + 8000b8d8: 01b7a423 sw s11,8(a5) + 8000b8dc: 000d0463 beqz s10,8000b8e4 <_ZL11RDoTrianglev+0x184> + 8000b8e0: 018ba023 sw s8,0(s7) + 8000b8e4: 06813083 ld ra,104(sp) + 8000b8e8: 06013403 ld s0,96(sp) + 8000b8ec: 00992423 sw s1,8(s2) + 8000b8f0: 04813983 ld s3,72(sp) + 8000b8f4: 05813483 ld s1,88(sp) + 8000b8f8: 05013903 ld s2,80(sp) + 8000b8fc: 04013a03 ld s4,64(sp) + 8000b900: 03813a83 ld s5,56(sp) + 8000b904: 03013b03 ld s6,48(sp) + 8000b908: 02813b83 ld s7,40(sp) + 8000b90c: 02013c03 ld s8,32(sp) + 8000b910: 01813c83 ld s9,24(sp) + 8000b914: 01013d03 ld s10,16(sp) + 8000b918: 00813d83 ld s11,8(sp) + 8000b91c: 07010113 addi sp,sp,112 + 8000b920: 00008067 ret + 8000b924: 001bd597 auipc a1,0x1bd + 8000b928: 3c85a583 lw a1,968(a1) # 801c8cec + 8000b92c: 4085551b sraiw a0,a0,0x8 + 8000b930: 48c2e0ef jal ra,80039dbc <__muldi3> + 8000b934: ffff07b7 lui a5,0xffff0 + 8000b938: 00f57533 and a0,a0,a5 + 8000b93c: 0005051b sext.w a0,a0 + 8000b940: fb4472e3 bgeu s0,s4,8000b8e4 <_ZL11RDoTrianglev+0x184> + 8000b944: 408486bb subw a3,s1,s0 + 8000b948: fff6869b addiw a3,a3,-1 + 8000b94c: 02041413 slli s0,s0,0x20 + 8000b950: 02069693 slli a3,a3,0x20 + 8000b954: 02045413 srli s0,s0,0x20 + 8000b958: 0206d693 srli a3,a3,0x20 + 8000b95c: 008686b3 add a3,a3,s0 + 8000b960: 00269693 slli a3,a3,0x2 + 8000b964: 00241413 slli s0,s0,0x2 + 8000b968: 00051797 auipc a5,0x51 + 8000b96c: 06078793 addi a5,a5,96 # 8005c9c8 + 8000b970: 00051717 auipc a4,0x51 + 8000b974: 05c70713 addi a4,a4,92 # 8005c9cc + 8000b978: 00f407b3 add a5,s0,a5 + 8000b97c: 00e686b3 add a3,a3,a4 + 8000b980: 0007a703 lw a4,0(a5) + 8000b984: 00478793 addi a5,a5,4 + 8000b988: 00a7073b addw a4,a4,a0 + 8000b98c: fee7ae23 sw a4,-4(a5) + 8000b990: fef698e3 bne a3,a5,8000b980 <_ZL11RDoTrianglev+0x220> + 8000b994: f51ff06f j 8000b8e4 <_ZL11RDoTrianglev+0x184> + +000000008000b998 <_ZL21RDoTriangleNoisePCMLQv>: + 8000b998: 001c0797 auipc a5,0x1c0 + 8000b99c: 2387a783 lw a5,568(a5) # 801cbbd0 + 8000b9a0: 001c0517 auipc a0,0x1c0 + 8000b9a4: 1a852503 lw a0,424(a0) # 801cbb48 + 8000b9a8: 00f5053b addw a0,a0,a5 + 8000b9ac: f7010113 addi sp,sp,-144 + 8000b9b0: 0105151b slliw a0,a0,0x10 + 8000b9b4: 07313423 sd s3,104(sp) + 8000b9b8: 02051513 slli a0,a0,0x20 + 8000b9bc: 00078997 auipc s3,0x78 + 8000b9c0: 4cc98993 addi s3,s3,1228 # 80083e88 <_ZL9ChannelBC> + 8000b9c4: 08813023 sd s0,128(sp) + 8000b9c8: 001c0597 auipc a1,0x1c0 + 8000b9cc: 2045e583 lwu a1,516(a1) # 801cbbcc + 8000b9d0: 02055513 srli a0,a0,0x20 + 8000b9d4: 0089a403 lw s0,8(s3) + 8000b9d8: 06913c23 sd s1,120(sp) + 8000b9dc: 08113423 sd ra,136(sp) + 8000b9e0: 07213823 sd s2,112(sp) + 8000b9e4: 07413023 sd s4,96(sp) + 8000b9e8: 05513c23 sd s5,88(sp) + 8000b9ec: 05613823 sd s6,80(sp) + 8000b9f0: 05713423 sd s7,72(sp) + 8000b9f4: 05813023 sd s8,64(sp) + 8000b9f8: 03913c23 sd s9,56(sp) + 8000b9fc: 03a13823 sd s10,48(sp) + 8000ba00: 03b13423 sd s11,40(sp) + 8000ba04: 4242e0ef jal ra,80039e28 <__udivdi3> + 8000ba08: 0005049b sext.w s1,a0 + 8000ba0c: 18945463 bge s0,s1,8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000ba10: 0004ca17 auipc s4,0x4c + 8000ba14: fa8a0a13 addi s4,s4,-88 # 800579b8 + 8000ba18: 00ba4583 lbu a1,11(s4) + 8000ba1c: 00aa4a83 lbu s5,10(s4) + 8000ba20: 00078b17 auipc s6,0x78 + 8000ba24: 480b0b13 addi s6,s6,1152 # 80083ea0 + 8000ba28: 0085959b slliw a1,a1,0x8 + 8000ba2c: 7005f593 andi a1,a1,1792 + 8000ba30: 008b2903 lw s2,8(s6) + 8000ba34: 0155e5b3 or a1,a1,s5 + 8000ba38: 0015879b addiw a5,a1,1 + 8000ba3c: 0099a423 sw s1,8(s3) + 8000ba40: 00078a93 mv s5,a5 + 8000ba44: 001c0997 auipc s3,0x1c0 + 8000ba48: 1809a983 lw s3,384(s3) # 801cbbc4 + 8000ba4c: 1c091863 bnez s2,8000bc1c <_ZL21RDoTriangleNoisePCMLQv+0x284> + 8000ba50: 0004c717 auipc a4,0x4c + 8000ba54: f2070713 addi a4,a4,-224 # 80057970 + 8000ba58: 01174783 lbu a5,17(a4) + 8000ba5c: 0017f793 andi a5,a5,1 + 8000ba60: 1a079a63 bnez a5,8000bc14 <_ZL21RDoTriangleNoisePCMLQv+0x27c> + 8000ba64: 01374583 lbu a1,19(a4) + 8000ba68: 001bd517 auipc a0,0x1bd + 8000ba6c: 28452503 lw a0,644(a0) # 801c8cec + 8000ba70: 10000793 li a5,256 + 8000ba74: 00f50663 beq a0,a5,8000ba80 <_ZL21RDoTriangleNoisePCMLQv+0xe8> + 8000ba78: 3442e0ef jal ra,80039dbc <__muldi3> + 8000ba7c: 0085559b srliw a1,a0,0x8 + 8000ba80: 00cb2783 lw a5,12(s6) + 8000ba84: 0015959b slliw a1,a1,0x1 + 8000ba88: 00012e23 sw zero,28(sp) + 8000ba8c: 00b12c23 sw a1,24(sp) + 8000ba90: 00079663 bnez a5,8000ba9c <_ZL21RDoTriangleNoisePCMLQv+0x104> + 8000ba94: 00012c23 sw zero,24(sp) + 8000ba98: 00000993 li s3,0 + 8000ba9c: 001c0c17 auipc s8,0x1c0 + 8000baa0: 0e6c0c13 addi s8,s8,230 # 801cbb82 <_ZL4nreg> + 8000baa4: 000c5783 lhu a5,0(s8) + 8000baa8: 00ea4683 lbu a3,14(s4) + 8000baac: 02010613 addi a2,sp,32 + 8000bab0: 00c7d713 srli a4,a5,0xc + 8000bab4: 00477713 andi a4,a4,4 + 8000bab8: 00e60733 add a4,a2,a4 + 8000babc: 0186961b slliw a2,a3,0x18 + 8000bac0: 4186561b sraiw a2,a2,0x18 + 8000bac4: ff872283 lw t0,-8(a4) + 8000bac8: 00800e13 li t3,8 + 8000bacc: 00064463 bltz a2,8000bad4 <_ZL21RDoTriangleNoisePCMLQv+0x13c> + 8000bad0: 00d00e13 li t3,13 + 8000bad4: 001c0b97 auipc s7,0x1c0 + 8000bad8: 0d8b8b93 addi s7,s7,216 # 801cbbac <_ZZL21RDoTriangleNoisePCMLQvE5tcout> + 8000badc: 000ba883 lw a7,0(s7) + 8000bae0: 001c0f17 auipc t5,0x1c0 + 8000bae4: 07df4f03 lbu t5,125(t5) # 801cbb5d + 8000bae8: 00078a17 auipc s4,0x78 + 8000baec: 070a0a13 addi s4,s4,112 # 80083b58 <_ZL8wlookup2> + 8000baf0: 01e8863b addw a2,a7,t5 + 8000baf4: 00c2873b addw a4,t0,a2 + 8000baf8: 02071713 slli a4,a4,0x20 + 8000bafc: 01e75713 srli a4,a4,0x1e + 8000bb00: 00ea0733 add a4,s4,a4 + 8000bb04: 00072803 lw a6,0(a4) + 8000bb08: 14091663 bnez s2,8000bc54 <_ZL21RDoTriangleNoisePCMLQv+0x2bc> + 8000bb0c: 0004c317 auipc t1,0x4c + 8000bb10: ebc30313 addi t1,t1,-324 # 800579c8 + 8000bb14: 0a098e63 beqz s3,8000bbd0 <_ZL21RDoTriangleNoisePCMLQv+0x238> + 8000bb18: 001c0d97 auipc s11,0x1c0 + 8000bb1c: 09cd8d93 addi s11,s11,156 # 801cbbb4 <_ZZL21RDoTriangleNoisePCMLQvE8noiseacc> + 8000bb20: 00f6f693 andi a3,a3,15 + 8000bb24: 000da703 lw a4,0(s11) + 8000bb28: 00269693 slli a3,a3,0x2 + 8000bb2c: 00032f17 auipc t5,0x32 + 8000bb30: 8a4f0f13 addi t5,t5,-1884 # 8003d3d0 + 8000bb34: 00032e97 auipc t4,0x32 + 8000bb38: 8dce8e93 addi t4,t4,-1828 # 8003d410 + 8000bb3c: 00008537 lui a0,0x8 + 8000bb40: 001c1897 auipc a7,0x1c1 + 8000bb44: b208c883 lbu a7,-1248(a7) # 801cc660 + 8000bb48: 00000f93 li t6,0 + 8000bb4c: 0004c317 auipc t1,0x4c + 8000bb50: e7c30313 addi t1,t1,-388 # 800579c8 + 8000bb54: 00df0f33 add t5,t5,a3 + 8000bb58: 00de8eb3 add t4,t4,a3 + 8000bb5c: fff50513 addi a0,a0,-1 # 7fff <_entry_offset+0x7fff> + 8000bb60: 40445693 srai a3,s0,0x4 + 8000bb64: 00269693 slli a3,a3,0x2 + 8000bb68: 00d306b3 add a3,t1,a3 + 8000bb6c: 0006a583 lw a1,0(a3) + 8000bb70: 4137073b subw a4,a4,s3 + 8000bb74: 010585bb addw a1,a1,a6 + 8000bb78: 00b6a023 sw a1,0(a3) + 8000bb7c: 2ee05c63 blez a4,8000be74 <_ZL21RDoTriangleNoisePCMLQv+0x4dc> + 8000bb80: 0014041b addiw s0,s0,1 + 8000bb84: fc849ee3 bne s1,s0,8000bb60 <_ZL21RDoTriangleNoisePCMLQv+0x1c8> + 8000bb88: 00eda023 sw a4,0(s11) + 8000bb8c: 000f8463 beqz t6,8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bb90: 00fc1023 sh a5,0(s8) + 8000bb94: 08813083 ld ra,136(sp) + 8000bb98: 08013403 ld s0,128(sp) + 8000bb9c: 07813483 ld s1,120(sp) + 8000bba0: 07013903 ld s2,112(sp) + 8000bba4: 06813983 ld s3,104(sp) + 8000bba8: 06013a03 ld s4,96(sp) + 8000bbac: 05813a83 ld s5,88(sp) + 8000bbb0: 05013b03 ld s6,80(sp) + 8000bbb4: 04813b83 ld s7,72(sp) + 8000bbb8: 04013c03 ld s8,64(sp) + 8000bbbc: 03813c83 ld s9,56(sp) + 8000bbc0: 03013d03 ld s10,48(sp) + 8000bbc4: 02813d83 ld s11,40(sp) + 8000bbc8: 09010113 addi sp,sp,144 + 8000bbcc: 00008067 ret + 8000bbd0: 40445793 srai a5,s0,0x4 + 8000bbd4: 00279793 slli a5,a5,0x2 + 8000bbd8: 00f307b3 add a5,t1,a5 + 8000bbdc: 0007a703 lw a4,0(a5) + 8000bbe0: 0014041b addiw s0,s0,1 + 8000bbe4: 0107073b addw a4,a4,a6 + 8000bbe8: 00e7a023 sw a4,0(a5) + 8000bbec: fa8484e3 beq s1,s0,8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bbf0: 40445793 srai a5,s0,0x4 + 8000bbf4: 00279793 slli a5,a5,0x2 + 8000bbf8: 00f307b3 add a5,t1,a5 + 8000bbfc: 0007a703 lw a4,0(a5) + 8000bc00: 0014041b addiw s0,s0,1 + 8000bc04: 0107073b addw a4,a4,a6 + 8000bc08: 00e7a023 sw a4,0(a5) + 8000bc0c: fc8492e3 bne s1,s0,8000bbd0 <_ZL21RDoTriangleNoisePCMLQv+0x238> + 8000bc10: f85ff06f j 8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bc14: 01074583 lbu a1,16(a4) + 8000bc18: e51ff06f j 8000ba68 <_ZL21RDoTriangleNoisePCMLQv+0xd0> + 8000bc1c: 001c0717 auipc a4,0x1c0 + 8000bc20: f4274703 lbu a4,-190(a4) # 801cbb5e + 8000bc24: 00000913 li s2,0 + 8000bc28: e20704e3 beqz a4,8000ba50 <_ZL21RDoTriangleNoisePCMLQv+0xb8> + 8000bc2c: 00400913 li s2,4 + 8000bc30: 0004c717 auipc a4,0x4c + 8000bc34: d4070713 addi a4,a4,-704 # 80057970 + 8000bc38: 00f92933 slt s2,s2,a5 + 8000bc3c: 01174783 lbu a5,17(a4) + 8000bc40: 41200933 neg s2,s2 + 8000bc44: 0129f933 and s2,s3,s2 + 8000bc48: 0017f793 andi a5,a5,1 + 8000bc4c: e0078ce3 beqz a5,8000ba64 <_ZL21RDoTriangleNoisePCMLQv+0xcc> + 8000bc50: fc5ff06f j 8000bc14 <_ZL21RDoTriangleNoisePCMLQv+0x27c> + 8000bc54: 001c0c97 auipc s9,0x1c0 + 8000bc58: f5cc8c93 addi s9,s9,-164 # 801cbbb0 <_ZZL21RDoTriangleNoisePCMLQvE6triacc> + 8000bc5c: 001c0d17 auipc s10,0x1c0 + 8000bc60: f48d0d13 addi s10,s10,-184 # 801cbba4 <_ZL7tristep> + 8000bc64: 000ca703 lw a4,0(s9) + 8000bc68: 000d2603 lw a2,0(s10) + 8000bc6c: 011a959b slliw a1,s5,0x11 + 8000bc70: 08099a63 bnez s3,8000bd04 <_ZL21RDoTriangleNoisePCMLQv+0x36c> + 8000bc74: 00000513 li a0,0 + 8000bc78: 0004c317 auipc t1,0x4c + 8000bc7c: d5030313 addi t1,t1,-688 # 800579c8 + 8000bc80: 005f0f3b addw t5,t5,t0 + 8000bc84: 00c0006f j 8000bc90 <_ZL21RDoTriangleNoisePCMLQv+0x2f8> + 8000bc88: 0014041b addiw s0,s0,1 + 8000bc8c: 06848663 beq s1,s0,8000bcf8 <_ZL21RDoTriangleNoisePCMLQv+0x360> + 8000bc90: 40445793 srai a5,s0,0x4 + 8000bc94: 00279793 slli a5,a5,0x2 + 8000bc98: 00f307b3 add a5,t1,a5 + 8000bc9c: 0007a683 lw a3,0(a5) + 8000bca0: 4127073b subw a4,a4,s2 + 8000bca4: 010686bb addw a3,a3,a6 + 8000bca8: 00d7a023 sw a3,0(a5) + 8000bcac: fce04ee3 bgtz a4,8000bc88 <_ZL21RDoTriangleNoisePCMLQv+0x2f0> + 8000bcb0: 0016079b addiw a5,a2,1 + 8000bcb4: 00e5873b addw a4,a1,a4 + 8000bcb8: 01f7f613 andi a2,a5,31 + 8000bcbc: fee05ae3 blez a4,8000bcb0 <_ZL21RDoTriangleNoisePCMLQv+0x318> + 8000bcc0: 0107f793 andi a5,a5,16 + 8000bcc4: 00f67693 andi a3,a2,15 + 8000bcc8: 00079463 bnez a5,8000bcd0 <_ZL21RDoTriangleNoisePCMLQv+0x338> + 8000bccc: 00f6c693 xori a3,a3,15 + 8000bcd0: 0016989b slliw a7,a3,0x1 + 8000bcd4: 00d888bb addw a7,a7,a3 + 8000bcd8: 011f07bb addw a5,t5,a7 + 8000bcdc: 02079793 slli a5,a5,0x20 + 8000bce0: 01e7d793 srli a5,a5,0x1e + 8000bce4: 00fa07b3 add a5,s4,a5 + 8000bce8: 0014041b addiw s0,s0,1 + 8000bcec: 0007a803 lw a6,0(a5) + 8000bcf0: 00100513 li a0,1 + 8000bcf4: f8849ee3 bne s1,s0,8000bc90 <_ZL21RDoTriangleNoisePCMLQv+0x2f8> + 8000bcf8: 00eca023 sw a4,0(s9) + 8000bcfc: 0a051063 bnez a0,8000bd9c <_ZL21RDoTriangleNoisePCMLQv+0x404> + 8000bd00: e95ff06f j 8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bd04: 001c0d97 auipc s11,0x1c0 + 8000bd08: eb0d8d93 addi s11,s11,-336 # 801cbbb4 <_ZZL21RDoTriangleNoisePCMLQvE8noiseacc> + 8000bd0c: 00f6fa93 andi s5,a3,15 + 8000bd10: 000da683 lw a3,0(s11) + 8000bd14: 002a9513 slli a0,s5,0x2 + 8000bd18: 00031b17 auipc s6,0x31 + 8000bd1c: 6b8b0b13 addi s6,s6,1720 # 8003d3d0 + 8000bd20: 00031a97 auipc s5,0x31 + 8000bd24: 6f0a8a93 addi s5,s5,1776 # 8003d410 + 8000bd28: 00008fb7 lui t6,0x8 + 8000bd2c: 001c1397 auipc t2,0x1c1 + 8000bd30: 9343c383 lbu t2,-1740(t2) # 801cc660 + 8000bd34: 00013423 sd zero,8(sp) + 8000bd38: 00013023 sd zero,0(sp) + 8000bd3c: 0004c317 auipc t1,0x4c + 8000bd40: c8c30313 addi t1,t1,-884 # 800579c8 + 8000bd44: 00ab0b33 add s6,s6,a0 + 8000bd48: 00aa8ab3 add s5,s5,a0 + 8000bd4c: ffff8f93 addi t6,t6,-1 # 7fff <_entry_offset+0x7fff> + 8000bd50: 40445513 srai a0,s0,0x4 + 8000bd54: 00251513 slli a0,a0,0x2 + 8000bd58: 00a30533 add a0,t1,a0 + 8000bd5c: 00052e83 lw t4,0(a0) + 8000bd60: 4127073b subw a4,a4,s2 + 8000bd64: 413686bb subw a3,a3,s3 + 8000bd68: 010e8ebb addw t4,t4,a6 + 8000bd6c: 01d52023 sw t4,0(a0) + 8000bd70: 02e05c63 blez a4,8000bda8 <_ZL21RDoTriangleNoisePCMLQv+0x410> + 8000bd74: 0ad05a63 blez a3,8000be28 <_ZL21RDoTriangleNoisePCMLQv+0x490> + 8000bd78: 0014041b addiw s0,s0,1 + 8000bd7c: fc849ae3 bne s1,s0,8000bd50 <_ZL21RDoTriangleNoisePCMLQv+0x3b8> + 8000bd80: 00eca023 sw a4,0(s9) + 8000bd84: 00013703 ld a4,0(sp) + 8000bd88: 00dda023 sw a3,0(s11) + 8000bd8c: 00070463 beqz a4,8000bd94 <_ZL21RDoTriangleNoisePCMLQv+0x3fc> + 8000bd90: 00fc1023 sh a5,0(s8) + 8000bd94: 00813783 ld a5,8(sp) + 8000bd98: de078ee3 beqz a5,8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bd9c: 00cd2023 sw a2,0(s10) + 8000bda0: 011ba023 sw a7,0(s7) + 8000bda4: df1ff06f j 8000bb94 <_ZL21RDoTriangleNoisePCMLQv+0x1fc> + 8000bda8: 0016051b addiw a0,a2,1 + 8000bdac: 00e5873b addw a4,a1,a4 + 8000bdb0: 01f57613 andi a2,a0,31 + 8000bdb4: fee05ae3 blez a4,8000bda8 <_ZL21RDoTriangleNoisePCMLQv+0x410> + 8000bdb8: 01057513 andi a0,a0,16 + 8000bdbc: 00f67813 andi a6,a2,15 + 8000bdc0: 00051463 bnez a0,8000bdc8 <_ZL21RDoTriangleNoisePCMLQv+0x430> + 8000bdc4: 00f84813 xori a6,a6,15 + 8000bdc8: 0018189b slliw a7,a6,0x1 + 8000bdcc: 010888bb addw a7,a7,a6 + 8000bdd0: 005f053b addw a0,t5,t0 + 8000bdd4: 0115053b addw a0,a0,a7 + 8000bdd8: 02051513 slli a0,a0,0x20 + 8000bddc: 01e55513 srli a0,a0,0x1e + 8000bde0: 00aa0533 add a0,s4,a0 + 8000bde4: 00052803 lw a6,0(a0) + 8000bde8: 00100513 li a0,1 + 8000bdec: 00a13423 sd a0,8(sp) + 8000bdf0: f85ff06f j 8000bd74 <_ZL21RDoTriangleNoisePCMLQv+0x3dc> + 8000bdf4: 000aa503 lw a0,0(s5) + 8000bdf8: 0115151b slliw a0,a0,0x11 + 8000bdfc: 00d506bb addw a3,a0,a3 + 8000be00: 41c7d53b sraw a0,a5,t3 + 8000be04: 00e7d813 srli a6,a5,0xe + 8000be08: 01054533 xor a0,a0,a6 + 8000be0c: 0017979b slliw a5,a5,0x1 + 8000be10: 00157513 andi a0,a0,1 + 8000be14: 00a787bb addw a5,a5,a0 + 8000be18: 01f7f7b3 and a5,a5,t6 + 8000be1c: 03079793 slli a5,a5,0x30 + 8000be20: 0307d793 srli a5,a5,0x30 + 8000be24: 06d04463 bgtz a3,8000be8c <_ZL21RDoTriangleNoisePCMLQv+0x4f4> + 8000be28: 0006869b sext.w a3,a3 + 8000be2c: fc0394e3 bnez t2,8000bdf4 <_ZL21RDoTriangleNoisePCMLQv+0x45c> + 8000be30: 000b2503 lw a0,0(s6) + 8000be34: 0115151b slliw a0,a0,0x11 + 8000be38: 00d506bb addw a3,a0,a3 + 8000be3c: fc5ff06f j 8000be00 <_ZL21RDoTriangleNoisePCMLQv+0x468> + 8000be40: 000ea683 lw a3,0(t4) + 8000be44: 0116969b slliw a3,a3,0x11 + 8000be48: 00e6873b addw a4,a3,a4 + 8000be4c: 41c7d6bb sraw a3,a5,t3 + 8000be50: 00e7d593 srli a1,a5,0xe + 8000be54: 00b6c6b3 xor a3,a3,a1 + 8000be58: 0017979b slliw a5,a5,0x1 + 8000be5c: 0016f693 andi a3,a3,1 + 8000be60: 00d787bb addw a5,a5,a3 + 8000be64: 00a7f7b3 and a5,a5,a0 + 8000be68: 03079793 slli a5,a5,0x30 + 8000be6c: 0307d793 srli a5,a5,0x30 + 8000be70: 04e04a63 bgtz a4,8000bec4 <_ZL21RDoTriangleNoisePCMLQv+0x52c> + 8000be74: 0007071b sext.w a4,a4 + 8000be78: fc0894e3 bnez a7,8000be40 <_ZL21RDoTriangleNoisePCMLQv+0x4a8> + 8000be7c: 000f2683 lw a3,0(t5) + 8000be80: 0116969b slliw a3,a3,0x11 + 8000be84: 00e6873b addw a4,a3,a4 + 8000be88: fc5ff06f j 8000be4c <_ZL21RDoTriangleNoisePCMLQv+0x4b4> + 8000be8c: 00e7d513 srli a0,a5,0xe + 8000be90: 02010813 addi a6,sp,32 + 8000be94: 00251513 slli a0,a0,0x2 + 8000be98: 00a80533 add a0,a6,a0 + 8000be9c: ff852283 lw t0,-8(a0) + 8000bea0: 011f053b addw a0,t5,a7 + 8000bea4: 00100813 li a6,1 + 8000bea8: 0055053b addw a0,a0,t0 + 8000beac: 02051513 slli a0,a0,0x20 + 8000beb0: 01e55513 srli a0,a0,0x1e + 8000beb4: 00aa0533 add a0,s4,a0 + 8000beb8: 01013023 sd a6,0(sp) + 8000bebc: 00052803 lw a6,0(a0) + 8000bec0: eb9ff06f j 8000bd78 <_ZL21RDoTriangleNoisePCMLQv+0x3e0> + 8000bec4: 00e7d693 srli a3,a5,0xe + 8000bec8: 00269693 slli a3,a3,0x2 + 8000becc: 02010593 addi a1,sp,32 + 8000bed0: 00d586b3 add a3,a1,a3 + 8000bed4: ff86a683 lw a3,-8(a3) + 8000bed8: 0014041b addiw s0,s0,1 + 8000bedc: 00100f93 li t6,1 + 8000bee0: 00c686bb addw a3,a3,a2 + 8000bee4: 02069693 slli a3,a3,0x20 + 8000bee8: 01e6d693 srli a3,a3,0x1e + 8000beec: 00da06b3 add a3,s4,a3 + 8000bef0: 0006a803 lw a6,0(a3) + 8000bef4: c68496e3 bne s1,s0,8000bb60 <_ZL21RDoTriangleNoisePCMLQv+0x1c8> + 8000bef8: c91ff06f j 8000bb88 <_ZL21RDoTriangleNoisePCMLQv+0x1f0> + +000000008000befc <_ZL8RDoNoisev>: + 8000befc: fe010113 addi sp,sp,-32 + 8000bf00: 00113c23 sd ra,24(sp) + 8000bf04: 00813823 sd s0,16(sp) + 8000bf08: 0004c717 auipc a4,0x4c + 8000bf0c: a6870713 addi a4,a4,-1432 # 80057970 + 8000bf10: 01174783 lbu a5,17(a4) + 8000bf14: 0017f793 andi a5,a5,1 + 8000bf18: 1a078c63 beqz a5,8000c0d0 <_ZL8RDoNoisev+0x1d4> + 8000bf1c: 01074583 lbu a1,16(a4) + 8000bf20: 001bd517 auipc a0,0x1bd + 8000bf24: dd852503 lw a0,-552(a0) # 801c8cf8 + 8000bf28: 10000793 li a5,256 + 8000bf2c: 00f50663 beq a0,a5,8000bf38 <_ZL8RDoNoisev+0x3c> + 8000bf30: 68d2d0ef jal ra,80039dbc <__muldi3> + 8000bf34: 0085559b srliw a1,a0,0x8 + 8000bf38: 0115959b slliw a1,a1,0x11 + 8000bf3c: 001c0897 auipc a7,0x1c0 + 8000bf40: c4688893 addi a7,a7,-954 # 801cbb82 <_ZL4nreg> + 8000bf44: 00012623 sw zero,12(sp) + 8000bf48: 00b12423 sw a1,8(sp) + 8000bf4c: 00078617 auipc a2,0x78 + 8000bf50: f6062603 lw a2,-160(a2) # 80083eac + 8000bf54: 0008d303 lhu t1,0(a7) + 8000bf58: 16060863 beqz a2,8000c0c8 <_ZL8RDoNoisev+0x1cc> + 8000bf5c: 00c35793 srli a5,t1,0xc + 8000bf60: 0047f793 andi a5,a5,4 + 8000bf64: 01010713 addi a4,sp,16 + 8000bf68: 00f707b3 add a5,a4,a5 + 8000bf6c: ff87a603 lw a2,-8(a5) + 8000bf70: 0004c717 auipc a4,0x4c + 8000bf74: a5674703 lbu a4,-1450(a4) # 800579c6 + 8000bf78: 001c0597 auipc a1,0x1c0 + 8000bf7c: bd05a583 lw a1,-1072(a1) # 801cbb48 + 8000bf80: 001c0797 auipc a5,0x1c0 + 8000bf84: c507a783 lw a5,-944(a5) # 801cbbd0 + 8000bf88: 0187181b slliw a6,a4,0x18 + 8000bf8c: 00f586bb addw a3,a1,a5 + 8000bf90: 00078517 auipc a0,0x78 + 8000bf94: ef850513 addi a0,a0,-264 # 80083e88 <_ZL9ChannelBC> + 8000bf98: 4188581b sraiw a6,a6,0x18 + 8000bf9c: 00c52783 lw a5,12(a0) + 8000bfa0: 00068593 mv a1,a3 + 8000bfa4: 12084a63 bltz a6,8000c0d8 <_ZL8RDoNoisev+0x1dc> + 8000bfa8: 0ed7fe63 bgeu a5,a3,8000c0a4 <_ZL8RDoNoisev+0x1a8> + 8000bfac: 40f68ebb subw t4,a3,a5 + 8000bfb0: fffe8e9b addiw t4,t4,-1 + 8000bfb4: 02079793 slli a5,a5,0x20 + 8000bfb8: 020e9e93 slli t4,t4,0x20 + 8000bfbc: 0207d793 srli a5,a5,0x20 + 8000bfc0: 020ede93 srli t4,t4,0x20 + 8000bfc4: 00fe8eb3 add t4,t4,a5 + 8000bfc8: 00051697 auipc a3,0x51 + 8000bfcc: a0068693 addi a3,a3,-1536 # 8005c9c8 + 8000bfd0: 00f77713 andi a4,a4,15 + 8000bfd4: 00279793 slli a5,a5,0x2 + 8000bfd8: 00271713 slli a4,a4,0x2 + 8000bfdc: 00078817 auipc a6,0x78 + 8000bfe0: aec80813 addi a6,a6,-1300 # 80083ac8 <_ZL7wlcount> + 8000bfe4: 002e9e93 slli t4,t4,0x2 + 8000bfe8: 00d787b3 add a5,a5,a3 + 8000bfec: 00008f37 lui t5,0x8 + 8000bff0: 00051697 auipc a3,0x51 + 8000bff4: 9dc68693 addi a3,a3,-1572 # 8005c9cc + 8000bff8: 00031297 auipc t0,0x31 + 8000bffc: 3d828293 addi t0,t0,984 # 8003d3d0 + 8000c000: 00031397 auipc t2,0x31 + 8000c004: 41038393 addi t2,t2,1040 # 8003d410 + 8000c008: 00de8eb3 add t4,t4,a3 + 8000c00c: 00c82e03 lw t3,12(a6) + 8000c010: 001c0f97 auipc t6,0x1c0 + 8000c014: 650fcf83 lbu t6,1616(t6) # 801cc660 + 8000c018: 00000693 li a3,0 + 8000c01c: ffff0f13 addi t5,t5,-1 # 7fff <_entry_offset+0x7fff> + 8000c020: 00e282b3 add t0,t0,a4 + 8000c024: 00e383b3 add t2,t2,a4 + 8000c028: 0500006f j 8000c078 <_ZL8RDoNoisev+0x17c> + 8000c02c: 0003ae03 lw t3,0(t2) + 8000c030: 0003061b sext.w a2,t1 + 8000c034: 40d6569b sraiw a3,a2,0xd + 8000c038: 40e6561b sraiw a2,a2,0xe + 8000c03c: 00c6c6b3 xor a3,a3,a2 + 8000c040: 0013171b slliw a4,t1,0x1 + 8000c044: 0016f693 andi a3,a3,1 + 8000c048: 00d7073b addw a4,a4,a3 + 8000c04c: 01e77733 and a4,a4,t5 + 8000c050: 03071313 slli t1,a4,0x30 + 8000c054: 03035313 srli t1,t1,0x30 + 8000c058: 00e35713 srli a4,t1,0xe + 8000c05c: 01010693 addi a3,sp,16 + 8000c060: 00271713 slli a4,a4,0x2 + 8000c064: 00e68733 add a4,a3,a4 + 8000c068: ff872603 lw a2,-8(a4) + 8000c06c: 00100693 li a3,1 + 8000c070: 00478793 addi a5,a5,4 + 8000c074: 04fe8263 beq t4,a5,8000c0b8 <_ZL8RDoNoisev+0x1bc> + 8000c078: 0007a703 lw a4,0(a5) + 8000c07c: fffe0e1b addiw t3,t3,-1 + 8000c080: 00c7073b addw a4,a4,a2 + 8000c084: 00e7a023 sw a4,0(a5) + 8000c088: fe0e14e3 bnez t3,8000c070 <_ZL8RDoNoisev+0x174> + 8000c08c: fa0f90e3 bnez t6,8000c02c <_ZL8RDoNoisev+0x130> + 8000c090: 0002ae03 lw t3,0(t0) + 8000c094: f9dff06f j 8000c030 <_ZL8RDoNoisev+0x134> + 8000c098: 01c82623 sw t3,12(a6) + 8000c09c: 00028463 beqz t0,8000c0a4 <_ZL8RDoNoisev+0x1a8> + 8000c0a0: 00689023 sh t1,0(a7) + 8000c0a4: 01813083 ld ra,24(sp) + 8000c0a8: 01013403 ld s0,16(sp) + 8000c0ac: 00b52623 sw a1,12(a0) + 8000c0b0: 02010113 addi sp,sp,32 + 8000c0b4: 00008067 ret + 8000c0b8: 01c82623 sw t3,12(a6) + 8000c0bc: fe0684e3 beqz a3,8000c0a4 <_ZL8RDoNoisev+0x1a8> + 8000c0c0: 00689023 sh t1,0(a7) + 8000c0c4: fe1ff06f j 8000c0a4 <_ZL8RDoNoisev+0x1a8> + 8000c0c8: 00012423 sw zero,8(sp) + 8000c0cc: ea5ff06f j 8000bf70 <_ZL8RDoNoisev+0x74> + 8000c0d0: 01374583 lbu a1,19(a4) + 8000c0d4: e4dff06f j 8000bf20 <_ZL8RDoNoisev+0x24> + 8000c0d8: fcd7f6e3 bgeu a5,a3,8000c0a4 <_ZL8RDoNoisev+0x1a8> + 8000c0dc: 40f68ebb subw t4,a3,a5 + 8000c0e0: fffe8e9b addiw t4,t4,-1 + 8000c0e4: 02079793 slli a5,a5,0x20 + 8000c0e8: 020e9e93 slli t4,t4,0x20 + 8000c0ec: 0207d793 srli a5,a5,0x20 + 8000c0f0: 020ede93 srli t4,t4,0x20 + 8000c0f4: 00fe8eb3 add t4,t4,a5 + 8000c0f8: 00051697 auipc a3,0x51 + 8000c0fc: 8d068693 addi a3,a3,-1840 # 8005c9c8 + 8000c100: 00f77713 andi a4,a4,15 + 8000c104: 00279793 slli a5,a5,0x2 + 8000c108: 00271713 slli a4,a4,0x2 + 8000c10c: 00078817 auipc a6,0x78 + 8000c110: 9bc80813 addi a6,a6,-1604 # 80083ac8 <_ZL7wlcount> + 8000c114: 002e9e93 slli t4,t4,0x2 + 8000c118: 00d787b3 add a5,a5,a3 + 8000c11c: 00008f37 lui t5,0x8 + 8000c120: 00051697 auipc a3,0x51 + 8000c124: 8ac68693 addi a3,a3,-1876 # 8005c9cc + 8000c128: 00031397 auipc t2,0x31 + 8000c12c: 2a838393 addi t2,t2,680 # 8003d3d0 + 8000c130: 00031417 auipc s0,0x31 + 8000c134: 2e040413 addi s0,s0,736 # 8003d410 + 8000c138: 00c82e03 lw t3,12(a6) + 8000c13c: 001c0f97 auipc t6,0x1c0 + 8000c140: 524fcf83 lbu t6,1316(t6) # 801cc660 + 8000c144: 00de8eb3 add t4,t4,a3 + 8000c148: 00000293 li t0,0 + 8000c14c: ffff0f13 addi t5,t5,-1 # 7fff <_entry_offset+0x7fff> + 8000c150: 00e383b3 add t2,t2,a4 + 8000c154: 00e40433 add s0,s0,a4 + 8000c158: 0500006f j 8000c1a8 <_ZL8RDoNoisev+0x2ac> + 8000c15c: 00042e03 lw t3,0(s0) + 8000c160: 0003061b sext.w a2,t1 + 8000c164: 4086569b sraiw a3,a2,0x8 + 8000c168: 40e6561b sraiw a2,a2,0xe + 8000c16c: 00c6c6b3 xor a3,a3,a2 + 8000c170: 0013171b slliw a4,t1,0x1 + 8000c174: 0016f693 andi a3,a3,1 + 8000c178: 00d7073b addw a4,a4,a3 + 8000c17c: 01e77733 and a4,a4,t5 + 8000c180: 03071313 slli t1,a4,0x30 + 8000c184: 03035313 srli t1,t1,0x30 + 8000c188: 00e35693 srli a3,t1,0xe + 8000c18c: 00269693 slli a3,a3,0x2 + 8000c190: 01010713 addi a4,sp,16 + 8000c194: 00d706b3 add a3,a4,a3 + 8000c198: ff86a603 lw a2,-8(a3) + 8000c19c: 00100293 li t0,1 + 8000c1a0: 00478793 addi a5,a5,4 + 8000c1a4: eefe8ae3 beq t4,a5,8000c098 <_ZL8RDoNoisev+0x19c> + 8000c1a8: 0007a683 lw a3,0(a5) + 8000c1ac: fffe0e1b addiw t3,t3,-1 + 8000c1b0: 00c686bb addw a3,a3,a2 + 8000c1b4: 00d7a023 sw a3,0(a5) + 8000c1b8: fe0e14e3 bnez t3,8000c1a0 <_ZL8RDoNoisev+0x2a4> + 8000c1bc: fa0f90e3 bnez t6,8000c15c <_ZL8RDoNoisev+0x260> + 8000c1c0: 0003ae03 lw t3,0(t2) + 8000c1c4: f9dff06f j 8000c160 <_ZL8RDoNoisev+0x264> + +000000008000c1c8 <_ZL10StatusReadj>: + 8000c1c8: 001c0317 auipc t1,0x1c0 + 8000c1cc: 9e130313 addi t1,t1,-1567 # 801cbba9 <_ZL8SIRQStat> + 8000c1d0: 00034503 lbu a0,0(t1) + 8000c1d4: ff010113 addi sp,sp,-16 + 8000c1d8: 00813023 sd s0,0(sp) + 8000c1dc: 00113423 sd ra,8(sp) + 8000c1e0: 00078717 auipc a4,0x78 + 8000c1e4: cc070713 addi a4,a4,-832 # 80083ea0 + 8000c1e8: 00000793 li a5,0 + 8000c1ec: 00050413 mv s0,a0 + 8000c1f0: 00100893 li a7,1 + 8000c1f4: 00400813 li a6,4 + 8000c1f8: 00072603 lw a2,0(a4) + 8000c1fc: 00f895bb sllw a1,a7,a5 + 8000c200: 00000693 li a3,0 + 8000c204: 0017879b addiw a5,a5,1 + 8000c208: 00060663 beqz a2,8000c214 <_ZL10StatusReadj+0x4c> + 8000c20c: 0185969b slliw a3,a1,0x18 + 8000c210: 4186d69b sraiw a3,a3,0x18 + 8000c214: 0086e433 or s0,a3,s0 + 8000c218: 0ff47413 andi s0,s0,255 + 8000c21c: 00470713 addi a4,a4,4 + 8000c220: fd079ce3 bne a5,a6,8000c1f8 <_ZL10StatusReadj+0x30> + 8000c224: 001c0797 auipc a5,0x1c0 + 8000c228: 9747a783 lw a5,-1676(a5) # 801cbb98 <_ZL7DMCSize> + 8000c22c: 00078463 beqz a5,8000c234 <_ZL10StatusReadj+0x6c> + 8000c230: 01046413 ori s0,s0,16 + 8000c234: fbf57793 andi a5,a0,-65 + 8000c238: 20000513 li a0,512 + 8000c23c: 00f30023 sb a5,0(t1) + 8000c240: b11f40ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8000c244: 00813083 ld ra,8(sp) + 8000c248: 00040513 mv a0,s0 + 8000c24c: 00013403 ld s0,0(sp) + 8000c250: 01010113 addi sp,sp,16 + 8000c254: 00008067 ret + +000000008000c258 <_ZL11StatusWritejh>: + 8000c258: ff010113 addi sp,sp,-16 + 8000c25c: 00113423 sd ra,8(sp) + 8000c260: 00813023 sd s0,0(sp) + 8000c264: 0003c797 auipc a5,0x3c + 8000c268: 6247b783 ld a5,1572(a5) # 80048888 <_ZL5DoSQ1> + 8000c26c: 00058413 mv s0,a1 + 8000c270: 000780e7 jalr a5 + 8000c274: 0003c797 auipc a5,0x3c + 8000c278: 61c7b783 ld a5,1564(a5) # 80048890 <_ZL5DoSQ2> + 8000c27c: 000780e7 jalr a5 + 8000c280: 0003c797 auipc a5,0x3c + 8000c284: 5f87b783 ld a5,1528(a5) # 80048878 <_ZL10DoTriangle> + 8000c288: 000780e7 jalr a5 + 8000c28c: 0003c797 auipc a5,0x3c + 8000c290: 60c7b783 ld a5,1548(a5) # 80048898 <_ZL7DoNoise> + 8000c294: 000780e7 jalr a5 + 8000c298: 0003c797 auipc a5,0x3c + 8000c29c: 5e87b783 ld a5,1512(a5) # 80048880 <_ZL5DoPCM> + 8000c2a0: 000780e7 jalr a5 + 8000c2a4: 0004059b sext.w a1,s0 + 8000c2a8: 00078697 auipc a3,0x78 + 8000c2ac: bf868693 addi a3,a3,-1032 # 80083ea0 + 8000c2b0: 00000793 li a5,0 + 8000c2b4: 00400613 li a2,4 + 8000c2b8: 40f5d73b sraw a4,a1,a5 + 8000c2bc: 00177713 andi a4,a4,1 + 8000c2c0: 0017879b addiw a5,a5,1 + 8000c2c4: 00071463 bnez a4,8000c2cc <_ZL11StatusWritejh+0x74> + 8000c2c8: 0006a023 sw zero,0(a3) + 8000c2cc: 00468693 addi a3,a3,4 + 8000c2d0: fec794e3 bne a5,a2,8000c2b8 <_ZL11StatusWritejh+0x60> + 8000c2d4: 01047793 andi a5,s0,16 + 8000c2d8: 06078e63 beqz a5,8000c354 <_ZL11StatusWritejh+0xfc> + 8000c2dc: 001c0697 auipc a3,0x1c0 + 8000c2e0: 8bc68693 addi a3,a3,-1860 # 801cbb98 <_ZL7DMCSize> + 8000c2e4: 0006a783 lw a5,0(a3) + 8000c2e8: 02079a63 bnez a5,8000c31c <_ZL11StatusWritejh+0xc4> + 8000c2ec: 001c0717 auipc a4,0x1c0 + 8000c2f0: 86474703 lbu a4,-1948(a4) # 801cbb50 + 8000c2f4: 001c0797 auipc a5,0x1c0 + 8000c2f8: 8647c783 lbu a5,-1948(a5) # 801cbb58 + 8000c2fc: 00004637 lui a2,0x4 + 8000c300: 0067171b slliw a4,a4,0x6 + 8000c304: 0047979b slliw a5,a5,0x4 + 8000c308: 00c7073b addw a4,a4,a2 + 8000c30c: 0017879b addiw a5,a5,1 + 8000c310: 001c0617 auipc a2,0x1c0 + 8000c314: 84e62823 sw a4,-1968(a2) # 801cbb60 <_ZL10DMCAddress> + 8000c318: 00f6a023 sw a5,0(a3) + 8000c31c: 001c0717 auipc a4,0x1c0 + 8000c320: 88d70713 addi a4,a4,-1907 # 801cbba9 <_ZL8SIRQStat> + 8000c324: 00074783 lbu a5,0(a4) + 8000c328: 10000513 li a0,256 + 8000c32c: 01f47413 andi s0,s0,31 + 8000c330: 07f7f793 andi a5,a5,127 + 8000c334: 00f70023 sb a5,0(a4) + 8000c338: a19f40ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8000c33c: 00813083 ld ra,8(sp) + 8000c340: 001c0797 auipc a5,0x1c0 + 8000c344: 80878d23 sb s0,-2022(a5) # 801cbb5a + 8000c348: 00013403 ld s0,0(sp) + 8000c34c: 01010113 addi sp,sp,16 + 8000c350: 00008067 ret + 8000c354: 001c0797 auipc a5,0x1c0 + 8000c358: 8407a223 sw zero,-1980(a5) # 801cbb98 <_ZL7DMCSize> + 8000c35c: fc1ff06f j 8000c31c <_ZL11StatusWritejh+0xc4> + +000000008000c360 <_ZL9Write_PSGjh>: + 8000c360: fe010113 addi sp,sp,-32 + 8000c364: 00813823 sd s0,16(sp) + 8000c368: 00913423 sd s1,8(sp) + 8000c36c: 00113c23 sd ra,24(sp) + 8000c370: 01213023 sd s2,0(sp) + 8000c374: 01f57413 andi s0,a0,31 + 8000c378: 01000793 li a5,16 + 8000c37c: 00058493 mv s1,a1 + 8000c380: 0887e463 bltu a5,s0,8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c384: 00031697 auipc a3,0x31 + 8000c388: f8c68693 addi a3,a3,-116 # 8003d310 <_ZL8CycTable+0x100> + 8000c38c: 00241713 slli a4,s0,0x2 + 8000c390: 00d70733 add a4,a4,a3 + 8000c394: 00072783 lw a5,0(a4) + 8000c398: 00d787b3 add a5,a5,a3 + 8000c39c: 00078067 jr a5 + 8000c3a0: 0003c797 auipc a5,0x3c + 8000c3a4: 4e07b783 ld a5,1248(a5) # 80048880 <_ZL5DoPCM> + 8000c3a8: 000780e7 jalr a5 + 8000c3ac: 00f4f793 andi a5,s1,15 + 8000c3b0: 001c0717 auipc a4,0x1c0 + 8000c3b4: 2b074703 lbu a4,688(a4) # 801cc660 + 8000c3b8: 00279793 slli a5,a5,0x2 + 8000c3bc: 36071e63 bnez a4,8000c738 <_ZL9Write_PSGjh+0x3d8> + 8000c3c0: 00031717 auipc a4,0x31 + 8000c3c4: 10070713 addi a4,a4,256 # 8003d4c0 <_ZL12NTSCDMCTable> + 8000c3c8: 00f707b3 add a5,a4,a5 + 8000c3cc: 0007a783 lw a5,0(a5) + 8000c3d0: 001bf717 auipc a4,0x1bf + 8000c3d4: 78f72223 sw a5,1924(a4) # 801cbb54 + 8000c3d8: 001bf917 auipc s2,0x1bf + 8000c3dc: 7d190913 addi s2,s2,2001 # 801cbba9 <_ZL8SIRQStat> + 8000c3e0: 00090783 lb a5,0(s2) + 8000c3e4: 0207d263 bgez a5,8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c3e8: 0184979b slliw a5,s1,0x18 + 8000c3ec: 4187d79b sraiw a5,a5,0x18 + 8000c3f0: 10000513 li a0,256 + 8000c3f4: 3607c063 bltz a5,8000c754 <_ZL9Write_PSGjh+0x3f4> + 8000c3f8: 959f40ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8000c3fc: 00094783 lbu a5,0(s2) + 8000c400: 07f7f793 andi a5,a5,127 + 8000c404: 00f90023 sb a5,0(s2) + 8000c408: 0004b517 auipc a0,0x4b + 8000c40c: 5b050513 addi a0,a0,1456 # 800579b8 + 8000c410: 00850433 add s0,a0,s0 + 8000c414: 00940023 sb s1,0(s0) + 8000c418: 01813083 ld ra,24(sp) + 8000c41c: 01013403 ld s0,16(sp) + 8000c420: 00813483 ld s1,8(sp) + 8000c424: 00013903 ld s2,0(sp) + 8000c428: 02010113 addi sp,sp,32 + 8000c42c: 00008067 ret + 8000c430: 0003c797 auipc a5,0x3c + 8000c434: 4587b783 ld a5,1112(a5) # 80048888 <_ZL5DoSQ1> + 8000c438: 000780e7 jalr a5 + 8000c43c: 0044d713 srli a4,s1,0x4 + 8000c440: 0004b697 auipc a3,0x4b + 8000c444: 53068693 addi a3,a3,1328 # 80057970 + 8000c448: 00377713 andi a4,a4,3 + 8000c44c: 00f4f793 andi a5,s1,15 + 8000c450: 00e680a3 sb a4,1(a3) + 8000c454: 00f68023 sb a5,0(a3) + 8000c458: 001bf797 auipc a5,0x1bf + 8000c45c: 7d47c783 lbu a5,2004(a5) # 801cbc2c + 8000c460: 0004871b sext.w a4,s1 + 8000c464: fa0782e3 beqz a5,8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c468: 4017579b sraiw a5,a4,0x1 + 8000c46c: 00171713 slli a4,a4,0x1 + 8000c470: 0407f793 andi a5,a5,64 + 8000c474: f8077713 andi a4,a4,-128 + 8000c478: 03f4f593 andi a1,s1,63 + 8000c47c: 00e7e4b3 or s1,a5,a4 + 8000c480: 00b4e4b3 or s1,s1,a1 + 8000c484: 0ff4f493 andi s1,s1,255 + 8000c488: f81ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c48c: 0003c797 auipc a5,0x3c + 8000c490: 3fc7b783 ld a5,1020(a5) # 80048888 <_ZL5DoSQ1> + 8000c494: 000780e7 jalr a5 + 8000c498: f804f793 andi a5,s1,-128 + 8000c49c: 001bf717 auipc a4,0x1bf + 8000c4a0: 70f70223 sb a5,1796(a4) # 801cbba0 <_ZL7sweepon> + 8000c4a4: 00100793 li a5,1 + 8000c4a8: 001bf717 auipc a4,0x1bf + 8000c4ac: 6cf70423 sb a5,1736(a4) # 801cbb70 <_ZL11SweepReload> + 8000c4b0: f59ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c4b4: 0003c797 auipc a5,0x3c + 8000c4b8: 3d47b783 ld a5,980(a5) # 80048888 <_ZL5DoSQ1> + 8000c4bc: 000780e7 jalr a5 + 8000c4c0: 001bf797 auipc a5,0x1bf + 8000c4c4: 69a7c783 lbu a5,1690(a5) # 801cbb5a + 8000c4c8: 0017f793 andi a5,a5,1 + 8000c4cc: 02078063 beqz a5,8000c4ec <_ZL9Write_PSGjh+0x18c> + 8000c4d0: 0034d713 srli a4,s1,0x3 + 8000c4d4: 00031797 auipc a5,0x31 + 8000c4d8: fcc78793 addi a5,a5,-52 # 8003d4a0 <_ZL11lengthtable> + 8000c4dc: 00e787b3 add a5,a5,a4 + 8000c4e0: 0007c783 lbu a5,0(a5) + 8000c4e4: 00078717 auipc a4,0x78 + 8000c4e8: 9af72e23 sw a5,-1604(a4) # 80083ea0 + 8000c4ec: 001bf697 auipc a3,0x1bf + 8000c4f0: 6cc68693 addi a3,a3,1740 # 801cbbb8 + 8000c4f4: 0006c783 lbu a5,0(a3) + 8000c4f8: 0084971b slliw a4,s1,0x8 + 8000c4fc: 70077713 andi a4,a4,1792 + 8000c500: 00e7e7b3 or a5,a5,a4 + 8000c504: 00f6a023 sw a5,0(a3) + 8000c508: 00700793 li a5,7 + 8000c50c: 001bf717 auipc a4,0x1bf + 8000c510: 66f72623 sw a5,1644(a4) # 801cbb78 <_ZL13RectDutyCount> + 8000c514: 00100793 li a5,1 + 8000c518: 0004b717 auipc a4,0x4b + 8000c51c: 44f72e23 sw a5,1116(a4) # 80057974 + 8000c520: ee9ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c524: 0003c797 auipc a5,0x3c + 8000c528: 36c7b783 ld a5,876(a5) # 80048890 <_ZL5DoSQ2> + 8000c52c: 000780e7 jalr a5 + 8000c530: 0044d713 srli a4,s1,0x4 + 8000c534: 0004b697 auipc a3,0x4b + 8000c538: 43c68693 addi a3,a3,1084 # 80057970 + 8000c53c: 00377713 andi a4,a4,3 + 8000c540: 00f4f793 andi a5,s1,15 + 8000c544: 00e684a3 sb a4,9(a3) + 8000c548: 00f68423 sb a5,8(a3) + 8000c54c: 001bf797 auipc a5,0x1bf + 8000c550: 6e07c783 lbu a5,1760(a5) # 801cbc2c + 8000c554: 0004871b sext.w a4,s1 + 8000c558: ea0788e3 beqz a5,8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c55c: f0dff06f j 8000c468 <_ZL9Write_PSGjh+0x108> + 8000c560: 0003c797 auipc a5,0x3c + 8000c564: 3287b783 ld a5,808(a5) # 80048888 <_ZL5DoSQ1> + 8000c568: 000780e7 jalr a5 + 8000c56c: 001bf697 auipc a3,0x1bf + 8000c570: 64c68693 addi a3,a3,1612 # 801cbbb8 + 8000c574: 0006a783 lw a5,0(a3) + 8000c578: 00010737 lui a4,0x10 + 8000c57c: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8000c580: 00e7f7b3 and a5,a5,a4 + 8000c584: 0097e7b3 or a5,a5,s1 + 8000c588: 00f6a023 sw a5,0(a3) + 8000c58c: e7dff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c590: 0003c797 auipc a5,0x3c + 8000c594: 3007b783 ld a5,768(a5) # 80048890 <_ZL5DoSQ2> + 8000c598: 000780e7 jalr a5 + 8000c59c: f804f793 andi a5,s1,-128 + 8000c5a0: 001bf717 auipc a4,0x1bf + 8000c5a4: 60f700a3 sb a5,1537(a4) # 801cbba1 <_ZL7sweepon+0x1> + 8000c5a8: 00100793 li a5,1 + 8000c5ac: 001bf717 auipc a4,0x1bf + 8000c5b0: 5cf702a3 sb a5,1477(a4) # 801cbb71 <_ZL11SweepReload+0x1> + 8000c5b4: e55ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c5b8: 0003c797 auipc a5,0x3c + 8000c5bc: 2d87b783 ld a5,728(a5) # 80048890 <_ZL5DoSQ2> + 8000c5c0: 000780e7 jalr a5 + 8000c5c4: 001bf697 auipc a3,0x1bf + 8000c5c8: 5f468693 addi a3,a3,1524 # 801cbbb8 + 8000c5cc: 0046a783 lw a5,4(a3) + 8000c5d0: 00010737 lui a4,0x10 + 8000c5d4: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8000c5d8: 00e7f7b3 and a5,a5,a4 + 8000c5dc: 0097e7b3 or a5,a5,s1 + 8000c5e0: 00f6a223 sw a5,4(a3) + 8000c5e4: e25ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c5e8: 0003c797 auipc a5,0x3c + 8000c5ec: 2a87b783 ld a5,680(a5) # 80048890 <_ZL5DoSQ2> + 8000c5f0: 000780e7 jalr a5 + 8000c5f4: 001bf797 auipc a5,0x1bf + 8000c5f8: 5667c783 lbu a5,1382(a5) # 801cbb5a + 8000c5fc: 4017d79b sraiw a5,a5,0x1 + 8000c600: 0017f793 andi a5,a5,1 + 8000c604: 02078063 beqz a5,8000c624 <_ZL9Write_PSGjh+0x2c4> + 8000c608: 0034d713 srli a4,s1,0x3 + 8000c60c: 00031797 auipc a5,0x31 + 8000c610: e9478793 addi a5,a5,-364 # 8003d4a0 <_ZL11lengthtable> + 8000c614: 00e787b3 add a5,a5,a4 + 8000c618: 0007c783 lbu a5,0(a5) + 8000c61c: 00078717 auipc a4,0x78 + 8000c620: 88f72423 sw a5,-1912(a4) # 80083ea4 + 8000c624: 001bf697 auipc a3,0x1bf + 8000c628: 59468693 addi a3,a3,1428 # 801cbbb8 + 8000c62c: 0046c783 lbu a5,4(a3) + 8000c630: 0084971b slliw a4,s1,0x8 + 8000c634: 70077713 andi a4,a4,1792 + 8000c638: 00e7e7b3 or a5,a5,a4 + 8000c63c: 00f6a223 sw a5,4(a3) + 8000c640: 00700793 li a5,7 + 8000c644: 001bf717 auipc a4,0x1bf + 8000c648: 52f72c23 sw a5,1336(a4) # 801cbb7c <_ZL13RectDutyCount+0x4> + 8000c64c: 00100793 li a5,1 + 8000c650: 0004b717 auipc a4,0x4b + 8000c654: 32f72623 sw a5,812(a4) # 8005797c + 8000c658: db1ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c65c: 0003c797 auipc a5,0x3c + 8000c660: 21c7b783 ld a5,540(a5) # 80048878 <_ZL10DoTriangle> + 8000c664: 000780e7 jalr a5 + 8000c668: da1ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c66c: 0003c797 auipc a5,0x3c + 8000c670: 20c7b783 ld a5,524(a5) # 80048878 <_ZL10DoTriangle> + 8000c674: 000780e7 jalr a5 + 8000c678: 001bf797 auipc a5,0x1bf + 8000c67c: 4e27c783 lbu a5,1250(a5) # 801cbb5a + 8000c680: 0047f793 andi a5,a5,4 + 8000c684: 02078063 beqz a5,8000c6a4 <_ZL9Write_PSGjh+0x344> + 8000c688: 0034d713 srli a4,s1,0x3 + 8000c68c: 00031797 auipc a5,0x31 + 8000c690: e1478793 addi a5,a5,-492 # 8003d4a0 <_ZL11lengthtable> + 8000c694: 00e787b3 add a5,a5,a4 + 8000c698: 0007c783 lbu a5,0(a5) + 8000c69c: 00078717 auipc a4,0x78 + 8000c6a0: 80f72623 sw a5,-2036(a4) # 80083ea8 + 8000c6a4: 00100793 li a5,1 + 8000c6a8: 001bf717 auipc a4,0x1bf + 8000c6ac: 4ef70a23 sb a5,1268(a4) # 801cbb9c <_ZL7TriMode> + 8000c6b0: d59ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c6b4: 0003c797 auipc a5,0x3c + 8000c6b8: 1e47b783 ld a5,484(a5) # 80048898 <_ZL7DoNoise> + 8000c6bc: 000780e7 jalr a5 + 8000c6c0: 4044d79b sraiw a5,s1,0x4 + 8000c6c4: 0004b697 auipc a3,0x4b + 8000c6c8: 2ac68693 addi a3,a3,684 # 80057970 + 8000c6cc: 0037f793 andi a5,a5,3 + 8000c6d0: 00f4f713 andi a4,s1,15 + 8000c6d4: 00f688a3 sb a5,17(a3) + 8000c6d8: 00e68823 sb a4,16(a3) + 8000c6dc: d2dff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c6e0: 0003c797 auipc a5,0x3c + 8000c6e4: 1b87b783 ld a5,440(a5) # 80048898 <_ZL7DoNoise> + 8000c6e8: 000780e7 jalr a5 + 8000c6ec: d1dff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c6f0: 0003c797 auipc a5,0x3c + 8000c6f4: 1a87b783 ld a5,424(a5) # 80048898 <_ZL7DoNoise> + 8000c6f8: 000780e7 jalr a5 + 8000c6fc: 001bf797 auipc a5,0x1bf + 8000c700: 45e7c783 lbu a5,1118(a5) # 801cbb5a + 8000c704: 0087f793 andi a5,a5,8 + 8000c708: 02078063 beqz a5,8000c728 <_ZL9Write_PSGjh+0x3c8> + 8000c70c: 0034d713 srli a4,s1,0x3 + 8000c710: 00031797 auipc a5,0x31 + 8000c714: d9078793 addi a5,a5,-624 # 8003d4a0 <_ZL11lengthtable> + 8000c718: 00e787b3 add a5,a5,a4 + 8000c71c: 0007c783 lbu a5,0(a5) + 8000c720: 00077717 auipc a4,0x77 + 8000c724: 78f72623 sw a5,1932(a4) # 80083eac + 8000c728: 00100793 li a5,1 + 8000c72c: 0004b717 auipc a4,0x4b + 8000c730: 24f72c23 sw a5,600(a4) # 80057984 + 8000c734: cd5ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + 8000c738: 00031717 auipc a4,0x31 + 8000c73c: d2870713 addi a4,a4,-728 # 8003d460 <_ZL11PALDMCTable> + 8000c740: 00f707b3 add a5,a4,a5 + 8000c744: 0007a783 lw a5,0(a5) + 8000c748: 001bf717 auipc a4,0x1bf + 8000c74c: 40f72623 sw a5,1036(a4) # 801cbb54 + 8000c750: c89ff06f j 8000c3d8 <_ZL9Write_PSGjh+0x78> + 8000c754: de4f40ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8000c758: cb1ff06f j 8000c408 <_ZL9Write_PSGjh+0xa8> + +000000008000c75c <_ZL13Write_DMCRegsjh>: + 8000c75c: fe010113 addi sp,sp,-32 + 8000c760: 00813823 sd s0,16(sp) + 8000c764: 00913423 sd s1,8(sp) + 8000c768: 00113c23 sd ra,24(sp) + 8000c76c: 00f57413 andi s0,a0,15 + 8000c770: 00200793 li a5,2 + 8000c774: 00058493 mv s1,a1 + 8000c778: 0ef40463 beq s0,a5,8000c860 <_ZL13Write_DMCRegsjh+0x104> + 8000c77c: 0487e863 bltu a5,s0,8000c7cc <_ZL13Write_DMCRegsjh+0x70> + 8000c780: 06040e63 beqz s0,8000c7fc <_ZL13Write_DMCRegsjh+0xa0> + 8000c784: 00100793 li a5,1 + 8000c788: 02f41863 bne s0,a5,8000c7b8 <_ZL13Write_DMCRegsjh+0x5c> + 8000c78c: 0003c797 auipc a5,0x3c + 8000c790: 0f47b783 ld a5,244(a5) # 80048880 <_ZL5DoPCM> + 8000c794: 07f4f493 andi s1,s1,127 + 8000c798: 000780e7 jalr a5 + 8000c79c: 001bf797 auipc a5,0x1bf + 8000c7a0: 3c978023 sb s1,960(a5) # 801cbb5c + 8000c7a4: 001bf797 auipc a5,0x1bf + 8000c7a8: 3a978ca3 sb s1,953(a5) # 801cbb5d + 8000c7ac: 00048663 beqz s1,8000c7b8 <_ZL13Write_DMCRegsjh+0x5c> + 8000c7b0: 001bf797 auipc a5,0x1bf + 8000c7b4: 3a8784a3 sb s0,937(a5) # 801cbb59 + 8000c7b8: 01813083 ld ra,24(sp) + 8000c7bc: 01013403 ld s0,16(sp) + 8000c7c0: 00813483 ld s1,8(sp) + 8000c7c4: 02010113 addi sp,sp,32 + 8000c7c8: 00008067 ret + 8000c7cc: 00300793 li a5,3 + 8000c7d0: fef414e3 bne s0,a5,8000c7b8 <_ZL13Write_DMCRegsjh+0x5c> + 8000c7d4: 001bf797 auipc a5,0x1bf + 8000c7d8: 38b78223 sb a1,900(a5) # 801cbb58 + 8000c7dc: fc058ee3 beqz a1,8000c7b8 <_ZL13Write_DMCRegsjh+0x5c> + 8000c7e0: 001bf797 auipc a5,0x1bf + 8000c7e4: 36078ca3 sb zero,889(a5) # 801cbb59 + 8000c7e8: 01813083 ld ra,24(sp) + 8000c7ec: 01013403 ld s0,16(sp) + 8000c7f0: 00813483 ld s1,8(sp) + 8000c7f4: 02010113 addi sp,sp,32 + 8000c7f8: 00008067 ret + 8000c7fc: 0003c797 auipc a5,0x3c + 8000c800: 0847b783 ld a5,132(a5) # 80048880 <_ZL5DoPCM> + 8000c804: 000780e7 jalr a5 + 8000c808: 00f4f793 andi a5,s1,15 + 8000c80c: 001c0717 auipc a4,0x1c0 + 8000c810: e5474703 lbu a4,-428(a4) # 801cc660 + 8000c814: 00279793 slli a5,a5,0x2 + 8000c818: 06071063 bnez a4,8000c878 <_ZL13Write_DMCRegsjh+0x11c> + 8000c81c: 00031717 auipc a4,0x31 + 8000c820: ca470713 addi a4,a4,-860 # 8003d4c0 <_ZL12NTSCDMCTable> + 8000c824: 00f707b3 add a5,a4,a5 + 8000c828: 0007a783 lw a5,0(a5) + 8000c82c: 001bf717 auipc a4,0x1bf + 8000c830: 32f72423 sw a5,808(a4) # 801cbb54 + 8000c834: 001bf417 auipc s0,0x1bf + 8000c838: 37540413 addi s0,s0,885 # 801cbba9 <_ZL8SIRQStat> + 8000c83c: 00040783 lb a5,0(s0) + 8000c840: 0407ca63 bltz a5,8000c894 <_ZL13Write_DMCRegsjh+0x138> + 8000c844: 01813083 ld ra,24(sp) + 8000c848: 01013403 ld s0,16(sp) + 8000c84c: 001bf797 auipc a5,0x1bf + 8000c850: 30978323 sb s1,774(a5) # 801cbb52 + 8000c854: 00813483 ld s1,8(sp) + 8000c858: 02010113 addi sp,sp,32 + 8000c85c: 00008067 ret + 8000c860: 001bf797 auipc a5,0x1bf + 8000c864: 2eb78823 sb a1,752(a5) # 801cbb50 + 8000c868: f40588e3 beqz a1,8000c7b8 <_ZL13Write_DMCRegsjh+0x5c> + 8000c86c: 001bf797 auipc a5,0x1bf + 8000c870: 2e0786a3 sb zero,749(a5) # 801cbb59 + 8000c874: f75ff06f j 8000c7e8 <_ZL13Write_DMCRegsjh+0x8c> + 8000c878: 00031717 auipc a4,0x31 + 8000c87c: be870713 addi a4,a4,-1048 # 8003d460 <_ZL11PALDMCTable> + 8000c880: 00f707b3 add a5,a4,a5 + 8000c884: 0007a783 lw a5,0(a5) + 8000c888: 001bf717 auipc a4,0x1bf + 8000c88c: 2cf72623 sw a5,716(a4) # 801cbb54 + 8000c890: fa5ff06f j 8000c834 <_ZL13Write_DMCRegsjh+0xd8> + 8000c894: 0184979b slliw a5,s1,0x18 + 8000c898: 4187d79b sraiw a5,a5,0x18 + 8000c89c: 10000513 li a0,256 + 8000c8a0: 0007cc63 bltz a5,8000c8b8 <_ZL13Write_DMCRegsjh+0x15c> + 8000c8a4: cacf40ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8000c8a8: 00044783 lbu a5,0(s0) + 8000c8ac: 07f7f793 andi a5,a5,127 + 8000c8b0: 00f40023 sb a5,0(s0) + 8000c8b4: f91ff06f j 8000c844 <_ZL13Write_DMCRegsjh+0xe8> + 8000c8b8: c80f40ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8000c8bc: f89ff06f j 8000c844 <_ZL13Write_DMCRegsjh+0xe8> + +000000008000c8c0 <_ZL7RDoSQLQv>: + 8000c8c0: 001bf797 auipc a5,0x1bf + 8000c8c4: 3107a783 lw a5,784(a5) # 801cbbd0 + 8000c8c8: 001bf517 auipc a0,0x1bf + 8000c8cc: 28052503 lw a0,640(a0) # 801cbb48 + 8000c8d0: 00f5053b addw a0,a0,a5 + 8000c8d4: ef010113 addi sp,sp,-272 + 8000c8d8: 0105151b slliw a0,a0,0x10 + 8000c8dc: 0e913c23 sd s1,248(sp) + 8000c8e0: 02051513 slli a0,a0,0x20 + 8000c8e4: 00077497 auipc s1,0x77 + 8000c8e8: 5a448493 addi s1,s1,1444 # 80083e88 <_ZL9ChannelBC> + 8000c8ec: 10813023 sd s0,256(sp) + 8000c8f0: 001bf597 auipc a1,0x1bf + 8000c8f4: 2dc5e583 lwu a1,732(a1) # 801cbbcc + 8000c8f8: 02055513 srli a0,a0,0x20 + 8000c8fc: 0004a403 lw s0,0(s1) + 8000c900: 0f413023 sd s4,224(sp) + 8000c904: 10113423 sd ra,264(sp) + 8000c908: 0f213823 sd s2,240(sp) + 8000c90c: 0f313423 sd s3,232(sp) + 8000c910: 0d513c23 sd s5,216(sp) + 8000c914: 0d613823 sd s6,208(sp) + 8000c918: 0d713423 sd s7,200(sp) + 8000c91c: 0d813023 sd s8,192(sp) + 8000c920: 0b913c23 sd s9,184(sp) + 8000c924: 0ba13823 sd s10,176(sp) + 8000c928: 0bb13423 sd s11,168(sp) + 8000c92c: 4fc2d0ef jal ra,80039e28 <__udivdi3> + 8000c930: 00050a1b sext.w s4,a0 + 8000c934: 25445663 bge s0,s4,8000cb80 <_ZL7RDoSQLQv+0x2c0> + 8000c938: 001bc797 auipc a5,0x1bc + 8000c93c: 3a878793 addi a5,a5,936 # 801c8ce0 + 8000c940: 0147a703 lw a4,20(a5) + 8000c944: 00001bb7 lui s7,0x1 + 8000c948: 0107a383 lw t2,16(a5) + 8000c94c: 0004b317 auipc t1,0x4b + 8000c950: 06c30313 addi t1,t1,108 # 800579b8 + 8000c954: 800b8793 addi a5,s7,-2048 # 800 <_entry_offset+0x800> + 8000c958: 0144a023 sw s4,0(s1) + 8000c95c: 02e13c23 sd a4,56(sp) + 8000c960: 001bf297 auipc t0,0x1bf + 8000c964: 2642a283 lw t0,612(t0) # 801cbbc4 + 8000c968: 05810a93 addi s5,sp,88 + 8000c96c: 001bf897 auipc a7,0x1bf + 8000c970: 24c88893 addi a7,a7,588 # 801cbbb8 + 8000c974: 05010813 addi a6,sp,80 + 8000c978: 04810493 addi s1,sp,72 + 8000c97c: 06010613 addi a2,sp,96 + 8000c980: 00030d93 mv s11,t1 + 8000c984: 0004bb17 auipc s6,0x4b + 8000c988: fecb0b13 addi s6,s6,-20 # 80057970 + 8000c98c: 00077d17 auipc s10,0x77 + 8000c990: 514d0d13 addi s10,s10,1300 # 80083ea0 + 8000c994: 00000c93 li s9,0 + 8000c998: 00031f97 auipc t6,0x31 + 8000c99c: ab8f8f93 addi t6,t6,-1352 # 8003d450 <_ZL10RectDuties> + 8000c9a0: 7f700f13 li t5,2039 + 8000c9a4: 02f13823 sd a5,48(sp) + 8000c9a8: 10000b93 li s7,256 + 8000c9ac: 00800913 li s2,8 + 8000c9b0: 00100c13 li s8,1 + 8000c9b4: 0008a983 lw s3,0(a7) + 8000c9b8: 005aa023 sw t0,0(s5) + 8000c9bc: ff89879b addiw a5,s3,-8 + 8000c9c0: 0009871b sext.w a4,s3 + 8000c9c4: 00ff7463 bgeu t5,a5,8000c9cc <_ZL7RDoSQLQv+0x10c> + 8000c9c8: 000aa023 sw zero,0(s5) + 8000c9cc: 002c979b slliw a5,s9,0x2 + 8000c9d0: 0017e793 ori a5,a5,1 + 8000c9d4: 0007879b sext.w a5,a5 + 8000c9d8: 00f307b3 add a5,t1,a5 + 8000c9dc: 0007c783 lbu a5,0(a5) + 8000c9e0: 0087f693 andi a3,a5,8 + 8000c9e4: 02069063 bnez a3,8000ca04 <_ZL7RDoSQLQv+0x144> + 8000c9e8: 0077f793 andi a5,a5,7 + 8000c9ec: 00f757bb srlw a5,a4,a5 + 8000c9f0: 00e787bb addw a5,a5,a4 + 8000c9f4: 03013703 ld a4,48(sp) + 8000c9f8: 00e7f7b3 and a5,a5,a4 + 8000c9fc: 0007879b sext.w a5,a5 + 8000ca00: 2e079a63 bnez a5,8000ccf4 <_ZL7RDoSQLQv+0x434> + 8000ca04: 000d2703 lw a4,0(s10) + 8000ca08: 1a070e63 beqz a4,8000cbc4 <_ZL7RDoSQLQv+0x304> + 8000ca0c: 001b4783 lbu a5,1(s6) + 8000ca10: 000aa703 lw a4,0(s5) + 8000ca14: 0017f793 andi a5,a5,1 + 8000ca18: 1a078e63 beqz a5,8000cbd4 <_ZL7RDoSQLQv+0x314> + 8000ca1c: 000b4783 lbu a5,0(s6) + 8000ca20: 00038513 mv a0,t2 + 8000ca24: 00f4a023 sw a5,0(s1) + 8000ca28: 1a0c8e63 beqz s9,8000cbe4 <_ZL7RDoSQLQv+0x324> + 8000ca2c: 07750263 beq a0,s7,8000ca90 <_ZL7RDoSQLQv+0x1d0> + 8000ca30: 0004a583 lw a1,0(s1) + 8000ca34: 03113423 sd a7,40(sp) + 8000ca38: 03013023 sd a6,32(sp) + 8000ca3c: 00c13c23 sd a2,24(sp) + 8000ca40: 00713823 sd t2,16(sp) + 8000ca44: 00e13423 sd a4,8(sp) + 8000ca48: 00513023 sd t0,0(sp) + 8000ca4c: 3702d0ef jal ra,80039dbc <__muldi3> + 8000ca50: 41f5579b sraiw a5,a0,0x1f + 8000ca54: 0187d79b srliw a5,a5,0x18 + 8000ca58: 00a787bb addw a5,a5,a0 + 8000ca5c: 02813883 ld a7,40(sp) + 8000ca60: 02013803 ld a6,32(sp) + 8000ca64: 01813603 ld a2,24(sp) + 8000ca68: 01013383 ld t2,16(sp) + 8000ca6c: 00813703 ld a4,8(sp) + 8000ca70: 00013283 ld t0,0(sp) + 8000ca74: 4087d79b sraiw a5,a5,0x8 + 8000ca78: 00f4a023 sw a5,0(s1) + 8000ca7c: 0004b317 auipc t1,0x4b + 8000ca80: f3c30313 addi t1,t1,-196 # 800579b8 + 8000ca84: 00031f97 auipc t6,0x31 + 8000ca88: 9ccf8f93 addi t6,t6,-1588 # 8003d450 <_ZL10RectDuties> + 8000ca8c: 7f700f13 li t5,2039 + 8000ca90: 00071463 bnez a4,8000ca98 <_ZL7RDoSQLQv+0x1d8> + 8000ca94: 0004a023 sw zero,0(s1) + 8000ca98: 000dc683 lbu a3,0(s11) + 8000ca9c: 00060713 mv a4,a2 + 8000caa0: 00000793 li a5,0 + 8000caa4: 0066d693 srli a3,a3,0x6 + 8000caa8: 00269693 slli a3,a3,0x2 + 8000caac: 00df86b3 add a3,t6,a3 + 8000cab0: 0006a683 lw a3,0(a3) + 8000cab4: 10d7d463 bge a5,a3,8000cbbc <_ZL7RDoSQLQv+0x2fc> + 8000cab8: 0004a583 lw a1,0(s1) + 8000cabc: 00b72023 sw a1,0(a4) + 8000cac0: 0017879b addiw a5,a5,1 + 8000cac4: 00470713 addi a4,a4,4 + 8000cac8: ff2796e3 bne a5,s2,8000cab4 <_ZL7RDoSQLQv+0x1f4> + 8000cacc: 0019899b addiw s3,s3,1 + 8000cad0: 0129999b slliw s3,s3,0x12 + 8000cad4: 01382023 sw s3,0(a6) + 8000cad8: 004a8a93 addi s5,s5,4 + 8000cadc: 00488893 addi a7,a7,4 + 8000cae0: 00480813 addi a6,a6,4 + 8000cae4: 00448493 addi s1,s1,4 + 8000cae8: 02060613 addi a2,a2,32 + 8000caec: 004d8d93 addi s11,s11,4 + 8000caf0: 008b0b13 addi s6,s6,8 + 8000caf4: 004d0d13 addi s10,s10,4 + 8000caf8: 0f8c9a63 bne s9,s8,8000cbec <_ZL7RDoSQLQv+0x32c> + 8000cafc: 001bf497 auipc s1,0x1bf + 8000cb00: 07c48493 addi s1,s1,124 # 801cbb78 <_ZL13RectDutyCount> + 8000cb04: 0044a683 lw a3,4(s1) + 8000cb08: 0004a603 lw a2,0(s1) + 8000cb0c: 0a010793 addi a5,sp,160 + 8000cb10: 00868293 addi t0,a3,8 + 8000cb14: 00261f93 slli t6,a2,0x2 + 8000cb18: 00229713 slli a4,t0,0x2 + 8000cb1c: 0a010593 addi a1,sp,160 + 8000cb20: 01f787b3 add a5,a5,t6 + 8000cb24: 00e58733 add a4,a1,a4 + 8000cb28: fc072703 lw a4,-64(a4) + 8000cb2c: fc07a783 lw a5,-64(a5) + 8000cb30: 05812e83 lw t4,88(sp) + 8000cb34: 05c12f03 lw t5,92(sp) + 8000cb38: 00e787bb addw a5,a5,a4 + 8000cb3c: 00077397 auipc t2,0x77 + 8000cb40: f9c38393 addi t2,t2,-100 # 80083ad8 <_ZL8wlookup1> + 8000cb44: 00279793 slli a5,a5,0x2 + 8000cb48: 00f387b3 add a5,t2,a5 + 8000cb4c: 01eee733 or a4,t4,t5 + 8000cb50: 0007a303 lw t1,0(a5) + 8000cb54: 0a071063 bnez a4,8000cbf4 <_ZL7RDoSQLQv+0x334> + 8000cb58: 0004be17 auipc t3,0x4b + 8000cb5c: e70e0e13 addi t3,t3,-400 # 800579c8 + 8000cb60: 40445793 srai a5,s0,0x4 + 8000cb64: 00279793 slli a5,a5,0x2 + 8000cb68: 00fe07b3 add a5,t3,a5 + 8000cb6c: 0007a703 lw a4,0(a5) + 8000cb70: 0014041b addiw s0,s0,1 + 8000cb74: 0067073b addw a4,a4,t1 + 8000cb78: 00e7a023 sw a4,0(a5) + 8000cb7c: fe8a12e3 bne s4,s0,8000cb60 <_ZL7RDoSQLQv+0x2a0> + 8000cb80: 10813083 ld ra,264(sp) + 8000cb84: 10013403 ld s0,256(sp) + 8000cb88: 0f813483 ld s1,248(sp) + 8000cb8c: 0f013903 ld s2,240(sp) + 8000cb90: 0e813983 ld s3,232(sp) + 8000cb94: 0e013a03 ld s4,224(sp) + 8000cb98: 0d813a83 ld s5,216(sp) + 8000cb9c: 0d013b03 ld s6,208(sp) + 8000cba0: 0c813b83 ld s7,200(sp) + 8000cba4: 0c013c03 ld s8,192(sp) + 8000cba8: 0b813c83 ld s9,184(sp) + 8000cbac: 0b013d03 ld s10,176(sp) + 8000cbb0: 0a813d83 ld s11,168(sp) + 8000cbb4: 11010113 addi sp,sp,272 + 8000cbb8: 00008067 ret + 8000cbbc: 00072023 sw zero,0(a4) + 8000cbc0: f01ff06f j 8000cac0 <_ZL7RDoSQLQv+0x200> + 8000cbc4: 001b4783 lbu a5,1(s6) + 8000cbc8: 000aa023 sw zero,0(s5) + 8000cbcc: 0017f793 andi a5,a5,1 + 8000cbd0: e40796e3 bnez a5,8000ca1c <_ZL7RDoSQLQv+0x15c> + 8000cbd4: 003b4783 lbu a5,3(s6) + 8000cbd8: 00038513 mv a0,t2 + 8000cbdc: 00f4a023 sw a5,0(s1) + 8000cbe0: e40c96e3 bnez s9,8000ca2c <_ZL7RDoSQLQv+0x16c> + 8000cbe4: 03813503 ld a0,56(sp) + 8000cbe8: e45ff06f j 8000ca2c <_ZL7RDoSQLQv+0x16c> + 8000cbec: 00100c93 li s9,1 + 8000cbf0: dc5ff06f j 8000c9b4 <_ZL7RDoSQLQv+0xf4> + 8000cbf4: 001bf917 auipc s2,0x1bf + 8000cbf8: f9c90913 addi s2,s2,-100 # 801cbb90 <_ZL5sqacc> + 8000cbfc: 05012883 lw a7,80(sp) + 8000cc00: 00092783 lw a5,0(s2) + 8000cc04: 05412803 lw a6,84(sp) + 8000cc08: 00492703 lw a4,4(s2) + 8000cc0c: 00000a93 li s5,0 + 8000cc10: 00000993 li s3,0 + 8000cc14: 0004be17 auipc t3,0x4b + 8000cc18: db4e0e13 addi t3,t3,-588 # 800579c8 + 8000cc1c: 40445593 srai a1,s0,0x4 + 8000cc20: 00259593 slli a1,a1,0x2 + 8000cc24: 00be05b3 add a1,t3,a1 + 8000cc28: 0005a503 lw a0,0(a1) + 8000cc2c: 41d787bb subw a5,a5,t4 + 8000cc30: 41e7073b subw a4,a4,t5 + 8000cc34: 0065053b addw a0,a0,t1 + 8000cc38: 00a5a023 sw a0,0(a1) + 8000cc3c: 02f05663 blez a5,8000cc68 <_ZL7RDoSQLQv+0x3a8> + 8000cc40: 06e05863 blez a4,8000ccb0 <_ZL7RDoSQLQv+0x3f0> + 8000cc44: 0014041b addiw s0,s0,1 + 8000cc48: fc8a1ae3 bne s4,s0,8000cc1c <_ZL7RDoSQLQv+0x35c> + 8000cc4c: 00e92223 sw a4,4(s2) + 8000cc50: 00f92023 sw a5,0(s2) + 8000cc54: 00098463 beqz s3,8000cc5c <_ZL7RDoSQLQv+0x39c> + 8000cc58: 00d4a223 sw a3,4(s1) + 8000cc5c: f20a82e3 beqz s5,8000cb80 <_ZL7RDoSQLQv+0x2c0> + 8000cc60: 00c4a023 sw a2,0(s1) + 8000cc64: f1dff06f j 8000cb80 <_ZL7RDoSQLQv+0x2c0> + 8000cc68: 0016061b addiw a2,a2,1 + 8000cc6c: 00f887bb addw a5,a7,a5 + 8000cc70: 00767613 andi a2,a2,7 + 8000cc74: fef05ae3 blez a5,8000cc68 <_ZL7RDoSQLQv+0x3a8> + 8000cc78: 0a010313 addi t1,sp,160 + 8000cc7c: 00261f93 slli t6,a2,0x2 + 8000cc80: 00229513 slli a0,t0,0x2 + 8000cc84: 0a010593 addi a1,sp,160 + 8000cc88: 00a30533 add a0,t1,a0 + 8000cc8c: 01f585b3 add a1,a1,t6 + 8000cc90: fc05a583 lw a1,-64(a1) + 8000cc94: fc052503 lw a0,-64(a0) + 8000cc98: 00100a93 li s5,1 + 8000cc9c: 00a585bb addw a1,a1,a0 + 8000cca0: 00259593 slli a1,a1,0x2 + 8000cca4: 00b385b3 add a1,t2,a1 + 8000cca8: 0005a303 lw t1,0(a1) + 8000ccac: f8e04ce3 bgtz a4,8000cc44 <_ZL7RDoSQLQv+0x384> + 8000ccb0: 0016869b addiw a3,a3,1 + 8000ccb4: 00e8073b addw a4,a6,a4 + 8000ccb8: 0076f693 andi a3,a3,7 + 8000ccbc: fee05ae3 blez a4,8000ccb0 <_ZL7RDoSQLQv+0x3f0> + 8000ccc0: 00868293 addi t0,a3,8 + 8000ccc4: 0a010593 addi a1,sp,160 + 8000ccc8: 00229513 slli a0,t0,0x2 + 8000cccc: 00a58533 add a0,a1,a0 + 8000ccd0: 01f585b3 add a1,a1,t6 + 8000ccd4: fc05a583 lw a1,-64(a1) + 8000ccd8: fc052503 lw a0,-64(a0) + 8000ccdc: 00100993 li s3,1 + 8000cce0: 00a585bb addw a1,a1,a0 + 8000cce4: 00259593 slli a1,a1,0x2 + 8000cce8: 00b385b3 add a1,t2,a1 + 8000ccec: 0005a303 lw t1,0(a1) + 8000ccf0: f55ff06f j 8000cc44 <_ZL7RDoSQLQv+0x384> + 8000ccf4: 000aa023 sw zero,0(s5) + 8000ccf8: d0dff06f j 8000ca04 <_ZL7RDoSQLQv+0x144> + +000000008000ccfc <_ZL6RDoSQ1v>: + 8000ccfc: fe010113 addi sp,sp,-32 + 8000cd00: 00813823 sd s0,16(sp) + 8000cd04: 001bf417 auipc s0,0x1bf + 8000cd08: eb442403 lw s0,-332(s0) # 801cbbb8 + 8000cd0c: 00913423 sd s1,8(sp) + 8000cd10: 001bf617 auipc a2,0x1bf + 8000cd14: ec062603 lw a2,-320(a2) # 801cbbd0 + 8000cd18: 001bf497 auipc s1,0x1bf + 8000cd1c: e304a483 lw s1,-464(s1) # 801cbb48 + 8000cd20: 00113c23 sd ra,24(sp) + 8000cd24: 01213023 sd s2,0(sp) + 8000cd28: ff84069b addiw a3,s0,-8 + 8000cd2c: 7f700713 li a4,2039 + 8000cd30: 00c484bb addw s1,s1,a2 + 8000cd34: 00077517 auipc a0,0x77 + 8000cd38: 15450513 addi a0,a0,340 # 80083e88 <_ZL9ChannelBC> + 8000cd3c: 14d76663 bltu a4,a3,8000ce88 <_ZL6RDoSQ1v+0x18c> + 8000cd40: 0004b917 auipc s2,0x4b + 8000cd44: c7890913 addi s2,s2,-904 # 800579b8 + 8000cd48: 00194783 lbu a5,1(s2) + 8000cd4c: 0087f713 andi a4,a5,8 + 8000cd50: 02071463 bnez a4,8000cd78 <_ZL6RDoSQ1v+0x7c> + 8000cd54: 0004059b sext.w a1,s0 + 8000cd58: 0077f793 andi a5,a5,7 + 8000cd5c: 00f5d7bb srlw a5,a1,a5 + 8000cd60: 00001737 lui a4,0x1 + 8000cd64: 00b787bb addw a5,a5,a1 + 8000cd68: 80070713 addi a4,a4,-2048 # 800 <_entry_offset+0x800> + 8000cd6c: 00e7f7b3 and a5,a5,a4 + 8000cd70: 0007879b sext.w a5,a5 + 8000cd74: 10079a63 bnez a5,8000ce88 <_ZL6RDoSQ1v+0x18c> + 8000cd78: 00077797 auipc a5,0x77 + 8000cd7c: 1287a783 lw a5,296(a5) # 80083ea0 + 8000cd80: 00077517 auipc a0,0x77 + 8000cd84: 10850513 addi a0,a0,264 # 80083e88 <_ZL9ChannelBC> + 8000cd88: 10078063 beqz a5,8000ce88 <_ZL6RDoSQ1v+0x18c> + 8000cd8c: 0004b717 auipc a4,0x4b + 8000cd90: be470713 addi a4,a4,-1052 # 80057970 + 8000cd94: 00174783 lbu a5,1(a4) + 8000cd98: 0017f793 andi a5,a5,1 + 8000cd9c: 10079463 bnez a5,8000cea4 <_ZL6RDoSQ1v+0x1a8> + 8000cda0: 00374583 lbu a1,3(a4) + 8000cda4: 001bc517 auipc a0,0x1bc + 8000cda8: f4c52503 lw a0,-180(a0) # 801c8cf0 + 8000cdac: 10000793 li a5,256 + 8000cdb0: 00f50e63 beq a0,a5,8000cdcc <_ZL6RDoSQ1v+0xd0> + 8000cdb4: 0082d0ef jal ra,80039dbc <__muldi3> + 8000cdb8: 0005051b sext.w a0,a0 + 8000cdbc: 41f5559b sraiw a1,a0,0x1f + 8000cdc0: 0185d59b srliw a1,a1,0x18 + 8000cdc4: 00a585bb addw a1,a1,a0 + 8000cdc8: 4085d59b sraiw a1,a1,0x8 + 8000cdcc: 00077517 auipc a0,0x77 + 8000cdd0: 0bc50513 addi a0,a0,188 # 80083e88 <_ZL9ChannelBC> + 8000cdd4: 00052703 lw a4,0(a0) + 8000cdd8: 00094683 lbu a3,0(s2) + 8000cddc: 00030617 auipc a2,0x30 + 8000cde0: 67460613 addi a2,a2,1652 # 8003d450 <_ZL10RectDuties> + 8000cde4: 02071793 slli a5,a4,0x20 + 8000cde8: 0066d693 srli a3,a3,0x6 + 8000cdec: 00269693 slli a3,a3,0x2 + 8000cdf0: 0207d793 srli a5,a5,0x20 + 8000cdf4: 40e4873b subw a4,s1,a4 + 8000cdf8: 00d606b3 add a3,a2,a3 + 8000cdfc: 00279793 slli a5,a5,0x2 + 8000ce00: 001bfe97 auipc t4,0x1bf + 8000ce04: d78e8e93 addi t4,t4,-648 # 801cbb78 <_ZL13RectDutyCount> + 8000ce08: 0014041b addiw s0,s0,1 + 8000ce0c: 00077e17 auipc t3,0x77 + 8000ce10: cbce0e13 addi t3,t3,-836 # 80083ac8 <_ZL7wlcount> + 8000ce14: 00050897 auipc a7,0x50 + 8000ce18: bb488893 addi a7,a7,-1100 # 8005c9c8 + 8000ce1c: 0007061b sext.w a2,a4 + 8000ce20: 0006a303 lw t1,0(a3) + 8000ce24: 000ea803 lw a6,0(t4) + 8000ce28: 000e2683 lw a3,0(t3) + 8000ce2c: 0185959b slliw a1,a1,0x18 + 8000ce30: 011787b3 add a5,a5,a7 + 8000ce34: 0014141b slliw s0,s0,0x1 + 8000ce38: 04c05463 blez a2,8000ce80 <_ZL6RDoSQ1v+0x184> + 8000ce3c: fff7071b addiw a4,a4,-1 + 8000ce40: 02071713 slli a4,a4,0x20 + 8000ce44: 02075713 srli a4,a4,0x20 + 8000ce48: 00170713 addi a4,a4,1 + 8000ce4c: 00271713 slli a4,a4,0x2 + 8000ce50: 00e78733 add a4,a5,a4 + 8000ce54: fff6869b addiw a3,a3,-1 + 8000ce58: 0018089b addiw a7,a6,1 + 8000ce5c: 00685863 bge a6,t1,8000ce6c <_ZL6RDoSQ1v+0x170> + 8000ce60: 0007a603 lw a2,0(a5) + 8000ce64: 00b6063b addw a2,a2,a1 + 8000ce68: 00c7a023 sw a2,0(a5) + 8000ce6c: 00478793 addi a5,a5,4 + 8000ce70: 00069663 bnez a3,8000ce7c <_ZL6RDoSQ1v+0x180> + 8000ce74: 0078f813 andi a6,a7,7 + 8000ce78: 00040693 mv a3,s0 + 8000ce7c: fce79ce3 bne a5,a4,8000ce54 <_ZL6RDoSQ1v+0x158> + 8000ce80: 010ea023 sw a6,0(t4) + 8000ce84: 00de2023 sw a3,0(t3) + 8000ce88: 01813083 ld ra,24(sp) + 8000ce8c: 01013403 ld s0,16(sp) + 8000ce90: 00952023 sw s1,0(a0) + 8000ce94: 00013903 ld s2,0(sp) + 8000ce98: 00813483 ld s1,8(sp) + 8000ce9c: 02010113 addi sp,sp,32 + 8000cea0: 00008067 ret + 8000cea4: 00074583 lbu a1,0(a4) + 8000cea8: efdff06f j 8000cda4 <_ZL6RDoSQ1v+0xa8> + +000000008000ceac <_ZL6RDoSQ2v>: + 8000ceac: fe010113 addi sp,sp,-32 + 8000ceb0: 00813823 sd s0,16(sp) + 8000ceb4: 001bf417 auipc s0,0x1bf + 8000ceb8: d0842403 lw s0,-760(s0) # 801cbbbc + 8000cebc: 00913423 sd s1,8(sp) + 8000cec0: 001bf617 auipc a2,0x1bf + 8000cec4: d1062603 lw a2,-752(a2) # 801cbbd0 + 8000cec8: 001bf497 auipc s1,0x1bf + 8000cecc: c804a483 lw s1,-896(s1) # 801cbb48 + 8000ced0: 00113c23 sd ra,24(sp) + 8000ced4: 01213023 sd s2,0(sp) + 8000ced8: ff84069b addiw a3,s0,-8 + 8000cedc: 7f700713 li a4,2039 + 8000cee0: 00c484bb addw s1,s1,a2 + 8000cee4: 00077517 auipc a0,0x77 + 8000cee8: fa450513 addi a0,a0,-92 # 80083e88 <_ZL9ChannelBC> + 8000ceec: 14d76663 bltu a4,a3,8000d038 <_ZL6RDoSQ2v+0x18c> + 8000cef0: 0004b917 auipc s2,0x4b + 8000cef4: ac890913 addi s2,s2,-1336 # 800579b8 + 8000cef8: 00594783 lbu a5,5(s2) + 8000cefc: 0087f713 andi a4,a5,8 + 8000cf00: 02071463 bnez a4,8000cf28 <_ZL6RDoSQ2v+0x7c> + 8000cf04: 0004059b sext.w a1,s0 + 8000cf08: 0077f793 andi a5,a5,7 + 8000cf0c: 00f5d7bb srlw a5,a1,a5 + 8000cf10: 00001737 lui a4,0x1 + 8000cf14: 00b787bb addw a5,a5,a1 + 8000cf18: 80070713 addi a4,a4,-2048 # 800 <_entry_offset+0x800> + 8000cf1c: 00e7f7b3 and a5,a5,a4 + 8000cf20: 0007879b sext.w a5,a5 + 8000cf24: 10079a63 bnez a5,8000d038 <_ZL6RDoSQ2v+0x18c> + 8000cf28: 00077797 auipc a5,0x77 + 8000cf2c: f7c7a783 lw a5,-132(a5) # 80083ea4 + 8000cf30: 00077517 auipc a0,0x77 + 8000cf34: f5850513 addi a0,a0,-168 # 80083e88 <_ZL9ChannelBC> + 8000cf38: 10078063 beqz a5,8000d038 <_ZL6RDoSQ2v+0x18c> + 8000cf3c: 0004b717 auipc a4,0x4b + 8000cf40: a3470713 addi a4,a4,-1484 # 80057970 + 8000cf44: 00974783 lbu a5,9(a4) + 8000cf48: 0017f793 andi a5,a5,1 + 8000cf4c: 10079463 bnez a5,8000d054 <_ZL6RDoSQ2v+0x1a8> + 8000cf50: 00b74583 lbu a1,11(a4) + 8000cf54: 001bc517 auipc a0,0x1bc + 8000cf58: da052503 lw a0,-608(a0) # 801c8cf4 + 8000cf5c: 10000793 li a5,256 + 8000cf60: 00f50e63 beq a0,a5,8000cf7c <_ZL6RDoSQ2v+0xd0> + 8000cf64: 6592c0ef jal ra,80039dbc <__muldi3> + 8000cf68: 0005051b sext.w a0,a0 + 8000cf6c: 41f5559b sraiw a1,a0,0x1f + 8000cf70: 0185d59b srliw a1,a1,0x18 + 8000cf74: 00a585bb addw a1,a1,a0 + 8000cf78: 4085d59b sraiw a1,a1,0x8 + 8000cf7c: 00077517 auipc a0,0x77 + 8000cf80: f0c50513 addi a0,a0,-244 # 80083e88 <_ZL9ChannelBC> + 8000cf84: 00452703 lw a4,4(a0) + 8000cf88: 00494683 lbu a3,4(s2) + 8000cf8c: 00030617 auipc a2,0x30 + 8000cf90: 4c460613 addi a2,a2,1220 # 8003d450 <_ZL10RectDuties> + 8000cf94: 02071793 slli a5,a4,0x20 + 8000cf98: 0066d693 srli a3,a3,0x6 + 8000cf9c: 00269693 slli a3,a3,0x2 + 8000cfa0: 0207d793 srli a5,a5,0x20 + 8000cfa4: 40e4873b subw a4,s1,a4 + 8000cfa8: 00d606b3 add a3,a2,a3 + 8000cfac: 00279793 slli a5,a5,0x2 + 8000cfb0: 001bfe97 auipc t4,0x1bf + 8000cfb4: bc8e8e93 addi t4,t4,-1080 # 801cbb78 <_ZL13RectDutyCount> + 8000cfb8: 0014041b addiw s0,s0,1 + 8000cfbc: 00077e17 auipc t3,0x77 + 8000cfc0: b0ce0e13 addi t3,t3,-1268 # 80083ac8 <_ZL7wlcount> + 8000cfc4: 00050897 auipc a7,0x50 + 8000cfc8: a0488893 addi a7,a7,-1532 # 8005c9c8 + 8000cfcc: 0007061b sext.w a2,a4 + 8000cfd0: 0006a303 lw t1,0(a3) + 8000cfd4: 004ea803 lw a6,4(t4) + 8000cfd8: 004e2683 lw a3,4(t3) + 8000cfdc: 0185959b slliw a1,a1,0x18 + 8000cfe0: 011787b3 add a5,a5,a7 + 8000cfe4: 0014141b slliw s0,s0,0x1 + 8000cfe8: 04c05463 blez a2,8000d030 <_ZL6RDoSQ2v+0x184> + 8000cfec: fff7071b addiw a4,a4,-1 + 8000cff0: 02071713 slli a4,a4,0x20 + 8000cff4: 02075713 srli a4,a4,0x20 + 8000cff8: 00170713 addi a4,a4,1 + 8000cffc: 00271713 slli a4,a4,0x2 + 8000d000: 00e78733 add a4,a5,a4 + 8000d004: fff6869b addiw a3,a3,-1 + 8000d008: 0018089b addiw a7,a6,1 + 8000d00c: 00685863 bge a6,t1,8000d01c <_ZL6RDoSQ2v+0x170> + 8000d010: 0007a603 lw a2,0(a5) + 8000d014: 00b6063b addw a2,a2,a1 + 8000d018: 00c7a023 sw a2,0(a5) + 8000d01c: 00478793 addi a5,a5,4 + 8000d020: 00069663 bnez a3,8000d02c <_ZL6RDoSQ2v+0x180> + 8000d024: 0078f813 andi a6,a7,7 + 8000d028: 00040693 mv a3,s0 + 8000d02c: fce79ce3 bne a5,a4,8000d004 <_ZL6RDoSQ2v+0x158> + 8000d030: 010ea223 sw a6,4(t4) + 8000d034: 00de2223 sw a3,4(t3) + 8000d038: 01813083 ld ra,24(sp) + 8000d03c: 01013403 ld s0,16(sp) + 8000d040: 00952223 sw s1,4(a0) + 8000d044: 00013903 ld s2,0(sp) + 8000d048: 00813483 ld s1,8(sp) + 8000d04c: 02010113 addi sp,sp,32 + 8000d050: 00008067 ret + 8000d054: 00874583 lbu a1,8(a4) + 8000d058: efdff06f j 8000cf54 <_ZL6RDoSQ2v+0xa8> + +000000008000d05c <_Z16FrameSoundUpdatev>: + 8000d05c: fd010113 addi sp,sp,-48 + 8000d060: 02813023 sd s0,32(sp) + 8000d064: 001bf417 auipc s0,0x1bf + 8000d068: b1c40413 addi s0,s0,-1252 # 801cbb80 <_ZL4fcnt> + 8000d06c: 00913c23 sd s1,24(sp) + 8000d070: 00044483 lbu s1,0(s0) + 8000d074: 02113423 sd ra,40(sp) + 8000d078: 01213823 sd s2,16(sp) + 8000d07c: 01313423 sd s3,8(sp) + 8000d080: 18049063 bnez s1,8000d200 <_Z16FrameSoundUpdatev+0x1a4> + 8000d084: 001bf797 auipc a5,0x1bf + 8000d088: ad77c783 lbu a5,-1321(a5) # 801cbb5b + 8000d08c: 0037f793 andi a5,a5,3 + 8000d090: 14078863 beqz a5,8000d1e0 <_Z16FrameSoundUpdatev+0x184> + 8000d094: 0003b797 auipc a5,0x3b + 8000d098: 7f47b783 ld a5,2036(a5) # 80048888 <_ZL5DoSQ1> + 8000d09c: 000780e7 jalr a5 + 8000d0a0: 0003b797 auipc a5,0x3b + 8000d0a4: 7f07b783 ld a5,2032(a5) # 80048890 <_ZL5DoSQ2> + 8000d0a8: 000780e7 jalr a5 + 8000d0ac: 0003b797 auipc a5,0x3b + 8000d0b0: 7ec7b783 ld a5,2028(a5) # 80048898 <_ZL7DoNoise> + 8000d0b4: 000780e7 jalr a5 + 8000d0b8: 0003b797 auipc a5,0x3b + 8000d0bc: 7c07b783 ld a5,1984(a5) # 80048878 <_ZL10DoTriangle> + 8000d0c0: 000780e7 jalr a5 + 8000d0c4: 0004b797 auipc a5,0x4b + 8000d0c8: 8f478793 addi a5,a5,-1804 # 800579b8 + 8000d0cc: 0087c503 lbu a0,8(a5) + 8000d0d0: 00077717 auipc a4,0x77 + 8000d0d4: dd070713 addi a4,a4,-560 # 80083ea0 + 8000d0d8: 0185189b slliw a7,a0,0x18 + 8000d0dc: 4188d89b sraiw a7,a7,0x18 + 8000d0e0: 0008ca63 bltz a7,8000d0f4 <_Z16FrameSoundUpdatev+0x98> + 8000d0e4: 00872683 lw a3,8(a4) + 8000d0e8: 00d05663 blez a3,8000d0f4 <_Z16FrameSoundUpdatev+0x98> + 8000d0ec: fff6869b addiw a3,a3,-1 + 8000d0f0: 00d72423 sw a3,8(a4) + 8000d0f4: 00c7c783 lbu a5,12(a5) + 8000d0f8: 0207f793 andi a5,a5,32 + 8000d0fc: 00079a63 bnez a5,8000d110 <_Z16FrameSoundUpdatev+0xb4> + 8000d100: 00c72783 lw a5,12(a4) + 8000d104: 00f05663 blez a5,8000d110 <_Z16FrameSoundUpdatev+0xb4> + 8000d108: fff7879b addiw a5,a5,-1 + 8000d10c: 00f72623 sw a5,12(a4) + 8000d110: 0004b697 auipc a3,0x4b + 8000d114: 8a868693 addi a3,a3,-1880 # 800579b8 + 8000d118: 001bf797 auipc a5,0x1bf + 8000d11c: a5078793 addi a5,a5,-1456 # 801cbb68 <_ZL10SweepCount> + 8000d120: 001bf617 auipc a2,0x1bf + 8000d124: a5060613 addi a2,a2,-1456 # 801cbb70 <_ZL11SweepReload> + 8000d128: 00077817 auipc a6,0x77 + 8000d12c: d7880813 addi a6,a6,-648 # 80083ea0 + 8000d130: 00000593 li a1,0 + 8000d134: 001bfe17 auipc t3,0x1bf + 8000d138: a6ce0e13 addi t3,t3,-1428 # 801cbba0 <_ZL7sweepon> + 8000d13c: 001bff17 auipc t5,0x1bf + 8000d140: a7cf0f13 addi t5,t5,-1412 # 801cbbb8 + 8000d144: 00700e93 li t4,7 + 8000d148: 7ff00f93 li t6,2047 + 8000d14c: 00100313 li t1,1 + 8000d150: 0006c703 lbu a4,0(a3) + 8000d154: 0005839b sext.w t2,a1 + 8000d158: 02077713 andi a4,a4,32 + 8000d15c: 00071a63 bnez a4,8000d170 <_Z16FrameSoundUpdatev+0x114> + 8000d160: 00082703 lw a4,0(a6) + 8000d164: 00e05663 blez a4,8000d170 <_Z16FrameSoundUpdatev+0x114> + 8000d168: fff7071b addiw a4,a4,-1 + 8000d16c: 00e82023 sw a4,0(a6) + 8000d170: 0007c703 lbu a4,0(a5) + 8000d174: 22071463 bnez a4,8000d39c <_Z16FrameSoundUpdatev+0x340> + 8000d178: 00be0733 add a4,t3,a1 + 8000d17c: 0016c283 lbu t0,1(a3) + 8000d180: 00074483 lbu s1,0(a4) + 8000d184: 0002871b sext.w a4,t0 + 8000d188: 00048663 beqz s1,8000d194 <_Z16FrameSoundUpdatev+0x138> + 8000d18c: 0072f493 andi s1,t0,7 + 8000d190: 24049063 bnez s1,8000d3d0 <_Z16FrameSoundUpdatev+0x374> + 8000d194: 4047571b sraiw a4,a4,0x4 + 8000d198: 00777713 andi a4,a4,7 + 8000d19c: 0017071b addiw a4,a4,1 + 8000d1a0: 00e78023 sb a4,0(a5) + 8000d1a4: 00064703 lbu a4,0(a2) + 8000d1a8: 00070e63 beqz a4,8000d1c4 <_Z16FrameSoundUpdatev+0x168> + 8000d1ac: 0016c703 lbu a4,1(a3) + 8000d1b0: 00060023 sb zero,0(a2) + 8000d1b4: 00475713 srli a4,a4,0x4 + 8000d1b8: 00777713 andi a4,a4,7 + 8000d1bc: 0017071b addiw a4,a4,1 + 8000d1c0: 00e78023 sb a4,0(a5) + 8000d1c4: 00468693 addi a3,a3,4 + 8000d1c8: 00178793 addi a5,a5,1 + 8000d1cc: 00160613 addi a2,a2,1 + 8000d1d0: 00480813 addi a6,a6,4 + 8000d1d4: 08658263 beq a1,t1,8000d258 <_Z16FrameSoundUpdatev+0x1fc> + 8000d1d8: 00100593 li a1,1 + 8000d1dc: f75ff06f j 8000d150 <_Z16FrameSoundUpdatev+0xf4> + 8000d1e0: 001bf717 auipc a4,0x1bf + 8000d1e4: 9c970713 addi a4,a4,-1591 # 801cbba9 <_ZL8SIRQStat> + 8000d1e8: 00074783 lbu a5,0(a4) + 8000d1ec: 20000513 li a0,512 + 8000d1f0: 0407e793 ori a5,a5,64 + 8000d1f4: 00f70023 sb a5,0(a4) + 8000d1f8: b41f30ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8000d1fc: 00044483 lbu s1,0(s0) + 8000d200: 00300793 li a5,3 + 8000d204: 10f49263 bne s1,a5,8000d308 <_Z16FrameSoundUpdatev+0x2ac> + 8000d208: 001bf797 auipc a5,0x1bf + 8000d20c: 9537c783 lbu a5,-1709(a5) # 801cbb5b + 8000d210: 0027f793 andi a5,a5,2 + 8000d214: 18079e63 bnez a5,8000d3b0 <_Z16FrameSoundUpdatev+0x354> + 8000d218: 0003b797 auipc a5,0x3b + 8000d21c: 6707b783 ld a5,1648(a5) # 80048888 <_ZL5DoSQ1> + 8000d220: 000780e7 jalr a5 + 8000d224: 0003b797 auipc a5,0x3b + 8000d228: 66c7b783 ld a5,1644(a5) # 80048890 <_ZL5DoSQ2> + 8000d22c: 000780e7 jalr a5 + 8000d230: 0003b797 auipc a5,0x3b + 8000d234: 6687b783 ld a5,1640(a5) # 80048898 <_ZL7DoNoise> + 8000d238: 000780e7 jalr a5 + 8000d23c: 0003b797 auipc a5,0x3b + 8000d240: 63c7b783 ld a5,1596(a5) # 80048878 <_ZL10DoTriangle> + 8000d244: 000780e7 jalr a5 + 8000d248: 0004a517 auipc a0,0x4a + 8000d24c: 77854503 lbu a0,1912(a0) # 800579c0 + 8000d250: 0185189b slliw a7,a0,0x18 + 8000d254: 4188d89b sraiw a7,a7,0x18 + 8000d258: 001bf797 auipc a5,0x1bf + 8000d25c: 9447c783 lbu a5,-1724(a5) # 801cbb9c <_ZL7TriMode> + 8000d260: 0e078e63 beqz a5,8000d35c <_Z16FrameSoundUpdatev+0x300> + 8000d264: 07f57513 andi a0,a0,127 + 8000d268: 001bf797 auipc a5,0x1bf + 8000d26c: 8ea78b23 sb a0,-1802(a5) # 801cbb5e + 8000d270: 0008c663 bltz a7,8000d27c <_Z16FrameSoundUpdatev+0x220> + 8000d274: 001bf797 auipc a5,0x1bf + 8000d278: 92078423 sb zero,-1752(a5) # 801cbb9c <_ZL7TriMode> + 8000d27c: 0004a797 auipc a5,0x4a + 8000d280: 6f478793 addi a5,a5,1780 # 80057970 + 8000d284: 0004a617 auipc a2,0x4a + 8000d288: 70460613 addi a2,a2,1796 # 80057988 + 8000d28c: 00f00593 li a1,15 + 8000d290: 0047a703 lw a4,4(a5) + 8000d294: 0e071863 bnez a4,8000d384 <_Z16FrameSoundUpdatev+0x328> + 8000d298: 0027c683 lbu a3,2(a5) + 8000d29c: fff6871b addiw a4,a3,-1 + 8000d2a0: 0ff77713 andi a4,a4,255 + 8000d2a4: 0c069a63 bnez a3,8000d378 <_Z16FrameSoundUpdatev+0x31c> + 8000d2a8: 0007c683 lbu a3,0(a5) + 8000d2ac: 0037c703 lbu a4,3(a5) + 8000d2b0: 0016869b addiw a3,a3,1 + 8000d2b4: 00d78123 sb a3,2(a5) + 8000d2b8: 00071863 bnez a4,8000d2c8 <_Z16FrameSoundUpdatev+0x26c> + 8000d2bc: 0017c683 lbu a3,1(a5) + 8000d2c0: 0026f693 andi a3,a3,2 + 8000d2c4: 00068863 beqz a3,8000d2d4 <_Z16FrameSoundUpdatev+0x278> + 8000d2c8: fff7071b addiw a4,a4,-1 + 8000d2cc: 00f77713 andi a4,a4,15 + 8000d2d0: 00e781a3 sb a4,3(a5) + 8000d2d4: 00878793 addi a5,a5,8 + 8000d2d8: faf61ce3 bne a2,a5,8000d290 <_Z16FrameSoundUpdatev+0x234> + 8000d2dc: 00044783 lbu a5,0(s0) + 8000d2e0: 02813083 ld ra,40(sp) + 8000d2e4: 01813483 ld s1,24(sp) + 8000d2e8: 0017879b addiw a5,a5,1 + 8000d2ec: 0037f793 andi a5,a5,3 + 8000d2f0: 00f40023 sb a5,0(s0) + 8000d2f4: 02013403 ld s0,32(sp) + 8000d2f8: 01013903 ld s2,16(sp) + 8000d2fc: 00813983 ld s3,8(sp) + 8000d300: 03010113 addi sp,sp,48 + 8000d304: 00008067 ret + 8000d308: 0003b797 auipc a5,0x3b + 8000d30c: 5807b783 ld a5,1408(a5) # 80048888 <_ZL5DoSQ1> + 8000d310: 000780e7 jalr a5 + 8000d314: 0003b797 auipc a5,0x3b + 8000d318: 57c7b783 ld a5,1404(a5) # 80048890 <_ZL5DoSQ2> + 8000d31c: 000780e7 jalr a5 + 8000d320: 0003b797 auipc a5,0x3b + 8000d324: 5787b783 ld a5,1400(a5) # 80048898 <_ZL7DoNoise> + 8000d328: 000780e7 jalr a5 + 8000d32c: 0003b797 auipc a5,0x3b + 8000d330: 54c7b783 ld a5,1356(a5) # 80048878 <_ZL10DoTriangle> + 8000d334: 0014f493 andi s1,s1,1 + 8000d338: 000780e7 jalr a5 + 8000d33c: d80484e3 beqz s1,8000d0c4 <_Z16FrameSoundUpdatev+0x68> + 8000d340: 0004a517 auipc a0,0x4a + 8000d344: 68054503 lbu a0,1664(a0) # 800579c0 + 8000d348: 0185189b slliw a7,a0,0x18 + 8000d34c: 001bf797 auipc a5,0x1bf + 8000d350: 8507c783 lbu a5,-1968(a5) # 801cbb9c <_ZL7TriMode> + 8000d354: 4188d89b sraiw a7,a7,0x18 + 8000d358: f00796e3 bnez a5,8000d264 <_Z16FrameSoundUpdatev+0x208> + 8000d35c: 001bf717 auipc a4,0x1bf + 8000d360: 80270713 addi a4,a4,-2046 # 801cbb5e + 8000d364: 00074783 lbu a5,0(a4) + 8000d368: f00784e3 beqz a5,8000d270 <_Z16FrameSoundUpdatev+0x214> + 8000d36c: fff7879b addiw a5,a5,-1 + 8000d370: 00f70023 sb a5,0(a4) + 8000d374: efdff06f j 8000d270 <_Z16FrameSoundUpdatev+0x214> + 8000d378: 00e78123 sb a4,2(a5) + 8000d37c: f4071ce3 bnez a4,8000d2d4 <_Z16FrameSoundUpdatev+0x278> + 8000d380: f29ff06f j 8000d2a8 <_Z16FrameSoundUpdatev+0x24c> + 8000d384: 0007c703 lbu a4,0(a5) + 8000d388: 00b781a3 sb a1,3(a5) + 8000d38c: 0007a223 sw zero,4(a5) + 8000d390: 0017071b addiw a4,a4,1 + 8000d394: 00e78123 sb a4,2(a5) + 8000d398: f3dff06f j 8000d2d4 <_Z16FrameSoundUpdatev+0x278> + 8000d39c: fff7071b addiw a4,a4,-1 + 8000d3a0: 0ff77713 andi a4,a4,255 + 8000d3a4: 00e78023 sb a4,0(a5) + 8000d3a8: de071ee3 bnez a4,8000d1a4 <_Z16FrameSoundUpdatev+0x148> + 8000d3ac: dcdff06f j 8000d178 <_Z16FrameSoundUpdatev+0x11c> + 8000d3b0: 001be717 auipc a4,0x1be + 8000d3b4: 7d470713 addi a4,a4,2004 # 801cbb84 <_ZL5fhcnt> + 8000d3b8: 00072783 lw a5,0(a4) + 8000d3bc: 001be697 auipc a3,0x1be + 8000d3c0: 7cc6a683 lw a3,1996(a3) # 801cbb88 <_ZL5fhinc> + 8000d3c4: 00d787bb addw a5,a5,a3 + 8000d3c8: 00f72023 sw a5,0(a4) + 8000d3cc: e4dff06f j 8000d218 <_Z16FrameSoundUpdatev+0x1bc> + 8000d3d0: 00259913 slli s2,a1,0x2 + 8000d3d4: 012f0933 add s2,t5,s2 + 8000d3d8: 00092983 lw s3,0(s2) + 8000d3dc: db3edce3 bge t4,s3,8000d194 <_Z16FrameSoundUpdatev+0x138> + 8000d3e0: 0082f293 andi t0,t0,8 + 8000d3e4: 4099d4bb sraw s1,s3,s1 + 8000d3e8: 00029a63 bnez t0,8000d3fc <_Z16FrameSoundUpdatev+0x3a0> + 8000d3ec: 009982bb addw t0,s3,s1 + 8000d3f0: da5fc2e3 blt t6,t0,8000d194 <_Z16FrameSoundUpdatev+0x138> + 8000d3f4: 00592023 sw t0,0(s2) + 8000d3f8: d9dff06f j 8000d194 <_Z16FrameSoundUpdatev+0x138> + 8000d3fc: 0013c393 xori t2,t2,1 + 8000d400: 009383bb addw t2,t2,s1 + 8000d404: 407983bb subw t2,s3,t2 + 8000d408: 00792023 sw t2,0(s2) + 8000d40c: d89ff06f j 8000d194 <_Z16FrameSoundUpdatev+0x138> + +000000008000d410 <_Z11Write_IRQFMjh>: + 8000d410: ff010113 addi sp,sp,-16 + 8000d414: 00813023 sd s0,0(sp) + 8000d418: 001be797 auipc a5,0x1be + 8000d41c: 76078423 sb zero,1896(a5) # 801cbb80 <_ZL4fcnt> + 8000d420: 0065d413 srli s0,a1,0x6 + 8000d424: 00113423 sd ra,8(sp) + 8000d428: 00247793 andi a5,s0,2 + 8000d42c: 04079a63 bnez a5,8000d480 <_Z11Write_IRQFMjh+0x70> + 8000d430: 00100793 li a5,1 + 8000d434: 001be717 auipc a4,0x1be + 8000d438: 74f70623 sb a5,1868(a4) # 801cbb80 <_ZL4fcnt> + 8000d43c: 20000513 li a0,512 + 8000d440: 001be797 auipc a5,0x1be + 8000d444: 7487a783 lw a5,1864(a5) # 801cbb88 <_ZL5fhinc> + 8000d448: 001be717 auipc a4,0x1be + 8000d44c: 72f72e23 sw a5,1852(a4) # 801cbb84 <_ZL5fhcnt> + 8000d450: 901f30ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8000d454: 001be717 auipc a4,0x1be + 8000d458: 75570713 addi a4,a4,1877 # 801cbba9 <_ZL8SIRQStat> + 8000d45c: 00074783 lbu a5,0(a4) + 8000d460: 001be697 auipc a3,0x1be + 8000d464: 6e868da3 sb s0,1787(a3) # 801cbb5b + 8000d468: fbf7f793 andi a5,a5,-65 + 8000d46c: 00f70023 sb a5,0(a4) + 8000d470: 00813083 ld ra,8(sp) + 8000d474: 00013403 ld s0,0(sp) + 8000d478: 01010113 addi sp,sp,16 + 8000d47c: 00008067 ret + 8000d480: bddff0ef jal ra,8000d05c <_Z16FrameSoundUpdatev> + 8000d484: fadff06f j 8000d430 <_Z11Write_IRQFMjh+0x20> + +000000008000d488 <_Z17FCEU_SoundCPUHooki>: + 8000d488: 00008067 ret + +000000008000d48c <_Z14SetNESSoundMapv>: + 8000d48c: ff010113 addi sp,sp,-16 + 8000d490: 00813023 sd s0,0(sp) + 8000d494: 00004437 lui s0,0x4 + 8000d498: 00f40593 addi a1,s0,15 # 400f <_entry_offset+0x400f> + 8000d49c: fffff617 auipc a2,0xfffff + 8000d4a0: ec460613 addi a2,a2,-316 # 8000c360 <_ZL9Write_PSGjh> + 8000d4a4: 00004537 lui a0,0x4 + 8000d4a8: 00113423 sd ra,8(sp) + 8000d4ac: 67c260ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8000d4b0: 01340593 addi a1,s0,19 + 8000d4b4: 01040513 addi a0,s0,16 + 8000d4b8: fffff617 auipc a2,0xfffff + 8000d4bc: 2a460613 addi a2,a2,676 # 8000c75c <_ZL13Write_DMCRegsjh> + 8000d4c0: 668260ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8000d4c4: 01740593 addi a1,s0,23 + 8000d4c8: 00058513 mv a0,a1 + 8000d4cc: 00000617 auipc a2,0x0 + 8000d4d0: f4460613 addi a2,a2,-188 # 8000d410 <_Z11Write_IRQFMjh> + 8000d4d4: 654260ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8000d4d8: 01540593 addi a1,s0,21 + 8000d4dc: 00058513 mv a0,a1 + 8000d4e0: fffff617 auipc a2,0xfffff + 8000d4e4: d7860613 addi a2,a2,-648 # 8000c258 <_ZL11StatusWritejh> + 8000d4e8: 640260ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8000d4ec: 01540593 addi a1,s0,21 + 8000d4f0: 00013403 ld s0,0(sp) + 8000d4f4: 00813083 ld ra,8(sp) + 8000d4f8: fffff617 auipc a2,0xfffff + 8000d4fc: cd060613 addi a2,a2,-816 # 8000c1c8 <_ZL10StatusReadj> + 8000d500: 00058513 mv a0,a1 + 8000d504: 01010113 addi sp,sp,16 + 8000d508: 5142606f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008000d50c <_Z17FlushEmulateSoundv>: + 8000d50c: 00000513 li a0,0 + 8000d510: 00008067 ret + +000000008000d514 <_Z13FCEUSND_Resetv>: + 8000d514: 001be797 auipc a5,0x1be + 8000d518: 640783a3 sb zero,1607(a5) # 801cbb5b + 8000d51c: fe010113 addi sp,sp,-32 + 8000d520: 001be797 auipc a5,0x1be + 8000d524: 6687a783 lw a5,1640(a5) # 801cbb88 <_ZL5fhinc> + 8000d528: 001be717 auipc a4,0x1be + 8000d52c: 64f72e23 sw a5,1628(a4) # 801cbb84 <_ZL5fhcnt> + 8000d530: 00813823 sd s0,16(sp) + 8000d534: 001be797 auipc a5,0x1be + 8000d538: 64078623 sb zero,1612(a5) # 801cbb80 <_ZL4fcnt> + 8000d53c: 00001437 lui s0,0x1 + 8000d540: 00913423 sd s1,8(sp) + 8000d544: 8004041b addiw s0,s0,-2048 + 8000d548: 00076497 auipc s1,0x76 + 8000d54c: 58048493 addi s1,s1,1408 # 80083ac8 <_ZL7wlcount> + 8000d550: 00100793 li a5,1 + 8000d554: 00113c23 sd ra,24(sp) + 8000d558: 001be717 auipc a4,0x1be + 8000d55c: 62f71523 sh a5,1578(a4) # 801cbb82 <_ZL4nreg> + 8000d560: 0084a023 sw s0,0(s1) + 8000d564: 001be597 auipc a1,0x1be + 8000d568: 6605a583 lw a1,1632(a1) # 801cbbc4 + 8000d56c: 10059e63 bnez a1,8000d688 <_Z13FCEUSND_Resetv+0x174> + 8000d570: 00100793 li a5,1 + 8000d574: 02079793 slli a5,a5,0x20 + 8000d578: 00178793 addi a5,a5,1 + 8000d57c: 001be717 auipc a4,0x1be + 8000d580: 62070223 sb zero,1572(a4) # 801cbba0 <_ZL7sweepon> + 8000d584: 001be717 auipc a4,0x1be + 8000d588: 62072a23 sw zero,1588(a4) # 801cbbb8 + 8000d58c: 0084a223 sw s0,4(s1) + 8000d590: 001be717 auipc a4,0x1be + 8000d594: 60f73023 sd a5,1536(a4) # 801cbb90 <_ZL5sqacc> + 8000d598: 00100713 li a4,1 + 8000d59c: 02b71793 slli a5,a4,0x2b + 8000d5a0: 00178793 addi a5,a5,1 + 8000d5a4: 00f4b423 sd a5,8(s1) + 8000d5a8: 001be797 auipc a5,0x1be + 8000d5ac: 5a0785a3 sb zero,1451(a5) # 801cbb53 + 8000d5b0: 001be797 auipc a5,0x1be + 8000d5b4: 5a078a23 sb zero,1460(a5) # 801cbb64 <_ZL10DMCHaveDMA> + 8000d5b8: 001be797 auipc a5,0x1be + 8000d5bc: 5e0788a3 sb zero,1521(a5) # 801cbba9 <_ZL8SIRQStat> + 8000d5c0: 001be797 auipc a5,0x1be + 8000d5c4: 58078ea3 sb zero,1437(a5) # 801cbb5d + 8000d5c8: 001be797 auipc a5,0x1be + 8000d5cc: 58078b23 sb zero,1430(a5) # 801cbb5e + 8000d5d0: 001be797 auipc a5,0x1be + 8000d5d4: 5c078623 sb zero,1484(a5) # 801cbb9c <_ZL7TriMode> + 8000d5d8: 001be797 auipc a5,0x1be + 8000d5dc: 5c07a623 sw zero,1484(a5) # 801cbba4 <_ZL7tristep> + 8000d5e0: 001be797 auipc a5,0x1be + 8000d5e4: 56078d23 sb zero,1402(a5) # 801cbb5a + 8000d5e8: 00077797 auipc a5,0x77 + 8000d5ec: 8a07bc23 sd zero,-1864(a5) # 80083ea0 + 8000d5f0: 00077797 auipc a5,0x77 + 8000d5f4: 8a07bc23 sd zero,-1864(a5) # 80083ea8 + 8000d5f8: 001be797 auipc a5,0x1be + 8000d5fc: 54078c23 sb zero,1368(a5) # 801cbb50 + 8000d600: 001be797 auipc a5,0x1be + 8000d604: 54078c23 sb zero,1368(a5) # 801cbb58 + 8000d608: 001be797 auipc a5,0x1be + 8000d60c: 54078523 sb zero,1354(a5) # 801cbb52 + 8000d610: 001be797 auipc a5,0x1be + 8000d614: 5407a823 sw zero,1360(a5) # 801cbb60 <_ZL10DMCAddress> + 8000d618: 001be797 auipc a5,0x1be + 8000d61c: 5807a023 sw zero,1408(a5) # 801cbb98 <_ZL7DMCSize> + 8000d620: 001be797 auipc a5,0x1be + 8000d624: 58078423 sb zero,1416(a5) # 801cbba8 <_ZL8DMCShift> + 8000d628: 001be697 auipc a3,0x1be + 8000d62c: 56068ca3 sb zero,1401(a3) # 801cbba1 <_ZL7sweepon+0x1> + 8000d630: 001be697 auipc a3,0x1be + 8000d634: 5806a623 sw zero,1420(a3) # 801cbbbc + 8000d638: 001be797 auipc a5,0x1be + 8000d63c: 5887a783 lw a5,1416(a5) # 801cbbc0 + 8000d640: 02078263 beqz a5,8000d664 <_Z13FCEUSND_Resetv+0x150> + 8000d644: 001be797 auipc a5,0x1be + 8000d648: 5847a783 lw a5,1412(a5) # 801cbbc8 + 8000d64c: 06079c63 bnez a5,8000d6c4 <_Z13FCEUSND_Resetv+0x1b0> + 8000d650: 01813083 ld ra,24(sp) + 8000d654: 01013403 ld s0,16(sp) + 8000d658: 00813483 ld s1,8(sp) + 8000d65c: 02010113 addi sp,sp,32 + 8000d660: 00008067 ret + 8000d664: 01813083 ld ra,24(sp) + 8000d668: 01013403 ld s0,16(sp) + 8000d66c: 0003b797 auipc a5,0x3b + 8000d670: 20e7a223 sw a4,516(a5) # 80048870 + 8000d674: 001be797 auipc a5,0x1be + 8000d678: 4c078ea3 sb zero,1245(a5) # 801cbb51 + 8000d67c: 00813483 ld s1,8(sp) + 8000d680: 02010113 addi sp,sp,32 + 8000d684: 00008067 ret + 8000d688: 02059593 slli a1,a1,0x20 + 8000d68c: 0205d593 srli a1,a1,0x20 + 8000d690: 10000537 lui a0,0x10000 + 8000d694: 7942c0ef jal ra,80039e28 <__udivdi3> + 8000d698: 0005051b sext.w a0,a0 + 8000d69c: 001be797 auipc a5,0x1be + 8000d6a0: 4f478793 addi a5,a5,1268 # 801cbb90 <_ZL5sqacc> + 8000d6a4: 00a7a023 sw a0,0(a5) + 8000d6a8: 001be717 auipc a4,0x1be + 8000d6ac: 4e070c23 sb zero,1272(a4) # 801cbba0 <_ZL7sweepon> + 8000d6b0: 001be717 auipc a4,0x1be + 8000d6b4: 50072423 sw zero,1288(a4) # 801cbbb8 + 8000d6b8: 0084a223 sw s0,4(s1) + 8000d6bc: 00a7a223 sw a0,4(a5) + 8000d6c0: ed9ff06f j 8000d598 <_Z13FCEUSND_Resetv+0x84> + 8000d6c4: 01013403 ld s0,16(sp) + 8000d6c8: 01813083 ld ra,24(sp) + 8000d6cc: 00813483 ld s1,8(sp) + 8000d6d0: 001be617 auipc a2,0x1be + 8000d6d4: 48164603 lbu a2,1153(a2) # 801cbb51 + 8000d6d8: 0003b597 auipc a1,0x3b + 8000d6dc: 1985a583 lw a1,408(a1) # 80048870 + 8000d6e0: 00030517 auipc a0,0x30 + 8000d6e4: c7850513 addi a0,a0,-904 # 8003d358 <_ZL8CycTable+0x148> + 8000d6e8: 02010113 addi sp,sp,32 + 8000d6ec: 65d2d06f j 8003b548 + +000000008000d6f0 <_Z13FCEUSND_Powerv>: + 8000d6f0: ff010113 addi sp,sp,-16 + 8000d6f4: 00113423 sd ra,8(sp) + 8000d6f8: d95ff0ef jal ra,8000d48c <_Z14SetNESSoundMapv> + 8000d6fc: 01000613 li a2,16 + 8000d700: 00000593 li a1,0 + 8000d704: 0004a517 auipc a0,0x4a + 8000d708: 2b450513 addi a0,a0,692 # 800579b8 + 8000d70c: 31c2e0ef jal ra,8003ba28 + 8000d710: e05ff0ef jal ra,8000d514 <_Z13FCEUSND_Resetv> + 8000d714: 00003637 lui a2,0x3 + 8000d718: 80060613 addi a2,a2,-2048 # 2800 <_entry_offset+0x2800> + 8000d71c: 00000593 li a1,0 + 8000d720: 0004a517 auipc a0,0x4a + 8000d724: 2a850513 addi a0,a0,680 # 800579c8 + 8000d728: 3002e0ef jal ra,8003ba28 + 8000d72c: 00027637 lui a2,0x27 + 8000d730: 10060613 addi a2,a2,256 # 27100 <_entry_offset+0x27100> + 8000d734: 00000593 li a1,0 + 8000d738: 0004f517 auipc a0,0x4f + 8000d73c: 29050513 addi a0,a0,656 # 8005c9c8 + 8000d740: 2e82e0ef jal ra,8003ba28 + 8000d744: 01800613 li a2,24 + 8000d748: 00000593 li a1,0 + 8000d74c: 0004a517 auipc a0,0x4a + 8000d750: 22450513 addi a0,a0,548 # 80057970 + 8000d754: 2d42e0ef jal ra,8003ba28 + 8000d758: 001be797 auipc a5,0x1be + 8000d75c: 3fa7c783 lbu a5,1018(a5) # 801cbb52 + 8000d760: 00076717 auipc a4,0x76 + 8000d764: 72073423 sd zero,1832(a4) # 80083e88 <_ZL9ChannelBC> + 8000d768: 00076717 auipc a4,0x76 + 8000d76c: 72073423 sd zero,1832(a4) # 80083e90 <_ZL9ChannelBC+0x8> + 8000d770: 00076717 auipc a4,0x76 + 8000d774: 72072423 sw zero,1832(a4) # 80083e98 <_ZL9ChannelBC+0x10> + 8000d778: 001be717 auipc a4,0x1be + 8000d77c: 44072c23 sw zero,1112(a4) # 801cbbd0 + 8000d780: 00f7f793 andi a5,a5,15 + 8000d784: 001bf717 auipc a4,0x1bf + 8000d788: edc74703 lbu a4,-292(a4) # 801cc660 + 8000d78c: 00279793 slli a5,a5,0x2 + 8000d790: 02070463 beqz a4,8000d7b8 <_Z13FCEUSND_Powerv+0xc8> + 8000d794: 00030717 auipc a4,0x30 + 8000d798: ccc70713 addi a4,a4,-820 # 8003d460 <_ZL11PALDMCTable> + 8000d79c: 00f707b3 add a5,a4,a5 + 8000d7a0: 0007a783 lw a5,0(a5) + 8000d7a4: 00813083 ld ra,8(sp) + 8000d7a8: 001be717 auipc a4,0x1be + 8000d7ac: 3af72623 sw a5,940(a4) # 801cbb54 + 8000d7b0: 01010113 addi sp,sp,16 + 8000d7b4: 00008067 ret + 8000d7b8: 00030717 auipc a4,0x30 + 8000d7bc: d0870713 addi a4,a4,-760 # 8003d4c0 <_ZL12NTSCDMCTable> + 8000d7c0: 00f707b3 add a5,a4,a5 + 8000d7c4: 0007a783 lw a5,0(a5) + 8000d7c8: 00813083 ld ra,8(sp) + 8000d7cc: 001be717 auipc a4,0x1be + 8000d7d0: 38f72423 sw a5,904(a4) # 801cbb54 + 8000d7d4: 01010113 addi sp,sp,16 + 8000d7d8: 00008067 ret + +000000008000d7dc <_Z17SetSoundVariablesv>: + 8000d7dc: fb010113 addi sp,sp,-80 + 8000d7e0: 03413023 sd s4,32(sp) + 8000d7e4: 001bfa17 auipc s4,0x1bf + 8000d7e8: e7ca0a13 addi s4,s4,-388 # 801cc660 + 8000d7ec: 000a4783 lbu a5,0(s4) + 8000d7f0: 04113423 sd ra,72(sp) + 8000d7f4: 04813023 sd s0,64(sp) + 8000d7f8: 02913c23 sd s1,56(sp) + 8000d7fc: 03213823 sd s2,48(sp) + 8000d800: 03313423 sd s3,40(sp) + 8000d804: 01513c23 sd s5,24(sp) + 8000d808: 01613823 sd s6,16(sp) + 8000d80c: 01713423 sd s7,8(sp) + 8000d810: 01813023 sd s8,0(sp) + 8000d814: 18079a63 bnez a5,8000d9a8 <_Z17SetSoundVariablesv+0x1cc> + 8000d818: 001bba97 auipc s5,0x1bb + 8000d81c: 4c8a8a93 addi s5,s5,1224 # 801c8ce0 + 8000d820: 000577b7 lui a5,0x57 + 8000d824: 03caab03 lw s6,60(s5) + 8000d828: 64878793 addi a5,a5,1608 # 57648 <_entry_offset+0x57648> + 8000d82c: 001be717 auipc a4,0x1be + 8000d830: 34f72e23 sw a5,860(a4) # 801cbb88 <_ZL5fhinc> + 8000d834: 180b0a63 beqz s6,8000d9c8 <_Z17SetSoundVariablesv+0x1ec> + 8000d838: 0017e437 lui s0,0x17e + 8000d83c: 14840413 addi s0,s0,328 # 17e148 <_entry_offset+0x17e148> + 8000d840: 000024b7 lui s1,0x2 + 8000d844: 02fc3bb7 lui s7,0x2fc3 + 8000d848: 040aa983 lw s3,64(s5) + 8000d84c: 00076797 auipc a5,0x76 + 8000d850: 2807a623 sw zero,652(a5) # 80083ad8 <_ZL8wlookup1> + 8000d854: 00076917 auipc s2,0x76 + 8000d858: 28890913 addi s2,s2,648 # 80083adc <_ZL8wlookup1+0x4> + 8000d85c: 02448493 addi s1,s1,36 # 2024 <_entry_offset+0x2024> + 8000d860: 00040c13 mv s8,s0 + 8000d864: 900b8b93 addi s7,s7,-1792 # 2fc2900 <_entry_offset+0x2fc2900> + 8000d868: 0180006f j 8000d880 <_Z17SetSoundVariablesv+0xa4> + 8000d86c: 00a92023 sw a0,0(s2) + 8000d870: 008c043b addw s0,s8,s0 + 8000d874: 0644849b addiw s1,s1,100 + 8000d878: 00490913 addi s2,s2,4 + 8000d87c: 03740863 beq s0,s7,8000d8ac <_Z17SetSoundVariablesv+0xd0> + 8000d880: 00048593 mv a1,s1 + 8000d884: 00040513 mv a0,s0 + 8000d888: 5982c0ef jal ra,80039e20 <__divdi3> + 8000d88c: 0005051b sext.w a0,a0 + 8000d890: 0045579b srliw a5,a0,0x4 + 8000d894: fc099ce3 bnez s3,8000d86c <_Z17SetSoundVariablesv+0x90> + 8000d898: 00f92023 sw a5,0(s2) + 8000d89c: 008c043b addw s0,s8,s0 + 8000d8a0: 0644849b addiw s1,s1,100 + 8000d8a4: 00490913 addi s2,s2,4 + 8000d8a8: fd741ce3 bne s0,s7,8000d880 <_Z17SetSoundVariablesv+0xa4> + 8000d8ac: 0028f437 lui s0,0x28f + 8000d8b0: ae140413 addi s0,s0,-1311 # 28eae1 <_entry_offset+0x28eae1> + 8000d8b4: 000064b7 lui s1,0x6 + 8000d8b8: 20724bb7 lui s7,0x20724 + 8000d8bc: 00076797 auipc a5,0x76 + 8000d8c0: 2807ae23 sw zero,668(a5) # 80083b58 <_ZL8wlookup2> + 8000d8c4: 00076917 auipc s2,0x76 + 8000d8c8: 29890913 addi s2,s2,664 # 80083b5c <_ZL8wlookup2+0x4> + 8000d8cc: f6d48493 addi s1,s1,-147 # 5f6d <_entry_offset+0x5f6d> + 8000d8d0: 00040c13 mv s8,s0 + 8000d8d4: 06bb8b93 addi s7,s7,107 # 2072406b <_entry_offset+0x2072406b> + 8000d8d8: 0180006f j 8000d8f0 <_Z17SetSoundVariablesv+0x114> + 8000d8dc: 00a92023 sw a0,0(s2) + 8000d8e0: 008c043b addw s0,s8,s0 + 8000d8e4: 0644849b addiw s1,s1,100 + 8000d8e8: 00490913 addi s2,s2,4 + 8000d8ec: 03740863 beq s0,s7,8000d91c <_Z17SetSoundVariablesv+0x140> + 8000d8f0: 00048593 mv a1,s1 + 8000d8f4: 00040513 mv a0,s0 + 8000d8f8: 5282c0ef jal ra,80039e20 <__divdi3> + 8000d8fc: 0005051b sext.w a0,a0 + 8000d900: 0045579b srliw a5,a0,0x4 + 8000d904: fc099ce3 bnez s3,8000d8dc <_Z17SetSoundVariablesv+0x100> + 8000d908: 00f92023 sw a5,0(s2) + 8000d90c: 008c043b addw s0,s8,s0 + 8000d910: 0644849b addiw s1,s1,100 + 8000d914: 00490913 addi s2,s2,4 + 8000d918: fd741ce3 bne s0,s7,8000d8f0 <_Z17SetSoundVariablesv+0x114> + 8000d91c: 11305663 blez s3,8000da28 <_Z17SetSoundVariablesv+0x24c> + 8000d920: ffffe797 auipc a5,0xffffe + 8000d924: 5dc78793 addi a5,a5,1500 # 8000befc <_ZL8RDoNoisev> + 8000d928: 0003b717 auipc a4,0x3b + 8000d92c: f6f73823 sd a5,-144(a4) # 80048898 <_ZL7DoNoise> + 8000d930: ffffe797 auipc a5,0xffffe + 8000d934: e3078793 addi a5,a5,-464 # 8000b760 <_ZL11RDoTrianglev> + 8000d938: 0003b717 auipc a4,0x3b + 8000d93c: f4f73023 sd a5,-192(a4) # 80048878 <_ZL10DoTriangle> + 8000d940: ffffe797 auipc a5,0xffffe + 8000d944: d6c78793 addi a5,a5,-660 # 8000b6ac <_Z6RDoPCMv> + 8000d948: 0003b717 auipc a4,0x3b + 8000d94c: f2f73c23 sd a5,-200(a4) # 80048880 <_ZL5DoPCM> + 8000d950: fffff797 auipc a5,0xfffff + 8000d954: 3ac78793 addi a5,a5,940 # 8000ccfc <_ZL6RDoSQ1v> + 8000d958: 0003b717 auipc a4,0x3b + 8000d95c: f2f73823 sd a5,-208(a4) # 80048888 <_ZL5DoSQ1> + 8000d960: fffff797 auipc a5,0xfffff + 8000d964: 54c78793 addi a5,a5,1356 # 8000ceac <_ZL6RDoSQ2v> + 8000d968: 0003b717 auipc a4,0x3b + 8000d96c: f2f73423 sd a5,-216(a4) # 80048890 <_ZL5DoSQ2> + 8000d970: 000b0513 mv a0,s6 + 8000d974: 308010ef jal ra,8000ec7c <_Z11MakeFiltersi> + 8000d978: 0004a797 auipc a5,0x4a + 8000d97c: 0307b783 ld a5,48(a5) # 800579a8 + 8000d980: 00078463 beqz a5,8000d988 <_Z17SetSoundVariablesv+0x1ac> + 8000d984: 000780e7 jalr a5 + 8000d988: 000a4783 lbu a5,0(s4) + 8000d98c: 0c079c63 bnez a5,8000da64 <_Z17SetSoundVariablesv+0x288> + 8000d990: 001be797 auipc a5,0x1be + 8000d994: 2887a783 lw a5,648(a5) # 801cbc18 + 8000d998: 18078463 beqz a5,8000db20 <_Z17SetSoundVariablesv+0x344> + 8000d99c: d87c4537 lui a0,0xd87c4 + 8000d9a0: bbc50513 addi a0,a0,-1092 # ffffffffd87c3bbc <_end+0xffffffff585eebbc> + 8000d9a4: 0c80006f j 8000da6c <_Z17SetSoundVariablesv+0x290> + 8000d9a8: 001bba97 auipc s5,0x1bb + 8000d9ac: 338a8a93 addi s5,s5,824 # 801c8ce0 + 8000d9b0: 000617b7 lui a5,0x61 + 8000d9b4: 03caab03 lw s6,60(s5) + 8000d9b8: 6b078793 addi a5,a5,1712 # 616b0 <_entry_offset+0x616b0> + 8000d9bc: 001be717 auipc a4,0x1be + 8000d9c0: 1cf72623 sw a5,460(a4) # 801cbb88 <_ZL5fhinc> + 8000d9c4: e60b1ae3 bnez s6,8000d838 <_Z17SetSoundVariablesv+0x5c> + 8000d9c8: ffffe797 auipc a5,0xffffe + 8000d9cc: ce078793 addi a5,a5,-800 # 8000b6a8 <_ZL9Dummyfuncv> + 8000d9d0: 0003b717 auipc a4,0x3b + 8000d9d4: ecf73023 sd a5,-320(a4) # 80048890 <_ZL5DoSQ2> + 8000d9d8: 0003b717 auipc a4,0x3b + 8000d9dc: eaf73823 sd a5,-336(a4) # 80048888 <_ZL5DoSQ1> + 8000d9e0: 0003b717 auipc a4,0x3b + 8000d9e4: eaf73023 sd a5,-352(a4) # 80048880 <_ZL5DoPCM> + 8000d9e8: 0003b717 auipc a4,0x3b + 8000d9ec: e8f73823 sd a5,-368(a4) # 80048878 <_ZL10DoTriangle> + 8000d9f0: 0003b717 auipc a4,0x3b + 8000d9f4: eaf73423 sd a5,-344(a4) # 80048898 <_ZL7DoNoise> + 8000d9f8: 04813083 ld ra,72(sp) + 8000d9fc: 04013403 ld s0,64(sp) + 8000da00: 03813483 ld s1,56(sp) + 8000da04: 03013903 ld s2,48(sp) + 8000da08: 02813983 ld s3,40(sp) + 8000da0c: 02013a03 ld s4,32(sp) + 8000da10: 01813a83 ld s5,24(sp) + 8000da14: 01013b03 ld s6,16(sp) + 8000da18: 00813b83 ld s7,8(sp) + 8000da1c: 00013c03 ld s8,0(sp) + 8000da20: 05010113 addi sp,sp,80 + 8000da24: 00008067 ret + 8000da28: fffff717 auipc a4,0xfffff + 8000da2c: e9870713 addi a4,a4,-360 # 8000c8c0 <_ZL7RDoSQLQv> + 8000da30: ffffe797 auipc a5,0xffffe + 8000da34: f6878793 addi a5,a5,-152 # 8000b998 <_ZL21RDoTriangleNoisePCMLQv> + 8000da38: 0003b697 auipc a3,0x3b + 8000da3c: e4e6b823 sd a4,-432(a3) # 80048888 <_ZL5DoSQ1> + 8000da40: 0003b697 auipc a3,0x3b + 8000da44: e4e6b823 sd a4,-432(a3) # 80048890 <_ZL5DoSQ2> + 8000da48: 0003b717 auipc a4,0x3b + 8000da4c: e2f73823 sd a5,-464(a4) # 80048878 <_ZL10DoTriangle> + 8000da50: 0003b717 auipc a4,0x3b + 8000da54: e4f73423 sd a5,-440(a4) # 80048898 <_ZL7DoNoise> + 8000da58: 0003b717 auipc a4,0x3b + 8000da5c: e2f73423 sd a5,-472(a4) # 80048880 <_ZL5DoPCM> + 8000da60: f11ff06f j 8000d970 <_Z17SetSoundVariablesv+0x194> + 8000da64: caf48537 lui a0,0xcaf48 + 8000da68: 90050513 addi a0,a0,-1792 # ffffffffcaf47900 <_end+0xffffffff4ad72900> + 8000da6c: 03caa583 lw a1,60(s5) + 8000da70: 02051513 slli a0,a0,0x20 + 8000da74: 02055513 srli a0,a0,0x20 + 8000da78: 0025d59b srliw a1,a1,0x2 + 8000da7c: 3ac2c0ef jal ra,80039e28 <__udivdi3> + 8000da80: 00050793 mv a5,a0 + 8000da84: 00800613 li a2,8 + 8000da88: 00000593 li a1,0 + 8000da8c: 001be417 auipc s0,0x1be + 8000da90: 13840413 addi s0,s0,312 # 801cbbc4 + 8000da94: 001be517 auipc a0,0x1be + 8000da98: 0fc50513 addi a0,a0,252 # 801cbb90 <_ZL5sqacc> + 8000da9c: 00f42023 sw a5,0(s0) + 8000daa0: 7892d0ef jal ra,8003ba28 + 8000daa4: 01400613 li a2,20 + 8000daa8: 00000593 li a1,0 + 8000daac: 00076517 auipc a0,0x76 + 8000dab0: 3dc50513 addi a0,a0,988 # 80083e88 <_ZL9ChannelBC> + 8000dab4: 7752d0ef jal ra,8003ba28 + 8000dab8: 000a4703 lbu a4,0(s4) + 8000dabc: 001be797 auipc a5,0x1be + 8000dac0: 0967c783 lbu a5,150(a5) # 801cbb52 + 8000dac4: 00f7f793 andi a5,a5,15 + 8000dac8: 00279793 slli a5,a5,0x2 + 8000dacc: 02070c63 beqz a4,8000db04 <_Z17SetSoundVariablesv+0x328> + 8000dad0: 00030717 auipc a4,0x30 + 8000dad4: 99070713 addi a4,a4,-1648 # 8003d460 <_ZL11PALDMCTable> + 8000dad8: 00f707b3 add a5,a4,a5 + 8000dadc: 0007a783 lw a5,0(a5) + 8000dae0: 001be717 auipc a4,0x1be + 8000dae4: 06f72a23 sw a5,116(a4) # 801cbb54 + 8000dae8: 00042703 lw a4,0(s0) + 8000daec: 01f7579b srliw a5,a4,0x1f + 8000daf0: 00e787bb addw a5,a5,a4 + 8000daf4: 4017d79b sraiw a5,a5,0x1 + 8000daf8: 001be717 auipc a4,0x1be + 8000dafc: 0cf72a23 sw a5,212(a4) # 801cbbcc + 8000db00: ef9ff06f j 8000d9f8 <_Z17SetSoundVariablesv+0x21c> + 8000db04: 00030717 auipc a4,0x30 + 8000db08: 9bc70713 addi a4,a4,-1604 # 8003d4c0 <_ZL12NTSCDMCTable> + 8000db0c: 00f707b3 add a5,a4,a5 + 8000db10: 0007a783 lw a5,0(a5) + 8000db14: 001be717 auipc a4,0x1be + 8000db18: 04f72023 sw a5,64(a4) # 801cbb54 + 8000db1c: fcdff06f j 8000dae8 <_Z17SetSoundVariablesv+0x30c> + 8000db20: da7a6537 lui a0,0xda7a6 + 8000db24: 5d150513 addi a0,a0,1489 # ffffffffda7a65d1 <_end+0xffffffff5a5d15d1> + 8000db28: f45ff06f j 8000da6c <_Z17SetSoundVariablesv+0x290> + +000000008000db2c <_Z14InitBlitToHighijjjiii>: + 8000db2c: fe010113 addi sp,sp,-32 + 8000db30: 00113c23 sd ra,24(sp) + 8000db34: 00813823 sd s0,16(sp) + 8000db38: 00913423 sd s1,8(sp) + 8000db3c: 01213023 sd s2,0(sp) + 8000db40: 00400713 li a4,4 + 8000db44: 08e51063 bne a0,a4,8000dbc4 <_Z14InitBlitToHighijjjiii+0x98> + 8000db48: 00050793 mv a5,a0 + 8000db4c: 00001537 lui a0,0x1 + 8000db50: c0050513 addi a0,a0,-1024 # c00 <_entry_offset+0xc00> + 8000db54: 001be717 auipc a4,0x1be + 8000db58: 08f72623 sw a5,140(a4) # 801cbbe0 <_ZL3Bpp> + 8000db5c: 00058913 mv s2,a1 + 8000db60: 00060493 mv s1,a2 + 8000db64: 00068413 mv s0,a3 + 8000db68: 1dd040ef jal ra,80012544 <_Z12FCEU_dmallocj> + 8000db6c: 001be797 auipc a5,0x1be + 8000db70: 06a7b623 sd a0,108(a5) # 801cbbd8 <_ZL16palettetranslate> + 8000db74: 02050a63 beqz a0,8000dba8 <_Z14InitBlitToHighijjjiii+0x7c> + 8000db78: 00076797 auipc a5,0x76 + 8000db7c: 33878793 addi a5,a5,824 # 80083eb0 <_ZL3CBM> + 8000db80: 01813083 ld ra,24(sp) + 8000db84: 0087a423 sw s0,8(a5) + 8000db88: 01013403 ld s0,16(sp) + 8000db8c: 0127a023 sw s2,0(a5) + 8000db90: 0097a223 sw s1,4(a5) + 8000db94: 00013903 ld s2,0(sp) + 8000db98: 00813483 ld s1,8(sp) + 8000db9c: 00100513 li a0,1 + 8000dba0: 02010113 addi sp,sp,32 + 8000dba4: 00008067 ret + 8000dba8: 01813083 ld ra,24(sp) + 8000dbac: 01013403 ld s0,16(sp) + 8000dbb0: 00813483 ld s1,8(sp) + 8000dbb4: 00013903 ld s2,0(sp) + 8000dbb8: 00000513 li a0,0 + 8000dbbc: 02010113 addi sp,sp,32 + 8000dbc0: 00008067 ret + 8000dbc4: 03800613 li a2,56 + 8000dbc8: 00030597 auipc a1,0x30 + 8000dbcc: 93858593 addi a1,a1,-1736 # 8003d500 <_ZL12NTSCDMCTable+0x40> + 8000dbd0: 0002f517 auipc a0,0x2f + 8000dbd4: 14050513 addi a0,a0,320 # 8003cd10 <_etext+0x2c> + 8000dbd8: 1712d0ef jal ra,8003b548 + 8000dbdc: 00100513 li a0,1 + 8000dbe0: 1942c0ef jal ra,80039d74 + +000000008000dbe4 <_Z14KillBlitToHighv>: + 8000dbe4: 001be517 auipc a0,0x1be + 8000dbe8: ff453503 ld a0,-12(a0) # 801cbbd8 <_ZL16palettetranslate> + 8000dbec: 02050263 beqz a0,8000dc10 <_Z14KillBlitToHighv+0x2c> + 8000dbf0: ff010113 addi sp,sp,-16 + 8000dbf4: 00113423 sd ra,8(sp) + 8000dbf8: 7c02c0ef jal ra,8003a3b8 + 8000dbfc: 00813083 ld ra,8(sp) + 8000dc00: 001be797 auipc a5,0x1be + 8000dc04: fc07bc23 sd zero,-40(a5) # 801cbbd8 <_ZL16palettetranslate> + 8000dc08: 01010113 addi sp,sp,16 + 8000dc0c: 00008067 ret + 8000dc10: 00008067 ret + +000000008000dc14 <_Z20SetPaletteBlitToHighPh>: + 8000dc14: fd010113 addi sp,sp,-48 + 8000dc18: fff00793 li a5,-1 + 8000dc1c: 02113423 sd ra,40(sp) + 8000dc20: 00f13823 sd a5,16(sp) + 8000dc24: 00f12c23 sw a5,24(sp) + 8000dc28: 00076e17 auipc t3,0x76 + 8000dc2c: 288e0e13 addi t3,t3,648 # 80083eb0 <_ZL3CBM> + 8000dc30: 00010e93 mv t4,sp + 8000dc34: 01010893 addi a7,sp,16 + 8000dc38: 00076f97 auipc t6,0x76 + 8000dc3c: 284f8f93 addi t6,t6,644 # 80083ebc <_ZL3CBM+0xc> + 8000dc40: 00100813 li a6,1 + 8000dc44: fff00313 li t1,-1 + 8000dc48: 02000593 li a1,32 + 8000dc4c: 00800f13 li t5,8 + 8000dc50: 000e2603 lw a2,0(t3) + 8000dc54: 00000693 li a3,0 + 8000dc58: 00000713 li a4,0 + 8000dc5c: 00e817bb sllw a5,a6,a4 + 8000dc60: 00f677b3 and a5,a2,a5 + 8000dc64: 0007879b sext.w a5,a5 + 8000dc68: 00078863 beqz a5,8000dc78 <_Z20SetPaletteBlitToHighPh+0x64> + 8000dc6c: 0008a783 lw a5,0(a7) + 8000dc70: 0c678a63 beq a5,t1,8000dd44 <_Z20SetPaletteBlitToHighPh+0x130> + 8000dc74: 0016869b addiw a3,a3,1 + 8000dc78: 0017071b addiw a4,a4,1 + 8000dc7c: feb710e3 bne a4,a1,8000dc5c <_Z20SetPaletteBlitToHighPh+0x48> + 8000dc80: 40df06bb subw a3,t5,a3 + 8000dc84: 00dea023 sw a3,0(t4) + 8000dc88: 004e0e13 addi t3,t3,4 + 8000dc8c: 004e8e93 addi t4,t4,4 + 8000dc90: 00488893 addi a7,a7,4 + 8000dc94: fbcf9ee3 bne t6,t3,8000dc50 <_Z20SetPaletteBlitToHighPh+0x3c> + 8000dc98: 001be717 auipc a4,0x1be + 8000dc9c: f4872703 lw a4,-184(a4) # 801cbbe0 <_ZL3Bpp> + 8000dca0: 00400793 li a5,4 + 8000dca4: 0af71463 bne a4,a5,8000dd4c <_Z20SetPaletteBlitToHighPh+0x138> + 8000dca8: 01012803 lw a6,16(sp) + 8000dcac: 01412883 lw a7,20(sp) + 8000dcb0: 01812303 lw t1,24(sp) + 8000dcb4: 001be597 auipc a1,0x1be + 8000dcb8: f245b583 ld a1,-220(a1) # 801cbbd8 <_ZL16palettetranslate> + 8000dcbc: 00058613 mv a2,a1 + 8000dcc0: 40050e13 addi t3,a0,1024 + 8000dcc4: 00054783 lbu a5,0(a0) + 8000dcc8: 00154683 lbu a3,1(a0) + 8000dccc: 00254703 lbu a4,2(a0) + 8000dcd0: 010797bb sllw a5,a5,a6 + 8000dcd4: 011696bb sllw a3,a3,a7 + 8000dcd8: 00d7e7b3 or a5,a5,a3 + 8000dcdc: 0067173b sllw a4,a4,t1 + 8000dce0: 00e7e7b3 or a5,a5,a4 + 8000dce4: 00f62023 sw a5,0(a2) + 8000dce8: 00450513 addi a0,a0,4 + 8000dcec: 00460613 addi a2,a2,4 + 8000dcf0: fcae1ae3 bne t3,a0,8000dcc4 <_Z20SetPaletteBlitToHighPh+0xb0> + 8000dcf4: 001be717 auipc a4,0x1be + 8000dcf8: e1473703 ld a4,-492(a4) # 801cbb08 + 8000dcfc: 02070e63 beqz a4,8000dd38 <_Z20SetPaletteBlitToHighPh+0x124> + 8000dd00: 40058593 addi a1,a1,1024 + 8000dd04: 60070513 addi a0,a4,1536 + 8000dd08: 00074783 lbu a5,0(a4) + 8000dd0c: 00174603 lbu a2,1(a4) + 8000dd10: 00274683 lbu a3,2(a4) + 8000dd14: 010797bb sllw a5,a5,a6 + 8000dd18: 0116163b sllw a2,a2,a7 + 8000dd1c: 00c7e7b3 or a5,a5,a2 + 8000dd20: 006696bb sllw a3,a3,t1 + 8000dd24: 00d7e7b3 or a5,a5,a3 + 8000dd28: 00f5a023 sw a5,0(a1) + 8000dd2c: 00370713 addi a4,a4,3 + 8000dd30: 00458593 addi a1,a1,4 + 8000dd34: fce51ae3 bne a0,a4,8000dd08 <_Z20SetPaletteBlitToHighPh+0xf4> + 8000dd38: 02813083 ld ra,40(sp) + 8000dd3c: 03010113 addi sp,sp,48 + 8000dd40: 00008067 ret + 8000dd44: 00e8a023 sw a4,0(a7) + 8000dd48: f2dff06f j 8000dc74 <_Z20SetPaletteBlitToHighPh+0x60> + 8000dd4c: 05d00613 li a2,93 + 8000dd50: 0002f597 auipc a1,0x2f + 8000dd54: 7b058593 addi a1,a1,1968 # 8003d500 <_ZL12NTSCDMCTable+0x40> + 8000dd58: 0002f517 auipc a0,0x2f + 8000dd5c: fb850513 addi a0,a0,-72 # 8003cd10 <_etext+0x2c> + 8000dd60: 7e82d0ef jal ra,8003b548 + 8000dd64: 00100513 li a0,1 + 8000dd68: 00c2c0ef jal ra,80039d74 + +000000008000dd6c <_Z11Blit8ToHighPhS_iiiii>: + 8000dd6c: ff010113 addi sp,sp,-16 + 8000dd70: 00113423 sd ra,8(sp) + 8000dd74: 001be817 auipc a6,0x1be + 8000dd78: e6c82803 lw a6,-404(a6) # 801cbbe0 <_ZL3Bpp> + 8000dd7c: 00400793 li a5,4 + 8000dd80: 14f81063 bne a6,a5,8000dec0 <_Z11Blit8ToHighPhS_iiiii+0x154> + 8000dd84: 0026179b slliw a5,a2,0x2 + 8000dd88: 00767813 andi a6,a2,7 + 8000dd8c: 40f707bb subw a5,a4,a5 + 8000dd90: 00060f9b sext.w t6,a2 + 8000dd94: 14081a63 bnez a6,8000dee8 <_Z11Blit8ToHighPhS_iiiii+0x17c> + 8000dd98: 0037f713 andi a4,a5,3 + 8000dd9c: 14071263 bnez a4,8000dee0 <_Z11Blit8ToHighPhS_iiiii+0x174> + 8000dda0: 10068a63 beqz a3,8000deb4 <_Z11Blit8ToHighPhS_iiiii+0x148> + 8000dda4: 41f7de1b sraiw t3,a5,0x1f + 8000dda8: 01ee5e1b srliw t3,t3,0x1e + 8000ddac: ff8f8e9b addiw t4,t6,-8 + 8000ddb0: 00fe0e3b addw t3,t3,a5 + 8000ddb4: 003ede9b srliw t4,t4,0x3 + 8000ddb8: 001e8e93 addi t4,t4,1 + 8000ddbc: 402e5e1b sraiw t3,t3,0x2 + 8000ddc0: 10000f13 li t5,256 + 8000ddc4: 003e9293 slli t0,t4,0x3 + 8000ddc8: 001be817 auipc a6,0x1be + 8000ddcc: e1083803 ld a6,-496(a6) # 801cbbd8 <_ZL16palettetranslate> + 8000ddd0: 002e1e13 slli t3,t3,0x2 + 8000ddd4: 40cf0f3b subw t5,t5,a2 + 8000ddd8: 005e9e93 slli t4,t4,0x5 + 8000dddc: 0c060463 beqz a2,8000dea4 <_Z11Blit8ToHighPhS_iiiii+0x138> + 8000dde0: 00058713 mv a4,a1 + 8000dde4: 00050793 mv a5,a0 + 8000dde8: 01f5033b addw t1,a0,t6 + 8000ddec: 0007c883 lbu a7,0(a5) + 8000ddf0: 02070713 addi a4,a4,32 + 8000ddf4: 00878793 addi a5,a5,8 + 8000ddf8: 00289893 slli a7,a7,0x2 + 8000ddfc: 011808b3 add a7,a6,a7 + 8000de00: 0008a883 lw a7,0(a7) + 8000de04: 0007839b sext.w t2,a5 + 8000de08: ff172023 sw a7,-32(a4) + 8000de0c: ff97c883 lbu a7,-7(a5) + 8000de10: 00289893 slli a7,a7,0x2 + 8000de14: 011808b3 add a7,a6,a7 + 8000de18: 0008a883 lw a7,0(a7) + 8000de1c: ff172223 sw a7,-28(a4) + 8000de20: ffa7c883 lbu a7,-6(a5) + 8000de24: 00289893 slli a7,a7,0x2 + 8000de28: 011808b3 add a7,a6,a7 + 8000de2c: 0008a883 lw a7,0(a7) + 8000de30: ff172423 sw a7,-24(a4) + 8000de34: ffb7c883 lbu a7,-5(a5) + 8000de38: 00289893 slli a7,a7,0x2 + 8000de3c: 011808b3 add a7,a6,a7 + 8000de40: 0008a883 lw a7,0(a7) + 8000de44: ff172623 sw a7,-20(a4) + 8000de48: ffc7c883 lbu a7,-4(a5) + 8000de4c: 00289893 slli a7,a7,0x2 + 8000de50: 011808b3 add a7,a6,a7 + 8000de54: 0008a883 lw a7,0(a7) + 8000de58: ff172823 sw a7,-16(a4) + 8000de5c: ffd7c883 lbu a7,-3(a5) + 8000de60: 00289893 slli a7,a7,0x2 + 8000de64: 011808b3 add a7,a6,a7 + 8000de68: 0008a883 lw a7,0(a7) + 8000de6c: ff172a23 sw a7,-12(a4) + 8000de70: ffe7c883 lbu a7,-2(a5) + 8000de74: 00289893 slli a7,a7,0x2 + 8000de78: 011808b3 add a7,a6,a7 + 8000de7c: 0008a883 lw a7,0(a7) + 8000de80: ff172c23 sw a7,-8(a4) + 8000de84: fff7c883 lbu a7,-1(a5) + 8000de88: 00289893 slli a7,a7,0x2 + 8000de8c: 011808b3 add a7,a6,a7 + 8000de90: 0008a883 lw a7,0(a7) + 8000de94: ff172e23 sw a7,-4(a4) + 8000de98: f4731ae3 bne t1,t2,8000ddec <_Z11Blit8ToHighPhS_iiiii+0x80> + 8000de9c: 00550533 add a0,a0,t0 + 8000dea0: 01d585b3 add a1,a1,t4 + 8000dea4: fff6869b addiw a3,a3,-1 + 8000dea8: 01c585b3 add a1,a1,t3 + 8000deac: 01e50533 add a0,a0,t5 + 8000deb0: f20696e3 bnez a3,8000dddc <_Z11Blit8ToHighPhS_iiiii+0x70> + 8000deb4: 00813083 ld ra,8(sp) + 8000deb8: 01010113 addi sp,sp,16 + 8000debc: 00008067 ret + 8000dec0: 09000613 li a2,144 + 8000dec4: 0002f597 auipc a1,0x2f + 8000dec8: 63c58593 addi a1,a1,1596 # 8003d500 <_ZL12NTSCDMCTable+0x40> + 8000decc: 0002f517 auipc a0,0x2f + 8000ded0: e4450513 addi a0,a0,-444 # 8003cd10 <_etext+0x2c> + 8000ded4: 6742d0ef jal ra,8003b548 + 8000ded8: 00100513 li a0,1 + 8000dedc: 6992b0ef jal ra,80039d74 + 8000dee0: 09400613 li a2,148 + 8000dee4: fe1ff06f j 8000dec4 <_Z11Blit8ToHighPhS_iiiii+0x158> + 8000dee8: 09300613 li a2,147 + 8000deec: fd9ff06f j 8000dec4 <_Z11Blit8ToHighPhS_iiiii+0x158> + +000000008000def0 <_Z18RefreshThrottleFPSv>: + 8000def0: ff010113 addi sp,sp,-16 + 8000def4: 00113423 sd ra,8(sp) + 8000def8: 059260ef jal ra,80034750 <_Z19FCEUI_GetDesiredFPSv> + 8000defc: 00813083 ld ra,8(sp) + 8000df00: 001be797 auipc a5,0x1be + 8000df04: cea7a423 sw a0,-792(a5) # 801cbbe8 <_ZL11desired_fps> + 8000df08: 001be797 auipc a5,0x1be + 8000df0c: ce07b423 sd zero,-792(a5) # 801cbbf0 <_ZL8Lasttime> + 8000df10: 001be797 auipc a5,0x1be + 8000df14: ce07b423 sd zero,-792(a5) # 801cbbf8 <_ZL8Nexttime> + 8000df18: 001be797 auipc a5,0x1be + 8000df1c: cc07aa23 sw zero,-812(a5) # 801cbbec <_ZL7InFrame> + 8000df20: 01010113 addi sp,sp,16 + 8000df24: 00008067 ret + +000000008000df28 <_Z13SpeedThrottlev>: + 8000df28: fd010113 addi sp,sp,-48 + 8000df2c: 00913c23 sd s1,24(sp) + 8000df30: 01313423 sd s3,8(sp) + 8000df34: 02113423 sd ra,40(sp) + 8000df38: 02813023 sd s0,32(sp) + 8000df3c: 01213823 sd s2,16(sp) + 8000df40: 001be997 auipc s3,0x1be + 8000df44: cb098993 addi s3,s3,-848 # 801cbbf0 <_ZL8Lasttime> + 8000df48: 1f5000ef jal ra,8000e93c <_Z13FCEUD_GetTimev> + 8000df4c: 0009b783 ld a5,0(s3) + 8000df50: 00050493 mv s1,a0 + 8000df54: 00079463 bnez a5,8000df5c <_Z13SpeedThrottlev+0x34> + 8000df58: 00a9b023 sd a0,0(s3) + 8000df5c: 001be917 auipc s2,0x1be + 8000df60: c9090913 addi s2,s2,-880 # 801cbbec <_ZL7InFrame> + 8000df64: 00092783 lw a5,0(s2) + 8000df68: 06078263 beqz a5,8000dfcc <_Z13SpeedThrottlev+0xa4> + 8000df6c: 001be417 auipc s0,0x1be + 8000df70: c8c43403 ld s0,-884(s0) # 801cbbf8 <_ZL8Nexttime> + 8000df74: 0484f863 bgeu s1,s0,8000dfc4 <_Z13SpeedThrottlev+0x9c> + 8000df78: 40940433 sub s0,s0,s1 + 8000df7c: 03200793 li a5,50 + 8000df80: 0687ee63 bltu a5,s0,8000dffc <_Z13SpeedThrottlev+0xd4> + 8000df84: 001be797 auipc a5,0x1be + 8000df88: c607a423 sw zero,-920(a5) # 801cbbec <_ZL7InFrame> + 8000df8c: 1b1000ef jal ra,8000e93c <_Z13FCEUD_GetTimev> + 8000df90: 409507b3 sub a5,a0,s1 + 8000df94: fe87ece3 bltu a5,s0,8000df8c <_Z13SpeedThrottlev+0x64> + 8000df98: 00092783 lw a5,0(s2) + 8000df9c: 06078463 beqz a5,8000e004 <_Z13SpeedThrottlev+0xdc> + 8000dfa0: 02813083 ld ra,40(sp) + 8000dfa4: 02013403 ld s0,32(sp) + 8000dfa8: 00100793 li a5,1 + 8000dfac: 01813483 ld s1,24(sp) + 8000dfb0: 01013903 ld s2,16(sp) + 8000dfb4: 00813983 ld s3,8(sp) + 8000dfb8: 00078513 mv a0,a5 + 8000dfbc: 03010113 addi sp,sp,48 + 8000dfc0: 00008067 ret + 8000dfc4: 00000413 li s0,0 + 8000dfc8: fbdff06f j 8000df84 <_Z13SpeedThrottlev+0x5c> + 8000dfcc: 00100793 li a5,1 + 8000dfd0: 00f92023 sw a5,0(s2) + 8000dfd4: 001be597 auipc a1,0x1be + 8000dfd8: c145a583 lw a1,-1004(a1) # 801cbbe8 <_ZL11desired_fps> + 8000dfdc: 3e800513 li a0,1000 + 8000dfe0: 6412b0ef jal ra,80039e20 <__divdi3> + 8000dfe4: 0009b783 ld a5,0(s3) + 8000dfe8: 0005041b sext.w s0,a0 + 8000dfec: 00f40433 add s0,s0,a5 + 8000dff0: 001be797 auipc a5,0x1be + 8000dff4: c087b423 sd s0,-1016(a5) # 801cbbf8 <_ZL8Nexttime> + 8000dff8: f7dff06f j 8000df74 <_Z13SpeedThrottlev+0x4c> + 8000dffc: 03200413 li s0,50 + 8000e000: f8dff06f j 8000df8c <_Z13SpeedThrottlev+0x64> + 8000e004: 02813083 ld ra,40(sp) + 8000e008: 02013403 ld s0,32(sp) + 8000e00c: 00a9b023 sd a0,0(s3) + 8000e010: 01813483 ld s1,24(sp) + 8000e014: 01013903 ld s2,16(sp) + 8000e018: 00813983 ld s3,8(sp) + 8000e01c: 00078513 mv a0,a5 + 8000e020: 03010113 addi sp,sp,48 + 8000e024: 00008067 ret + +000000008000e028 <_Z18InputUserActiveFixv>: + 8000e028: 00076797 auipc a5,0x76 + 8000e02c: fa878793 addi a5,a5,-88 # 80083fd0 <_ZL12UsrInputType> + 8000e030: 0087a683 lw a3,8(a5) + 8000e034: 0007b703 ld a4,0(a5) + 8000e038: 00076797 auipc a5,0x76 + 8000e03c: f8878793 addi a5,a5,-120 # 80083fc0 <_ZL12CurInputType> + 8000e040: 00d7a423 sw a3,8(a5) + 8000e044: 00e7b023 sd a4,0(a5) + 8000e048: 00008067 ret + +000000008000e04c <_Z12ParseGIInputP6FCEUGI>: + 8000e04c: 00076797 auipc a5,0x76 + 8000e050: f8478793 addi a5,a5,-124 # 80083fd0 <_ZL12UsrInputType> + 8000e054: 0087a683 lw a3,8(a5) + 8000e058: 0007b603 ld a2,0(a5) + 8000e05c: 00c52583 lw a1,12(a0) + 8000e060: 01452703 lw a4,20(a0) + 8000e064: 00076797 auipc a5,0x76 + 8000e068: f5c78793 addi a5,a5,-164 # 80083fc0 <_ZL12CurInputType> + 8000e06c: 001be817 auipc a6,0x1be + 8000e070: bab82a23 sw a1,-1100(a6) # 801cbc20 + 8000e074: 00c7b023 sd a2,0(a5) + 8000e078: 00d7a423 sw a3,8(a5) + 8000e07c: 00074463 bltz a4,8000e084 <_Z12ParseGIInputP6FCEUGI+0x38> + 8000e080: 00e7a023 sw a4,0(a5) + 8000e084: 01852703 lw a4,24(a0) + 8000e088: 00074463 bltz a4,8000e090 <_Z12ParseGIInputP6FCEUGI+0x44> + 8000e08c: 00e7a223 sw a4,4(a5) + 8000e090: 01c52703 lw a4,28(a0) + 8000e094: 00074463 bltz a4,8000e09c <_Z12ParseGIInputP6FCEUGI+0x50> + 8000e098: 00e7a423 sw a4,8(a5) + 8000e09c: 00008067 ret + +000000008000e0a0 <_Z12GetMouseDataRA3_j>: + 8000e0a0: 00008067 ret + +000000008000e0a4 <_Z17FCEUD_UpdateInputv>: + 8000e0a4: fc010113 addi sp,sp,-64 + 8000e0a8: 02813823 sd s0,48(sp) + 8000e0ac: 02913423 sd s1,40(sp) + 8000e0b0: 03213023 sd s2,32(sp) + 8000e0b4: 01313c23 sd s3,24(sp) + 8000e0b8: 02113c23 sd ra,56(sp) + 8000e0bc: 0ff00993 li s3,255 + 8000e0c0: 00076417 auipc s0,0x76 + 8000e0c4: e0040413 addi s0,s0,-512 # 80083ec0 <_ZL10g_keyState> + 8000e0c8: 00100493 li s1,1 + 8000e0cc: 01d00913 li s2,29 + 8000e0d0: 00810593 addi a1,sp,8 + 8000e0d4: 00800513 li a0,8 + 8000e0d8: 6e12b0ef jal ra,80039fb8 + 8000e0dc: 00c12783 lw a5,12(sp) + 8000e0e0: 00814683 lbu a3,8(sp) + 8000e0e4: 1ef9c663 blt s3,a5,8000e2d0 <_Z17FCEUD_UpdateInputv+0x22c> + 8000e0e8: 00f40733 add a4,s0,a5 + 8000e0ec: 00d70023 sb a3,0(a4) + 8000e0f0: 1c978c63 beq a5,s1,8000e2c8 <_Z17FCEUD_UpdateInputv+0x224> + 8000e0f4: 1d278a63 beq a5,s2,8000e2c8 <_Z17FCEUD_UpdateInputv+0x224> + 8000e0f8: fc079ce3 bnez a5,8000e0d0 <_Z17FCEUD_UpdateInputv+0x2c> + 8000e0fc: 0003b717 auipc a4,0x3b + 8000e100: ac470713 addi a4,a4,-1340 # 80048bc0 + 8000e104: 00072783 lw a5,0(a4) + 8000e108: 00076697 auipc a3,0x76 + 8000e10c: eb868693 addi a3,a3,-328 # 80083fc0 <_ZL12CurInputType> + 8000e110: 0006a603 lw a2,0(a3) + 8000e114: ffe7f793 andi a5,a5,-2 + 8000e118: 00f72023 sw a5,0(a4) + 8000e11c: 02960463 beq a2,s1,8000e144 <_Z17FCEUD_UpdateInputv+0xa0> + 8000e120: 0046a783 lw a5,4(a3) + 8000e124: 02978063 beq a5,s1,8000e144 <_Z17FCEUD_UpdateInputv+0xa0> + 8000e128: 03813083 ld ra,56(sp) + 8000e12c: 03013403 ld s0,48(sp) + 8000e130: 02813483 ld s1,40(sp) + 8000e134: 02013903 ld s2,32(sp) + 8000e138: 01813983 ld s3,24(sp) + 8000e13c: 04010113 addi sp,sp,64 + 8000e140: 00008067 ret + 8000e144: 001be797 auipc a5,0x1be + 8000e148: ac078793 addi a5,a5,-1344 # 801cbc04 <_ZZL13UpdateGamepadvE5rapid> + 8000e14c: 0007a283 lw t0,0(a5) + 8000e150: 0003a817 auipc a6,0x3a + 8000e154: 75080813 addi a6,a6,1872 # 800488a0 + 8000e158: 00000e93 li t4,0 + 8000e15c: 0012c713 xori a4,t0,1 + 8000e160: 00e7a023 sw a4,0(a5) + 8000e164: 00000e13 li t3,0 + 8000e168: 00000993 li s3,0 + 8000e16c: 00100f13 li t5,1 + 8000e170: 00800f93 li t6,8 + 8000e174: 00100493 li s1,1 + 8000e178: 00200913 li s2,2 + 8000e17c: 32000393 li t2,800 + 8000e180: 000e031b sext.w t1,t3 + 8000e184: 00080713 mv a4,a6 + 8000e188: 00000613 li a2,0 + 8000e18c: 01072883 lw a7,16(a4) + 8000e190: 03105c63 blez a7,8000e1c8 <_Z17FCEUD_UpdateInputv+0x124> + 8000e194: 00070593 mv a1,a4 + 8000e198: 00000693 li a3,0 + 8000e19c: 00d707b3 add a5,a4,a3 + 8000e1a0: 0007c783 lbu a5,0(a5) + 8000e1a4: 00079a63 bnez a5,8000e1b8 <_Z17FCEUD_UpdateInputv+0x114> + 8000e1a8: 0085d503 lhu a0,8(a1) + 8000e1ac: 00a40533 add a0,s0,a0 + 8000e1b0: 00054783 lbu a5,0(a0) + 8000e1b4: 04079c63 bnez a5,8000e20c <_Z17FCEUD_UpdateInputv+0x168> + 8000e1b8: 00168693 addi a3,a3,1 + 8000e1bc: 0006879b sext.w a5,a3 + 8000e1c0: 00258593 addi a1,a1,2 + 8000e1c4: fd17cce3 blt a5,a7,8000e19c <_Z17FCEUD_UpdateInputv+0xf8> + 8000e1c8: 0016061b addiw a2,a2,1 + 8000e1cc: 01470713 addi a4,a4,20 + 8000e1d0: fbf61ee3 bne a2,t6,8000e18c <_Z17FCEUD_UpdateInputv+0xe8> + 8000e1d4: 04929c63 bne t0,s1,8000e22c <_Z17FCEUD_UpdateInputv+0x188> + 8000e1d8: 0c8e8e93 addi t4,t4,200 + 8000e1dc: 008e0e1b addiw t3,t3,8 + 8000e1e0: 0c880813 addi a6,a6,200 + 8000e1e4: f87e9ee3 bne t4,t2,8000e180 <_Z17FCEUD_UpdateInputv+0xdc> + 8000e1e8: 03813083 ld ra,56(sp) + 8000e1ec: 03013403 ld s0,48(sp) + 8000e1f0: 001be797 auipc a5,0x1be + 8000e1f4: a137a823 sw s3,-1520(a5) # 801cbc00 <_ZL8JSreturn> + 8000e1f8: 02813483 ld s1,40(sp) + 8000e1fc: 02013903 ld s2,32(sp) + 8000e200: 01813983 ld s3,24(sp) + 8000e204: 04010113 addi sp,sp,64 + 8000e208: 00008067 ret + 8000e20c: 00cf17bb sllw a5,t5,a2 + 8000e210: 006797bb sllw a5,a5,t1 + 8000e214: 00f9e7b3 or a5,s3,a5 + 8000e218: 0016061b addiw a2,a2,1 + 8000e21c: 0007899b sext.w s3,a5 + 8000e220: 01470713 addi a4,a4,20 + 8000e224: f7f614e3 bne a2,t6,8000e18c <_Z17FCEUD_UpdateInputv+0xe8> + 8000e228: fadff06f j 8000e1d4 <_Z17FCEUD_UpdateInputv+0x130> + 8000e22c: 0b082603 lw a2,176(a6) + 8000e230: 02c05c63 blez a2,8000e268 <_Z17FCEUD_UpdateInputv+0x1c4> + 8000e234: 00080693 mv a3,a6 + 8000e238: 00000713 li a4,0 + 8000e23c: 00e807b3 add a5,a6,a4 + 8000e240: 0a07c783 lbu a5,160(a5) + 8000e244: 00079a63 bnez a5,8000e258 <_Z17FCEUD_UpdateInputv+0x1b4> + 8000e248: 0a86d783 lhu a5,168(a3) + 8000e24c: 00f407b3 add a5,s0,a5 + 8000e250: 0007c783 lbu a5,0(a5) + 8000e254: 06079263 bnez a5,8000e2b8 <_Z17FCEUD_UpdateInputv+0x214> + 8000e258: 00170713 addi a4,a4,1 + 8000e25c: 0007079b sext.w a5,a4 + 8000e260: 00268693 addi a3,a3,2 + 8000e264: fcc7cce3 blt a5,a2,8000e23c <_Z17FCEUD_UpdateInputv+0x198> + 8000e268: 0c482583 lw a1,196(a6) + 8000e26c: f6b056e3 blez a1,8000e1d8 <_Z17FCEUD_UpdateInputv+0x134> + 8000e270: 00080693 mv a3,a6 + 8000e274: 00000713 li a4,0 + 8000e278: 0140006f j 8000e28c <_Z17FCEUD_UpdateInputv+0x1e8> + 8000e27c: 00170713 addi a4,a4,1 + 8000e280: 0007079b sext.w a5,a4 + 8000e284: 00268693 addi a3,a3,2 + 8000e288: f4b7d8e3 bge a5,a1,8000e1d8 <_Z17FCEUD_UpdateInputv+0x134> + 8000e28c: 00e807b3 add a5,a6,a4 + 8000e290: 0b47c783 lbu a5,180(a5) + 8000e294: fe0794e3 bnez a5,8000e27c <_Z17FCEUD_UpdateInputv+0x1d8> + 8000e298: 0bc6d603 lhu a2,188(a3) + 8000e29c: 00c40633 add a2,s0,a2 + 8000e2a0: 00064783 lbu a5,0(a2) + 8000e2a4: fc078ce3 beqz a5,8000e27c <_Z17FCEUD_UpdateInputv+0x1d8> + 8000e2a8: 006917bb sllw a5,s2,t1 + 8000e2ac: 00f9e7b3 or a5,s3,a5 + 8000e2b0: 0007899b sext.w s3,a5 + 8000e2b4: f25ff06f j 8000e1d8 <_Z17FCEUD_UpdateInputv+0x134> + 8000e2b8: 006f17bb sllw a5,t5,t1 + 8000e2bc: 00f9e7b3 or a5,s3,a5 + 8000e2c0: 0007899b sext.w s3,a5 + 8000e2c4: fa5ff06f j 8000e268 <_Z17FCEUD_UpdateInputv+0x1c4> + 8000e2c8: 00000513 li a0,0 + 8000e2cc: 2a92b0ef jal ra,80039d74 + 8000e2d0: 06e00613 li a2,110 + 8000e2d4: 0002f597 auipc a1,0x2f + 8000e2d8: 26458593 addi a1,a1,612 # 8003d538 <_ZL12NTSCDMCTable+0x78> + 8000e2dc: 0002f517 auipc a0,0x2f + 8000e2e0: a3450513 addi a0,a0,-1484 # 8003cd10 <_etext+0x2c> + 8000e2e4: 2642d0ef jal ra,8003b548 + 8000e2e8: 00100513 li a0,1 + 8000e2ec: 2892b0ef jal ra,80039d74 + +000000008000e2f0 <_Z18InitInputInterfacev>: + 8000e2f0: ff010113 addi sp,sp,-16 + 8000e2f4: 00813023 sd s0,0(sp) + 8000e2f8: 00076417 auipc s0,0x76 + 8000e2fc: cc840413 addi s0,s0,-824 # 80083fc0 <_ZL12CurInputType> + 8000e300: 00042583 lw a1,0(s0) + 8000e304: 00113423 sd ra,8(sp) + 8000e308: 00100793 li a5,1 + 8000e30c: 001be617 auipc a2,0x1be + 8000e310: 8f460613 addi a2,a2,-1804 # 801cbc00 <_ZL8JSreturn> + 8000e314: 00f58463 beq a1,a5,8000e31c <_Z18InitInputInterfacev+0x2c> + 8000e318: 00000613 li a2,0 + 8000e31c: 00000693 li a3,0 + 8000e320: 00000513 li a0,0 + 8000e324: 818f20ef jal ra,8000033c <_Z14FCEUI_SetInputi3ESIPvi> + 8000e328: 00442583 lw a1,4(s0) + 8000e32c: 00100793 li a5,1 + 8000e330: 00000613 li a2,0 + 8000e334: 00f59663 bne a1,a5,8000e340 <_Z18InitInputInterfacev+0x50> + 8000e338: 001be617 auipc a2,0x1be + 8000e33c: 8c860613 addi a2,a2,-1848 # 801cbc00 <_ZL8JSreturn> + 8000e340: 00000693 li a3,0 + 8000e344: 00100513 li a0,1 + 8000e348: ff5f10ef jal ra,8000033c <_Z14FCEUI_SetInputi3ESIPvi> + 8000e34c: 00842503 lw a0,8(s0) + 8000e350: 00000613 li a2,0 + 8000e354: 00000593 li a1,0 + 8000e358: 82cf20ef jal ra,80000384 <_Z16FCEUI_SetInputFC5ESIFCPvi> + 8000e35c: 00013403 ld s0,0(sp) + 8000e360: 00813083 ld ra,8(sp) + 8000e364: 001be517 auipc a0,0x1be + 8000e368: 8b852503 lw a0,-1864(a0) # 801cbc1c + 8000e36c: 4055551b sraiw a0,a0,0x5 + 8000e370: 00157513 andi a0,a0,1 + 8000e374: 01010113 addi sp,sp,16 + 8000e378: 95cf206f j 800004d4 <_Z23FCEUI_SetInputFourscoreb> + +000000008000e37c <_Z11UpdateInputv>: + 8000e37c: 00100793 li a5,1 + 8000e380: 02079793 slli a5,a5,0x20 + 8000e384: 00178793 addi a5,a5,1 + 8000e388: 00076717 auipc a4,0x76 + 8000e38c: c4f73423 sd a5,-952(a4) # 80083fd0 <_ZL12UsrInputType> + 8000e390: 0002f617 auipc a2,0x2f + 8000e394: 20860613 addi a2,a2,520 # 8003d598 + 8000e398: 00076797 auipc a5,0x76 + 8000e39c: c407a023 sw zero,-960(a5) # 80083fd8 <_ZL12UsrInputType+0x8> + 8000e3a0: 0003a517 auipc a0,0x3a + 8000e3a4: 50050513 addi a0,a0,1280 # 800488a0 + 8000e3a8: 0002f817 auipc a6,0x2f + 8000e3ac: 26880813 addi a6,a6,616 # 8003d610 + 8000e3b0: 03100693 li a3,49 + 8000e3b4: 00100593 li a1,1 + 8000e3b8: fd860713 addi a4,a2,-40 + 8000e3bc: 00050793 mv a5,a0 + 8000e3c0: 0080006f j 8000e3c8 <_Z11UpdateInputv+0x4c> + 8000e3c4: 00072683 lw a3,0(a4) + 8000e3c8: 00d79423 sh a3,8(a5) + 8000e3cc: 00078023 sb zero,0(a5) + 8000e3d0: 00078223 sb zero,4(a5) + 8000e3d4: 00b7a823 sw a1,16(a5) + 8000e3d8: 00470713 addi a4,a4,4 + 8000e3dc: 01478793 addi a5,a5,20 + 8000e3e0: fec712e3 bne a4,a2,8000e3c4 <_Z11UpdateInputv+0x48> + 8000e3e4: 0c850513 addi a0,a0,200 + 8000e3e8: 01060863 beq a2,a6,8000e3f8 <_Z11UpdateInputv+0x7c> + 8000e3ec: 00062683 lw a3,0(a2) + 8000e3f0: 02860613 addi a2,a2,40 + 8000e3f4: fc5ff06f j 8000e3b8 <_Z11UpdateInputv+0x3c> + 8000e3f8: 00008067 ret + +000000008000e3fc <_Z9CloseGamev.part.0>: + 8000e3fc: ff010113 addi sp,sp,-16 + 8000e400: 00113423 sd ra,8(sp) + 8000e404: 34d250ef jal ra,80033f50 <_Z15FCEUI_CloseGamev> + 8000e408: 001be797 auipc a5,0x1be + 8000e40c: 8007a783 lw a5,-2048(a5) # 801cbc08 <_ZL6inited> + 8000e410: 0047f793 andi a5,a5,4 + 8000e414: 02079863 bnez a5,8000e444 <_Z9CloseGamev.part.0+0x48> + 8000e418: 001bd797 auipc a5,0x1bd + 8000e41c: 7e07a823 sw zero,2032(a5) # 801cbc08 <_ZL6inited> + 8000e420: 001be797 auipc a5,0x1be + 8000e424: 8007a223 sw zero,-2044(a5) # 801cbc24 + 8000e428: 001be797 auipc a5,0x1be + 8000e42c: 2207b023 sd zero,544(a5) # 801cc648 + 8000e430: bf9ff0ef jal ra,8000e028 <_Z18InputUserActiveFixv> + 8000e434: 00813083 ld ra,8(sp) + 8000e438: 00100513 li a0,1 + 8000e43c: 01010113 addi sp,sp,16 + 8000e440: 00008067 ret + 8000e444: 548000ef jal ra,8000e98c <_Z9KillVideov> + 8000e448: fd1ff06f j 8000e418 <_Z9CloseGamev.part.0+0x1c> + +000000008000e44c <_Z8LoadGamePKc>: + 8000e44c: fe010113 addi sp,sp,-32 + 8000e450: 00913423 sd s1,8(sp) + 8000e454: 001bd497 auipc s1,0x1bd + 8000e458: 7d048493 addi s1,s1,2000 # 801cbc24 + 8000e45c: 0004a783 lw a5,0(s1) + 8000e460: 00813823 sd s0,16(sp) + 8000e464: 00113c23 sd ra,24(sp) + 8000e468: 00050413 mv s0,a0 + 8000e46c: 0a079e63 bnez a5,8000e528 <_Z8LoadGamePKc+0xdc> + 8000e470: 00000613 li a2,0 + 8000e474: 00100593 li a1,1 + 8000e478: 00040513 mv a0,s0 + 8000e47c: 154260ef jal ra,800345d0 <_Z14FCEUI_LoadGamePKcib> + 8000e480: 08050863 beqz a0,8000e510 <_Z8LoadGamePKc+0xc4> + 8000e484: 001be417 auipc s0,0x1be + 8000e488: 1c440413 addi s0,s0,452 # 801cc648 + 8000e48c: 00043503 ld a0,0(s0) + 8000e490: bbdff0ef jal ra,8000e04c <_Z12ParseGIInputP6FCEUGI> + 8000e494: a5dff0ef jal ra,8000def0 <_Z18RefreshThrottleFPSv> + 8000e498: 00043503 ld a0,0(s0) + 8000e49c: 534000ef jal ra,8000e9d0 <_Z9InitVideoP6FCEUGI> + 8000e4a0: 06054863 bltz a0,8000e510 <_Z8LoadGamePKc+0xc4> + 8000e4a4: 001bd417 auipc s0,0x1bd + 8000e4a8: 76440413 addi s0,s0,1892 # 801cbc08 <_ZL6inited> + 8000e4ac: 00042783 lw a5,0(s0) + 8000e4b0: 0047e793 ori a5,a5,4 + 8000e4b4: 00f42023 sw a5,0(s0) + 8000e4b8: 4b8000ef jal ra,8000e970 <_Z9InitSoundv> + 8000e4bc: 00050863 beqz a0,8000e4cc <_Z8LoadGamePKc+0x80> + 8000e4c0: 00042783 lw a5,0(s0) + 8000e4c4: 0017e793 ori a5,a5,1 + 8000e4c8: 00f42023 sw a5,0(s0) + 8000e4cc: 001bd717 auipc a4,0x1bd + 8000e4d0: 75070713 addi a4,a4,1872 # 801cbc1c + 8000e4d4: 00072783 lw a5,0(a4) + 8000e4d8: fdf7f793 andi a5,a5,-33 + 8000e4dc: 00f72023 sw a5,0(a4) + 8000e4e0: e11ff0ef jal ra,8000e2f0 <_Z18InitInputInterfacev> + 8000e4e4: 00000513 li a0,0 + 8000e4e8: 00100593 li a1,1 + 8000e4ec: 168260ef jal ra,80034654 <_Z15FCEUI_SetRegionii> + 8000e4f0: 01813083 ld ra,24(sp) + 8000e4f4: 01013403 ld s0,16(sp) + 8000e4f8: 00100793 li a5,1 + 8000e4fc: 00f4a023 sw a5,0(s1) + 8000e500: 00100513 li a0,1 + 8000e504: 00813483 ld s1,8(sp) + 8000e508: 02010113 addi sp,sp,32 + 8000e50c: 00008067 ret + 8000e510: 01813083 ld ra,24(sp) + 8000e514: 01013403 ld s0,16(sp) + 8000e518: 00813483 ld s1,8(sp) + 8000e51c: 00000513 li a0,0 + 8000e520: 02010113 addi sp,sp,32 + 8000e524: 00008067 ret + 8000e528: ed5ff0ef jal ra,8000e3fc <_Z9CloseGamev.part.0> + 8000e52c: f45ff06f j 8000e470 <_Z8LoadGamePKc+0x24> + +000000008000e530 <_Z12FCEUD_UpdatePhPii>: + 8000e530: fc010113 addi sp,sp,-64 + 8000e534: 01413823 sd s4,16(sp) + 8000e538: 02113c23 sd ra,56(sp) + 8000e53c: 02813823 sd s0,48(sp) + 8000e540: 02913423 sd s1,40(sp) + 8000e544: 03213023 sd s2,32(sp) + 8000e548: 01313c23 sd s3,24(sp) + 8000e54c: 01513423 sd s5,8(sp) + 8000e550: 00050a13 mv s4,a0 + 8000e554: 06061463 bnez a2,8000e5bc <_Z12FCEUD_UpdatePhPii+0x8c> + 8000e558: 0003a797 auipc a5,0x3a + 8000e55c: 6687a783 lw a5,1640(a5) # 80048bc0 + 8000e560: 02079263 bnez a5,8000e584 <_Z12FCEUD_UpdatePhPii+0x54> + 8000e564: 001bd797 auipc a5,0x1bd + 8000e568: 6b87a783 lw a5,1720(a5) # 801cbc1c + 8000e56c: 0407f793 andi a5,a5,64 + 8000e570: 00078663 beqz a5,8000e57c <_Z12FCEUD_UpdatePhPii+0x4c> + 8000e574: 1a00006f j 8000e714 <_Z12FCEUD_UpdatePhPii+0x1e4> + 8000e578: b2dff0ef jal ra,8000e0a4 <_Z17FCEUD_UpdateInputv> + 8000e57c: 9adff0ef jal ra,8000df28 <_Z13SpeedThrottlev> + 8000e580: fe051ce3 bnez a0,8000e578 <_Z12FCEUD_UpdatePhPii+0x48> + 8000e584: 000a0a63 beqz s4,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e588: 001bd797 auipc a5,0x1bd + 8000e58c: 6807a783 lw a5,1664(a5) # 801cbc08 <_ZL6inited> + 8000e590: 0047f793 andi a5,a5,4 + 8000e594: 10079a63 bnez a5,8000e6a8 <_Z12FCEUD_UpdatePhPii+0x178> + 8000e598: 03013403 ld s0,48(sp) + 8000e59c: 03813083 ld ra,56(sp) + 8000e5a0: 02813483 ld s1,40(sp) + 8000e5a4: 02013903 ld s2,32(sp) + 8000e5a8: 01813983 ld s3,24(sp) + 8000e5ac: 01013a03 ld s4,16(sp) + 8000e5b0: 00813a83 ld s5,8(sp) + 8000e5b4: 04010113 addi sp,sp,64 + 8000e5b8: aedff06f j 8000e0a4 <_Z17FCEUD_UpdateInputv> + 8000e5bc: 00060413 mv s0,a2 + 8000e5c0: 00058993 mv s3,a1 + 8000e5c4: 3bc000ef jal ra,8000e980 <_Z13GetWriteSoundv> + 8000e5c8: 0005091b sext.w s2,a0 + 8000e5cc: 3ac000ef jal ra,8000e978 <_Z11GetMaxSoundv> + 8000e5d0: 0005051b sext.w a0,a0 + 8000e5d4: 00a94863 blt s2,a0,8000e5e4 <_Z12FCEUD_UpdatePhPii+0xb4> + 8000e5d8: 00100793 li a5,1 + 8000e5dc: 001bd717 auipc a4,0x1bd + 8000e5e0: 62f72823 sw a5,1584(a4) # 801cbc0c <_ZZ12FCEUD_UpdatePhPiiE5uflow> + 8000e5e4: 0b244e63 blt s0,s2,8000e6a0 <_Z12FCEUD_UpdatePhPii+0x170> + 8000e5e8: 001bd797 auipc a5,0x1bd + 8000e5ec: 6207a223 sw zero,1572(a5) # 801cbc0c <_ZZ12FCEUD_UpdatePhPiiE5uflow> + 8000e5f0: 00090593 mv a1,s2 + 8000e5f4: 00098513 mv a0,s3 + 8000e5f8: 390000ef jal ra,8000e988 <_Z10WriteSoundPii> + 8000e5fc: 00341a9b slliw s5,s0,0x3 + 8000e600: 380000ef jal ra,8000e980 <_Z13GetWriteSoundv> + 8000e604: 008a8abb addw s5,s5,s0 + 8000e608: 00050493 mv s1,a0 + 8000e60c: 00a00593 li a1,10 + 8000e610: 000a8513 mv a0,s5 + 8000e614: 00d2b0ef jal ra,80039e20 <__divdi3> + 8000e618: 0004849b sext.w s1,s1 + 8000e61c: 0005051b sext.w a0,a0 + 8000e620: 08a4da63 bge s1,a0,8000e6b4 <_Z12FCEUD_UpdatePhPii+0x184> + 8000e624: 001bd797 auipc a5,0x1bd + 8000e628: 5e87a783 lw a5,1512(a5) # 801cbc0c <_ZZ12FCEUD_UpdatePhPiiE5uflow> + 8000e62c: 0e079c63 bnez a5,8000e724 <_Z12FCEUD_UpdatePhPii+0x1f4> + 8000e630: 020a0663 beqz s4,8000e65c <_Z12FCEUD_UpdatePhPii+0x12c> + 8000e634: 001bd797 auipc a5,0x1bd + 8000e638: 5d47a783 lw a5,1492(a5) # 801cbc08 <_ZL6inited> + 8000e63c: 0047f793 andi a5,a5,4 + 8000e640: 00078e63 beqz a5,8000e65c <_Z12FCEUD_UpdatePhPii+0x12c> + 8000e644: 0003a797 auipc a5,0x3a + 8000e648: 57c7a783 lw a5,1404(a5) # 80048bc0 + 8000e64c: 0027f793 andi a5,a5,2 + 8000e650: 00079663 bnez a5,8000e65c <_Z12FCEUD_UpdatePhPii+0x12c> + 8000e654: 000a0513 mv a0,s4 + 8000e658: 410000ef jal ra,8000ea68 <_Z10BlitScreenPh> + 8000e65c: 412404bb subw s1,s0,s2 + 8000e660: f2048ce3 beqz s1,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e664: 00291513 slli a0,s2,0x2 + 8000e668: 0003a797 auipc a5,0x3a + 8000e66c: 5587a783 lw a5,1368(a5) # 80048bc0 + 8000e670: 00a98933 add s2,s3,a0 + 8000e674: 0c079463 bnez a5,8000e73c <_Z12FCEUD_UpdatePhPii+0x20c> + 8000e678: f29050e3 blez s1,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e67c: 00040993 mv s3,s0 + 8000e680: 00090513 mv a0,s2 + 8000e684: 0009859b sext.w a1,s3 + 8000e688: 0084d463 bge s1,s0,8000e690 <_Z12FCEUD_UpdatePhPii+0x160> + 8000e68c: 0004859b sext.w a1,s1 + 8000e690: 408484bb subw s1,s1,s0 + 8000e694: 2f4000ef jal ra,8000e988 <_Z10WriteSoundPii> + 8000e698: fe9044e3 bgtz s1,8000e680 <_Z12FCEUD_UpdatePhPii+0x150> + 8000e69c: efdff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e6a0: 00040913 mv s2,s0 + 8000e6a4: f4dff06f j 8000e5f0 <_Z12FCEUD_UpdatePhPii+0xc0> + 8000e6a8: 000a0513 mv a0,s4 + 8000e6ac: 3bc000ef jal ra,8000ea68 <_Z10BlitScreenPh> + 8000e6b0: ee9ff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e6b4: 0003a797 auipc a5,0x3a + 8000e6b8: 50c7a783 lw a5,1292(a5) # 80048bc0 + 8000e6bc: ec079ee3 bnez a5,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e6c0: 001bd797 auipc a5,0x1bd + 8000e6c4: 54c7a783 lw a5,1356(a5) # 801cbc0c <_ZZ12FCEUD_UpdatePhPiiE5uflow> + 8000e6c8: 00079c63 bnez a5,8000e6e0 <_Z12FCEUD_UpdatePhPii+0x1b0> + 8000e6cc: 00500593 li a1,5 + 8000e6d0: 000a8513 mv a0,s5 + 8000e6d4: 74c2b0ef jal ra,80039e20 <__divdi3> + 8000e6d8: 0005051b sext.w a0,a0 + 8000e6dc: eaa4cee3 blt s1,a0,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e6e0: 00040793 mv a5,s0 + 8000e6e4: 0484c863 blt s1,s0,8000e734 <_Z12FCEUD_UpdatePhPii+0x204> + 8000e6e8: 0007841b sext.w s0,a5 + 8000e6ec: ea9056e3 blez s1,8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e6f0: 00040913 mv s2,s0 + 8000e6f4: 00098513 mv a0,s3 + 8000e6f8: 0009059b sext.w a1,s2 + 8000e6fc: 0084d463 bge s1,s0,8000e704 <_Z12FCEUD_UpdatePhPii+0x1d4> + 8000e700: 0004859b sext.w a1,s1 + 8000e704: 408484bb subw s1,s1,s0 + 8000e708: 280000ef jal ra,8000e988 <_Z10WriteSoundPii> + 8000e70c: fe9044e3 bgtz s1,8000e6f4 <_Z12FCEUD_UpdatePhPii+0x1c4> + 8000e710: e89ff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e714: 064260ef jal ra,80034778 <_Z21FCEUI_EmulationPausedv> + 8000e718: e60512e3 bnez a0,8000e57c <_Z12FCEUD_UpdatePhPii+0x4c> + 8000e71c: e60a16e3 bnez s4,8000e588 <_Z12FCEUD_UpdatePhPii+0x58> + 8000e720: e79ff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e724: 0003a797 auipc a5,0x3a + 8000e728: 49c7a783 lw a5,1180(a5) # 80048bc0 + 8000e72c: fa078ae3 beqz a5,8000e6e0 <_Z12FCEUD_UpdatePhPii+0x1b0> + 8000e730: e69ff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + 8000e734: 00048793 mv a5,s1 + 8000e738: fb1ff06f j 8000e6e8 <_Z12FCEUD_UpdatePhPii+0x1b8> + 8000e73c: 244000ef jal ra,8000e980 <_Z13GetWriteSoundv> + 8000e740: 0005079b sext.w a5,a0 + 8000e744: 0007859b sext.w a1,a5 + 8000e748: 00f4d463 bge s1,a5,8000e750 <_Z12FCEUD_UpdatePhPii+0x220> + 8000e74c: 0004859b sext.w a1,s1 + 8000e750: 00090513 mv a0,s2 + 8000e754: 234000ef jal ra,8000e988 <_Z10WriteSoundPii> + 8000e758: e41ff06f j 8000e598 <_Z12FCEUD_UpdatePhPii+0x68> + +000000008000e75c <_Z18FCEUD_UTF8_fstreamPKcS0_>: + 8000e75c: fe010113 addi sp,sp,-32 + 8000e760: 00913423 sd s1,8(sp) + 8000e764: 00050493 mv s1,a0 + 8000e768: 03000513 li a0,48 + 8000e76c: 00113c23 sd ra,24(sp) + 8000e770: 00813823 sd s0,16(sp) + 8000e774: 01213023 sd s2,0(sp) + 8000e778: 00058913 mv s2,a1 + 8000e77c: 42d2b0ef jal ra,8003a3a8 + 8000e780: 00090613 mv a2,s2 + 8000e784: 00048593 mv a1,s1 + 8000e788: 00050413 mv s0,a0 + 8000e78c: 3d8000ef jal ra,8000eb64 <_ZN12EMUFILE_FILE4openEPKcS1_> + 8000e790: 01813083 ld ra,24(sp) + 8000e794: 00040513 mv a0,s0 + 8000e798: 01013403 ld s0,16(sp) + 8000e79c: 00813483 ld s1,8(sp) + 8000e7a0: 00013903 ld s2,0(sp) + 8000e7a4: 02010113 addi sp,sp,32 + 8000e7a8: 00008067 ret + +000000008000e7ac
: + 8000e7ac: fb010113 addi sp,sp,-80 + 8000e7b0: 04113423 sd ra,72(sp) + 8000e7b4: 04813023 sd s0,64(sp) + 8000e7b8: 02913c23 sd s1,56(sp) + 8000e7bc: 03213823 sd s2,48(sp) + 8000e7c0: 03313423 sd s3,40(sp) + 8000e7c4: 00050413 mv s0,a0 + 8000e7c8: 79c2b0ef jal ra,80039f64 + 8000e7cc: 00040593 mv a1,s0 + 8000e7d0: 0002f517 auipc a0,0x2f + 8000e7d4: e4050513 addi a0,a0,-448 # 8003d610 + 8000e7d8: 5712c0ef jal ra,8003b548 + 8000e7dc: 0002f597 auipc a1,0x2f + 8000e7e0: e4458593 addi a1,a1,-444 # 8003d620 + 8000e7e4: 0002f517 auipc a0,0x2f + 8000e7e8: e6450513 addi a0,a0,-412 # 8003d648 + 8000e7ec: 55d2c0ef jal ra,8003b548 + 8000e7f0: 4ac250ef jal ra,80033c9c <_Z16FCEUI_Initializev> + 8000e7f4: 10050c63 beqz a0,8000e90c + 8000e7f8: b85ff0ef jal ra,8000e37c <_Z11UpdateInputv> + 8000e7fc: 04800613 li a2,72 + 8000e800: 03800593 li a1,56 + 8000e804: 00000513 li a0,0 + 8000e808: 9a0f20ef jal ra,800009a8 <_Z15FCEUI_SetNTSCTHbii> + 8000e80c: 00100593 li a1,1 + 8000e810: 00000513 li a0,0 + 8000e814: 641250ef jal ra,80034654 <_Z15FCEUI_SetRegionii> + 8000e818: 00100513 li a0,1 + 8000e81c: 60d290ef jal ra,80038628 <_Z29FCEUI_DisableSpriteLimitationi> + 8000e820: 0ef00693 li a3,239 + 8000e824: 00000613 li a2,0 + 8000e828: 0e700593 li a1,231 + 8000e82c: 00800513 li a0,8 + 8000e830: 5a5250ef jal ra,800345d4 <_Z22FCEUI_SetRenderedLinesiiii> + 8000e834: 00040513 mv a0,s0 + 8000e838: c15ff0ef jal ra,8000e44c <_Z8LoadGamePKc> + 8000e83c: 00100793 li a5,1 + 8000e840: 0cf51a63 bne a0,a5,8000e914 + 8000e844: 001be917 auipc s2,0x1be + 8000e848: e0490913 addi s2,s2,-508 # 801cc648 + 8000e84c: 00093783 ld a5,0(s2) + 8000e850: 08078263 beqz a5,8000e8d4 + 8000e854: 001bd417 auipc s0,0x1bd + 8000e858: 3bc40413 addi s0,s0,956 # 801cbc10 <_ZZL5DoFuniiE6fskipc> + 8000e85c: 0003a997 auipc s3,0x3a + 8000e860: 36498993 addi s3,s3,868 # 80048bc0 + 8000e864: 001bd497 auipc s1,0x1bd + 8000e868: 3b048493 addi s1,s1,944 # 801cbc14 <_ZZL5DoFuniiE6opause> + 8000e86c: 00042503 lw a0,0(s0) + 8000e870: 00300593 li a1,3 + 8000e874: 00012623 sw zero,12(sp) + 8000e878: 0015051b addiw a0,a0,1 + 8000e87c: 6282b0ef jal ra,80039ea4 <__moddi3> + 8000e880: 00050693 mv a3,a0 + 8000e884: 0009a783 lw a5,0(s3) + 8000e888: 0006869b sext.w a3,a3 + 8000e88c: 00d42023 sw a3,0(s0) + 8000e890: 00c10613 addi a2,sp,12 + 8000e894: 01810593 addi a1,sp,24 + 8000e898: 01010513 addi a0,sp,16 + 8000e89c: 00078463 beqz a5,8000e8a4 + 8000e8a0: 00013823 sd zero,16(sp) + 8000e8a4: 49c250ef jal ra,80033d40 <_Z13FCEUI_EmulatePPhPPiS1_i> + 8000e8a8: 00c12603 lw a2,12(sp) + 8000e8ac: 01813583 ld a1,24(sp) + 8000e8b0: 01013503 ld a0,16(sp) + 8000e8b4: c7dff0ef jal ra,8000e530 <_Z12FCEUD_UpdatePhPii> + 8000e8b8: 6c1250ef jal ra,80034778 <_Z21FCEUI_EmulationPausedv> + 8000e8bc: 0004a783 lw a5,0(s1) + 8000e8c0: 00a78663 beq a5,a0,8000e8cc + 8000e8c4: 6b5250ef jal ra,80034778 <_Z21FCEUI_EmulationPausedv> + 8000e8c8: 00a4a023 sw a0,0(s1) + 8000e8cc: 00093783 ld a5,0(s2) + 8000e8d0: f8079ee3 bnez a5,8000e86c + 8000e8d4: 001bd797 auipc a5,0x1bd + 8000e8d8: 3507a783 lw a5,848(a5) # 801cbc24 + 8000e8dc: 02079463 bnez a5,8000e904 + 8000e8e0: 448250ef jal ra,80033d28 <_Z10FCEUI_Killv> + 8000e8e4: 00000513 li a0,0 + 8000e8e8: 04813083 ld ra,72(sp) + 8000e8ec: 04013403 ld s0,64(sp) + 8000e8f0: 03813483 ld s1,56(sp) + 8000e8f4: 03013903 ld s2,48(sp) + 8000e8f8: 02813983 ld s3,40(sp) + 8000e8fc: 05010113 addi sp,sp,80 + 8000e900: 00008067 ret + 8000e904: af9ff0ef jal ra,8000e3fc <_Z9CloseGamev.part.0> + 8000e908: fd9ff06f j 8000e8e0 + 8000e90c: fff00513 li a0,-1 + 8000e910: fd9ff06f j 8000e8e8 + 8000e914: 001bd797 auipc a5,0x1bd + 8000e918: 2f47a783 lw a5,756(a5) # 801cbc08 <_ZL6inited> + 8000e91c: 0047f793 andi a5,a5,4 + 8000e920: 00079a63 bnez a5,8000e934 + 8000e924: 001bd797 auipc a5,0x1bd + 8000e928: 2e07a223 sw zero,740(a5) # 801cbc08 <_ZL6inited> + 8000e92c: fff00513 li a0,-1 + 8000e930: fb9ff06f j 8000e8e8 + 8000e934: 058000ef jal ra,8000e98c <_Z9KillVideov> + 8000e938: fedff06f j 8000e924 + +000000008000e93c <_Z13FCEUD_GetTimev>: + 8000e93c: fe010113 addi sp,sp,-32 + 8000e940: 00810593 addi a1,sp,8 + 8000e944: 00600513 li a0,6 + 8000e948: 00113c23 sd ra,24(sp) + 8000e94c: 66c2b0ef jal ra,80039fb8 + 8000e950: 00813503 ld a0,8(sp) + 8000e954: 3e800593 li a1,1000 + 8000e958: 4d02b0ef jal ra,80039e28 <__udivdi3> + 8000e95c: 01813083 ld ra,24(sp) + 8000e960: 02010113 addi sp,sp,32 + 8000e964: 00008067 ret + +000000008000e968 <_Z17FCEUD_GetTimeFreqv>: + 8000e968: 3e800513 li a0,1000 + 8000e96c: 00008067 ret + +000000008000e970 <_Z9InitSoundv>: + 8000e970: 00100513 li a0,1 + 8000e974: 00008067 ret + +000000008000e978 <_Z11GetMaxSoundv>: + 8000e978: 00000513 li a0,0 + 8000e97c: 00008067 ret + +000000008000e980 <_Z13GetWriteSoundv>: + 8000e980: 00000513 li a0,0 + 8000e984: 00008067 ret + +000000008000e988 <_Z10WriteSoundPii>: + 8000e988: 00008067 ret + +000000008000e98c <_Z9KillVideov>: + 8000e98c: 001bd797 auipc a5,0x1bd + 8000e990: 2a87a783 lw a5,680(a5) # 801cbc34 <_ZL8s_inited> + 8000e994: 02078463 beqz a5,8000e9bc <_Z9KillVideov+0x30> + 8000e998: ff010113 addi sp,sp,-16 + 8000e99c: 00113423 sd ra,8(sp) + 8000e9a0: a44ff0ef jal ra,8000dbe4 <_Z14KillBlitToHighv> + 8000e9a4: 00813083 ld ra,8(sp) + 8000e9a8: 001bd797 auipc a5,0x1bd + 8000e9ac: 2807a623 sw zero,652(a5) # 801cbc34 <_ZL8s_inited> + 8000e9b0: 00000513 li a0,0 + 8000e9b4: 01010113 addi sp,sp,16 + 8000e9b8: 00008067 ret + 8000e9bc: fff00513 li a0,-1 + 8000e9c0: 00008067 ret + +000000008000e9c4 <_Z18FCEUD_VideoChangedv>: + 8000e9c4: 001be797 auipc a5,0x1be + 8000e9c8: c8078e23 sb zero,-868(a5) # 801cc660 + 8000e9cc: 00008067 ret + +000000008000e9d0 <_Z9InitVideoP6FCEUGI>: + 8000e9d0: ff010113 addi sp,sp,-16 + 8000e9d4: 0002f517 auipc a0,0x2f + 8000e9d8: c7c50513 addi a0,a0,-900 # 8003d650 + 8000e9dc: 00113423 sd ra,8(sp) + 8000e9e0: 00813023 sd s0,0(sp) + 8000e9e4: 3652c0ef jal ra,8003b548 + 8000e9e8: 00100413 li s0,1 + 8000e9ec: 00100513 li a0,1 + 8000e9f0: 001bd797 auipc a5,0x1bd + 8000e9f4: 2487a223 sw s0,580(a5) # 801cbc34 <_ZL8s_inited> + 8000e9f8: a20f20ef jal ra,80000c18 <_Z16FCEUI_SetShowFPSb> + 8000e9fc: 00010637 lui a2,0x10 + 8000ea00: 00000813 li a6,0 + 8000ea04: 00000793 li a5,0 + 8000ea08: 00000713 li a4,0 + 8000ea0c: 0ff00693 li a3,255 + 8000ea10: f0060613 addi a2,a2,-256 # ff00 <_entry_offset+0xff00> + 8000ea14: 00ff05b7 lui a1,0xff0 + 8000ea18: 00400513 li a0,4 + 8000ea1c: 001bd897 auipc a7,0x1bd + 8000ea20: 2088aa23 sw s0,532(a7) # 801cbc30 <_ZL16s_paletterefresh> + 8000ea24: 908ff0ef jal ra,8000db2c <_Z14InitBlitToHighijjjiii> + 8000ea28: 00813083 ld ra,8(sp) + 8000ea2c: 00013403 ld s0,0(sp) + 8000ea30: 00000513 li a0,0 + 8000ea34: 01010113 addi sp,sp,16 + 8000ea38: 00008067 ret + +000000008000ea3c <_Z16FCEUD_SetPalettehhhh>: + 8000ea3c: 00251793 slli a5,a0,0x2 + 8000ea40: 000b1517 auipc a0,0xb1 + 8000ea44: 5a050513 addi a0,a0,1440 # 800bffe0 <_ZL6s_psdl> + 8000ea48: 00f50533 add a0,a0,a5 + 8000ea4c: 00100793 li a5,1 + 8000ea50: 00b50023 sb a1,0(a0) + 8000ea54: 00c500a3 sb a2,1(a0) + 8000ea58: 00d50123 sb a3,2(a0) + 8000ea5c: 001bd717 auipc a4,0x1bd + 8000ea60: 1cf72a23 sw a5,468(a4) # 801cbc30 <_ZL16s_paletterefresh> + 8000ea64: 00008067 ret + +000000008000ea68 <_Z10BlitScreenPh>: + 8000ea68: fc010113 addi sp,sp,-64 + 8000ea6c: 02813823 sd s0,48(sp) + 8000ea70: 02113c23 sd ra,56(sp) + 8000ea74: 02913423 sd s1,40(sp) + 8000ea78: 03213023 sd s2,32(sp) + 8000ea7c: 01313c23 sd s3,24(sp) + 8000ea80: 01413823 sd s4,16(sp) + 8000ea84: 01513423 sd s5,8(sp) + 8000ea88: 001bd797 auipc a5,0x1bd + 8000ea8c: 1a87a783 lw a5,424(a5) # 801cbc30 <_ZL16s_paletterefresh> + 8000ea90: 00050413 mv s0,a0 + 8000ea94: 0a079c63 bnez a5,8000eb4c <_Z10BlitScreenPh+0xe4> + 8000ea98: 00100813 li a6,1 + 8000ea9c: 00100793 li a5,1 + 8000eaa0: 40000713 li a4,1024 + 8000eaa4: 0f000693 li a3,240 + 8000eaa8: 10000613 li a2,256 + 8000eaac: 00075597 auipc a1,0x75 + 8000eab0: 53458593 addi a1,a1,1332 # 80083fe0 <_ZL6canvas> + 8000eab4: 00040513 mv a0,s0 + 8000eab8: ab4ff0ef jal ra,8000dd6c <_Z11Blit8ToHighPhS_iiiii> + 8000eabc: 0002f517 auipc a0,0x2f + 8000eac0: bac50513 addi a0,a0,-1108 # 8003d668 + 8000eac4: 00222937 lui s2,0x222 + 8000eac8: 2812c0ef jal ra,8003b548 + 8000eacc: 00076497 auipc s1,0x76 + 8000ead0: 91448493 addi s1,s1,-1772 # 800843e0 <_ZL6canvas+0x400> + 8000ead4: 000b2a97 auipc s5,0xb2 + 8000ead8: 90ca8a93 addi s5,s5,-1780 # 800c03e0 <_ZL6coeffs> + 8000eadc: 0002f997 auipc s3,0x2f + 8000eae0: b9498993 addi s3,s3,-1132 # 8003d670 + 8000eae4: 22290913 addi s2,s2,546 # 222222 <_entry_offset+0x222222> + 8000eae8: 00001a37 lui s4,0x1 + 8000eaec: c0048413 addi s0,s1,-1024 + 8000eaf0: 00046503 lwu a0,0(s0) + 8000eaf4: 00090593 mv a1,s2 + 8000eaf8: 00840413 addi s0,s0,8 + 8000eafc: 32c2b0ef jal ra,80039e28 <__udivdi3> + 8000eb00: 02051513 slli a0,a0,0x20 + 8000eb04: 02055513 srli a0,a0,0x20 + 8000eb08: 00a98533 add a0,s3,a0 + 8000eb0c: 00054503 lbu a0,0(a0) + 8000eb10: 2582b0ef jal ra,80039d68 + 8000eb14: fc941ee3 bne s0,s1,8000eaf0 <_Z10BlitScreenPh+0x88> + 8000eb18: 014404b3 add s1,s0,s4 + 8000eb1c: 00a00513 li a0,10 + 8000eb20: 2482b0ef jal ra,80039d68 + 8000eb24: fd5494e3 bne s1,s5,8000eaec <_Z10BlitScreenPh+0x84> + 8000eb28: 03813083 ld ra,56(sp) + 8000eb2c: 03013403 ld s0,48(sp) + 8000eb30: 02813483 ld s1,40(sp) + 8000eb34: 02013903 ld s2,32(sp) + 8000eb38: 01813983 ld s3,24(sp) + 8000eb3c: 01013a03 ld s4,16(sp) + 8000eb40: 00813a83 ld s5,8(sp) + 8000eb44: 04010113 addi sp,sp,64 + 8000eb48: 00008067 ret + 8000eb4c: 000b1517 auipc a0,0xb1 + 8000eb50: 49450513 addi a0,a0,1172 # 800bffe0 <_ZL6s_psdl> + 8000eb54: 8c0ff0ef jal ra,8000dc14 <_Z20SetPaletteBlitToHighPh> + 8000eb58: 001bd797 auipc a5,0x1bd + 8000eb5c: 0c07ac23 sw zero,216(a5) # 801cbc30 <_ZL16s_paletterefresh> + 8000eb60: f39ff06f j 8000ea98 <_Z10BlitScreenPh+0x30> + +000000008000eb64 <_ZN12EMUFILE_FILE4openEPKcS1_>: + 8000eb64: fb010113 addi sp,sp,-80 + 8000eb68: 03313423 sd s3,40(sp) + 8000eb6c: 0003a997 auipc s3,0x3a + 8000eb70: 07498993 addi s3,s3,116 # 80048be0 + 8000eb74: 0009a703 lw a4,0(s3) + 8000eb78: 03213823 sd s2,48(sp) + 8000eb7c: 03413023 sd s4,32(sp) + 8000eb80: 01713423 sd s7,8(sp) + 8000eb84: 04113423 sd ra,72(sp) + 8000eb88: 04813023 sd s0,64(sp) + 8000eb8c: 02913c23 sd s1,56(sp) + 8000eb90: 01513c23 sd s5,24(sp) + 8000eb94: 01613823 sd s6,16(sp) + 8000eb98: 00100793 li a5,1 + 8000eb9c: 00050a13 mv s4,a0 + 8000eba0: 00058913 mv s2,a1 + 8000eba4: 00060b93 mv s7,a2 + 8000eba8: 0ae7da63 bge a5,a4,8000ec5c <_ZN12EMUFILE_FILE4openEPKcS1_+0xf8> + 8000ebac: 0003a417 auipc s0,0x3a + 8000ebb0: 03440413 addi s0,s0,52 # 80048be0 + 8000ebb4: 00100493 li s1,1 + 8000ebb8: 00000b13 li s6,0 + 8000ebbc: 0003aa97 auipc s5,0x3a + 8000ebc0: 00ca8a93 addi s5,s5,12 # 80048bc8 + 8000ebc4: 00043503 ld a0,0(s0) + 8000ebc8: 00090593 mv a1,s2 + 8000ebcc: 0014849b addiw s1,s1,1 + 8000ebd0: 7602b0ef jal ra,8003a330 + 8000ebd4: 0009a783 lw a5,0(s3) + 8000ebd8: 00051663 bnez a0,8000ebe4 <_ZN12EMUFILE_FILE4openEPKcS1_+0x80> + 8000ebdc: 00040a93 mv s5,s0 + 8000ebe0: 00100b13 li s6,1 + 8000ebe4: 01840413 addi s0,s0,24 + 8000ebe8: fcf4cee3 blt s1,a5,8000ebc4 <_ZN12EMUFILE_FILE4openEPKcS1_+0x60> + 8000ebec: 060b0c63 beqz s6,8000ec64 <_ZN12EMUFILE_FILE4openEPKcS1_+0x100> + 8000ebf0: 00090593 mv a1,s2 + 8000ebf4: 0002f517 auipc a0,0x2f + 8000ebf8: a8c50513 addi a0,a0,-1396 # 8003d680 + 8000ebfc: 14d2c0ef jal ra,8003b548 + 8000ec00: 010ab703 ld a4,16(s5) + 8000ec04: 008ab683 ld a3,8(s5) + 8000ec08: 000ab783 ld a5,0(s5) + 8000ec0c: 00072703 lw a4,0(a4) + 8000ec10: 000b8593 mv a1,s7 + 8000ec14: 00da3023 sd a3,0(s4) # 1000 <_entry_offset+0x1000> + 8000ec18: 020a2223 sw zero,36(s4) + 8000ec1c: 02ea2423 sw a4,40(s4) + 8000ec20: 00fa3423 sd a5,8(s4) + 8000ec24: 010a0513 addi a0,s4,16 + 8000ec28: 6e12c0ef jal ra,8003bb08 + 8000ec2c: 04813083 ld ra,72(sp) + 8000ec30: 04013403 ld s0,64(sp) + 8000ec34: 020a0023 sb zero,32(s4) + 8000ec38: 03813483 ld s1,56(sp) + 8000ec3c: 03013903 ld s2,48(sp) + 8000ec40: 02813983 ld s3,40(sp) + 8000ec44: 02013a03 ld s4,32(sp) + 8000ec48: 01813a83 ld s5,24(sp) + 8000ec4c: 01013b03 ld s6,16(sp) + 8000ec50: 00813b83 ld s7,8(sp) + 8000ec54: 05010113 addi sp,sp,80 + 8000ec58: 00008067 ret + 8000ec5c: 0003aa97 auipc s5,0x3a + 8000ec60: f6ca8a93 addi s5,s5,-148 # 80048bc8 + 8000ec64: 000ab603 ld a2,0(s5) + 8000ec68: 00090593 mv a1,s2 + 8000ec6c: 0002f517 auipc a0,0x2f + 8000ec70: a2450513 addi a0,a0,-1500 # 8003d690 + 8000ec74: 0d52c0ef jal ra,8003b548 + 8000ec78: f89ff06f j 8000ec00 <_ZN12EMUFILE_FILE4openEPKcS1_+0x9c> + +000000008000ec7c <_Z11MakeFiltersi>: + 8000ec7c: 0002f797 auipc a5,0x2f + 8000ec80: a4c78793 addi a5,a5,-1460 # 8003d6c8 + 8000ec84: 0507b703 ld a4,80(a5) + 8000ec88: 0007bf83 ld t6,0(a5) + 8000ec8c: 0087bf03 ld t5,8(a5) + 8000ec90: 0107be83 ld t4,16(a5) + 8000ec94: 0187be03 ld t3,24(a5) + 8000ec98: 0207b303 ld t1,32(a5) + 8000ec9c: 0287b883 ld a7,40(a5) + 8000eca0: 0307b803 ld a6,48(a5) + 8000eca4: 0387b583 ld a1,56(a5) + 8000eca8: 0407b603 ld a2,64(a5) + 8000ecac: 0487b683 ld a3,72(a5) + 8000ecb0: 0587b783 ld a5,88(a5) + 8000ecb4: f8010113 addi sp,sp,-128 + 8000ecb8: 06813823 sd s0,112(sp) + 8000ecbc: 06913423 sd s1,104(sp) + 8000ecc0: 04e13823 sd a4,80(sp) + 8000ecc4: 04f13c23 sd a5,88(sp) + 8000ecc8: 06113c23 sd ra,120(sp) + 8000eccc: 01f13023 sd t6,0(sp) + 8000ecd0: 01e13423 sd t5,8(sp) + 8000ecd4: 01d13823 sd t4,16(sp) + 8000ecd8: 01c13c23 sd t3,24(sp) + 8000ecdc: 02613023 sd t1,32(sp) + 8000ece0: 03113423 sd a7,40(sp) + 8000ece4: 03013823 sd a6,48(sp) + 8000ece8: 02b13c23 sd a1,56(sp) + 8000ecec: 04c13023 sd a2,64(sp) + 8000ecf0: 04d13423 sd a3,72(sp) + 8000ecf4: 001ba717 auipc a4,0x1ba + 8000ecf8: 02c72703 lw a4,44(a4) # 801c8d20 + 8000ecfc: 00200793 li a5,2 + 8000ed00: 00050493 mv s1,a0 + 8000ed04: 001be417 auipc s0,0x1be + 8000ed08: 95c44403 lbu s0,-1700(s0) # 801cc660 + 8000ed0c: 0ef70463 beq a4,a5,8000edf4 <_Z11MakeFiltersi+0x178> + 8000ed10: 01e507b7 lui a5,0x1e50 + 8000ed14: 001bd717 auipc a4,0x1bd + 8000ed18: f2f72223 sw a5,-220(a4) # 801cbc38 <_ZL7mrindex> + 8000ed1c: 08040e63 beqz s0,8000edb8 <_Z11MakeFiltersi+0x13c> + 8000ed20: 00caf537 lui a0,0xcaf + 8000ed24: 47950513 addi a0,a0,1145 # caf479 <_entry_offset+0xcaf479> + 8000ed28: 00048593 mv a1,s1 + 8000ed2c: 00d51513 slli a0,a0,0xd + 8000ed30: 0f02b0ef jal ra,80039e20 <__divdi3> + 8000ed34: 001bd797 auipc a5,0x1bd + 8000ed38: f0a7a423 sw a0,-248(a5) # 801cbc3c <_ZL7mrratio> + 8000ed3c: 0000c7b7 lui a5,0xc + 8000ed40: b8078793 addi a5,a5,-1152 # bb80 <_entry_offset+0xbb80> + 8000ed44: 00803433 snez s0,s0 + 8000ed48: 0af48263 beq s1,a5,8000edec <_Z11MakeFiltersi+0x170> + 8000ed4c: 000177b7 lui a5,0x17 + 8000ed50: 70078793 addi a5,a5,1792 # 17700 <_entry_offset+0x17700> + 8000ed54: 00f49663 bne s1,a5,8000ed60 <_Z11MakeFiltersi+0xe4> + 8000ed58: 00446413 ori s0,s0,4 + 8000ed5c: 0004041b sext.w s0,s0 + 8000ed60: 00341413 slli s0,s0,0x3 + 8000ed64: 06010793 addi a5,sp,96 + 8000ed68: 008787b3 add a5,a5,s0 + 8000ed6c: fa07b683 ld a3,-96(a5) + 8000ed70: 000b1717 auipc a4,0xb1 + 8000ed74: 67070713 addi a4,a4,1648 # 800c03e0 <_ZL6coeffs> + 8000ed78: 000b2797 auipc a5,0xb2 + 8000ed7c: df478793 addi a5,a5,-524 # 800c0b6c <_ZL6coeffs+0x78c> + 8000ed80: 000b2597 auipc a1,0xb2 + 8000ed84: a2458593 addi a1,a1,-1500 # 800c07a4 <_ZL6coeffs+0x3c4> + 8000ed88: 0006a603 lw a2,0(a3) + 8000ed8c: ffc78793 addi a5,a5,-4 + 8000ed90: 00468693 addi a3,a3,4 + 8000ed94: 00c7a223 sw a2,4(a5) + 8000ed98: 00c72023 sw a2,0(a4) + 8000ed9c: 00470713 addi a4,a4,4 + 8000eda0: feb794e3 bne a5,a1,8000ed88 <_Z11MakeFiltersi+0x10c> + 8000eda4: 07813083 ld ra,120(sp) + 8000eda8: 07013403 ld s0,112(sp) + 8000edac: 06813483 ld s1,104(sp) + 8000edb0: 08010113 addi sp,sp,128 + 8000edb4: 00008067 ret + 8000edb8: 001bd797 auipc a5,0x1bd + 8000edbc: e607a783 lw a5,-416(a5) # 801cbc18 + 8000edc0: 10078263 beqz a5,8000eec4 <_Z11MakeFiltersi+0x248> + 8000edc4: 00048593 mv a1,s1 + 8000edc8: 00038517 auipc a0,0x38 + 8000edcc: b9853503 ld a0,-1128(a0) # 80046960 + 8000edd0: 0502b0ef jal ra,80039e20 <__divdi3> + 8000edd4: 001bd797 auipc a5,0x1bd + 8000edd8: e6a7a423 sw a0,-408(a5) # 801cbc3c <_ZL7mrratio> + 8000eddc: 0000c7b7 lui a5,0xc + 8000ede0: b8078793 addi a5,a5,-1152 # bb80 <_entry_offset+0xbb80> + 8000ede4: 00803433 snez s0,s0 + 8000ede8: f6f492e3 bne s1,a5,8000ed4c <_Z11MakeFiltersi+0xd0> + 8000edec: 00246413 ori s0,s0,2 + 8000edf0: f71ff06f j 8000ed60 <_Z11MakeFiltersi+0xe4> + 8000edf4: 040107b7 lui a5,0x4010 + 8000edf8: 001bd717 auipc a4,0x1bd + 8000edfc: e4f72023 sw a5,-448(a4) # 801cbc38 <_ZL7mrindex> + 8000ee00: 08040c63 beqz s0,8000ee98 <_Z11MakeFiltersi+0x21c> + 8000ee04: 00caf537 lui a0,0xcaf + 8000ee08: 47950513 addi a0,a0,1145 # caf479 <_entry_offset+0xcaf479> + 8000ee0c: 00048593 mv a1,s1 + 8000ee10: 00d51513 slli a0,a0,0xd + 8000ee14: 00c2b0ef jal ra,80039e20 <__divdi3> + 8000ee18: 001bd797 auipc a5,0x1bd + 8000ee1c: e2a7a223 sw a0,-476(a5) # 801cbc3c <_ZL7mrratio> + 8000ee20: 00100413 li s0,1 + 8000ee24: 0000c7b7 lui a5,0xc + 8000ee28: b8078793 addi a5,a5,-1152 # bb80 <_entry_offset+0xbb80> + 8000ee2c: 0af48a63 beq s1,a5,8000eee0 <_Z11MakeFiltersi+0x264> + 8000ee30: 000177b7 lui a5,0x17 + 8000ee34: 70078793 addi a5,a5,1792 # 17700 <_entry_offset+0x17700> + 8000ee38: 00f49463 bne s1,a5,8000ee40 <_Z11MakeFiltersi+0x1c4> + 8000ee3c: 00446413 ori s0,s0,4 + 8000ee40: 06010713 addi a4,sp,96 + 8000ee44: 00341793 slli a5,s0,0x3 + 8000ee48: 00f707b3 add a5,a4,a5 + 8000ee4c: fd07b683 ld a3,-48(a5) + 8000ee50: 000b2717 auipc a4,0xb2 + 8000ee54: d2070713 addi a4,a4,-736 # 800c0b70 <_ZL9sq2coeffs> + 8000ee58: 000b3797 auipc a5,0xb3 + 8000ee5c: d1478793 addi a5,a5,-748 # 800c1b6c <_ZL9sq2coeffs+0xffc> + 8000ee60: 000b2597 auipc a1,0xb2 + 8000ee64: 50c58593 addi a1,a1,1292 # 800c136c <_ZL9sq2coeffs+0x7fc> + 8000ee68: 0006a603 lw a2,0(a3) + 8000ee6c: ffc78793 addi a5,a5,-4 + 8000ee70: 00468693 addi a3,a3,4 + 8000ee74: 00c7a223 sw a2,4(a5) + 8000ee78: 00c72023 sw a2,0(a4) + 8000ee7c: 00470713 addi a4,a4,4 + 8000ee80: fef594e3 bne a1,a5,8000ee68 <_Z11MakeFiltersi+0x1ec> + 8000ee84: 07813083 ld ra,120(sp) + 8000ee88: 07013403 ld s0,112(sp) + 8000ee8c: 06813483 ld s1,104(sp) + 8000ee90: 08010113 addi sp,sp,128 + 8000ee94: 00008067 ret + 8000ee98: 001bd417 auipc s0,0x1bd + 8000ee9c: d8042403 lw s0,-640(s0) # 801cbc18 + 8000eea0: 04040463 beqz s0,8000eee8 <_Z11MakeFiltersi+0x26c> + 8000eea4: 00050593 mv a1,a0 + 8000eea8: 00038517 auipc a0,0x38 + 8000eeac: ab853503 ld a0,-1352(a0) # 80046960 + 8000eeb0: 7712a0ef jal ra,80039e20 <__divdi3> + 8000eeb4: 001bd797 auipc a5,0x1bd + 8000eeb8: d8a7a423 sw a0,-632(a5) # 801cbc3c <_ZL7mrratio> + 8000eebc: 00000413 li s0,0 + 8000eec0: f65ff06f j 8000ee24 <_Z11MakeFiltersi+0x1a8> + 8000eec4: 00050593 mv a1,a0 + 8000eec8: 00038517 auipc a0,0x38 + 8000eecc: aa053503 ld a0,-1376(a0) # 80046968 + 8000eed0: 7512a0ef jal ra,80039e20 <__divdi3> + 8000eed4: 001bd797 auipc a5,0x1bd + 8000eed8: d6a7a423 sw a0,-664(a5) # 801cbc3c <_ZL7mrratio> + 8000eedc: e61ff06f j 8000ed3c <_Z11MakeFiltersi+0xc0> + 8000eee0: 00246413 ori s0,s0,2 + 8000eee4: f5dff06f j 8000ee40 <_Z11MakeFiltersi+0x1c4> + 8000eee8: 00048593 mv a1,s1 + 8000eeec: 00038517 auipc a0,0x38 + 8000eef0: a7c53503 ld a0,-1412(a0) # 80046968 + 8000eef4: 72d2a0ef jal ra,80039e20 <__divdi3> + 8000eef8: 001bd797 auipc a5,0x1bd + 8000eefc: d4a7a223 sw a0,-700(a5) # 801cbc3c <_ZL7mrratio> + 8000ef00: f25ff06f j 8000ee24 <_Z11MakeFiltersi+0x1a8> + +000000008000ef04 <_ZL11TrainerReadj>: + 8000ef04: 1ff57793 andi a5,a0,511 + 8000ef08: 001bd517 auipc a0,0x1bd + 8000ef0c: d7853503 ld a0,-648(a0) # 801cbc80 + 8000ef10: 00f50533 add a0,a0,a5 + 8000ef14: 00054503 lbu a0,0(a0) + 8000ef18: 00008067 ret + +000000008000ef1c <_Z6iNESGI2GI>: + 8000ef1c: fd010113 addi sp,sp,-48 + 8000ef20: 02113423 sd ra,40(sp) + 8000ef24: 02813023 sd s0,32(sp) + 8000ef28: 00913c23 sd s1,24(sp) + 8000ef2c: 01213823 sd s2,16(sp) + 8000ef30: 01313423 sd s3,8(sp) + 8000ef34: 01413023 sd s4,0(sp) + 8000ef38: 00200793 li a5,2 + 8000ef3c: 02f50a63 beq a0,a5,8000ef70 <_Z6iNESGI2GI+0x54> + 8000ef40: 00300793 li a5,3 + 8000ef44: 10f50063 beq a0,a5,8000f044 <_Z6iNESGI2GI+0x128> + 8000ef48: 00100793 li a5,1 + 8000ef4c: 0cf50663 beq a0,a5,8000f018 <_Z6iNESGI2GI+0xfc> + 8000ef50: 02813083 ld ra,40(sp) + 8000ef54: 02013403 ld s0,32(sp) + 8000ef58: 01813483 ld s1,24(sp) + 8000ef5c: 01013903 ld s2,16(sp) + 8000ef60: 00813983 ld s3,8(sp) + 8000ef64: 00013a03 ld s4,0(sp) + 8000ef68: 03010113 addi sp,sp,48 + 8000ef6c: 00008067 ret + 8000ef70: 0003b597 auipc a1,0x3b + 8000ef74: 1905a583 lw a1,400(a1) # 8004a100 <_ZL10CHRRAMSize> + 8000ef78: fff00793 li a5,-1 + 8000ef7c: 14f59c63 bne a1,a5,8000f0d4 <_Z6iNESGI2GI+0x1b8> + 8000ef80: 000b3797 auipc a5,0xb3 + 8000ef84: 3f07b783 ld a5,1008(a5) # 800c2370 <_ZL8iNESCart> + 8000ef88: 00078463 beqz a5,8000ef90 <_Z6iNESGI2GI+0x74> + 8000ef8c: 000780e7 jalr a5 + 8000ef90: 001bd917 auipc s2,0x1bd + 8000ef94: cf090913 addi s2,s2,-784 # 801cbc80 + 8000ef98: 00093783 ld a5,0(s2) + 8000ef9c: fa078ae3 beqz a5,8000ef50 <_Z6iNESGI2GI+0x34> + 8000efa0: 00000413 li s0,0 + 8000efa4: 00007a37 lui s4,0x7 + 8000efa8: 20000993 li s3,512 + 8000efac: 0080006f j 8000efb4 <_Z6iNESGI2GI+0x98> + 8000efb0: fb3400e3 beq s0,s3,8000ef50 <_Z6iNESGI2GI+0x34> + 8000efb4: 008787b3 add a5,a5,s0 + 8000efb8: 0007c583 lbu a1,0(a5) + 8000efbc: 008a04bb addw s1,s4,s0 + 8000efc0: 00048513 mv a0,s1 + 8000efc4: d05f10ef jal ra,80000cc8 <_Z9X6502_DMWjh> + 8000efc8: 00048513 mv a0,s1 + 8000efcc: c6df10ef jal ra,80000c38 <_Z9X6502_DMRj> + 8000efd0: 00093783 ld a5,0(s2) + 8000efd4: 00878733 add a4,a5,s0 + 8000efd8: 00074703 lbu a4,0(a4) + 8000efdc: 00140413 addi s0,s0,1 + 8000efe0: fca708e3 beq a4,a0,8000efb0 <_Z6iNESGI2GI+0x94> + 8000efe4: 02013403 ld s0,32(sp) + 8000efe8: 02813083 ld ra,40(sp) + 8000efec: 01813483 ld s1,24(sp) + 8000eff0: 01013903 ld s2,16(sp) + 8000eff4: 00813983 ld s3,8(sp) + 8000eff8: 00013a03 ld s4,0(sp) + 8000effc: 000075b7 lui a1,0x7 + 8000f000: 00000617 auipc a2,0x0 + 8000f004: f0460613 addi a2,a2,-252 # 8000ef04 <_ZL11TrainerReadj> + 8000f008: 1ff58593 addi a1,a1,511 # 71ff <_entry_offset+0x71ff> + 8000f00c: 00007537 lui a0,0x7 + 8000f010: 03010113 addi sp,sp,48 + 8000f014: 2092406f j 80033a1c <_Z14SetReadHandleriiPFhjE> + 8000f018: 000b3317 auipc t1,0xb3 + 8000f01c: 36033303 ld t1,864(t1) # 800c2378 <_ZL8iNESCart+0x8> + 8000f020: f20308e3 beqz t1,8000ef50 <_Z6iNESGI2GI+0x34> + 8000f024: 02013403 ld s0,32(sp) + 8000f028: 02813083 ld ra,40(sp) + 8000f02c: 01813483 ld s1,24(sp) + 8000f030: 01013903 ld s2,16(sp) + 8000f034: 00813983 ld s3,8(sp) + 8000f038: 00013a03 ld s4,0(sp) + 8000f03c: 03010113 addi sp,sp,48 + 8000f040: 00030067 jr t1 + 8000f044: 000b3797 auipc a5,0xb3 + 8000f048: 33c7b783 ld a5,828(a5) # 800c2380 <_ZL8iNESCart+0x10> + 8000f04c: 00078463 beqz a5,8000f054 <_Z6iNESGI2GI+0x138> + 8000f050: 000780e7 jalr a5 + 8000f054: 001bd517 auipc a0,0x1bd + 8000f058: c0453503 ld a0,-1020(a0) # 801cbc58 + 8000f05c: 00050863 beqz a0,8000f06c <_Z6iNESGI2GI+0x150> + 8000f060: 3582b0ef jal ra,8003a3b8 + 8000f064: 001bd797 auipc a5,0x1bd + 8000f068: be07ba23 sd zero,-1036(a5) # 801cbc58 + 8000f06c: 001bd517 auipc a0,0x1bd + 8000f070: bfc53503 ld a0,-1028(a0) # 801cbc68 + 8000f074: 00050863 beqz a0,8000f084 <_Z6iNESGI2GI+0x168> + 8000f078: 3402b0ef jal ra,8003a3b8 + 8000f07c: 001bd797 auipc a5,0x1bd + 8000f080: be07b623 sd zero,-1044(a5) # 801cbc68 + 8000f084: 001bd517 auipc a0,0x1bd + 8000f088: bfc53503 ld a0,-1028(a0) # 801cbc80 + 8000f08c: 00050863 beqz a0,8000f09c <_Z6iNESGI2GI+0x180> + 8000f090: 3282b0ef jal ra,8003a3b8 + 8000f094: 001bd797 auipc a5,0x1bd + 8000f098: be07b623 sd zero,-1044(a5) # 801cbc80 + 8000f09c: 001bd517 auipc a0,0x1bd + 8000f0a0: ba453503 ld a0,-1116(a0) # 801cbc40 + 8000f0a4: ea0506e3 beqz a0,8000ef50 <_Z6iNESGI2GI+0x34> + 8000f0a8: 3102b0ef jal ra,8003a3b8 + 8000f0ac: 02813083 ld ra,40(sp) + 8000f0b0: 02013403 ld s0,32(sp) + 8000f0b4: 001bd797 auipc a5,0x1bd + 8000f0b8: b807b623 sd zero,-1140(a5) # 801cbc40 + 8000f0bc: 01813483 ld s1,24(sp) + 8000f0c0: 01013903 ld s2,16(sp) + 8000f0c4: 00813983 ld s3,8(sp) + 8000f0c8: 00013a03 ld s4,0(sp) + 8000f0cc: 03010113 addi sp,sp,48 + 8000f0d0: 00008067 ret + 8000f0d4: 00000613 li a2,0 + 8000f0d8: 001bd517 auipc a0,0x1bd + 8000f0dc: b9053503 ld a0,-1136(a0) # 801cbc68 + 8000f0e0: 675240ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 8000f0e4: 000b3797 auipc a5,0xb3 + 8000f0e8: 28c7b783 ld a5,652(a5) # 800c2370 <_ZL8iNESCart> + 8000f0ec: ea0790e3 bnez a5,8000ef8c <_Z6iNESGI2GI+0x70> + 8000f0f0: ea1ff06f j 8000ef90 <_Z6iNESGI2GI+0x74> + +000000008000f0f4 <_Z8CheckBady>: + 8000f0f4: 00038717 auipc a4,0x38 + 8000f0f8: 87c73703 ld a4,-1924(a4) # 80046970 + 8000f0fc: 00034797 auipc a5,0x34 + 8000f100: dec78793 addi a5,a5,-532 # 80042ee8 <_ZL12BadROMImages+0x18> + 8000f104: 00033597 auipc a1,0x33 + 8000f108: cd458593 addi a1,a1,-812 # 80041dd8 <_ZL9C96000PAL+0x3c8> + 8000f10c: 00a70c63 beq a4,a0,8000f124 <_Z8CheckBady+0x30> + 8000f110: 0087b583 ld a1,8(a5) + 8000f114: 00058e63 beqz a1,8000f130 <_Z8CheckBady+0x3c> + 8000f118: 0007b703 ld a4,0(a5) + 8000f11c: 01878793 addi a5,a5,24 + 8000f120: fea718e3 bne a4,a0,8000f110 <_Z8CheckBady+0x1c> + 8000f124: 00033517 auipc a0,0x33 + 8000f128: ccc50513 addi a0,a0,-820 # 80041df0 <_ZL9C96000PAL+0x3e0> + 8000f12c: 41c2c06f j 8003b548 + 8000f130: 00008067 ret + +000000008000f134 <_Z8iNESLoadPKcP8FCEUFILEi>: + 8000f134: c1010113 addi sp,sp,-1008 + 8000f138: 3c913c23 sd s1,984(sp) + 8000f13c: 000b3497 auipc s1,0xb3 + 8000f140: 2b448493 addi s1,s1,692 # 800c23f0 + 8000f144: 3e813023 sd s0,992(sp) + 8000f148: 3d213823 sd s2,976(sp) + 8000f14c: 3d313423 sd s3,968(sp) + 8000f150: 00058693 mv a3,a1 + 8000f154: 00058413 mv s0,a1 + 8000f158: 00050913 mv s2,a0 + 8000f15c: 00060993 mv s3,a2 + 8000f160: 00100593 li a1,1 + 8000f164: 01000613 li a2,16 + 8000f168: 00048513 mv a0,s1 + 8000f16c: 3e113423 sd ra,1000(sp) + 8000f170: 3d413023 sd s4,960(sp) + 8000f174: 3b513c23 sd s5,952(sp) + 8000f178: 3b613823 sd s6,944(sp) + 8000f17c: 3b713423 sd s7,936(sp) + 8000f180: 3b813023 sd s8,928(sp) + 8000f184: 39913c23 sd s9,920(sp) + 8000f188: 39a13823 sd s10,912(sp) + 8000f18c: 39b13423 sd s11,904(sp) + 8000f190: ca0f10ef jal ra,80000630 <_Z10FCEU_freadPvmmP8FCEUFILE> + 8000f194: 01000793 li a5,16 + 8000f198: 04f50463 beq a0,a5,8000f1e0 <_Z8iNESLoadPKcP8FCEUFILEi+0xac> + 8000f19c: 00000d93 li s11,0 + 8000f1a0: 3e813083 ld ra,1000(sp) + 8000f1a4: 3e013403 ld s0,992(sp) + 8000f1a8: 3d813483 ld s1,984(sp) + 8000f1ac: 3d013903 ld s2,976(sp) + 8000f1b0: 3c813983 ld s3,968(sp) + 8000f1b4: 3c013a03 ld s4,960(sp) + 8000f1b8: 3b813a83 ld s5,952(sp) + 8000f1bc: 3b013b03 ld s6,944(sp) + 8000f1c0: 3a813b83 ld s7,936(sp) + 8000f1c4: 3a013c03 ld s8,928(sp) + 8000f1c8: 39813c83 ld s9,920(sp) + 8000f1cc: 39013d03 ld s10,912(sp) + 8000f1d0: 000d8513 mv a0,s11 + 8000f1d4: 38813d83 ld s11,904(sp) + 8000f1d8: 3f010113 addi sp,sp,1008 + 8000f1dc: 00008067 ret + 8000f1e0: 00400613 li a2,4 + 8000f1e4: 00033597 auipc a1,0x33 + 8000f1e8: cbc58593 addi a1,a1,-836 # 80041ea0 <_ZL9C96000PAL+0x490> + 8000f1ec: 00048513 mv a0,s1 + 8000f1f0: 3fc2c0ef jal ra,8003b5ec + 8000f1f4: 00050d93 mv s11,a0 + 8000f1f8: fa0512e3 bnez a0,8000f19c <_Z8iNESLoadPKcP8FCEUFILEi+0x68> + 8000f1fc: 00800613 li a2,8 + 8000f200: 00033597 auipc a1,0x33 + 8000f204: ca858593 addi a1,a1,-856 # 80041ea8 <_ZL9C96000PAL+0x498> + 8000f208: 000b3517 auipc a0,0xb3 + 8000f20c: 1ef50513 addi a0,a0,495 # 800c23f7 + 8000f210: 3dc2c0ef jal ra,8003b5ec + 8000f214: 58050663 beqz a0,8000f7a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x66c> + 8000f218: 00900613 li a2,9 + 8000f21c: 00033597 auipc a1,0x33 + 8000f220: c9c58593 addi a1,a1,-868 # 80041eb8 <_ZL9C96000PAL+0x4a8> + 8000f224: 000b3517 auipc a0,0xb3 + 8000f228: 1d350513 addi a0,a0,467 # 800c23f7 + 8000f22c: 3c02c0ef jal ra,8003b5ec + 8000f230: 56050863 beqz a0,8000f7a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x66c> + 8000f234: 00400613 li a2,4 + 8000f238: 00033597 auipc a1,0x33 + 8000f23c: c9058593 addi a1,a1,-880 # 80041ec8 <_ZL9C96000PAL+0x4b8> + 8000f240: 000b3517 auipc a0,0xb3 + 8000f244: 1ba50513 addi a0,a0,442 # 800c23fa + 8000f248: 3a42c0ef jal ra,8003b5ec + 8000f24c: 66050663 beqz a0,8000f8b8 <_Z8iNESLoadPKcP8FCEUFILEi+0x784> + 8000f250: 000b3a17 auipc s4,0xb3 + 8000f254: 120a0a13 addi s4,s4,288 # 800c2370 <_ZL8iNESCart> + 8000f258: 08000613 li a2,128 + 8000f25c: 00000593 li a1,0 + 8000f260: 000a0513 mv a0,s4 + 8000f264: 7c42c0ef jal ra,8003ba28 + 8000f268: 0074c703 lbu a4,7(s1) + 8000f26c: 001bd797 auipc a5,0x1bd + 8000f270: a0c78793 addi a5,a5,-1524 # 801cbc78 + 8000f274: 00800593 li a1,8 + 8000f278: 00c77693 andi a3,a4,12 + 8000f27c: ff868693 addi a3,a3,-8 + 8000f280: 0016b693 seqz a3,a3 + 8000f284: 00c77613 andi a2,a4,12 + 8000f288: 00d7a023 sw a3,0(a5) + 8000f28c: 56b61a63 bne a2,a1,8000f800 <_Z8iNESLoadPKcP8FCEUFILEi+0x6cc> + 8000f290: 00a4c683 lbu a3,10(s1) + 8000f294: 00100593 li a1,1 + 8000f298: 04ba2823 sw a1,80(s4) + 8000f29c: 00f6f513 andi a0,a3,15 + 8000f2a0: 00000593 li a1,0 + 8000f2a4: 00050663 beqz a0,8000f2b0 <_Z8iNESLoadPKcP8FCEUFILEi+0x17c> + 8000f2a8: 04000593 li a1,64 + 8000f2ac: 00a595bb sllw a1,a1,a0 + 8000f2b0: 04ba2c23 sw a1,88(s4) + 8000f2b4: 0f06f593 andi a1,a3,240 + 8000f2b8: 00000513 li a0,0 + 8000f2bc: 00058863 beqz a1,8000f2cc <_Z8iNESLoadPKcP8FCEUFILEi+0x198> + 8000f2c0: 0046d69b srliw a3,a3,0x4 + 8000f2c4: 04000513 li a0,64 + 8000f2c8: 00d5153b sllw a0,a0,a3 + 8000f2cc: 00b4c683 lbu a3,11(s1) + 8000f2d0: 04aa2e23 sw a0,92(s4) + 8000f2d4: 00000593 li a1,0 + 8000f2d8: 00f6f513 andi a0,a3,15 + 8000f2dc: 00050663 beqz a0,8000f2e8 <_Z8iNESLoadPKcP8FCEUFILEi+0x1b4> + 8000f2e0: 04000593 li a1,64 + 8000f2e4: 00a595bb sllw a1,a1,a0 + 8000f2e8: 06ba2023 sw a1,96(s4) + 8000f2ec: 0f06f593 andi a1,a3,240 + 8000f2f0: 00000893 li a7,0 + 8000f2f4: 5e059c63 bnez a1,8000f8ec <_Z8iNESLoadPKcP8FCEUFILEi+0x7b8> + 8000f2f8: 0064c683 lbu a3,6(s1) + 8000f2fc: 0084c583 lbu a1,8(s1) + 8000f300: 0f077813 andi a6,a4,240 + 8000f304: 4046d71b sraiw a4,a3,0x4 + 8000f308: 01076733 or a4,a4,a6 + 8000f30c: 00001837 lui a6,0x1 + 8000f310: 0085951b slliw a0,a1,0x8 + 8000f314: f0080813 addi a6,a6,-256 # f00 <_entry_offset+0xf00> + 8000f318: 01057533 and a0,a0,a6 + 8000f31c: 4045d59b sraiw a1,a1,0x4 + 8000f320: 001bda97 auipc s5,0x1bd + 8000f324: 954a8a93 addi s5,s5,-1708 # 801cbc74 <_ZL8MapperNo> + 8000f328: 00a76733 or a4,a4,a0 + 8000f32c: 071a2223 sw a7,100(s4) + 8000f330: 04ba2a23 sw a1,84(s4) + 8000f334: 00eaa023 sw a4,0(s5) + 8000f338: 0086f713 andi a4,a3,8 + 8000f33c: 001bdc97 auipc s9,0x1bd + 8000f340: 914c8c93 addi s9,s9,-1772 # 801cbc50 + 8000f344: 4a070863 beqz a4,8000f7f4 <_Z8iNESLoadPKcP8FCEUFILEi+0x6c0> + 8000f348: 00200713 li a4,2 + 8000f34c: 00ec8023 sb a4,0(s9) + 8000f350: 0044c703 lbu a4,4(s1) + 8000f354: 00800693 li a3,8 + 8000f358: 00070b1b sext.w s6,a4 + 8000f35c: 46d61c63 bne a2,a3,8000f7d4 <_Z8iNESLoadPKcP8FCEUFILEi+0x6a0> + 8000f360: 0094c703 lbu a4,9(s1) + 8000f364: 000016b7 lui a3,0x1 + 8000f368: f0068693 addi a3,a3,-256 # f00 <_entry_offset+0xf00> + 8000f36c: 0087171b slliw a4,a4,0x8 + 8000f370: 00d77733 and a4,a4,a3 + 8000f374: 00eb6b33 or s6,s6,a4 + 8000f378: 000b0b1b sext.w s6,s6 + 8000f37c: 000b0513 mv a0,s6 + 8000f380: 1d0030ef jal ra,80012550 <_Z6uppow2j> + 8000f384: 001bd797 auipc a5,0x1bd + 8000f388: 8f478793 addi a5,a5,-1804 # 801cbc78 + 8000f38c: 0007a703 lw a4,0(a5) + 8000f390: 001bdb97 auipc s7,0x1bd + 8000f394: 8d0b8b93 addi s7,s7,-1840 # 801cbc60 + 8000f398: 00aba023 sw a0,0(s7) + 8000f39c: 0054c503 lbu a0,5(s1) + 8000f3a0: 02070063 beqz a4,8000f3c0 <_Z8iNESLoadPKcP8FCEUFILEi+0x28c> + 8000f3a4: 0094c703 lbu a4,9(s1) + 8000f3a8: 000016b7 lui a3,0x1 + 8000f3ac: f0068693 addi a3,a3,-256 # f00 <_entry_offset+0xf00> + 8000f3b0: 0047171b slliw a4,a4,0x4 + 8000f3b4: 00d77733 and a4,a4,a3 + 8000f3b8: 00e56533 or a0,a0,a4 + 8000f3bc: 0005051b sext.w a0,a0 + 8000f3c0: 190030ef jal ra,80012550 <_Z6uppow2j> + 8000f3c4: 000aa703 lw a4,0(s5) + 8000f3c8: 001bdc17 auipc s8,0x1bd + 8000f3cc: 8a8c0c13 addi s8,s8,-1880 # 801cbc70 + 8000f3d0: 00ac2023 sw a0,0(s8) + 8000f3d4: 03500693 li a3,53 + 8000f3d8: 16d708e3 beq a4,a3,8000fd48 <_Z8iNESLoadPKcP8FCEUFILEi+0xc14> + 8000f3dc: 0c600693 li a3,198 + 8000f3e0: 16d704e3 beq a4,a3,8000fd48 <_Z8iNESLoadPKcP8FCEUFILEi+0xc14> + 8000f3e4: 0e400693 li a3,228 + 8000f3e8: 16d700e3 beq a4,a3,8000fd48 <_Z8iNESLoadPKcP8FCEUFILEi+0xc14> + 8000f3ec: ddd70713 addi a4,a4,-547 + 8000f3f0: 00e037b3 snez a5,a4 + 8000f3f4: 00f13423 sd a5,8(sp) + 8000f3f8: 000ba503 lw a0,0(s7) + 8000f3fc: 001bdd17 auipc s10,0x1bd + 8000f400: 85cd0d13 addi s10,s10,-1956 # 801cbc58 + 8000f404: 00e5151b slliw a0,a0,0xe + 8000f408: 0dc030ef jal ra,800124e4 <_Z11FCEU_mallocj> + 8000f40c: 00ad3023 sd a0,0(s10) + 8000f410: d80506e3 beqz a0,8000f19c <_Z8iNESLoadPKcP8FCEUFILEi+0x68> + 8000f414: 000ba603 lw a2,0(s7) + 8000f418: 0ff00593 li a1,255 + 8000f41c: 00e6161b slliw a2,a2,0xe + 8000f420: 02061613 slli a2,a2,0x20 + 8000f424: 02065613 srli a2,a2,0x20 + 8000f428: 6002c0ef jal ra,8003ba28 + 8000f42c: 000c2503 lw a0,0(s8) + 8000f430: 7e051063 bnez a0,8000fc10 <_Z8iNESLoadPKcP8FCEUFILEi+0xadc> + 8000f434: 0064c783 lbu a5,6(s1) + 8000f438: 0047f793 andi a5,a5,4 + 8000f43c: 000792e3 bnez a5,8000fc40 <_Z8iNESLoadPKcP8FCEUFILEi+0xb0c> + 8000f440: 7f1000ef jal ra,80010430 <_Z16ResetCartMappingv> + 8000f444: 000ba603 lw a2,0(s7) + 8000f448: 000d3583 ld a1,0(s10) + 8000f44c: 00000513 li a0,0 + 8000f450: 00e6161b slliw a2,a2,0xe + 8000f454: 00000693 li a3,0 + 8000f458: 09c010ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8000f45c: 00813783 ld a5,8(sp) + 8000f460: 000d3503 ld a0,0(s10) + 8000f464: 000b0613 mv a2,s6 + 8000f468: 00078463 beqz a5,8000f470 <_Z8iNESLoadPKcP8FCEUFILEi+0x33c> + 8000f46c: 000be603 lwu a2,0(s7) + 8000f470: 00040693 mv a3,s0 + 8000f474: 000045b7 lui a1,0x4 + 8000f478: 9b8f10ef jal ra,80000630 <_Z10FCEU_freadPvmmP8FCEUFILE> + 8000f47c: 000c2603 lw a2,0(s8) + 8000f480: 7e061e63 bnez a2,8000fc7c <_Z8iNESLoadPKcP8FCEUFILEi+0xb48> + 8000f484: 02810513 addi a0,sp,40 + 8000f488: 4f9010ef jal ra,80011180 <_Z10md5_startsP11md5_context> + 8000f48c: 000ba603 lw a2,0(s7) + 8000f490: 000d3583 ld a1,0(s10) + 8000f494: 02810513 addi a0,sp,40 + 8000f498: 00e6161b slliw a2,a2,0xe + 8000f49c: 6b5020ef jal ra,80012350 <_Z10md5_updateP11md5_contextPhj> + 8000f4a0: 000c2603 lw a2,0(s8) + 8000f4a4: 7c061063 bnez a2,8000fc64 <_Z8iNESLoadPKcP8FCEUFILEi+0xb30> + 8000f4a8: 000b3597 auipc a1,0xb3 + 8000f4ac: f3058593 addi a1,a1,-208 # 800c23d8 <_ZL8iNESCart+0x68> + 8000f4b0: 02810513 addi a0,sp,40 + 8000f4b4: 6a9020ef jal ra,8001235c <_Z10md5_finishP11md5_contextPh> + 8000f4b8: 001bd417 auipc s0,0x1bd + 8000f4bc: 19040413 addi s0,s0,400 # 801cc648 + 8000f4c0: 00043503 ld a0,0(s0) + 8000f4c4: 000b3597 auipc a1,0xb3 + 8000f4c8: f1458593 addi a1,a1,-236 # 800c23d8 <_ZL8iNESCart+0x68> + 8000f4cc: 01000613 li a2,16 + 8000f4d0: 02450513 addi a0,a0,36 + 8000f4d4: 6802c0ef jal ra,8003bb54 + 8000f4d8: 00813783 ld a5,8(sp) + 8000f4dc: 000b059b sext.w a1,s6 + 8000f4e0: 00078463 beqz a5,8000f4e8 <_Z8iNESLoadPKcP8FCEUFILEi+0x3b4> + 8000f4e4: 000ba583 lw a1,0(s7) + 8000f4e8: 00033517 auipc a0,0x33 + 8000f4ec: 9f050513 addi a0,a0,-1552 # 80041ed8 <_ZL9C96000PAL+0x4c8> + 8000f4f0: 0582c0ef jal ra,8003b548 + 8000f4f4: 0054c583 lbu a1,5(s1) + 8000f4f8: 00033517 auipc a0,0x33 + 8000f4fc: 9f850513 addi a0,a0,-1544 # 80041ef0 <_ZL9C96000PAL+0x4e0> + 8000f500: 000b3d97 auipc s11,0xb3 + 8000f504: ed8d8d93 addi s11,s11,-296 # 800c23d8 <_ZL8iNESCart+0x68> + 8000f508: 0402c0ef jal ra,8003b548 + 8000f50c: 00033517 auipc a0,0x33 + 8000f510: 9fc50513 addi a0,a0,-1540 # 80041f08 <_ZL9C96000PAL+0x4f8> + 8000f514: 0342c0ef jal ra,8003b548 + 8000f518: 000b3b17 auipc s6,0xb3 + 8000f51c: e58b0b13 addi s6,s6,-424 # 800c2370 <_ZL8iNESCart> + 8000f520: 000b3d17 auipc s10,0xb3 + 8000f524: ec8d0d13 addi s10,s10,-312 # 800c23e8 <_ZL8iNESCart+0x78> + 8000f528: 00033b97 auipc s7,0x33 + 8000f52c: 9f0b8b93 addi s7,s7,-1552 # 80041f18 <_ZL9C96000PAL+0x508> + 8000f530: 000dc583 lbu a1,0(s11) + 8000f534: 000b8513 mv a0,s7 + 8000f538: 001d8d93 addi s11,s11,1 + 8000f53c: 00c2c0ef jal ra,8003b548 + 8000f540: ffbd18e3 bne s10,s11,8000f530 <_Z8iNESLoadPKcP8FCEUFILEi+0x3fc> + 8000f544: 00037517 auipc a0,0x37 + 8000f548: 04450513 addi a0,a0,68 # 80046588 + 8000f54c: 7fd2b0ef jal ra,8003b548 + 8000f550: 000aa583 lw a1,0(s5) + 8000f554: 00039797 auipc a5,0x39 + 8000f558: 69c78793 addi a5,a5,1692 # 80048bf0 + 8000f55c: 00000713 li a4,0 + 8000f560: 0e000613 li a2,224 + 8000f564: 00c0006f j 8000f570 <_Z8iNESLoadPKcP8FCEUFILEi+0x43c> + 8000f568: 0017071b addiw a4,a4,1 + 8000f56c: 0cc70ce3 beq a4,a2,8000fe44 <_Z8iNESLoadPKcP8FCEUFILEi+0xd10> + 8000f570: 0007a683 lw a3,0(a5) + 8000f574: 01878793 addi a5,a5,24 + 8000f578: feb698e3 bne a3,a1,8000f568 <_Z8iNESLoadPKcP8FCEUFILEi+0x434> + 8000f57c: 00171793 slli a5,a4,0x1 + 8000f580: 00e787b3 add a5,a5,a4 + 8000f584: 00039b97 auipc s7,0x39 + 8000f588: 664b8b93 addi s7,s7,1636 # 80048be8 + 8000f58c: 00379793 slli a5,a5,0x3 + 8000f590: 00fb87b3 add a5,s7,a5 + 8000f594: 0007bd03 ld s10,0(a5) + 8000f598: 00033517 auipc a0,0x33 + 8000f59c: 98850513 addi a0,a0,-1656 # 80041f20 <_ZL9C96000PAL+0x510> + 8000f5a0: 7a92b0ef jal ra,8003b548 + 8000f5a4: 000d0593 mv a1,s10 + 8000f5a8: 00033517 auipc a0,0x33 + 8000f5ac: 98850513 addi a0,a0,-1656 # 80041f30 <_ZL9C96000PAL+0x520> + 8000f5b0: 7992b0ef jal ra,8003b548 + 8000f5b4: 000cc783 lbu a5,0(s9) + 8000f5b8: 00200713 li a4,2 + 8000f5bc: 00033597 auipc a1,0x33 + 8000f5c0: 89c58593 addi a1,a1,-1892 # 80041e58 <_ZL9C96000PAL+0x448> + 8000f5c4: 00e78863 beq a5,a4,8000f5d4 <_Z8iNESLoadPKcP8FCEUFILEi+0x4a0> + 8000f5c8: 00033597 auipc a1,0x33 + 8000f5cc: 8a858593 addi a1,a1,-1880 # 80041e70 <_ZL9C96000PAL+0x460> + 8000f5d0: 32078663 beqz a5,8000f8fc <_Z8iNESLoadPKcP8FCEUFILEi+0x7c8> + 8000f5d4: 00033517 auipc a0,0x33 + 8000f5d8: 97450513 addi a0,a0,-1676 # 80041f48 <_ZL9C96000PAL+0x538> + 8000f5dc: 76d2b0ef jal ra,8003b548 + 8000f5e0: 0064c783 lbu a5,6(s1) + 8000f5e4: 00033597 auipc a1,0x33 + 8000f5e8: 8ac58593 addi a1,a1,-1876 # 80041e90 <_ZL9C96000PAL+0x480> + 8000f5ec: 0027f793 andi a5,a5,2 + 8000f5f0: 00079663 bnez a5,8000f5fc <_Z8iNESLoadPKcP8FCEUFILEi+0x4c8> + 8000f5f4: 00033597 auipc a1,0x33 + 8000f5f8: 8a458593 addi a1,a1,-1884 # 80041e98 <_ZL9C96000PAL+0x488> + 8000f5fc: 00033517 auipc a0,0x33 + 8000f600: 95c50513 addi a0,a0,-1700 # 80041f58 <_ZL9C96000PAL+0x548> + 8000f604: 7452b0ef jal ra,8003b548 + 8000f608: 0064c783 lbu a5,6(s1) + 8000f60c: 00033597 auipc a1,0x33 + 8000f610: 88458593 addi a1,a1,-1916 # 80041e90 <_ZL9C96000PAL+0x480> + 8000f614: 0047f793 andi a5,a5,4 + 8000f618: 00079663 bnez a5,8000f624 <_Z8iNESLoadPKcP8FCEUFILEi+0x4f0> + 8000f61c: 00033597 auipc a1,0x33 + 8000f620: 87c58593 addi a1,a1,-1924 # 80041e98 <_ZL9C96000PAL+0x488> + 8000f624: 00033517 auipc a0,0x33 + 8000f628: 94c50513 addi a0,a0,-1716 # 80041f70 <_ZL9C96000PAL+0x560> + 8000f62c: 71d2b0ef jal ra,8003b548 + 8000f630: 001bc797 auipc a5,0x1bc + 8000f634: 64878793 addi a5,a5,1608 # 801cbc78 + 8000f638: 0007a783 lw a5,0(a5) + 8000f63c: 66079e63 bnez a5,8000fcb8 <_Z8iNESLoadPKcP8FCEUFILEi+0xb84> + 8000f640: 001bcd97 auipc s11,0x1bc + 8000f644: 63cd8d93 addi s11,s11,1596 # 801cbc7c + 8000f648: 000da583 lw a1,0(s11) + 8000f64c: 00036517 auipc a0,0x36 + 8000f650: 80450513 addi a0,a0,-2044 # 80044e50 <_ZZL8SetInputvE3moo> + 8000f654: 00050793 mv a5,a0 + 8000f658: 00000713 li a4,0 + 8000f65c: 00100693 li a3,1 + 8000f660: 0007a603 lw a2,0(a5) + 8000f664: 01078793 addi a5,a5,16 + 8000f668: 14b60863 beq a2,a1,8000f7b8 <_Z8iNESLoadPKcP8FCEUFILEi+0x684> + 8000f66c: 0047a683 lw a3,4(a5) + 8000f670: 0017071b addiw a4,a4,1 + 8000f674: fe06d6e3 bgez a3,8000f660 <_Z8iNESLoadPKcP8FCEUFILEi+0x52c> + 8000f678: 0087a603 lw a2,8(a5) + 8000f67c: fe0652e3 bgez a2,8000f660 <_Z8iNESLoadPKcP8FCEUFILEi+0x52c> + 8000f680: 00c7a603 lw a2,12(a5) + 8000f684: fc065ee3 bgez a2,8000f660 <_Z8iNESLoadPKcP8FCEUFILEi+0x52c> + 8000f688: 00000793 li a5,0 + 8000f68c: 00000d13 li s10,0 + 8000f690: 04000693 li a3,64 + 8000f694: 077b4703 lbu a4,119(s6) + 8000f698: fffb0b13 addi s6,s6,-1 + 8000f69c: 00f71733 sll a4,a4,a5 + 8000f6a0: 0087879b addiw a5,a5,8 + 8000f6a4: 00ed6d33 or s10,s10,a4 + 8000f6a8: fed796e3 bne a5,a3,8000f694 <_Z8iNESLoadPKcP8FCEUFILEi+0x560> + 8000f6ac: 000d0513 mv a0,s10 + 8000f6b0: a45ff0ef jal ra,8000f0f4 <_Z8CheckBady> + 8000f6b4: 001bc797 auipc a5,0x1bc + 8000f6b8: 5807ba23 sd zero,1428(a5) # 801cbc48 + 8000f6bc: 00000793 li a5,0 + 8000f6c0: 00037697 auipc a3,0x37 + 8000f6c4: 2b86b683 ld a3,696(a3) # 80046978 + 8000f6c8: 0007871b sext.w a4,a5 + 8000f6cc: 00900593 li a1,9 + 8000f6d0: 00034617 auipc a2,0x34 + 8000f6d4: bd860613 addi a2,a2,-1064 # 800432a8 <_ZL14sMasterRomInfo> + 8000f6d8: 00178793 addi a5,a5,1 + 8000f6dc: 02dd0063 beq s10,a3,8000f6fc <_Z8iNESLoadPKcP8FCEUFILEi+0x5c8> + 8000f6e0: 00479713 slli a4,a5,0x4 + 8000f6e4: 00e60733 add a4,a2,a4 + 8000f6e8: 02b78663 beq a5,a1,8000f714 <_Z8iNESLoadPKcP8FCEUFILEi+0x5e0> + 8000f6ec: 00073683 ld a3,0(a4) + 8000f6f0: 0007871b sext.w a4,a5 + 8000f6f4: 00178793 addi a5,a5,1 + 8000f6f8: fedd14e3 bne s10,a3,8000f6e0 <_Z8iNESLoadPKcP8FCEUFILEi+0x5ac> + 8000f6fc: 00034797 auipc a5,0x34 + 8000f700: bac78793 addi a5,a5,-1108 # 800432a8 <_ZL14sMasterRomInfo> + 8000f704: 00471713 slli a4,a4,0x4 + 8000f708: 00e78733 add a4,a5,a4 + 8000f70c: 001bc797 auipc a5,0x1bc + 8000f710: 52e7be23 sd a4,1340(a5) # 801cbc48 + 8000f714: 000da603 lw a2,0(s11) + 8000f718: af5d87b7 lui a5,0xaf5d8 + 8000f71c: aa278793 addi a5,a5,-1374 # ffffffffaf5d7aa2 <_end+0xffffffff2f402aa2> + 8000f720: 7ef60c63 beq a2,a5,8000ff18 <_Z8iNESLoadPKcP8FCEUFILEi+0xde4> + 8000f724: 00034797 auipc a5,0x34 + 8000f728: c2c78793 addi a5,a5,-980 # 80043350 <_ZZL10CheckHInfovE3moo+0x18> + 8000f72c: 00000713 li a4,0 + 8000f730: 00100b13 li s6,1 + 8000f734: 0140006f j 8000f748 <_Z8iNESLoadPKcP8FCEUFILEi+0x614> + 8000f738: 0007a683 lw a3,0(a5) + 8000f73c: 01878793 addi a5,a5,24 + 8000f740: 0ec68063 beq a3,a2,8000f820 <_Z8iNESLoadPKcP8FCEUFILEi+0x6ec> + 8000f744: 0087ab03 lw s6,8(a5) + 8000f748: 0017071b addiw a4,a4,1 + 8000f74c: fe0b56e3 bgez s6,8000f738 <_Z8iNESLoadPKcP8FCEUFILEi+0x604> + 8000f750: 0047a683 lw a3,4(a5) + 8000f754: fe06d2e3 bgez a3,8000f738 <_Z8iNESLoadPKcP8FCEUFILEi+0x604> + 8000f758: 000aa503 lw a0,0(s5) + 8000f75c: 00000d93 li s11,0 + 8000f760: 00037697 auipc a3,0x37 + 8000f764: 2286b683 ld a3,552(a3) # 80046988 + 8000f768: 0064c583 lbu a1,6(s1) + 8000f76c: 00035717 auipc a4,0x35 + 8000f770: 5ec70713 addi a4,a4,1516 # 80044d58 <_ZZL10CheckHInfovE5savie+0x10> + 8000f774: 00037797 auipc a5,0x37 + 8000f778: 20c7b783 ld a5,524(a5) # 80046980 + 8000f77c: 00000893 li a7,0 + 8000f780: 19a68463 beq a3,s10,8000f908 <_Z8iNESLoadPKcP8FCEUFILEi+0x7d4> + 8000f784: 1c078063 beqz a5,8000f944 <_Z8iNESLoadPKcP8FCEUFILEi+0x810> + 8000f788: 00073603 ld a2,0(a4) + 8000f78c: 00078693 mv a3,a5 + 8000f790: 00870713 addi a4,a4,8 + 8000f794: 00060793 mv a5,a2 + 8000f798: ffa696e3 bne a3,s10,8000f784 <_Z8iNESLoadPKcP8FCEUFILEi+0x650> + 8000f79c: 16c0006f j 8000f908 <_Z8iNESLoadPKcP8FCEUFILEi+0x7d4> + 8000f7a0: 00900613 li a2,9 + 8000f7a4: 00000593 li a1,0 + 8000f7a8: 000b3517 auipc a0,0xb3 + 8000f7ac: c4f50513 addi a0,a0,-945 # 800c23f7 + 8000f7b0: 2782c0ef jal ra,8003ba28 + 8000f7b4: a81ff06f j 8000f234 <_Z8iNESLoadPKcP8FCEUFILEi+0x100> + 8000f7b8: 00043783 ld a5,0(s0) + 8000f7bc: 00471713 slli a4,a4,0x4 + 8000f7c0: 00e50733 add a4,a0,a4 + 8000f7c4: 00d7aa23 sw a3,20(a5) + 8000f7c8: 00873703 ld a4,8(a4) + 8000f7cc: 00e7bc23 sd a4,24(a5) + 8000f7d0: eb9ff06f j 8000f688 <_Z8iNESLoadPKcP8FCEUFILEi+0x554> + 8000f7d4: ba0714e3 bnez a4,8000f37c <_Z8iNESLoadPKcP8FCEUFILEi+0x248> + 8000f7d8: 001bcb97 auipc s7,0x1bc + 8000f7dc: 488b8b93 addi s7,s7,1160 # 801cbc60 + 8000f7e0: 10000713 li a4,256 + 8000f7e4: 0054c503 lbu a0,5(s1) + 8000f7e8: 00eba023 sw a4,0(s7) + 8000f7ec: 00000b13 li s6,0 + 8000f7f0: bd1ff06f j 8000f3c0 <_Z8iNESLoadPKcP8FCEUFILEi+0x28c> + 8000f7f4: 0016f693 andi a3,a3,1 + 8000f7f8: 00dc8023 sb a3,0(s9) + 8000f7fc: b55ff06f j 8000f350 <_Z8iNESLoadPKcP8FCEUFILEi+0x21c> + 8000f800: 0064c683 lbu a3,6(s1) + 8000f804: 0f077713 andi a4,a4,240 + 8000f808: 001bca97 auipc s5,0x1bc + 8000f80c: 46ca8a93 addi s5,s5,1132 # 801cbc74 <_ZL8MapperNo> + 8000f810: 4046d59b sraiw a1,a3,0x4 + 8000f814: 00e5e733 or a4,a1,a4 + 8000f818: 00eaa023 sw a4,0(s5) + 8000f81c: b1dff06f j 8000f338 <_Z8iNESLoadPKcP8FCEUFILEi+0x204> + 8000f820: 00171793 slli a5,a4,0x1 + 8000f824: 00e78733 add a4,a5,a4 + 8000f828: 00371713 slli a4,a4,0x3 + 8000f82c: 00034797 auipc a5,0x34 + 8000f830: b0c78793 addi a5,a5,-1268 # 80043338 <_ZZL10CheckHInfovE3moo> + 8000f834: 00e78733 add a4,a5,a4 + 8000f838: 00472783 lw a5,4(a4) + 8000f83c: 0e07ce63 bltz a5,8000f938 <_Z8iNESLoadPKcP8FCEUFILEi+0x804> + 8000f840: 00001837 lui a6,0x1 + 8000f844: 80080813 addi a6,a6,-2048 # 800 <_entry_offset+0x800> + 8000f848: 0107fdb3 and s11,a5,a6 + 8000f84c: 000d8863 beqz s11,8000f85c <_Z8iNESLoadPKcP8FCEUFILEi+0x728> + 8000f850: 000c2703 lw a4,0(s8) + 8000f854: 00000d93 li s11,0 + 8000f858: 68071063 bnez a4,8000fed8 <_Z8iNESLoadPKcP8FCEUFILEi+0xda4> + 8000f85c: 03379713 slli a4,a5,0x33 + 8000f860: 0ff00513 li a0,255 + 8000f864: 00075663 bgez a4,8000f870 <_Z8iNESLoadPKcP8FCEUFILEi+0x73c> + 8000f868: 00001537 lui a0,0x1 + 8000f86c: fff50513 addi a0,a0,-1 # fff <_entry_offset+0xfff> + 8000f870: 000aa703 lw a4,0(s5) + 8000f874: 00f57533 and a0,a0,a5 + 8000f878: 00a70663 beq a4,a0,8000f884 <_Z8iNESLoadPKcP8FCEUFILEi+0x750> + 8000f87c: 001ded93 ori s11,s11,1 + 8000f880: 00aaa023 sw a0,0(s5) + 8000f884: ec0b4ee3 bltz s6,8000f760 <_Z8iNESLoadPKcP8FCEUFILEi+0x62c> + 8000f888: 00800713 li a4,8 + 8000f88c: 000cc783 lbu a5,0(s9) + 8000f890: 5eeb0263 beq s6,a4,8000fe74 <_Z8iNESLoadPKcP8FCEUFILEi+0xd40> + 8000f894: 0007871b sext.w a4,a5 + 8000f898: ed6784e3 beq a5,s6,8000f760 <_Z8iNESLoadPKcP8FCEUFILEi+0x62c> + 8000f89c: ffbb7793 andi a5,s6,-5 + 8000f8a0: 00f70863 beq a4,a5,8000f8b0 <_Z8iNESLoadPKcP8FCEUFILEi+0x77c> + 8000f8a4: 00200713 li a4,2 + 8000f8a8: 00f74463 blt a4,a5,8000f8b0 <_Z8iNESLoadPKcP8FCEUFILEi+0x77c> + 8000f8ac: 002ded93 ori s11,s11,2 + 8000f8b0: 016c8023 sb s6,0(s9) + 8000f8b4: eadff06f j 8000f760 <_Z8iNESLoadPKcP8FCEUFILEi+0x62c> + 8000f8b8: 00300613 li a2,3 + 8000f8bc: 00032597 auipc a1,0x32 + 8000f8c0: 61458593 addi a1,a1,1556 # 80041ed0 <_ZL9C96000PAL+0x4c0> + 8000f8c4: 000b3517 auipc a0,0xb3 + 8000f8c8: b3350513 addi a0,a0,-1229 # 800c23f7 + 8000f8cc: 5212b0ef jal ra,8003b5ec + 8000f8d0: 04051863 bnez a0,8000f920 <_Z8iNESLoadPKcP8FCEUFILEi+0x7ec> + 8000f8d4: 00900613 li a2,9 + 8000f8d8: 00000593 li a1,0 + 8000f8dc: 000b3517 auipc a0,0xb3 + 8000f8e0: b1b50513 addi a0,a0,-1253 # 800c23f7 + 8000f8e4: 1442c0ef jal ra,8003ba28 + 8000f8e8: 969ff06f j 8000f250 <_Z8iNESLoadPKcP8FCEUFILEi+0x11c> + 8000f8ec: 0046d69b srliw a3,a3,0x4 + 8000f8f0: 04000893 li a7,64 + 8000f8f4: 00d898bb sllw a7,a7,a3 + 8000f8f8: a01ff06f j 8000f2f8 <_Z8iNESLoadPKcP8FCEUFILEi+0x1c4> + 8000f8fc: 00032597 auipc a1,0x32 + 8000f900: 58458593 addi a1,a1,1412 # 80041e80 <_ZL9C96000PAL+0x470> + 8000f904: cd1ff06f j 8000f5d4 <_Z8iNESLoadPKcP8FCEUFILEi+0x4a0> + 8000f908: 0025f693 andi a3,a1,2 + 8000f90c: e6069ce3 bnez a3,8000f784 <_Z8iNESLoadPKcP8FCEUFILEi+0x650> + 8000f910: 004ded93 ori s11,s11,4 + 8000f914: 0025e593 ori a1,a1,2 + 8000f918: 00100893 li a7,1 + 8000f91c: e69ff06f j 8000f784 <_Z8iNESLoadPKcP8FCEUFILEi+0x650> + 8000f920: 00600613 li a2,6 + 8000f924: 00000593 li a1,0 + 8000f928: 000b3517 auipc a0,0xb3 + 8000f92c: ad250513 addi a0,a0,-1326 # 800c23fa + 8000f930: 0f82c0ef jal ra,8003ba28 + 8000f934: 91dff06f j 8000f250 <_Z8iNESLoadPKcP8FCEUFILEi+0x11c> + 8000f938: 000aa503 lw a0,0(s5) + 8000f93c: 00000d93 li s11,0 + 8000f940: f45ff06f j 8000f884 <_Z8iNESLoadPKcP8FCEUFILEi+0x750> + 8000f944: 00088463 beqz a7,8000f94c <_Z8iNESLoadPKcP8FCEUFILEi+0x818> + 8000f948: 00b48323 sb a1,6(s1) + 8000f94c: 07600793 li a5,118 + 8000f950: 1cf50a63 beq a0,a5,8000fb24 <_Z8iNESLoadPKcP8FCEUFILEi+0x9f0> + 8000f954: 01800793 li a5,24 + 8000f958: 1cf50663 beq a0,a5,8000fb24 <_Z8iNESLoadPKcP8FCEUFILEi+0x9f0> + 8000f95c: 01a00793 li a5,26 + 8000f960: 4ef50c63 beq a0,a5,8000fe58 <_Z8iNESLoadPKcP8FCEUFILEi+0xd24> + 8000f964: 06300793 li a5,99 + 8000f968: 00f51663 bne a0,a5,8000f974 <_Z8iNESLoadPKcP8FCEUFILEi+0x840> + 8000f96c: 00200793 li a5,2 + 8000f970: 00fc8023 sb a5,0(s9) + 8000f974: 400d9263 bnez s11,8000fd78 <_Z8iNESLoadPKcP8FCEUFILEi+0xc44> + 8000f978: 000c2603 lw a2,0(s8) + 8000f97c: 32061063 bnez a2,8000fc9c <_Z8iNESLoadPKcP8FCEUFILEi+0xb68> + 8000f980: 000cc583 lbu a1,0(s9) + 8000f984: 00200793 li a5,2 + 8000f988: 3cf58463 beq a1,a5,8000fd50 <_Z8iNESLoadPKcP8FCEUFILEi+0xc1c> + 8000f98c: 00f00713 li a4,15 + 8000f990: 0015f793 andi a5,a1,1 + 8000f994: 0015f513 andi a0,a1,1 + 8000f998: 26b77263 bgeu a4,a1,8000fbfc <_Z8iNESLoadPKcP8FCEUFILEi+0xac8> + 8000f99c: 0027851b addiw a0,a5,2 + 8000f9a0: 00000613 li a2,0 + 8000f9a4: 00100593 li a1,1 + 8000f9a8: 6e4010ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 8000f9ac: 0064c703 lbu a4,6(s1) + 8000f9b0: 000cc603 lbu a2,0(s9) + 8000f9b4: 010bb683 ld a3,16(s7) + 8000f9b8: 4017579b sraiw a5,a4,0x1 + 8000f9bc: 0017f793 andi a5,a5,1 + 8000f9c0: 0003ab97 auipc s7,0x3a + 8000f9c4: 740b8b93 addi s7,s7,1856 # 8004a100 <_ZL10CHRRAMSize> + 8000f9c8: 04fa2623 sw a5,76(s4) + 8000f9cc: fff00793 li a5,-1 + 8000f9d0: 04ca2423 sw a2,72(s4) + 8000f9d4: 00fba023 sw a5,0(s7) + 8000f9d8: 000aa583 lw a1,0(s5) + 8000f9dc: 34068e63 beqz a3,8000fd38 <_Z8iNESLoadPKcP8FCEUFILEi+0xc04> + 8000f9e0: 00039b17 auipc s6,0x39 + 8000f9e4: 208b0b13 addi s6,s6,520 # 80048be8 + 8000f9e8: 0100006f j 8000f9f8 <_Z8iNESLoadPKcP8FCEUFILEi+0x8c4> + 8000f9ec: 028b3783 ld a5,40(s6) + 8000f9f0: 018b0b13 addi s6,s6,24 + 8000f9f4: 34078263 beqz a5,8000fd38 <_Z8iNESLoadPKcP8FCEUFILEi+0xc04> + 8000f9f8: 008b2783 lw a5,8(s6) + 8000f9fc: feb798e3 bne a5,a1,8000f9ec <_Z8iNESLoadPKcP8FCEUFILEi+0x8b8> + 8000fa00: 000c2783 lw a5,0(s8) + 8000fa04: 001bc697 auipc a3,0x1bc + 8000fa08: 0c06be23 sd zero,220(a3) # 801cbae0 + 8000fa0c: 06079663 bnez a5,8000fa78 <_Z8iNESLoadPKcP8FCEUFILEi+0x944> + 8000fa10: 050a2783 lw a5,80(s4) + 8000fa14: 3e079c63 bnez a5,8000fe0c <_Z8iNESLoadPKcP8FCEUFILEi+0xcd8> + 8000fa18: 02d00793 li a5,45 + 8000fa1c: 3cf58063 beq a1,a5,8000fddc <_Z8iNESLoadPKcP8FCEUFILEi+0xca8> + 8000fa20: 40b7c063 blt a5,a1,8000fe20 <_Z8iNESLoadPKcP8FCEUFILEi+0xcec> + 8000fa24: 00d00793 li a5,13 + 8000fa28: 3af59263 bne a1,a5,8000fdcc <_Z8iNESLoadPKcP8FCEUFILEi+0xc98> + 8000fa2c: 000047b7 lui a5,0x4 + 8000fa30: 00fba023 sw a5,0(s7) + 8000fa34: 00004537 lui a0,0x4 + 8000fa38: 000047b7 lui a5,0x4 + 8000fa3c: 06fa2023 sw a5,96(s4) + 8000fa40: 305020ef jal ra,80012544 <_Z12FCEU_dmallocj> + 8000fa44: 001bcc17 auipc s8,0x1bc + 8000fa48: 224c0c13 addi s8,s8,548 # 801cbc68 + 8000fa4c: 00ac3023 sd a0,0(s8) + 8000fa50: 2e050263 beqz a0,8000fd34 <_Z8iNESLoadPKcP8FCEUFILEi+0xc00> + 8000fa54: 000ba583 lw a1,0(s7) + 8000fa58: 00000613 li a2,0 + 8000fa5c: 4f8240ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 8000fa60: 000c3583 ld a1,0(s8) + 8000fa64: 000ba603 lw a2,0(s7) + 8000fa68: 001bc797 auipc a5,0x1bc + 8000fa6c: 06b7bc23 sd a1,120(a5) # 801cbae0 + 8000fa70: 08061663 bnez a2,8000fafc <_Z8iNESLoadPKcP8FCEUFILEi+0x9c8> + 8000fa74: 0064c703 lbu a4,6(s1) + 8000fa78: 00877713 andi a4,a4,8 + 8000fa7c: 40071863 bnez a4,8000fe8c <_Z8iNESLoadPKcP8FCEUFILEi+0xd58> + 8000fa80: 010b3783 ld a5,16(s6) + 8000fa84: 000b3517 auipc a0,0xb3 + 8000fa88: 8ec50513 addi a0,a0,-1812 # 800c2370 <_ZL8iNESCart> + 8000fa8c: 000780e7 jalr a5 + 8000fa90: 000aa703 lw a4,0(s5) + 8000fa94: 00043783 ld a5,0(s0) + 8000fa98: 00090593 mv a1,s2 + 8000fa9c: 000b2517 auipc a0,0xb2 + 8000faa0: 0d450513 addi a0,a0,212 # 800c1b70 + 8000faa4: 00e7a423 sw a4,8(a5) + 8000faa8: 0602c0ef jal ra,8003bb08 + 8000faac: fffff797 auipc a5,0xfffff + 8000fab0: 47078793 addi a5,a5,1136 # 8000ef1c <_Z6iNESGI2GI> + 8000fab4: 00037517 auipc a0,0x37 + 8000fab8: ad450513 addi a0,a0,-1324 # 80046588 + 8000fabc: 001bd717 auipc a4,0x1bd + 8000fac0: b8f73a23 sd a5,-1132(a4) # 801cc650 + 8000fac4: 001bc797 auipc a5,0x1bc + 8000fac8: 1d47b623 sd s4,460(a5) # 801cbc90 + 8000facc: 27d2b0ef jal ra,8003b548 + 8000fad0: 001bc797 auipc a5,0x1bc + 8000fad4: 1a878793 addi a5,a5,424 # 801cbc78 + 8000fad8: 0007a783 lw a5,0(a5) + 8000fadc: 10078663 beqz a5,8000fbe8 <_Z8iNESLoadPKcP8FCEUFILEi+0xab4> + 8000fae0: 00c4c503 lbu a0,12(s1) + 8000fae4: 00100d93 li s11,1 + 8000fae8: 00357513 andi a0,a0,3 + 8000faec: fff50513 addi a0,a0,-1 + 8000faf0: 00153513 seqz a0,a0 + 8000faf4: 329240ef jal ra,8003461c <_Z18FCEUI_SetVidSystemi> + 8000faf8: ea8ff06f j 8000f1a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x6c> + 8000fafc: 00100693 li a3,1 + 8000fb00: 00000513 li a0,0 + 8000fb04: 2c1000ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8000fb08: 000ba583 lw a1,0(s7) + 8000fb0c: 000c3503 ld a0,0(s8) + 8000fb10: 00032697 auipc a3,0x32 + 8000fb14: 63868693 addi a3,a3,1592 # 80042148 <_ZL9C96000PAL+0x738> + 8000fb18: 00000613 li a2,0 + 8000fb1c: cf4f00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8000fb20: f55ff06f j 8000fa74 <_Z8iNESLoadPKcP8FCEUFILEi+0x940> + 8000fb24: 000cc703 lbu a4,0(s9) + 8000fb28: 00200793 li a5,2 + 8000fb2c: e4f714e3 bne a4,a5,8000f974 <_Z8iNESLoadPKcP8FCEUFILEi+0x840> + 8000fb30: 001bc797 auipc a5,0x1bc + 8000fb34: 12078023 sb zero,288(a5) # 801cbc50 + 8000fb38: 06300793 li a5,99 + 8000fb3c: 002ded93 ori s11,s11,2 + 8000fb40: e2f506e3 beq a0,a5,8000f96c <_Z8iNESLoadPKcP8FCEUFILEi+0x838> + 8000fb44: 08010d13 addi s10,sp,128 + 8000fb48: 00032597 auipc a1,0x32 + 8000fb4c: 4d858593 addi a1,a1,1240 # 80042020 <_ZL9C96000PAL+0x610> + 8000fb50: 000d0513 mv a0,s10 + 8000fb54: 7b52b0ef jal ra,8003bb08 + 8000fb58: 001df793 andi a5,s11,1 + 8000fb5c: 24079463 bnez a5,8000fda4 <_Z8iNESLoadPKcP8FCEUFILEi+0xc70> + 8000fb60: 00032797 auipc a5,0x32 + 8000fb64: 32078793 addi a5,a5,800 # 80041e80 <_ZL9C96000PAL+0x470> + 8000fb68: 00f13823 sd a5,16(sp) + 8000fb6c: 00032797 auipc a5,0x32 + 8000fb70: 30478793 addi a5,a5,772 # 80041e70 <_ZL9C96000PAL+0x460> + 8000fb74: 00f13c23 sd a5,24(sp) + 8000fb78: 000d0513 mv a0,s10 + 8000fb7c: 00032797 auipc a5,0x32 + 8000fb80: 53c78793 addi a5,a5,1340 # 800420b8 <_ZL9C96000PAL+0x6a8> + 8000fb84: 02f13023 sd a5,32(sp) + 8000fb88: 0412a0ef jal ra,8003a3c8 + 8000fb8c: 000cc783 lbu a5,0(s9) + 8000fb90: 38010713 addi a4,sp,896 + 8000fb94: 00032597 auipc a1,0x32 + 8000fb98: 53458593 addi a1,a1,1332 # 800420c8 <_ZL9C96000PAL+0x6b8> + 8000fb9c: 0037f793 andi a5,a5,3 + 8000fba0: 00379793 slli a5,a5,0x3 + 8000fba4: 00f707b3 add a5,a4,a5 + 8000fba8: c907b603 ld a2,-880(a5) + 8000fbac: 00ad0533 add a0,s10,a0 + 8000fbb0: 1ed2b0ef jal ra,8003b59c + 8000fbb4: 004df793 andi a5,s11,4 + 8000fbb8: 30079663 bnez a5,8000fec4 <_Z8iNESLoadPKcP8FCEUFILEi+0xd90> + 8000fbbc: 008df813 andi a6,s11,8 + 8000fbc0: 2e081863 bnez a6,8000feb0 <_Z8iNESLoadPKcP8FCEUFILEi+0xd7c> + 8000fbc4: 00037597 auipc a1,0x37 + 8000fbc8: 9c458593 addi a1,a1,-1596 # 80046588 + 8000fbcc: 000d0513 mv a0,s10 + 8000fbd0: 7552b0ef jal ra,8003bb24 + 8000fbd4: 000d0593 mv a1,s10 + 8000fbd8: 0002e517 auipc a0,0x2e + 8000fbdc: a7050513 addi a0,a0,-1424 # 8003d648 + 8000fbe0: 1692b0ef jal ra,8003b548 + 8000fbe4: d95ff06f j 8000f978 <_Z8iNESLoadPKcP8FCEUFILEi+0x844> + 8000fbe8: 00100d93 li s11,1 + 8000fbec: da098a63 beqz s3,8000f1a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x6c> + 8000fbf0: 00000513 li a0,0 + 8000fbf4: 229240ef jal ra,8003461c <_Z18FCEUI_SetVidSystemi> + 8000fbf8: da8ff06f j 8000f1a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x6c> + 8000fbfc: 4025d59b sraiw a1,a1,0x2 + 8000fc00: 00000613 li a2,0 + 8000fc04: 0015f593 andi a1,a1,1 + 8000fc08: 484010ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 8000fc0c: da1ff06f j 8000f9ac <_Z8iNESLoadPKcP8FCEUFILEi+0x878> + 8000fc10: 00d5151b slliw a0,a0,0xd + 8000fc14: 0d1020ef jal ra,800124e4 <_Z11FCEU_mallocj> + 8000fc18: 001bc797 auipc a5,0x1bc + 8000fc1c: 04a7b823 sd a0,80(a5) # 801cbc68 + 8000fc20: 2e050263 beqz a0,8000ff04 <_Z8iNESLoadPKcP8FCEUFILEi+0xdd0> + 8000fc24: 000c2603 lw a2,0(s8) + 8000fc28: 0ff00593 li a1,255 + 8000fc2c: 00d6161b slliw a2,a2,0xd + 8000fc30: 02061613 slli a2,a2,0x20 + 8000fc34: 02065613 srli a2,a2,0x20 + 8000fc38: 5f12b0ef jal ra,8003ba28 + 8000fc3c: ff8ff06f j 8000f434 <_Z8iNESLoadPKcP8FCEUFILEi+0x300> + 8000fc40: 20000513 li a0,512 + 8000fc44: 029020ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8000fc48: 00040693 mv a3,s0 + 8000fc4c: 00100613 li a2,1 + 8000fc50: 20000593 li a1,512 + 8000fc54: 001bc797 auipc a5,0x1bc + 8000fc58: 02a7b623 sd a0,44(a5) # 801cbc80 + 8000fc5c: 9d5f00ef jal ra,80000630 <_Z10FCEU_freadPvmmP8FCEUFILE> + 8000fc60: fe0ff06f j 8000f440 <_Z8iNESLoadPKcP8FCEUFILEi+0x30c> + 8000fc64: 00d6161b slliw a2,a2,0xd + 8000fc68: 001bc597 auipc a1,0x1bc + 8000fc6c: 0005b583 ld a1,0(a1) # 801cbc68 + 8000fc70: 02810513 addi a0,sp,40 + 8000fc74: 6dc020ef jal ra,80012350 <_Z10md5_updateP11md5_contextPhj> + 8000fc78: 831ff06f j 8000f4a8 <_Z8iNESLoadPKcP8FCEUFILEi+0x374> + 8000fc7c: 02061613 slli a2,a2,0x20 + 8000fc80: 00040693 mv a3,s0 + 8000fc84: 02065613 srli a2,a2,0x20 + 8000fc88: 000025b7 lui a1,0x2 + 8000fc8c: 001bc517 auipc a0,0x1bc + 8000fc90: fdc53503 ld a0,-36(a0) # 801cbc68 + 8000fc94: 99df00ef jal ra,80000630 <_Z10FCEU_freadPvmmP8FCEUFILE> + 8000fc98: fecff06f j 8000f484 <_Z8iNESLoadPKcP8FCEUFILEi+0x350> + 8000fc9c: 00d6161b slliw a2,a2,0xd + 8000fca0: 00000693 li a3,0 + 8000fca4: 001bc597 auipc a1,0x1bc + 8000fca8: fc45b583 ld a1,-60(a1) # 801cbc68 + 8000fcac: 00000513 li a0,0 + 8000fcb0: 115000ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8000fcb4: ccdff06f j 8000f980 <_Z8iNESLoadPKcP8FCEUFILEi+0x84c> + 8000fcb8: 00032517 auipc a0,0x32 + 8000fcbc: 2c850513 addi a0,a0,712 # 80041f80 <_ZL9C96000PAL+0x570> + 8000fcc0: 0892b0ef jal ra,8003b548 + 8000fcc4: 054a2583 lw a1,84(s4) + 8000fcc8: 00032517 auipc a0,0x32 + 8000fccc: 2d050513 addi a0,a0,720 # 80041f98 <_ZL9C96000PAL+0x588> + 8000fcd0: 0792b0ef jal ra,8003b548 + 8000fcd4: 05ca2783 lw a5,92(s4) + 8000fcd8: 058a2583 lw a1,88(s4) + 8000fcdc: 00032517 auipc a0,0x32 + 8000fce0: 2d450513 addi a0,a0,724 # 80041fb0 <_ZL9C96000PAL+0x5a0> + 8000fce4: 00f585bb addw a1,a1,a5 + 8000fce8: 0612b0ef jal ra,8003b548 + 8000fcec: 064a2783 lw a5,100(s4) + 8000fcf0: 060a2583 lw a1,96(s4) + 8000fcf4: 00032517 auipc a0,0x32 + 8000fcf8: 2d450513 addi a0,a0,724 # 80041fc8 <_ZL9C96000PAL+0x5b8> + 8000fcfc: 00f585bb addw a1,a1,a5 + 8000fd00: 0492b0ef jal ra,8003b548 + 8000fd04: 0064c783 lbu a5,6(s1) + 8000fd08: 0027f793 andi a5,a5,2 + 8000fd0c: 92078ae3 beqz a5,8000f640 <_Z8iNESLoadPKcP8FCEUFILEi+0x50c> + 8000fd10: 05ca2583 lw a1,92(s4) + 8000fd14: 00032517 auipc a0,0x32 + 8000fd18: 2cc50513 addi a0,a0,716 # 80041fe0 <_ZL9C96000PAL+0x5d0> + 8000fd1c: 02d2b0ef jal ra,8003b548 + 8000fd20: 064a2583 lw a1,100(s4) + 8000fd24: 00032517 auipc a0,0x32 + 8000fd28: 2dc50513 addi a0,a0,732 # 80042000 <_ZL9C96000PAL+0x5f0> + 8000fd2c: 01d2b0ef jal ra,8003b548 + 8000fd30: 911ff06f j 8000f640 <_Z8iNESLoadPKcP8FCEUFILEi+0x50c> + 8000fd34: 000aa583 lw a1,0(s5) + 8000fd38: 00032517 auipc a0,0x32 + 8000fd3c: 42050513 addi a0,a0,1056 # 80042158 <_ZL9C96000PAL+0x748> + 8000fd40: 0092b0ef jal ra,8003b548 + 8000fd44: d4dff06f j 8000fa90 <_Z8iNESLoadPKcP8FCEUFILEi+0x95c> + 8000fd48: 00013423 sd zero,8(sp) + 8000fd4c: eacff06f j 8000f3f8 <_Z8iNESLoadPKcP8FCEUFILEi+0x2c4> + 8000fd50: 00001537 lui a0,0x1 + 8000fd54: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8000fd58: 714020ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8000fd5c: 00050613 mv a2,a0 + 8000fd60: 00100593 li a1,1 + 8000fd64: 00400513 li a0,4 + 8000fd68: 001bc797 auipc a5,0x1bc + 8000fd6c: ecc7bc23 sd a2,-296(a5) # 801cbc40 + 8000fd70: 31c010ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 8000fd74: c39ff06f j 8000f9ac <_Z8iNESLoadPKcP8FCEUFILEi+0x878> + 8000fd78: 08010d13 addi s10,sp,128 + 8000fd7c: 00032597 auipc a1,0x32 + 8000fd80: 2a458593 addi a1,a1,676 # 80042020 <_ZL9C96000PAL+0x610> + 8000fd84: 000d0513 mv a0,s10 + 8000fd88: 5812b0ef jal ra,8003bb08 + 8000fd8c: 002dfb13 andi s6,s11,2 + 8000fd90: 001df793 andi a5,s11,1 + 8000fd94: 000b0b1b sext.w s6,s6 + 8000fd98: 00079863 bnez a5,8000fda8 <_Z8iNESLoadPKcP8FCEUFILEi+0xc74> + 8000fd9c: e00b0ce3 beqz s6,8000fbb4 <_Z8iNESLoadPKcP8FCEUFILEi+0xa80> + 8000fda0: dc1ff06f j 8000fb60 <_Z8iNESLoadPKcP8FCEUFILEi+0xa2c> + 8000fda4: 00200b13 li s6,2 + 8000fda8: 000d0513 mv a0,s10 + 8000fdac: 61c2a0ef jal ra,8003a3c8 + 8000fdb0: 000aa603 lw a2,0(s5) + 8000fdb4: 00032597 auipc a1,0x32 + 8000fdb8: 2d458593 addi a1,a1,724 # 80042088 <_ZL9C96000PAL+0x678> + 8000fdbc: 00ad0533 add a0,s10,a0 + 8000fdc0: 7dc2b0ef jal ra,8003b59c + 8000fdc4: de0b08e3 beqz s6,8000fbb4 <_Z8iNESLoadPKcP8FCEUFILEi+0xa80> + 8000fdc8: d99ff06f j 8000fb60 <_Z8iNESLoadPKcP8FCEUFILEi+0xa2c> + 8000fdcc: 02b7d263 bge a5,a1,8000fdf0 <_Z8iNESLoadPKcP8FCEUFILEi+0xcbc> + 8000fdd0: fe35859b addiw a1,a1,-29 + 8000fdd4: 00100793 li a5,1 + 8000fdd8: 02b7e063 bltu a5,a1,8000fdf8 <_Z8iNESLoadPKcP8FCEUFILEi+0xcc4> + 8000fddc: 000087b7 lui a5,0x8 + 8000fde0: 00fba023 sw a5,0(s7) + 8000fde4: 00008537 lui a0,0x8 + 8000fde8: 000087b7 lui a5,0x8 + 8000fdec: c51ff06f j 8000fa3c <_Z8iNESLoadPKcP8FCEUFILEi+0x908> + 8000fdf0: 00600793 li a5,6 + 8000fdf4: fef584e3 beq a1,a5,8000fddc <_Z8iNESLoadPKcP8FCEUFILEi+0xca8> + 8000fdf8: 000027b7 lui a5,0x2 + 8000fdfc: 00fba023 sw a5,0(s7) + 8000fe00: 00002537 lui a0,0x2 + 8000fe04: 000027b7 lui a5,0x2 + 8000fe08: c35ff06f j 8000fa3c <_Z8iNESLoadPKcP8FCEUFILEi+0x908> + 8000fe0c: 064a2503 lw a0,100(s4) + 8000fe10: 060a2783 lw a5,96(s4) + 8000fe14: 00f5053b addw a0,a0,a5 + 8000fe18: 00aba023 sw a0,0(s7) + 8000fe1c: c25ff06f j 8000fa40 <_Z8iNESLoadPKcP8FCEUFILEi+0x90c> + 8000fe20: 06000793 li a5,96 + 8000fe24: faf58ce3 beq a1,a5,8000fddc <_Z8iNESLoadPKcP8FCEUFILEi+0xca8> + 8000fe28: 0b000793 li a5,176 + 8000fe2c: fcf596e3 bne a1,a5,8000fdf8 <_Z8iNESLoadPKcP8FCEUFILEi+0xcc4> + 8000fe30: 000207b7 lui a5,0x20 + 8000fe34: 00fba023 sw a5,0(s7) + 8000fe38: 00020537 lui a0,0x20 + 8000fe3c: 000207b7 lui a5,0x20 + 8000fe40: bfdff06f j 8000fa3c <_Z8iNESLoadPKcP8FCEUFILEi+0x908> + 8000fe44: 00032d17 auipc s10,0x32 + 8000fe48: 004d0d13 addi s10,s10,4 # 80041e48 <_ZL9C96000PAL+0x438> + 8000fe4c: 00039b97 auipc s7,0x39 + 8000fe50: d9cb8b93 addi s7,s7,-612 # 80048be8 + 8000fe54: f44ff06f j 8000f598 <_Z8iNESLoadPKcP8FCEUFILEi+0x464> + 8000fe58: 000cc703 lbu a4,0(s9) + 8000fe5c: 00200793 li a5,2 + 8000fe60: b0f71ae3 bne a4,a5,8000f974 <_Z8iNESLoadPKcP8FCEUFILEi+0x840> + 8000fe64: 001bc797 auipc a5,0x1bc + 8000fe68: de078623 sb zero,-532(a5) # 801cbc50 + 8000fe6c: 002ded93 ori s11,s11,2 + 8000fe70: cd5ff06f j 8000fb44 <_Z8iNESLoadPKcP8FCEUFILEi+0xa10> + 8000fe74: 00200713 li a4,2 + 8000fe78: 8ee794e3 bne a5,a4,8000f760 <_Z8iNESLoadPKcP8FCEUFILEi+0x62c> + 8000fe7c: 002ded93 ori s11,s11,2 + 8000fe80: 001bc797 auipc a5,0x1bc + 8000fe84: dc078823 sb zero,-560(a5) # 801cbc50 + 8000fe88: 8d9ff06f j 8000f760 <_Z8iNESLoadPKcP8FCEUFILEi+0x62c> + 8000fe8c: 000015b7 lui a1,0x1 + 8000fe90: 00032697 auipc a3,0x32 + 8000fe94: 2c068693 addi a3,a3,704 # 80042150 <_ZL9C96000PAL+0x740> + 8000fe98: 00000613 li a2,0 + 8000fe9c: 80058593 addi a1,a1,-2048 # 800 <_entry_offset+0x800> + 8000fea0: 001bc517 auipc a0,0x1bc + 8000fea4: da053503 ld a0,-608(a0) # 801cbc40 + 8000fea8: 968f00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8000feac: bd5ff06f j 8000fa80 <_Z8iNESLoadPKcP8FCEUFILEi+0x94c> + 8000feb0: 00032597 auipc a1,0x32 + 8000feb4: 26858593 addi a1,a1,616 # 80042118 <_ZL9C96000PAL+0x708> + 8000feb8: 000d0513 mv a0,s10 + 8000febc: 4692b0ef jal ra,8003bb24 + 8000fec0: d05ff06f j 8000fbc4 <_Z8iNESLoadPKcP8FCEUFILEi+0xa90> + 8000fec4: 00032597 auipc a1,0x32 + 8000fec8: 22c58593 addi a1,a1,556 # 800420f0 <_ZL9C96000PAL+0x6e0> + 8000fecc: 000d0513 mv a0,s10 + 8000fed0: 4552b0ef jal ra,8003bb24 + 8000fed4: ce9ff06f j 8000fbbc <_Z8iNESLoadPKcP8FCEUFILEi+0xa88> + 8000fed8: 001bc517 auipc a0,0x1bc + 8000fedc: d9053503 ld a0,-624(a0) # 801cbc68 + 8000fee0: 00f13423 sd a5,8(sp) + 8000fee4: 001bc797 auipc a5,0x1bc + 8000fee8: d807a623 sw zero,-628(a5) # 801cbc70 + 8000feec: 4cc2a0ef jal ra,8003a3b8 + 8000fef0: 001bc797 auipc a5,0x1bc + 8000fef4: d607bc23 sd zero,-648(a5) # 801cbc68 + 8000fef8: 00813783 ld a5,8(sp) + 8000fefc: 00800d93 li s11,8 + 8000ff00: 95dff06f j 8000f85c <_Z8iNESLoadPKcP8FCEUFILEi+0x728> + 8000ff04: 000d3503 ld a0,0(s10) + 8000ff08: 4b02a0ef jal ra,8003a3b8 + 8000ff0c: 001bc797 auipc a5,0x1bc + 8000ff10: d407b623 sd zero,-692(a5) # 801cbc58 + 8000ff14: a8cff06f j 8000f1a0 <_Z8iNESLoadPKcP8FCEUFILEi+0x6c> + 8000ff18: 000aa503 lw a0,0(s5) + 8000ff1c: 000cc783 lbu a5,0(s9) + 8000ff20: 00000d93 li s11,0 + 8000ff24: 00000b13 li s6,0 + 8000ff28: 96dff06f j 8000f894 <_Z8iNESLoadPKcP8FCEUFILEi+0x760> + +000000008000ff2c <_Z8setchr1rijj.part.0>: + 8000ff2c: fe010113 addi sp,sp,-32 + 8000ff30: 00813823 sd s0,16(sp) + 8000ff34: 00913423 sd s1,8(sp) + 8000ff38: 00060413 mv s0,a2 + 8000ff3c: 00058493 mv s1,a1 + 8000ff40: 01213023 sd s2,0(sp) + 8000ff44: 00113c23 sd ra,24(sp) + 8000ff48: 00050913 mv s2,a0 + 8000ff4c: 6bc280ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 8000ff50: 00291713 slli a4,s2,0x2 + 8000ff54: 000b2797 auipc a5,0xb2 + 8000ff58: 4ac78793 addi a5,a5,1196 # 800c2400 + 8000ff5c: 00e787b3 add a5,a5,a4 + 8000ff60: 000b2717 auipc a4,0xb2 + 8000ff64: 7a070713 addi a4,a4,1952 # 800c2700 + 8000ff68: 01270733 add a4,a4,s2 + 8000ff6c: 00a4d69b srliw a3,s1,0xa + 8000ff70: 0007a783 lw a5,0(a5) + 8000ff74: 00074503 lbu a0,0(a4) + 8000ff78: 00100713 li a4,1 + 8000ff7c: 00d7173b sllw a4,a4,a3 + 8000ff80: 0187171b slliw a4,a4,0x18 + 8000ff84: 001bc617 auipc a2,0x1bc + 8000ff88: 78060613 addi a2,a2,1920 # 801cc704 + 8000ff8c: 00064583 lbu a1,0(a2) + 8000ff90: 00f477b3 and a5,s0,a5 + 8000ff94: 00a4d69b srliw a3,s1,0xa + 8000ff98: 4187571b sraiw a4,a4,0x18 + 8000ff9c: 06050863 beqz a0,8001000c <_Z8setchr1rijj.part.0+0xe0> + 8000ffa0: 00b76733 or a4,a4,a1 + 8000ffa4: 00e60023 sb a4,0(a2) + 8000ffa8: 00391913 slli s2,s2,0x3 + 8000ffac: 000b2517 auipc a0,0xb2 + 8000ffb0: 65450513 addi a0,a0,1620 # 800c2600 + 8000ffb4: 01250933 add s2,a0,s2 + 8000ffb8: 00a7979b slliw a5,a5,0xa + 8000ffbc: 00093603 ld a2,0(s2) + 8000ffc0: 02049593 slli a1,s1,0x20 + 8000ffc4: 02069713 slli a4,a3,0x20 + 8000ffc8: 02079793 slli a5,a5,0x20 + 8000ffcc: 0207d793 srli a5,a5,0x20 + 8000ffd0: 02075713 srli a4,a4,0x20 + 8000ffd4: 0205d593 srli a1,a1,0x20 + 8000ffd8: 01813083 ld ra,24(sp) + 8000ffdc: 01013403 ld s0,16(sp) + 8000ffe0: 40b785b3 sub a1,a5,a1 + 8000ffe4: 00371713 slli a4,a4,0x3 + 8000ffe8: 0003a797 auipc a5,0x3a + 8000ffec: 1207b783 ld a5,288(a5) # 8004a108 + 8000fff0: 00e78733 add a4,a5,a4 + 8000fff4: 00b605b3 add a1,a2,a1 + 8000fff8: 00b73023 sd a1,0(a4) + 8000fffc: 00813483 ld s1,8(sp) + 80010000: 00013903 ld s2,0(sp) + 80010004: 02010113 addi sp,sp,32 + 80010008: 00008067 ret + 8001000c: fff74713 not a4,a4 + 80010010: 00b77733 and a4,a4,a1 + 80010014: 00e60023 sb a4,0(a2) + 80010018: f91ff06f j 8000ffa8 <_Z8setchr1rijj.part.0+0x7c> + +000000008001001c <_Z8setchr2rijj.part.0>: + 8001001c: fe010113 addi sp,sp,-32 + 80010020: 00813823 sd s0,16(sp) + 80010024: 00913423 sd s1,8(sp) + 80010028: 00060413 mv s0,a2 + 8001002c: 00058493 mv s1,a1 + 80010030: 01213023 sd s2,0(sp) + 80010034: 00113c23 sd ra,24(sp) + 80010038: 00050913 mv s2,a0 + 8001003c: 5cc280ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80010040: 00291713 slli a4,s2,0x2 + 80010044: 000b2797 auipc a5,0xb2 + 80010048: 43c78793 addi a5,a5,1084 # 800c2480 + 8001004c: 00e787b3 add a5,a5,a4 + 80010050: 0007a783 lw a5,0(a5) + 80010054: 00391693 slli a3,s2,0x3 + 80010058: 000b2717 auipc a4,0xb2 + 8001005c: 5a870713 addi a4,a4,1448 # 800c2600 + 80010060: 00f477b3 and a5,s0,a5 + 80010064: 00d70733 add a4,a4,a3 + 80010068: 00b7979b slliw a5,a5,0xb + 8001006c: 00073583 ld a1,0(a4) + 80010070: 02049513 slli a0,s1,0x20 + 80010074: 00a4d71b srliw a4,s1,0xa + 80010078: 02079793 slli a5,a5,0x20 + 8001007c: 02055513 srli a0,a0,0x20 + 80010080: 0017069b addiw a3,a4,1 + 80010084: 0207d793 srli a5,a5,0x20 + 80010088: 02071713 slli a4,a4,0x20 + 8001008c: 0003a617 auipc a2,0x3a + 80010090: 07c63603 ld a2,124(a2) # 8004a108 + 80010094: 40a787b3 sub a5,a5,a0 + 80010098: 00369693 slli a3,a3,0x3 + 8001009c: 02075713 srli a4,a4,0x20 + 800100a0: 00f587b3 add a5,a1,a5 + 800100a4: 00d606b3 add a3,a2,a3 + 800100a8: 00371713 slli a4,a4,0x3 + 800100ac: 00f6b023 sd a5,0(a3) + 800100b0: 00e60733 add a4,a2,a4 + 800100b4: 000b2517 auipc a0,0xb2 + 800100b8: 64c50513 addi a0,a0,1612 # 800c2700 + 800100bc: 00f73023 sd a5,0(a4) + 800100c0: 01250933 add s2,a0,s2 + 800100c4: 00a4d49b srliw s1,s1,0xa + 800100c8: 00300793 li a5,3 + 800100cc: 00094703 lbu a4,0(s2) + 800100d0: 009797bb sllw a5,a5,s1 + 800100d4: 0187979b slliw a5,a5,0x18 + 800100d8: 4187d79b sraiw a5,a5,0x18 + 800100dc: 02070863 beqz a4,8001010c <_Z8setchr2rijj.part.0+0xf0> + 800100e0: 001bc717 auipc a4,0x1bc + 800100e4: 62470713 addi a4,a4,1572 # 801cc704 + 800100e8: 00074683 lbu a3,0(a4) + 800100ec: 00d7e7b3 or a5,a5,a3 + 800100f0: 00f70023 sb a5,0(a4) + 800100f4: 01813083 ld ra,24(sp) + 800100f8: 01013403 ld s0,16(sp) + 800100fc: 00813483 ld s1,8(sp) + 80010100: 00013903 ld s2,0(sp) + 80010104: 02010113 addi sp,sp,32 + 80010108: 00008067 ret + 8001010c: 001bc717 auipc a4,0x1bc + 80010110: 5f870713 addi a4,a4,1528 # 801cc704 + 80010114: 00074683 lbu a3,0(a4) + 80010118: fff7c793 not a5,a5 + 8001011c: 00d7f7b3 and a5,a5,a3 + 80010120: 00f70023 sb a5,0(a4) + 80010124: 01813083 ld ra,24(sp) + 80010128: 01013403 ld s0,16(sp) + 8001012c: 00813483 ld s1,8(sp) + 80010130: 00013903 ld s2,0(sp) + 80010134: 02010113 addi sp,sp,32 + 80010138: 00008067 ret + +000000008001013c <_Z8setchr4rijj.part.0>: + 8001013c: fe010113 addi sp,sp,-32 + 80010140: 00813823 sd s0,16(sp) + 80010144: 00913423 sd s1,8(sp) + 80010148: 00060413 mv s0,a2 + 8001014c: 00058493 mv s1,a1 + 80010150: 01213023 sd s2,0(sp) + 80010154: 00113c23 sd ra,24(sp) + 80010158: 00050913 mv s2,a0 + 8001015c: 4ac280ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80010160: 00291713 slli a4,s2,0x2 + 80010164: 000b2797 auipc a5,0xb2 + 80010168: 39c78793 addi a5,a5,924 # 800c2500 + 8001016c: 00e787b3 add a5,a5,a4 + 80010170: 0007a783 lw a5,0(a5) + 80010174: 00391693 slli a3,s2,0x3 + 80010178: 000b2717 auipc a4,0xb2 + 8001017c: 48870713 addi a4,a4,1160 # 800c2600 + 80010180: 00f477b3 and a5,s0,a5 + 80010184: 00d70733 add a4,a4,a3 + 80010188: 00c7979b slliw a5,a5,0xc + 8001018c: 00073603 ld a2,0(a4) + 80010190: 02049593 slli a1,s1,0x20 + 80010194: 00a4d71b srliw a4,s1,0xa + 80010198: 02079793 slli a5,a5,0x20 + 8001019c: 0205d593 srli a1,a1,0x20 + 800101a0: 0037051b addiw a0,a4,3 + 800101a4: 0207d793 srli a5,a5,0x20 + 800101a8: 0003a697 auipc a3,0x3a + 800101ac: f606b683 ld a3,-160(a3) # 8004a108 + 800101b0: 40b787b3 sub a5,a5,a1 + 800101b4: 00351513 slli a0,a0,0x3 + 800101b8: 0027059b addiw a1,a4,2 + 800101bc: 00f607b3 add a5,a2,a5 + 800101c0: 00a68533 add a0,a3,a0 + 800101c4: 0017061b addiw a2,a4,1 + 800101c8: 00359593 slli a1,a1,0x3 + 800101cc: 02071713 slli a4,a4,0x20 + 800101d0: 00f53023 sd a5,0(a0) + 800101d4: 00b685b3 add a1,a3,a1 + 800101d8: 00361613 slli a2,a2,0x3 + 800101dc: 02075713 srli a4,a4,0x20 + 800101e0: 00f5b023 sd a5,0(a1) + 800101e4: 00c68633 add a2,a3,a2 + 800101e8: 00371713 slli a4,a4,0x3 + 800101ec: 00f63023 sd a5,0(a2) + 800101f0: 00e68733 add a4,a3,a4 + 800101f4: 000b2517 auipc a0,0xb2 + 800101f8: 50c50513 addi a0,a0,1292 # 800c2700 + 800101fc: 00f73023 sd a5,0(a4) + 80010200: 01250933 add s2,a0,s2 + 80010204: 00a4d49b srliw s1,s1,0xa + 80010208: 00f00793 li a5,15 + 8001020c: 00094703 lbu a4,0(s2) + 80010210: 009797bb sllw a5,a5,s1 + 80010214: 0187979b slliw a5,a5,0x18 + 80010218: 4187d79b sraiw a5,a5,0x18 + 8001021c: 02070863 beqz a4,8001024c <_Z8setchr4rijj.part.0+0x110> + 80010220: 001bc717 auipc a4,0x1bc + 80010224: 4e470713 addi a4,a4,1252 # 801cc704 + 80010228: 00074683 lbu a3,0(a4) + 8001022c: 00d7e7b3 or a5,a5,a3 + 80010230: 00f70023 sb a5,0(a4) + 80010234: 01813083 ld ra,24(sp) + 80010238: 01013403 ld s0,16(sp) + 8001023c: 00813483 ld s1,8(sp) + 80010240: 00013903 ld s2,0(sp) + 80010244: 02010113 addi sp,sp,32 + 80010248: 00008067 ret + 8001024c: 001bc717 auipc a4,0x1bc + 80010250: 4b870713 addi a4,a4,1208 # 801cc704 + 80010254: 00074683 lbu a3,0(a4) + 80010258: fff7c793 not a5,a5 + 8001025c: 00d7f7b3 and a5,a5,a3 + 80010260: 00f70023 sb a5,0(a4) + 80010264: 01813083 ld ra,24(sp) + 80010268: 01013403 ld s0,16(sp) + 8001026c: 00813483 ld s1,8(sp) + 80010270: 00013903 ld s2,0(sp) + 80010274: 02010113 addi sp,sp,32 + 80010278: 00008067 ret + +000000008001027c <_Z8setchr8rij.part.0>: + 8001027c: fe010113 addi sp,sp,-32 + 80010280: 00813823 sd s0,16(sp) + 80010284: 00913423 sd s1,8(sp) + 80010288: 00058413 mv s0,a1 + 8001028c: 00050493 mv s1,a0 + 80010290: 00113c23 sd ra,24(sp) + 80010294: 374280ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80010298: 00249713 slli a4,s1,0x2 + 8001029c: 000b2797 auipc a5,0xb2 + 800102a0: 2e478793 addi a5,a5,740 # 800c2580 + 800102a4: 00e787b3 add a5,a5,a4 + 800102a8: 0007a683 lw a3,0(a5) + 800102ac: 0003a817 auipc a6,0x3a + 800102b0: e5c83803 ld a6,-420(a6) # 8004a108 + 800102b4: 00349713 slli a4,s1,0x3 + 800102b8: 00d476b3 and a3,s0,a3 + 800102bc: 00d6969b slliw a3,a3,0xd + 800102c0: 02069693 slli a3,a3,0x20 + 800102c4: 000b2597 auipc a1,0xb2 + 800102c8: 33c58593 addi a1,a1,828 # 800c2600 + 800102cc: 0206d693 srli a3,a3,0x20 + 800102d0: 03880793 addi a5,a6,56 + 800102d4: 00e585b3 add a1,a1,a4 + 800102d8: 0005b703 ld a4,0(a1) + 800102dc: 00078613 mv a2,a5 + 800102e0: ff878793 addi a5,a5,-8 + 800102e4: 00d70733 add a4,a4,a3 + 800102e8: 00e7b423 sd a4,8(a5) + 800102ec: fec816e3 bne a6,a2,800102d8 <_Z8setchr8rij.part.0+0x5c> + 800102f0: 000b2517 auipc a0,0xb2 + 800102f4: 41050513 addi a0,a0,1040 # 800c2700 + 800102f8: 009504b3 add s1,a0,s1 + 800102fc: 0004c783 lbu a5,0(s1) + 80010300: 02078263 beqz a5,80010324 <_Z8setchr8rij.part.0+0xa8> + 80010304: 01813083 ld ra,24(sp) + 80010308: 01013403 ld s0,16(sp) + 8001030c: fff00793 li a5,-1 + 80010310: 001bc717 auipc a4,0x1bc + 80010314: 3ef70a23 sb a5,1012(a4) # 801cc704 + 80010318: 00813483 ld s1,8(sp) + 8001031c: 02010113 addi sp,sp,32 + 80010320: 00008067 ret + 80010324: 01813083 ld ra,24(sp) + 80010328: 01013403 ld s0,16(sp) + 8001032c: 001bc797 auipc a5,0x1bc + 80010330: 3c078c23 sb zero,984(a5) # 801cc704 + 80010334: 00813483 ld s1,8(sp) + 80010338: 02010113 addi sp,sp,32 + 8001033c: 00008067 ret + +0000000080010340 <_Z9setmirrori.part.0>: + 80010340: 00200793 li a5,2 + 80010344: 08f50263 beq a0,a5,800103c8 <_Z9setmirrori.part.0+0x88> + 80010348: 04a7c463 blt a5,a0,80010390 <_Z9setmirrori.part.0+0x50> + 8001034c: 0a050663 beqz a0,800103f8 <_Z9setmirrori.part.0+0xb8> + 80010350: 00100793 li a5,1 + 80010354: 02f51663 bne a0,a5,80010380 <_Z9setmirrori.part.0+0x40> + 80010358: 001bb797 auipc a5,0x1bb + 8001035c: 76878793 addi a5,a5,1896 # 801cbac0 + 80010360: 001b9697 auipc a3,0x1b9 + 80010364: 1c868693 addi a3,a3,456 # 801c9528 + 80010368: 001b9717 auipc a4,0x1b9 + 8001036c: 5c070713 addi a4,a4,1472 # 801c9928 + 80010370: 00d7b823 sd a3,16(a5) + 80010374: 00d7b023 sd a3,0(a5) + 80010378: 00e7bc23 sd a4,24(a5) + 8001037c: 00e7b423 sd a4,8(a5) + 80010380: 00f00793 li a5,15 + 80010384: 001bc717 auipc a4,0x1bc + 80010388: 38f70123 sb a5,898(a4) # 801cc706 + 8001038c: 00008067 ret + 80010390: 00300793 li a5,3 + 80010394: fef516e3 bne a0,a5,80010380 <_Z9setmirrori.part.0+0x40> + 80010398: 001bb797 auipc a5,0x1bb + 8001039c: 72878793 addi a5,a5,1832 # 801cbac0 + 800103a0: 001b9717 auipc a4,0x1b9 + 800103a4: 58870713 addi a4,a4,1416 # 801c9928 + 800103a8: 00e7bc23 sd a4,24(a5) + 800103ac: 00e7b823 sd a4,16(a5) + 800103b0: 00e7b423 sd a4,8(a5) + 800103b4: 00e7b023 sd a4,0(a5) + 800103b8: 00f00793 li a5,15 + 800103bc: 001bc717 auipc a4,0x1bc + 800103c0: 34f70523 sb a5,842(a4) # 801cc706 + 800103c4: 00008067 ret + 800103c8: 001bb797 auipc a5,0x1bb + 800103cc: 6f878793 addi a5,a5,1784 # 801cbac0 + 800103d0: 001b9717 auipc a4,0x1b9 + 800103d4: 15870713 addi a4,a4,344 # 801c9528 + 800103d8: 00e7bc23 sd a4,24(a5) + 800103dc: 00e7b823 sd a4,16(a5) + 800103e0: 00e7b423 sd a4,8(a5) + 800103e4: 00e7b023 sd a4,0(a5) + 800103e8: 00f00793 li a5,15 + 800103ec: 001bc717 auipc a4,0x1bc + 800103f0: 30f70d23 sb a5,794(a4) # 801cc706 + 800103f4: 00008067 ret + 800103f8: 001bb797 auipc a5,0x1bb + 800103fc: 6c878793 addi a5,a5,1736 # 801cbac0 + 80010400: 001b9717 auipc a4,0x1b9 + 80010404: 52870713 addi a4,a4,1320 # 801c9928 + 80010408: 001b9697 auipc a3,0x1b9 + 8001040c: 12068693 addi a3,a3,288 # 801c9528 + 80010410: 00e7bc23 sd a4,24(a5) + 80010414: 00e7b823 sd a4,16(a5) + 80010418: 00d7b423 sd a3,8(a5) + 8001041c: 00d7b023 sd a3,0(a5) + 80010420: 00f00793 li a5,15 + 80010424: 001bc717 auipc a4,0x1bc + 80010428: 2ef70123 sb a5,738(a4) # 801cc706 + 8001042c: 00008067 ret + +0000000080010430 <_Z16ResetCartMappingv>: + 80010430: ff010113 addi sp,sp,-16 + 80010434: 00113423 sd ra,8(sp) + 80010438: 370280ef jal ra,800387a8 <_Z14PPU_ResetHooksv> + 8001043c: 000b3817 auipc a6,0xb3 + 80010440: 94480813 addi a6,a6,-1724 # 800c2d80 <_ZL7nothing> + 80010444: 000b2797 auipc a5,0xb2 + 80010448: 7fc78793 addi a5,a5,2044 # 800c2c40 + 8001044c: 000b2517 auipc a0,0xb2 + 80010450: 1b450513 addi a0,a0,436 # 800c2600 + 80010454: 000b2597 auipc a1,0xb2 + 80010458: 64c58593 addi a1,a1,1612 # 800c2aa0 + 8001045c: 000b2617 auipc a2,0xb2 + 80010460: 2c460613 addi a2,a2,708 # 800c2720 + 80010464: 000b2697 auipc a3,0xb2 + 80010468: 75c68693 addi a3,a3,1884 # 800c2bc0 + 8001046c: 000b3897 auipc a7,0xb3 + 80010470: 8d488893 addi a7,a7,-1836 # 800c2d40 + 80010474: 00080713 mv a4,a6 + 80010478: 00e7b023 sd a4,0(a5) + 8001047c: 00053023 sd zero,0(a0) + 80010480: 0005b023 sd zero,0(a1) + 80010484: 00062023 sw zero,0(a2) + 80010488: 0006a023 sw zero,0(a3) + 8001048c: 00878793 addi a5,a5,8 + 80010490: 80070713 addi a4,a4,-2048 + 80010494: 00850513 addi a0,a0,8 + 80010498: 00858593 addi a1,a1,8 + 8001049c: 00460613 addi a2,a2,4 + 800104a0: 00468693 addi a3,a3,4 + 800104a4: fd179ae3 bne a5,a7,80010478 <_Z16ResetCartMappingv+0x48> + 800104a8: 0003a697 auipc a3,0x3a + 800104ac: c606b683 ld a3,-928(a3) # 8004a108 + 800104b0: 000b2717 auipc a4,0xb2 + 800104b4: 2f070713 addi a4,a4,752 # 800c27a0 + 800104b8: 000b2797 auipc a5,0xb2 + 800104bc: 32878793 addi a5,a5,808 # 800c27e0 + 800104c0: 000b1617 auipc a2,0xb1 + 800104c4: 8c060613 addi a2,a2,-1856 # 800c0d80 <_ZL9sq2coeffs+0x210> + 800104c8: 0106b023 sd a6,0(a3) + 800104cc: 01073023 sd a6,0(a4) + 800104d0: 0107b023 sd a6,0(a5) + 800104d4: c0080813 addi a6,a6,-1024 + 800104d8: 00868693 addi a3,a3,8 + 800104dc: 00870713 addi a4,a4,8 + 800104e0: 00878793 addi a5,a5,8 + 800104e4: fec812e3 bne a6,a2,800104c8 <_Z16ResetCartMappingv+0x98> + 800104e8: 00813083 ld ra,8(sp) + 800104ec: 01010113 addi sp,sp,16 + 800104f0: 00008067 ret + +00000000800104f4 <_Z19SetupCartPRGMappingiPhji>: + 800104f4: ff010113 addi sp,sp,-16 + 800104f8: 00813423 sd s0,8(sp) + 800104fc: 00351e13 slli t3,a0,0x3 + 80010500: 00c6531b srliw t1,a2,0xc + 80010504: 00d6589b srliw a7,a2,0xd + 80010508: 00e6571b srliw a4,a2,0xe + 8001050c: 000b2417 auipc s0,0xb2 + 80010510: 59440413 addi s0,s0,1428 # 800c2aa0 + 80010514: 00913023 sd s1,0(sp) + 80010518: 00251793 slli a5,a0,0x2 + 8001051c: 01c40433 add s0,s0,t3 + 80010520: fff3049b addiw s1,t1,-1 + 80010524: fff88e9b addiw t4,a7,-1 + 80010528: fff7031b addiw t1,a4,-1 + 8001052c: 000b2397 auipc t2,0xb2 + 80010530: 69438393 addi t2,t2,1684 # 800c2bc0 + 80010534: 000b2717 auipc a4,0xb2 + 80010538: 3ec70713 addi a4,a4,1004 # 800c2920 + 8001053c: 000b2f97 auipc t6,0xb2 + 80010540: 364f8f93 addi t6,t6,868 # 800c28a0 + 80010544: 000b2f17 auipc t5,0xb2 + 80010548: 45cf0f13 addi t5,t5,1116 # 800c29a0 + 8001054c: 000b2e17 auipc t3,0xb2 + 80010550: 4d4e0e13 addi t3,t3,1236 # 800c2a20 + 80010554: 000b2897 auipc a7,0xb2 + 80010558: 2cc88893 addi a7,a7,716 # 800c2820 + 8001055c: 00f383b3 add t2,t2,a5 + 80010560: 00ff8fb3 add t6,t6,a5 + 80010564: 00ff0f33 add t5,t5,a5 + 80010568: 00fe0e33 add t3,t3,a5 + 8001056c: 00f888b3 add a7,a7,a5 + 80010570: 00f707b3 add a5,a4,a5 + 80010574: 000b2717 auipc a4,0xb2 + 80010578: 62c70713 addi a4,a4,1580 # 800c2ba0 + 8001057c: 00a70533 add a0,a4,a0 + 80010580: 00d036b3 snez a3,a3 + 80010584: 00d50023 sb a3,0(a0) + 80010588: 00b43023 sd a1,0(s0) + 8001058c: 00b6529b srliw t0,a2,0xb + 80010590: 00813403 ld s0,8(sp) + 80010594: 00f6581b srliw a6,a2,0xf + 80010598: fff2829b addiw t0,t0,-1 + 8001059c: fff8081b addiw a6,a6,-1 + 800105a0: 009f2023 sw s1,0(t5) + 800105a4: 00c3a023 sw a2,0(t2) + 800105a8: 005fa023 sw t0,0(t6) + 800105ac: 01de2023 sw t4,0(t3) + 800105b0: 0068a023 sw t1,0(a7) + 800105b4: 0107a023 sw a6,0(a5) + 800105b8: 00013483 ld s1,0(sp) + 800105bc: 01010113 addi sp,sp,16 + 800105c0: 00008067 ret + +00000000800105c4 <_Z19SetupCartCHRMappingiPhji>: + 800105c4: 00351e13 slli t3,a0,0x3 + 800105c8: 00a6531b srliw t1,a2,0xa + 800105cc: 00b6589b srliw a7,a2,0xb + 800105d0: 00c6571b srliw a4,a2,0xc + 800105d4: 000b2397 auipc t2,0xb2 + 800105d8: 02c38393 addi t2,t2,44 # 800c2600 + 800105dc: 00251793 slli a5,a0,0x2 + 800105e0: 01c383b3 add t2,t2,t3 + 800105e4: fff30f9b addiw t6,t1,-1 + 800105e8: fff88e9b addiw t4,a7,-1 + 800105ec: fff7031b addiw t1,a4,-1 + 800105f0: 000b2297 auipc t0,0xb2 + 800105f4: 13028293 addi t0,t0,304 # 800c2720 + 800105f8: 000b2717 auipc a4,0xb2 + 800105fc: f8870713 addi a4,a4,-120 # 800c2580 + 80010600: 000b2f17 auipc t5,0xb2 + 80010604: e00f0f13 addi t5,t5,-512 # 800c2400 + 80010608: 000b2e17 auipc t3,0xb2 + 8001060c: e78e0e13 addi t3,t3,-392 # 800c2480 + 80010610: 000b2897 auipc a7,0xb2 + 80010614: ef088893 addi a7,a7,-272 # 800c2500 + 80010618: 00f282b3 add t0,t0,a5 + 8001061c: 00ff0f33 add t5,t5,a5 + 80010620: 00fe0e33 add t3,t3,a5 + 80010624: 00f888b3 add a7,a7,a5 + 80010628: 00d6581b srliw a6,a2,0xd + 8001062c: 00f707b3 add a5,a4,a5 + 80010630: 000b2717 auipc a4,0xb2 + 80010634: 0d070713 addi a4,a4,208 # 800c2700 + 80010638: fff8081b addiw a6,a6,-1 + 8001063c: 00a70533 add a0,a4,a0 + 80010640: 00b3b023 sd a1,0(t2) + 80010644: 00c2a023 sw a2,0(t0) + 80010648: 01ff2023 sw t6,0(t5) + 8001064c: 01de2023 sw t4,0(t3) + 80010650: 0068a023 sw t1,0(a7) + 80010654: 0107a023 sw a6,0(a5) + 80010658: 00d50023 sb a3,0(a0) + 8001065c: 00008067 ret + +0000000080010660 <_Z6CartBRj>: + 80010660: 00b5579b srliw a5,a0,0xb + 80010664: 00379713 slli a4,a5,0x3 + 80010668: 000b2797 auipc a5,0xb2 + 8001066c: 5d878793 addi a5,a5,1496 # 800c2c40 + 80010670: 00e787b3 add a5,a5,a4 + 80010674: 0007b783 ld a5,0(a5) + 80010678: 02051513 slli a0,a0,0x20 + 8001067c: 02055513 srli a0,a0,0x20 + 80010680: 00a78533 add a0,a5,a0 + 80010684: 00054503 lbu a0,0(a0) + 80010688: 00008067 ret + +000000008001068c <_Z6CartBWjh>: + 8001068c: 00b5571b srliw a4,a0,0xb + 80010690: 000b4797 auipc a5,0xb4 + 80010694: 6f078793 addi a5,a5,1776 # 800c4d80 <_ZL8PRGIsRAM> + 80010698: 00e787b3 add a5,a5,a4 + 8001069c: 0007c783 lbu a5,0(a5) + 800106a0: 02078663 beqz a5,800106cc <_Z6CartBWjh+0x40> + 800106a4: 000b2797 auipc a5,0xb2 + 800106a8: 59c78793 addi a5,a5,1436 # 800c2c40 + 800106ac: 00371713 slli a4,a4,0x3 + 800106b0: 00e78733 add a4,a5,a4 + 800106b4: 00073783 ld a5,0(a4) + 800106b8: 00078a63 beqz a5,800106cc <_Z6CartBWjh+0x40> + 800106bc: 02051513 slli a0,a0,0x20 + 800106c0: 02055513 srli a0,a0,0x20 + 800106c4: 00a78533 add a0,a5,a0 + 800106c8: 00b50023 sb a1,0(a0) + 800106cc: 00008067 ret + +00000000800106d0 <_Z8CartBROBj>: + 800106d0: 00b5579b srliw a5,a0,0xb + 800106d4: 00379713 slli a4,a5,0x3 + 800106d8: 000b2797 auipc a5,0xb2 + 800106dc: 56878793 addi a5,a5,1384 # 800c2c40 + 800106e0: 00e787b3 add a5,a5,a4 + 800106e4: 0007b783 ld a5,0(a5) + 800106e8: 00078c63 beqz a5,80010700 <_Z8CartBROBj+0x30> + 800106ec: 02051513 slli a0,a0,0x20 + 800106f0: 02055513 srli a0,a0,0x20 + 800106f4: 00a78533 add a0,a5,a0 + 800106f8: 00054503 lbu a0,0(a0) + 800106fc: 00008067 ret + 80010700: 00047517 auipc a0,0x47 + 80010704: 16854503 lbu a0,360(a0) # 80057868 + 80010708: 00008067 ret + +000000008001070c <_Z8setprg2rijj>: + 8001070c: 00351713 slli a4,a0,0x3 + 80010710: 000b2797 auipc a5,0xb2 + 80010714: 39078793 addi a5,a5,912 # 800c2aa0 + 80010718: 00e787b3 add a5,a5,a4 + 8001071c: 0007b683 ld a3,0(a5) + 80010720: 00b5d71b srliw a4,a1,0xb + 80010724: 06068c63 beqz a3,8001079c <_Z8setprg2rijj+0x90> + 80010728: 00251813 slli a6,a0,0x2 + 8001072c: 000b2797 auipc a5,0xb2 + 80010730: 17478793 addi a5,a5,372 # 800c28a0 + 80010734: 010787b3 add a5,a5,a6 + 80010738: 0007a783 lw a5,0(a5) + 8001073c: 000b2817 auipc a6,0xb2 + 80010740: 46480813 addi a6,a6,1124 # 800c2ba0 + 80010744: 00a80533 add a0,a6,a0 + 80010748: 00f67633 and a2,a2,a5 + 8001074c: 00b6179b slliw a5,a2,0xb + 80010750: 02071713 slli a4,a4,0x20 + 80010754: 02079793 slli a5,a5,0x20 + 80010758: 02059593 slli a1,a1,0x20 + 8001075c: 02075713 srli a4,a4,0x20 + 80010760: 0205d593 srli a1,a1,0x20 + 80010764: 00054503 lbu a0,0(a0) + 80010768: 0207d793 srli a5,a5,0x20 + 8001076c: 00371813 slli a6,a4,0x3 + 80010770: 40b787b3 sub a5,a5,a1 + 80010774: 000b2617 auipc a2,0xb2 + 80010778: 4cc60613 addi a2,a2,1228 # 800c2c40 + 8001077c: 000b4597 auipc a1,0xb4 + 80010780: 60458593 addi a1,a1,1540 # 800c4d80 <_ZL8PRGIsRAM> + 80010784: 00e58733 add a4,a1,a4 + 80010788: 01060633 add a2,a2,a6 + 8001078c: 00f687b3 add a5,a3,a5 + 80010790: 00a70023 sb a0,0(a4) + 80010794: 00f63023 sd a5,0(a2) + 80010798: 00008067 ret + 8001079c: 02071713 slli a4,a4,0x20 + 800107a0: 02075713 srli a4,a4,0x20 + 800107a4: 00371613 slli a2,a4,0x3 + 800107a8: 000b4697 auipc a3,0xb4 + 800107ac: 5d868693 addi a3,a3,1496 # 800c4d80 <_ZL8PRGIsRAM> + 800107b0: 000b2797 auipc a5,0xb2 + 800107b4: 49078793 addi a5,a5,1168 # 800c2c40 + 800107b8: 00e68733 add a4,a3,a4 + 800107bc: 00c787b3 add a5,a5,a2 + 800107c0: 00070023 sb zero,0(a4) + 800107c4: 0007b023 sd zero,0(a5) + 800107c8: 00008067 ret + +00000000800107cc <_Z7setprg2jj>: + 800107cc: 00058613 mv a2,a1 + 800107d0: 00050593 mv a1,a0 + 800107d4: 00000513 li a0,0 + 800107d8: f35ff06f j 8001070c <_Z8setprg2rijj> + +00000000800107dc <_Z8setprg4rijj>: + 800107dc: 00351713 slli a4,a0,0x3 + 800107e0: 000b2797 auipc a5,0xb2 + 800107e4: 2c078793 addi a5,a5,704 # 800c2aa0 + 800107e8: 00e787b3 add a5,a5,a4 + 800107ec: 0007b803 ld a6,0(a5) + 800107f0: 00b5d69b srliw a3,a1,0xb + 800107f4: 0016871b addiw a4,a3,1 + 800107f8: 08080a63 beqz a6,8001088c <_Z8setprg4rijj+0xb0> + 800107fc: 00251893 slli a7,a0,0x2 + 80010800: 000b2797 auipc a5,0xb2 + 80010804: 1a078793 addi a5,a5,416 # 800c29a0 + 80010808: 011787b3 add a5,a5,a7 + 8001080c: 0007a783 lw a5,0(a5) + 80010810: 000b2897 auipc a7,0xb2 + 80010814: 39088893 addi a7,a7,912 # 800c2ba0 + 80010818: 00a88533 add a0,a7,a0 + 8001081c: 00f67633 and a2,a2,a5 + 80010820: 00c6179b slliw a5,a2,0xc + 80010824: 02071713 slli a4,a4,0x20 + 80010828: 02079793 slli a5,a5,0x20 + 8001082c: 02059593 slli a1,a1,0x20 + 80010830: 00054883 lbu a7,0(a0) + 80010834: 02075713 srli a4,a4,0x20 + 80010838: 0205d593 srli a1,a1,0x20 + 8001083c: 0207d793 srli a5,a5,0x20 + 80010840: 02069693 slli a3,a3,0x20 + 80010844: 000b4517 auipc a0,0xb4 + 80010848: 53c50513 addi a0,a0,1340 # 800c4d80 <_ZL8PRGIsRAM> + 8001084c: 000b2617 auipc a2,0xb2 + 80010850: 3f460613 addi a2,a2,1012 # 800c2c40 + 80010854: 0206d693 srli a3,a3,0x20 + 80010858: 40b787b3 sub a5,a5,a1 + 8001085c: 00371593 slli a1,a4,0x3 + 80010860: 00f807b3 add a5,a6,a5 + 80010864: 00b605b3 add a1,a2,a1 + 80010868: 00369813 slli a6,a3,0x3 + 8001086c: 00e50733 add a4,a0,a4 + 80010870: 01170023 sb a7,0(a4) + 80010874: 00f5b023 sd a5,0(a1) + 80010878: 00d506b3 add a3,a0,a3 + 8001087c: 01060633 add a2,a2,a6 + 80010880: 01168023 sb a7,0(a3) + 80010884: 00f63023 sd a5,0(a2) + 80010888: 00008067 ret + 8001088c: 02071713 slli a4,a4,0x20 + 80010890: 02075713 srli a4,a4,0x20 + 80010894: 02069693 slli a3,a3,0x20 + 80010898: 000b4617 auipc a2,0xb4 + 8001089c: 4e860613 addi a2,a2,1256 # 800c4d80 <_ZL8PRGIsRAM> + 800108a0: 000b2797 auipc a5,0xb2 + 800108a4: 3a078793 addi a5,a5,928 # 800c2c40 + 800108a8: 0206d693 srli a3,a3,0x20 + 800108ac: 00371593 slli a1,a4,0x3 + 800108b0: 00b785b3 add a1,a5,a1 + 800108b4: 00369513 slli a0,a3,0x3 + 800108b8: 00e60733 add a4,a2,a4 + 800108bc: 00070023 sb zero,0(a4) + 800108c0: 0005b023 sd zero,0(a1) + 800108c4: 00d606b3 add a3,a2,a3 + 800108c8: 00a787b3 add a5,a5,a0 + 800108cc: 00068023 sb zero,0(a3) + 800108d0: 0007b023 sd zero,0(a5) + 800108d4: 00008067 ret + +00000000800108d8 <_Z7setprg4jj>: + 800108d8: 00058613 mv a2,a1 + 800108dc: 00050593 mv a1,a0 + 800108e0: 00000513 li a0,0 + 800108e4: ef9ff06f j 800107dc <_Z8setprg4rijj> + +00000000800108e8 <_Z8setprg8rijj>: + 800108e8: 00251693 slli a3,a0,0x2 + 800108ec: 000b2797 auipc a5,0xb2 + 800108f0: 2d478793 addi a5,a5,724 # 800c2bc0 + 800108f4: 00d787b3 add a5,a5,a3 + 800108f8: 0007a803 lw a6,0(a5) + 800108fc: 00351713 slli a4,a0,0x3 + 80010900: 000b2797 auipc a5,0xb2 + 80010904: 1a078793 addi a5,a5,416 # 800c2aa0 + 80010908: 00e787b3 add a5,a5,a4 + 8001090c: 00002737 lui a4,0x2 + 80010910: 0007be83 ld t4,0(a5) + 80010914: 08e86863 bltu a6,a4,800109a4 <_Z8setprg8rijj+0xbc> + 80010918: 00b5d79b srliw a5,a1,0xb + 8001091c: 00b5d81b srliw a6,a1,0xb + 80010920: 120e8e63 beqz t4,80010a5c <_Z8setprg8rijj+0x174> + 80010924: 000b2297 auipc t0,0xb2 + 80010928: 0fc28293 addi t0,t0,252 # 800c2a20 + 8001092c: 00d282b3 add t0,t0,a3 + 80010930: 0002a683 lw a3,0(t0) + 80010934: 000b2717 auipc a4,0xb2 + 80010938: 26c70713 addi a4,a4,620 # 800c2ba0 + 8001093c: 00a70533 add a0,a4,a0 + 80010940: 00d67633 and a2,a2,a3 + 80010944: 00d6161b slliw a2,a2,0xd + 80010948: 02061613 slli a2,a2,0x20 + 8001094c: 02059593 slli a1,a1,0x20 + 80010950: 02065613 srli a2,a2,0x20 + 80010954: 0205d593 srli a1,a1,0x20 + 80010958: 00054503 lbu a0,0(a0) + 8001095c: 40b60633 sub a2,a2,a1 + 80010960: 00ce8633 add a2,t4,a2 + 80010964: 0037879b addiw a5,a5,3 + 80010968: 000b4317 auipc t1,0xb4 + 8001096c: 41830313 addi t1,t1,1048 # 800c4d80 <_ZL8PRGIsRAM> + 80010970: 000b2897 auipc a7,0xb2 + 80010974: 2d088893 addi a7,a7,720 # 800c2c40 + 80010978: 02079713 slli a4,a5,0x20 + 8001097c: 02075713 srli a4,a4,0x20 + 80010980: 00371693 slli a3,a4,0x3 + 80010984: 00d886b3 add a3,a7,a3 + 80010988: 00e30733 add a4,t1,a4 + 8001098c: 0007859b sext.w a1,a5 + 80010990: 00a70023 sb a0,0(a4) + 80010994: 00c6b023 sd a2,0(a3) + 80010998: fff7879b addiw a5,a5,-1 + 8001099c: fcb81ee3 bne a6,a1,80010978 <_Z8setprg8rijj+0x90> + 800109a0: 00008067 ret + 800109a4: ff010113 addi sp,sp,-16 + 800109a8: 0026171b slliw a4,a2,0x2 + 800109ac: 000b2297 auipc t0,0xb2 + 800109b0: ef428293 addi t0,t0,-268 # 800c28a0 + 800109b4: 000b2f97 auipc t6,0xb2 + 800109b8: 1ecf8f93 addi t6,t6,492 # 800c2ba0 + 800109bc: 00001637 lui a2,0x1 + 800109c0: 00813423 sd s0,8(sp) + 800109c4: 00000813 li a6,0 + 800109c8: 000b4317 auipc t1,0xb4 + 800109cc: 3b830313 addi t1,t1,952 # 800c4d80 <_ZL8PRGIsRAM> + 800109d0: 000b2897 auipc a7,0xb2 + 800109d4: 27088893 addi a7,a7,624 # 800c2c40 + 800109d8: 00d282b3 add t0,t0,a3 + 800109dc: 00af8fb3 add t6,t6,a0 + 800109e0: 8006061b addiw a2,a2,-2048 + 800109e4: 00400413 li s0,4 + 800109e8: 00b5d51b srliw a0,a1,0xb + 800109ec: 02051693 slli a3,a0,0x20 + 800109f0: 0206d693 srli a3,a3,0x20 + 800109f4: 00369e13 slli t3,a3,0x3 + 800109f8: 02059f13 slli t5,a1,0x20 + 800109fc: 00e807bb addw a5,a6,a4 + 80010a00: 020f5f13 srli t5,t5,0x20 + 80010a04: 00d306b3 add a3,t1,a3 + 80010a08: 01c88e33 add t3,a7,t3 + 80010a0c: 040e8263 beqz t4,80010a50 <_Z8setprg8rijj+0x168> + 80010a10: 0002a383 lw t2,0(t0) + 80010a14: 000fc503 lbu a0,0(t6) + 80010a18: 0077f7b3 and a5,a5,t2 + 80010a1c: 00b7979b slliw a5,a5,0xb + 80010a20: 02079793 slli a5,a5,0x20 + 80010a24: 0207d793 srli a5,a5,0x20 + 80010a28: 41e787b3 sub a5,a5,t5 + 80010a2c: 00fe87b3 add a5,t4,a5 + 80010a30: 00a68023 sb a0,0(a3) + 80010a34: 00fe3023 sd a5,0(t3) + 80010a38: 0018081b addiw a6,a6,1 + 80010a3c: 00b605bb addw a1,a2,a1 + 80010a40: fa8814e3 bne a6,s0,800109e8 <_Z8setprg8rijj+0x100> + 80010a44: 00813403 ld s0,8(sp) + 80010a48: 01010113 addi sp,sp,16 + 80010a4c: 00008067 ret + 80010a50: 00068023 sb zero,0(a3) + 80010a54: 000e3023 sd zero,0(t3) + 80010a58: fe1ff06f j 80010a38 <_Z8setprg8rijj+0x150> + 80010a5c: 0037879b addiw a5,a5,3 + 80010a60: 000b4317 auipc t1,0xb4 + 80010a64: 32030313 addi t1,t1,800 # 800c4d80 <_ZL8PRGIsRAM> + 80010a68: 000b2897 auipc a7,0xb2 + 80010a6c: 1d888893 addi a7,a7,472 # 800c2c40 + 80010a70: 02079713 slli a4,a5,0x20 + 80010a74: 02075713 srli a4,a4,0x20 + 80010a78: 00371693 slli a3,a4,0x3 + 80010a7c: 00d886b3 add a3,a7,a3 + 80010a80: 00e30733 add a4,t1,a4 + 80010a84: 0007861b sext.w a2,a5 + 80010a88: 00070023 sb zero,0(a4) + 80010a8c: 0006b023 sd zero,0(a3) + 80010a90: fff7879b addiw a5,a5,-1 + 80010a94: fcc81ee3 bne a6,a2,80010a70 <_Z8setprg8rijj+0x188> + 80010a98: 00008067 ret + +0000000080010a9c <_Z7setprg8jj>: + 80010a9c: 00058613 mv a2,a1 + 80010aa0: 00050593 mv a1,a0 + 80010aa4: 00000513 li a0,0 + 80010aa8: e41ff06f j 800108e8 <_Z8setprg8rijj> + +0000000080010aac <_Z9setprg16rijj>: + 80010aac: 00251693 slli a3,a0,0x2 + 80010ab0: 000b2797 auipc a5,0xb2 + 80010ab4: 11078793 addi a5,a5,272 # 800c2bc0 + 80010ab8: 00d787b3 add a5,a5,a3 + 80010abc: 0007a803 lw a6,0(a5) + 80010ac0: 00351713 slli a4,a0,0x3 + 80010ac4: 000b2797 auipc a5,0xb2 + 80010ac8: fdc78793 addi a5,a5,-36 # 800c2aa0 + 80010acc: 00e787b3 add a5,a5,a4 + 80010ad0: 00004737 lui a4,0x4 + 80010ad4: 0007b303 ld t1,0(a5) + 80010ad8: 08e86863 bltu a6,a4,80010b68 <_Z9setprg16rijj+0xbc> + 80010adc: 00b5d79b srliw a5,a1,0xb + 80010ae0: 00b5de1b srliw t3,a1,0xb + 80010ae4: 12030a63 beqz t1,80010c18 <_Z9setprg16rijj+0x16c> + 80010ae8: 000b2717 auipc a4,0xb2 + 80010aec: d3870713 addi a4,a4,-712 # 800c2820 + 80010af0: 00d706b3 add a3,a4,a3 + 80010af4: 0006a683 lw a3,0(a3) + 80010af8: 000b2717 auipc a4,0xb2 + 80010afc: 0a870713 addi a4,a4,168 # 800c2ba0 + 80010b00: 00a70533 add a0,a4,a0 + 80010b04: 00d67633 and a2,a2,a3 + 80010b08: 00e6161b slliw a2,a2,0xe + 80010b0c: 02061613 slli a2,a2,0x20 + 80010b10: 02059593 slli a1,a1,0x20 + 80010b14: 02065613 srli a2,a2,0x20 + 80010b18: 0205d593 srli a1,a1,0x20 + 80010b1c: 00054503 lbu a0,0(a0) + 80010b20: 40b60633 sub a2,a2,a1 + 80010b24: 00c30633 add a2,t1,a2 + 80010b28: 0077879b addiw a5,a5,7 + 80010b2c: 000b4897 auipc a7,0xb4 + 80010b30: 25488893 addi a7,a7,596 # 800c4d80 <_ZL8PRGIsRAM> + 80010b34: 000b2817 auipc a6,0xb2 + 80010b38: 10c80813 addi a6,a6,268 # 800c2c40 + 80010b3c: 02079713 slli a4,a5,0x20 + 80010b40: 02075713 srli a4,a4,0x20 + 80010b44: 00371693 slli a3,a4,0x3 + 80010b48: 00d806b3 add a3,a6,a3 + 80010b4c: 00e88733 add a4,a7,a4 + 80010b50: 0007859b sext.w a1,a5 + 80010b54: 00a70023 sb a0,0(a4) + 80010b58: 00c6b023 sd a2,0(a3) + 80010b5c: fff7879b addiw a5,a5,-1 + 80010b60: fcbe1ee3 bne t3,a1,80010b3c <_Z9setprg16rijj+0x90> + 80010b64: 00008067 ret + 80010b68: 00004f37 lui t5,0x4 + 80010b6c: 000b2f97 auipc t6,0xb2 + 80010b70: d34f8f93 addi t6,t6,-716 # 800c28a0 + 80010b74: 000b2297 auipc t0,0xb2 + 80010b78: 02c28293 addi t0,t0,44 # 800c2ba0 + 80010b7c: 00001eb7 lui t4,0x1 + 80010b80: 0036171b slliw a4,a2,0x3 + 80010b84: 00bf0f3b addw t5,t5,a1 + 80010b88: 000b4897 auipc a7,0xb4 + 80010b8c: 1f888893 addi a7,a7,504 # 800c4d80 <_ZL8PRGIsRAM> + 80010b90: 000b2817 auipc a6,0xb2 + 80010b94: 0b080813 addi a6,a6,176 # 800c2c40 + 80010b98: 00df8fb3 add t6,t6,a3 + 80010b9c: 00a282b3 add t0,t0,a0 + 80010ba0: 800e8e9b addiw t4,t4,-2048 + 80010ba4: 0380006f j 80010bdc <_Z9setprg16rijj+0x130> + 80010ba8: 000fa783 lw a5,0(t6) + 80010bac: 0002c603 lbu a2,0(t0) + 80010bb0: 00be85bb addw a1,t4,a1 + 80010bb4: 00f777b3 and a5,a4,a5 + 80010bb8: 00b7979b slliw a5,a5,0xb + 80010bbc: 02079793 slli a5,a5,0x20 + 80010bc0: 0207d793 srli a5,a5,0x20 + 80010bc4: 41c787b3 sub a5,a5,t3 + 80010bc8: 00f307b3 add a5,t1,a5 + 80010bcc: 00c68023 sb a2,0(a3) + 80010bd0: 00f53023 sd a5,0(a0) + 80010bd4: 0017071b addiw a4,a4,1 + 80010bd8: 02bf0e63 beq t5,a1,80010c14 <_Z9setprg16rijj+0x168> + 80010bdc: 00b5d61b srliw a2,a1,0xb + 80010be0: 02061693 slli a3,a2,0x20 + 80010be4: 0206d693 srli a3,a3,0x20 + 80010be8: 00369513 slli a0,a3,0x3 + 80010bec: 02059e13 slli t3,a1,0x20 + 80010bf0: 020e5e13 srli t3,t3,0x20 + 80010bf4: 00d886b3 add a3,a7,a3 + 80010bf8: 00a80533 add a0,a6,a0 + 80010bfc: fa0316e3 bnez t1,80010ba8 <_Z9setprg16rijj+0xfc> + 80010c00: 00068023 sb zero,0(a3) + 80010c04: 00053023 sd zero,0(a0) + 80010c08: 00be85bb addw a1,t4,a1 + 80010c0c: 0017071b addiw a4,a4,1 + 80010c10: fcbf16e3 bne t5,a1,80010bdc <_Z9setprg16rijj+0x130> + 80010c14: 00008067 ret + 80010c18: 0077879b addiw a5,a5,7 + 80010c1c: 000b4897 auipc a7,0xb4 + 80010c20: 16488893 addi a7,a7,356 # 800c4d80 <_ZL8PRGIsRAM> + 80010c24: 000b2817 auipc a6,0xb2 + 80010c28: 01c80813 addi a6,a6,28 # 800c2c40 + 80010c2c: 02079713 slli a4,a5,0x20 + 80010c30: 02075713 srli a4,a4,0x20 + 80010c34: 00371693 slli a3,a4,0x3 + 80010c38: 00d806b3 add a3,a6,a3 + 80010c3c: 00e88733 add a4,a7,a4 + 80010c40: 0007861b sext.w a2,a5 + 80010c44: 00070023 sb zero,0(a4) + 80010c48: 0006b023 sd zero,0(a3) + 80010c4c: fff7879b addiw a5,a5,-1 + 80010c50: fcce1ee3 bne t3,a2,80010c2c <_Z9setprg16rijj+0x180> + 80010c54: 00008067 ret + +0000000080010c58 <_Z8setprg16jj>: + 80010c58: 00058613 mv a2,a1 + 80010c5c: 00050593 mv a1,a0 + 80010c60: 00000513 li a0,0 + 80010c64: e49ff06f j 80010aac <_Z9setprg16rijj> + +0000000080010c68 <_Z9setprg32rijj>: + 80010c68: 00251713 slli a4,a0,0x2 + 80010c6c: 000b2797 auipc a5,0xb2 + 80010c70: f5478793 addi a5,a5,-172 # 800c2bc0 + 80010c74: 00e787b3 add a5,a5,a4 + 80010c78: 0007a803 lw a6,0(a5) + 80010c7c: 00351693 slli a3,a0,0x3 + 80010c80: 000b2797 auipc a5,0xb2 + 80010c84: e2078793 addi a5,a5,-480 # 800c2aa0 + 80010c88: 00d787b3 add a5,a5,a3 + 80010c8c: 000086b7 lui a3,0x8 + 80010c90: 0007b883 ld a7,0(a5) + 80010c94: 08d86863 bltu a6,a3,80010d24 <_Z9setprg32rijj+0xbc> + 80010c98: 00b5d79b srliw a5,a1,0xb + 80010c9c: 00b5d81b srliw a6,a1,0xb + 80010ca0: 12088a63 beqz a7,80010dd4 <_Z9setprg32rijj+0x16c> + 80010ca4: 000b2697 auipc a3,0xb2 + 80010ca8: c7c68693 addi a3,a3,-900 # 800c2920 + 80010cac: 00e68733 add a4,a3,a4 + 80010cb0: 00072683 lw a3,0(a4) + 80010cb4: 000b2717 auipc a4,0xb2 + 80010cb8: eec70713 addi a4,a4,-276 # 800c2ba0 + 80010cbc: 00a70533 add a0,a4,a0 + 80010cc0: 00d67633 and a2,a2,a3 + 80010cc4: 00f6161b slliw a2,a2,0xf + 80010cc8: 02061613 slli a2,a2,0x20 + 80010ccc: 02059593 slli a1,a1,0x20 + 80010cd0: 02065613 srli a2,a2,0x20 + 80010cd4: 0205d593 srli a1,a1,0x20 + 80010cd8: 00054503 lbu a0,0(a0) + 80010cdc: 40b60633 sub a2,a2,a1 + 80010ce0: 00c88633 add a2,a7,a2 + 80010ce4: 00f7879b addiw a5,a5,15 + 80010ce8: 000b4e17 auipc t3,0xb4 + 80010cec: 098e0e13 addi t3,t3,152 # 800c4d80 <_ZL8PRGIsRAM> + 80010cf0: 000b2317 auipc t1,0xb2 + 80010cf4: f5030313 addi t1,t1,-176 # 800c2c40 + 80010cf8: 02079713 slli a4,a5,0x20 + 80010cfc: 02075713 srli a4,a4,0x20 + 80010d00: 00371693 slli a3,a4,0x3 + 80010d04: 00d306b3 add a3,t1,a3 + 80010d08: 00ee0733 add a4,t3,a4 + 80010d0c: 0007859b sext.w a1,a5 + 80010d10: 00a70023 sb a0,0(a4) + 80010d14: 00c6b023 sd a2,0(a3) + 80010d18: fff7879b addiw a5,a5,-1 + 80010d1c: fcb81ee3 bne a6,a1,80010cf8 <_Z9setprg32rijj+0x90> + 80010d20: 00008067 ret + 80010d24: 00008f37 lui t5,0x8 + 80010d28: 000b2297 auipc t0,0xb2 + 80010d2c: b7828293 addi t0,t0,-1160 # 800c28a0 + 80010d30: 000b2f97 auipc t6,0xb2 + 80010d34: e70f8f93 addi t6,t6,-400 # 800c2ba0 + 80010d38: 00001eb7 lui t4,0x1 + 80010d3c: 0046169b slliw a3,a2,0x4 + 80010d40: 00bf0f3b addw t5,t5,a1 + 80010d44: 000b4e17 auipc t3,0xb4 + 80010d48: 03ce0e13 addi t3,t3,60 # 800c4d80 <_ZL8PRGIsRAM> + 80010d4c: 000b2317 auipc t1,0xb2 + 80010d50: ef430313 addi t1,t1,-268 # 800c2c40 + 80010d54: 00e282b3 add t0,t0,a4 + 80010d58: 00af8fb3 add t6,t6,a0 + 80010d5c: 800e8e9b addiw t4,t4,-2048 + 80010d60: 0380006f j 80010d98 <_Z9setprg32rijj+0x130> + 80010d64: 0002a783 lw a5,0(t0) + 80010d68: 000fc603 lbu a2,0(t6) + 80010d6c: 00be85bb addw a1,t4,a1 + 80010d70: 00f6f7b3 and a5,a3,a5 + 80010d74: 00b7979b slliw a5,a5,0xb + 80010d78: 02079793 slli a5,a5,0x20 + 80010d7c: 0207d793 srli a5,a5,0x20 + 80010d80: 410787b3 sub a5,a5,a6 + 80010d84: 00f887b3 add a5,a7,a5 + 80010d88: 00c70023 sb a2,0(a4) + 80010d8c: 00f53023 sd a5,0(a0) + 80010d90: 0016869b addiw a3,a3,1 + 80010d94: 02bf0e63 beq t5,a1,80010dd0 <_Z9setprg32rijj+0x168> + 80010d98: 00b5d61b srliw a2,a1,0xb + 80010d9c: 02061713 slli a4,a2,0x20 + 80010da0: 02075713 srli a4,a4,0x20 + 80010da4: 00371513 slli a0,a4,0x3 + 80010da8: 02059813 slli a6,a1,0x20 + 80010dac: 02085813 srli a6,a6,0x20 + 80010db0: 00ee0733 add a4,t3,a4 + 80010db4: 00a30533 add a0,t1,a0 + 80010db8: fa0896e3 bnez a7,80010d64 <_Z9setprg32rijj+0xfc> + 80010dbc: 00070023 sb zero,0(a4) + 80010dc0: 00053023 sd zero,0(a0) + 80010dc4: 00be85bb addw a1,t4,a1 + 80010dc8: 0016869b addiw a3,a3,1 + 80010dcc: fcbf16e3 bne t5,a1,80010d98 <_Z9setprg32rijj+0x130> + 80010dd0: 00008067 ret + 80010dd4: 00f7879b addiw a5,a5,15 + 80010dd8: 000b4e17 auipc t3,0xb4 + 80010ddc: fa8e0e13 addi t3,t3,-88 # 800c4d80 <_ZL8PRGIsRAM> + 80010de0: 000b2317 auipc t1,0xb2 + 80010de4: e6030313 addi t1,t1,-416 # 800c2c40 + 80010de8: 02079713 slli a4,a5,0x20 + 80010dec: 02075713 srli a4,a4,0x20 + 80010df0: 00371693 slli a3,a4,0x3 + 80010df4: 00d306b3 add a3,t1,a3 + 80010df8: 00ee0733 add a4,t3,a4 + 80010dfc: 0007861b sext.w a2,a5 + 80010e00: 00070023 sb zero,0(a4) + 80010e04: 0006b023 sd zero,0(a3) + 80010e08: fff7879b addiw a5,a5,-1 + 80010e0c: fcc81ee3 bne a6,a2,80010de8 <_Z9setprg32rijj+0x180> + 80010e10: 00008067 ret + +0000000080010e14 <_Z8setprg32jj>: + 80010e14: 00058613 mv a2,a1 + 80010e18: 00050593 mv a1,a0 + 80010e1c: 00000513 li a0,0 + 80010e20: e49ff06f j 80010c68 <_Z9setprg32rijj> + +0000000080010e24 <_Z8setchr1rijj>: + 80010e24: 00351713 slli a4,a0,0x3 + 80010e28: 000b1797 auipc a5,0xb1 + 80010e2c: 7d878793 addi a5,a5,2008 # 800c2600 + 80010e30: 00e787b3 add a5,a5,a4 + 80010e34: 0007b783 ld a5,0(a5) + 80010e38: 00078463 beqz a5,80010e40 <_Z8setchr1rijj+0x1c> + 80010e3c: 8f0ff06f j 8000ff2c <_Z8setchr1rijj.part.0> + 80010e40: 00008067 ret + +0000000080010e44 <_Z8setchr2rijj>: + 80010e44: 00351713 slli a4,a0,0x3 + 80010e48: 000b1797 auipc a5,0xb1 + 80010e4c: 7b878793 addi a5,a5,1976 # 800c2600 + 80010e50: 00e787b3 add a5,a5,a4 + 80010e54: 0007b783 ld a5,0(a5) + 80010e58: 00078463 beqz a5,80010e60 <_Z8setchr2rijj+0x1c> + 80010e5c: 9c0ff06f j 8001001c <_Z8setchr2rijj.part.0> + 80010e60: 00008067 ret + +0000000080010e64 <_Z8setchr4rijj>: + 80010e64: 00351713 slli a4,a0,0x3 + 80010e68: 000b1797 auipc a5,0xb1 + 80010e6c: 79878793 addi a5,a5,1944 # 800c2600 + 80010e70: 00e787b3 add a5,a5,a4 + 80010e74: 0007b783 ld a5,0(a5) + 80010e78: 00078463 beqz a5,80010e80 <_Z8setchr4rijj+0x1c> + 80010e7c: ac0ff06f j 8001013c <_Z8setchr4rijj.part.0> + 80010e80: 00008067 ret + +0000000080010e84 <_Z8setchr8rij>: + 80010e84: 00351713 slli a4,a0,0x3 + 80010e88: 000b1797 auipc a5,0xb1 + 80010e8c: 77878793 addi a5,a5,1912 # 800c2600 + 80010e90: 00e787b3 add a5,a5,a4 + 80010e94: 0007b783 ld a5,0(a5) + 80010e98: 00078463 beqz a5,80010ea0 <_Z8setchr8rij+0x1c> + 80010e9c: be0ff06f j 8001027c <_Z8setchr8rij.part.0> + 80010ea0: 00008067 ret + +0000000080010ea4 <_Z7setchr1jj>: + 80010ea4: 000b1797 auipc a5,0xb1 + 80010ea8: 75c7b783 ld a5,1884(a5) # 800c2600 + 80010eac: 00058613 mv a2,a1 + 80010eb0: 00078863 beqz a5,80010ec0 <_Z7setchr1jj+0x1c> + 80010eb4: 00050593 mv a1,a0 + 80010eb8: 00000513 li a0,0 + 80010ebc: 870ff06f j 8000ff2c <_Z8setchr1rijj.part.0> + 80010ec0: 00008067 ret + +0000000080010ec4 <_Z7setchr2jj>: + 80010ec4: 000b1797 auipc a5,0xb1 + 80010ec8: 73c7b783 ld a5,1852(a5) # 800c2600 + 80010ecc: 00058613 mv a2,a1 + 80010ed0: 00078863 beqz a5,80010ee0 <_Z7setchr2jj+0x1c> + 80010ed4: 00050593 mv a1,a0 + 80010ed8: 00000513 li a0,0 + 80010edc: 940ff06f j 8001001c <_Z8setchr2rijj.part.0> + 80010ee0: 00008067 ret + +0000000080010ee4 <_Z7setchr4jj>: + 80010ee4: 000b1797 auipc a5,0xb1 + 80010ee8: 71c7b783 ld a5,1820(a5) # 800c2600 + 80010eec: 00058613 mv a2,a1 + 80010ef0: 00078863 beqz a5,80010f00 <_Z7setchr4jj+0x1c> + 80010ef4: 00050593 mv a1,a0 + 80010ef8: 00000513 li a0,0 + 80010efc: a40ff06f j 8001013c <_Z8setchr4rijj.part.0> + 80010f00: 00008067 ret + +0000000080010f04 <_Z7setchr8j>: + 80010f04: 000b1797 auipc a5,0xb1 + 80010f08: 6fc7b783 ld a5,1788(a5) # 800c2600 + 80010f0c: 00050593 mv a1,a0 + 80010f10: 00078663 beqz a5,80010f1c <_Z7setchr8j+0x18> + 80010f14: 00000513 li a0,0 + 80010f18: b64ff06f j 8001027c <_Z8setchr8rij.part.0> + 80010f1c: 00008067 ret + +0000000080010f20 <_Z9setntamemPhij>: + 80010f20: fe010113 addi sp,sp,-32 + 80010f24: 00813823 sd s0,16(sp) + 80010f28: 00913423 sd s1,8(sp) + 80010f2c: 00060413 mv s0,a2 + 80010f30: 01213023 sd s2,0(sp) + 80010f34: 00113c23 sd ra,24(sp) + 80010f38: 00050913 mv s2,a0 + 80010f3c: 00058493 mv s1,a1 + 80010f40: 6c8270ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80010f44: 02041713 slli a4,s0,0x20 + 80010f48: 01d75793 srli a5,a4,0x1d + 80010f4c: 001bb717 auipc a4,0x1bb + 80010f50: b7470713 addi a4,a4,-1164 # 801cbac0 + 80010f54: 00f70733 add a4,a4,a5 + 80010f58: 00100793 li a5,1 + 80010f5c: 0087963b sllw a2,a5,s0 + 80010f60: 01273023 sd s2,0(a4) + 80010f64: 001bb797 auipc a5,0x1bb + 80010f68: 7a278793 addi a5,a5,1954 # 801cc706 + 80010f6c: 0186161b slliw a2,a2,0x18 + 80010f70: 00078703 lb a4,0(a5) + 80010f74: 4186561b sraiw a2,a2,0x18 + 80010f78: 02049463 bnez s1,80010fa0 <_Z9setntamemPhij+0x80> + 80010f7c: fff64613 not a2,a2 + 80010f80: 00c77633 and a2,a4,a2 + 80010f84: 00c78023 sb a2,0(a5) + 80010f88: 01813083 ld ra,24(sp) + 80010f8c: 01013403 ld s0,16(sp) + 80010f90: 00813483 ld s1,8(sp) + 80010f94: 00013903 ld s2,0(sp) + 80010f98: 02010113 addi sp,sp,32 + 80010f9c: 00008067 ret + 80010fa0: 00e66633 or a2,a2,a4 + 80010fa4: 00c78023 sb a2,0(a5) + 80010fa8: 01813083 ld ra,24(sp) + 80010fac: 01013403 ld s0,16(sp) + 80010fb0: 00813483 ld s1,8(sp) + 80010fb4: 00013903 ld s2,0(sp) + 80010fb8: 02010113 addi sp,sp,32 + 80010fbc: 00008067 ret + +0000000080010fc0 <_Z10setmirrorwiiii>: + 80010fc0: fd010113 addi sp,sp,-48 + 80010fc4: 02813023 sd s0,32(sp) + 80010fc8: 00913c23 sd s1,24(sp) + 80010fcc: 01213823 sd s2,16(sp) + 80010fd0: 01313423 sd s3,8(sp) + 80010fd4: 02113423 sd ra,40(sp) + 80010fd8: 00068413 mv s0,a3 + 80010fdc: 00050993 mv s3,a0 + 80010fe0: 00058913 mv s2,a1 + 80010fe4: 00060493 mv s1,a2 + 80010fe8: 620270ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80010fec: 001b8697 auipc a3,0x1b8 + 80010ff0: 53c68693 addi a3,a3,1340 # 801c9528 + 80010ff4: 02813083 ld ra,40(sp) + 80010ff8: 00a9951b slliw a0,s3,0xa + 80010ffc: 00a9159b slliw a1,s2,0xa + 80011000: 00a4961b slliw a2,s1,0xa + 80011004: 00a4179b slliw a5,s0,0xa + 80011008: 02013403 ld s0,32(sp) + 8001100c: 001bb717 auipc a4,0x1bb + 80011010: ab470713 addi a4,a4,-1356 # 801cbac0 + 80011014: 00d50533 add a0,a0,a3 + 80011018: 00d585b3 add a1,a1,a3 + 8001101c: 00d60633 add a2,a2,a3 + 80011020: 00d787b3 add a5,a5,a3 + 80011024: 00a73023 sd a0,0(a4) + 80011028: 00b73423 sd a1,8(a4) + 8001102c: 00c73823 sd a2,16(a4) + 80011030: 00f73c23 sd a5,24(a4) + 80011034: 01813483 ld s1,24(sp) + 80011038: 01013903 ld s2,16(sp) + 8001103c: 00813983 ld s3,8(sp) + 80011040: 03010113 addi sp,sp,48 + 80011044: 00008067 ret + +0000000080011048 <_Z9setmirrori>: + 80011048: ff010113 addi sp,sp,-16 + 8001104c: 00813023 sd s0,0(sp) + 80011050: 00113423 sd ra,8(sp) + 80011054: 00050413 mv s0,a0 + 80011058: 5b0270ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 8001105c: 001bb797 auipc a5,0x1bb + 80011060: c2c7a783 lw a5,-980(a5) # 801cbc88 <_ZL10mirrorhard> + 80011064: 00079c63 bnez a5,8001107c <_Z9setmirrori+0x34> + 80011068: 00040513 mv a0,s0 + 8001106c: 00013403 ld s0,0(sp) + 80011070: 00813083 ld ra,8(sp) + 80011074: 01010113 addi sp,sp,16 + 80011078: ac8ff06f j 80010340 <_Z9setmirrori.part.0> + 8001107c: 00813083 ld ra,8(sp) + 80011080: 00013403 ld s0,0(sp) + 80011084: 01010113 addi sp,sp,16 + 80011088: 00008067 ret + +000000008001108c <_Z18SetupCartMirroringiiPh>: + 8001108c: fe010113 addi sp,sp,-32 + 80011090: 00913423 sd s1,8(sp) + 80011094: 00113c23 sd ra,24(sp) + 80011098: 00813823 sd s0,16(sp) + 8001109c: 01213023 sd s2,0(sp) + 800110a0: 00300793 li a5,3 + 800110a4: 00058493 mv s1,a1 + 800110a8: 06a7d063 bge a5,a0,80011108 <_Z18SetupCartMirroringiiPh+0x7c> + 800110ac: 001bb797 auipc a5,0x1bb + 800110b0: a1478793 addi a5,a5,-1516 # 801cbac0 + 800110b4: 001b8697 auipc a3,0x1b8 + 800110b8: 47468693 addi a3,a3,1140 # 801c9528 + 800110bc: 40060713 addi a4,a2,1024 # 1400 <_entry_offset+0x1400> + 800110c0: 00d7b023 sd a3,0(a5) + 800110c4: 001b9697 auipc a3,0x1b9 + 800110c8: 86468693 addi a3,a3,-1948 # 801c9928 + 800110cc: 00e7bc23 sd a4,24(a5) + 800110d0: 00d7b423 sd a3,8(a5) + 800110d4: 00c7b823 sd a2,16(a5) + 800110d8: 00f00793 li a5,15 + 800110dc: 001bb717 auipc a4,0x1bb + 800110e0: 62f70523 sb a5,1578(a4) # 801cc706 + 800110e4: 001bb917 auipc s2,0x1bb + 800110e8: ba490913 addi s2,s2,-1116 # 801cbc88 <_ZL10mirrorhard> + 800110ec: 01813083 ld ra,24(sp) + 800110f0: 01013403 ld s0,16(sp) + 800110f4: 00992023 sw s1,0(s2) + 800110f8: 00813483 ld s1,8(sp) + 800110fc: 00013903 ld s2,0(sp) + 80011100: 02010113 addi sp,sp,32 + 80011104: 00008067 ret + 80011108: 001bb797 auipc a5,0x1bb + 8001110c: b807a023 sw zero,-1152(a5) # 801cbc88 <_ZL10mirrorhard> + 80011110: 00050413 mv s0,a0 + 80011114: 001bb917 auipc s2,0x1bb + 80011118: b7490913 addi s2,s2,-1164 # 801cbc88 <_ZL10mirrorhard> + 8001111c: 4ec270ef jal ra,80038608 <_Z18FCEUPPU_LineUpdatev> + 80011120: 00092783 lw a5,0(s2) + 80011124: fc0794e3 bnez a5,800110ec <_Z18SetupCartMirroringiiPh+0x60> + 80011128: 00040513 mv a0,s0 + 8001112c: a14ff0ef jal ra,80010340 <_Z9setmirrori.part.0> + 80011130: 01813083 ld ra,24(sp) + 80011134: 01013403 ld s0,16(sp) + 80011138: 00992023 sw s1,0(s2) + 8001113c: 00813483 ld s1,8(sp) + 80011140: 00013903 ld s2,0(sp) + 80011144: 02010113 addi sp,sp,32 + 80011148: 00008067 ret + +000000008001114c <_Z15FCEU_CloseGeniev>: + 8001114c: ff010113 addi sp,sp,-16 + 80011150: 00113423 sd ra,8(sp) + 80011154: 001bb797 auipc a5,0x1bb + 80011158: b407a223 sw zero,-1212(a5) # 801cbc98 + 8001115c: 7d0220ef jal ra,8003392c <_Z12FlushGenieRWv> + 80011160: 00813083 ld ra,8(sp) + 80011164: 000b2797 auipc a5,0xb2 + 80011168: bdc78793 addi a5,a5,-1060 # 800c2d40 + 8001116c: 00039717 auipc a4,0x39 + 80011170: f8f73e23 sd a5,-100(a4) # 8004a108 + 80011174: 01010113 addi sp,sp,16 + 80011178: 00008067 ret + +000000008001117c <_Z14FCEU_KillGeniev>: + 8001117c: 00008067 ret + +0000000080011180 <_Z10md5_startsP11md5_context>: + 80011180: 674527b7 lui a5,0x67452 + 80011184: 3017879b addiw a5,a5,769 + 80011188: 00f52423 sw a5,8(a0) + 8001118c: efcdb7b7 lui a5,0xefcdb + 80011190: b897879b addiw a5,a5,-1143 + 80011194: 00f52623 sw a5,12(a0) + 80011198: 98bae7b7 lui a5,0x98bae + 8001119c: cfe7879b addiw a5,a5,-770 + 800111a0: 00f52823 sw a5,16(a0) + 800111a4: 103257b7 lui a5,0x10325 + 800111a8: 4767879b addiw a5,a5,1142 + 800111ac: 00052023 sw zero,0(a0) + 800111b0: 00052223 sw zero,4(a0) + 800111b4: 00f52a23 sw a5,20(a0) + 800111b8: 00008067 ret + +00000000800111bc <_Z11md5_processP11md5_contextPh>: + 800111bc: e3010113 addi sp,sp,-464 + 800111c0: 1b313823 sd s3,432(sp) + 800111c4: 1b413423 sd s4,424(sp) + 800111c8: 1b513023 sd s5,416(sp) + 800111cc: 19913023 sd s9,384(sp) + 800111d0: 17b13823 sd s11,368(sp) + 800111d4: 1c813423 sd s0,456(sp) + 800111d8: 1c913023 sd s1,448(sp) + 800111dc: 1b213c23 sd s2,440(sp) + 800111e0: 19613c23 sd s6,408(sp) + 800111e4: 19713823 sd s7,400(sp) + 800111e8: 19813423 sd s8,392(sp) + 800111ec: 17a13c23 sd s10,376(sp) + 800111f0: 0045c783 lbu a5,4(a1) + 800111f4: 0125c683 lbu a3,18(a1) + 800111f8: 0005c883 lbu a7,0(a1) + 800111fc: 00f13823 sd a5,16(sp) + 80011200: 0085cf83 lbu t6,8(a1) + 80011204: 00b5cc03 lbu s8,11(a1) + 80011208: 00c5c303 lbu t1,12(a1) + 8001120c: 00f5cb83 lbu s7,15(a1) + 80011210: 0015c903 lbu s2,1(a1) + 80011214: 0025c483 lbu s1,2(a1) + 80011218: 0035c403 lbu s0,3(a1) + 8001121c: 0055cb03 lbu s6,5(a1) + 80011220: 0065c383 lbu t2,6(a1) + 80011224: 0075cf03 lbu t5,7(a1) + 80011228: 0095c283 lbu t0,9(a1) + 8001122c: 00a5ce83 lbu t4,10(a1) + 80011230: 00d5ce03 lbu t3,13(a1) + 80011234: 00e5c803 lbu a6,14(a1) + 80011238: 0105cd03 lbu s10,16(a1) + 8001123c: 0115c603 lbu a2,17(a1) + 80011240: 00d13c23 sd a3,24(sp) + 80011244: 0135c703 lbu a4,19(a1) + 80011248: 0145c983 lbu s3,20(a1) + 8001124c: 0155ca03 lbu s4,21(a1) + 80011250: 0165ca83 lbu s5,22(a1) + 80011254: 0175cc83 lbu s9,23(a1) + 80011258: 0185cd83 lbu s11,24(a1) + 8001125c: 0195c783 lbu a5,25(a1) + 80011260: 01b5c683 lbu a3,27(a1) + 80011264: 02e13023 sd a4,32(sp) + 80011268: 03313423 sd s3,40(sp) + 8001126c: 01a5c703 lbu a4,26(a1) + 80011270: 01c5c983 lbu s3,28(a1) + 80011274: 03413823 sd s4,48(sp) + 80011278: 03513c23 sd s5,56(sp) + 8001127c: 01d5ca03 lbu s4,29(a1) + 80011280: 01e5ca83 lbu s5,30(a1) + 80011284: 05913023 sd s9,64(sp) + 80011288: 05b13423 sd s11,72(sp) + 8001128c: 01f5cc83 lbu s9,31(a1) + 80011290: 0205cd83 lbu s11,32(a1) + 80011294: 04f13823 sd a5,80(sp) + 80011298: 06d13023 sd a3,96(sp) + 8001129c: 0215c783 lbu a5,33(a1) + 800112a0: 0225c683 lbu a3,34(a1) + 800112a4: 04e13c23 sd a4,88(sp) + 800112a8: 07413823 sd s4,112(sp) + 800112ac: 07513c23 sd s5,120(sp) + 800112b0: 09913023 sd s9,128(sp) + 800112b4: 09b13423 sd s11,136(sp) + 800112b8: 08f13823 sd a5,144(sp) + 800112bc: 08d13c23 sd a3,152(sp) + 800112c0: 07313423 sd s3,104(sp) + 800112c4: 0235c983 lbu s3,35(a1) + 800112c8: 0245ca03 lbu s4,36(a1) + 800112cc: 0255ca83 lbu s5,37(a1) + 800112d0: 0275cd83 lbu s11,39(a1) + 800112d4: 0285c783 lbu a5,40(a1) + 800112d8: 02a5c683 lbu a3,42(a1) + 800112dc: 0b313023 sd s3,160(sp) + 800112e0: 0b413423 sd s4,168(sp) + 800112e4: 02b5c983 lbu s3,43(a1) + 800112e8: 02c5ca03 lbu s4,44(a1) + 800112ec: 0b513823 sd s5,176(sp) + 800112f0: 02d5ca83 lbu s5,45(a1) + 800112f4: 0265cc83 lbu s9,38(a1) + 800112f8: 0db13023 sd s11,192(sp) + 800112fc: 0cf13423 sd a5,200(sp) + 80011300: 02e5cd83 lbu s11,46(a1) + 80011304: 02f5c783 lbu a5,47(a1) + 80011308: 0cd13823 sd a3,208(sp) + 8001130c: 0d313c23 sd s3,216(sp) + 80011310: 0305c683 lbu a3,48(a1) + 80011314: 0315c983 lbu s3,49(a1) + 80011318: 0f413023 sd s4,224(sp) + 8001131c: 0325ca03 lbu s4,50(a1) + 80011320: 0f513423 sd s5,232(sp) + 80011324: 0335ca83 lbu s5,51(a1) + 80011328: 0b913c23 sd s9,184(sp) + 8001132c: 0fb13823 sd s11,240(sp) + 80011330: 0ef13c23 sd a5,248(sp) + 80011334: 10d13023 sd a3,256(sp) + 80011338: 11313423 sd s3,264(sp) + 8001133c: 11413823 sd s4,272(sp) + 80011340: 0295cc83 lbu s9,41(a1) + 80011344: 11513c23 sd s5,280(sp) + 80011348: 0355c783 lbu a5,53(a1) + 8001134c: 0375c983 lbu s3,55(a1) + 80011350: 0365c683 lbu a3,54(a1) + 80011354: 12f13423 sd a5,296(sp) + 80011358: 03b5c783 lbu a5,59(a1) + 8001135c: 0385ca03 lbu s4,56(a1) + 80011360: 03a5ca83 lbu s5,58(a1) + 80011364: 13313c23 sd s3,312(sp) + 80011368: 03d5c983 lbu s3,61(a1) + 8001136c: 12d13823 sd a3,304(sp) + 80011370: 14f13823 sd a5,336(sp) + 80011374: 03c5c683 lbu a3,60(a1) + 80011378: 00852783 lw a5,8(a0) + 8001137c: 0345cd83 lbu s11,52(a1) + 80011380: 15413023 sd s4,320(sp) + 80011384: 15513423 sd s5,328(sp) + 80011388: 03e5ca03 lbu s4,62(a1) + 8001138c: 01452a83 lw s5,20(a0) + 80011390: 17313023 sd s3,352(sp) + 80011394: 01052983 lw s3,16(a0) + 80011398: 14d13c23 sd a3,344(sp) + 8001139c: d76aa737 lui a4,0xd76aa + 800113a0: 00078693 mv a3,a5 + 800113a4: 00891913 slli s2,s2,0x8 + 800113a8: 00c52783 lw a5,12(a0) + 800113ac: 01049493 slli s1,s1,0x10 + 800113b0: 01196933 or s2,s2,a7 + 800113b4: 4787071b addiw a4,a4,1144 + 800113b8: 13b13023 sd s11,288(sp) + 800113bc: 17413423 sd s4,360(sp) + 800113c0: 0395cd83 lbu s11,57(a1) + 800113c4: 03f5ca03 lbu s4,63(a1) + 800113c8: 00d13423 sd a3,8(sp) + 800113cc: 0159c5b3 xor a1,s3,s5 + 800113d0: 0124e933 or s2,s1,s2 + 800113d4: 00e6873b addw a4,a3,a4 + 800113d8: 01841413 slli s0,s0,0x18 + 800113dc: 01013683 ld a3,16(sp) + 800113e0: 01246433 or s0,s0,s2 + 800113e4: 00f5f5b3 and a1,a1,a5 + 800113e8: 0087073b addw a4,a4,s0 + 800113ec: 00bac5b3 xor a1,s5,a1 + 800113f0: 008b1b13 slli s6,s6,0x8 + 800113f4: 00e585bb addw a1,a1,a4 + 800113f8: 00db6b33 or s6,s6,a3 + 800113fc: 01039393 slli t2,t2,0x10 + 80011400: 0163eb33 or s6,t2,s6 + 80011404: 0075939b slliw t2,a1,0x7 + 80011408: 0195d59b srliw a1,a1,0x19 + 8001140c: 00b3e5b3 or a1,t2,a1 + 80011410: e8c7b737 lui a4,0xe8c7b + 80011414: 00f585bb addw a1,a1,a5 + 80011418: 00f9c8b3 xor a7,s3,a5 + 8001141c: 018f1f13 slli t5,t5,0x18 + 80011420: 7567071b addiw a4,a4,1878 + 80011424: 016f6f33 or t5,t5,s6 + 80011428: 00ea873b addw a4,s5,a4 + 8001142c: 00b8f8b3 and a7,a7,a1 + 80011430: 01e7073b addw a4,a4,t5 + 80011434: 0119c8b3 xor a7,s3,a7 + 80011438: 00e883bb addw t2,a7,a4 + 8001143c: 00c3971b slliw a4,t2,0xc + 80011440: 00829293 slli t0,t0,0x8 + 80011444: 0143d39b srliw t2,t2,0x14 + 80011448: 01f2e2b3 or t0,t0,t6 + 8001144c: 010e9e93 slli t4,t4,0x10 + 80011450: 00776733 or a4,a4,t2 + 80011454: 24207fb7 lui t6,0x24207 + 80011458: 00e5873b addw a4,a1,a4 + 8001145c: 005ee2b3 or t0,t4,t0 + 80011460: 00b7c3b3 xor t2,a5,a1 + 80011464: 018c1893 slli a7,s8,0x18 + 80011468: 0dbf8f9b addiw t6,t6,219 + 8001146c: 0058e8b3 or a7,a7,t0 + 80011470: 00e3f3b3 and t2,t2,a4 + 80011474: 01f98fbb addw t6,s3,t6 + 80011478: 011f8fbb addw t6,t6,a7 + 8001147c: 0077c2b3 xor t0,a5,t2 + 80011480: 01f282bb addw t0,t0,t6 + 80011484: 00f2de9b srliw t4,t0,0xf + 80011488: 008e1e13 slli t3,t3,0x8 + 8001148c: 0112929b slliw t0,t0,0x11 + 80011490: 01813683 ld a3,24(sp) + 80011494: 006e6e33 or t3,t3,t1 + 80011498: 01081813 slli a6,a6,0x10 + 8001149c: 005eeeb3 or t4,t4,t0 + 800114a0: 00861613 slli a2,a2,0x8 + 800114a4: c1bdd337 lui t1,0xc1bdd + 800114a8: 01d70ebb addw t4,a4,t4 + 800114ac: 00e5c2b3 xor t0,a1,a4 + 800114b0: 01c86e33 or t3,a6,t3 + 800114b4: 018b9f93 slli t6,s7,0x18 + 800114b8: 01a66d33 or s10,a2,s10 + 800114bc: eee3031b addiw t1,t1,-274 + 800114c0: 02013603 ld a2,32(sp) + 800114c4: 01cfefb3 or t6,t6,t3 + 800114c8: 01d2f833 and a6,t0,t4 + 800114cc: 0067833b addw t1,a5,t1 + 800114d0: 01f3033b addw t1,t1,t6 + 800114d4: 00b84833 xor a6,a6,a1 + 800114d8: 01069693 slli a3,a3,0x10 + 800114dc: 0068083b addw a6,a6,t1 + 800114e0: 01a6ed33 or s10,a3,s10 + 800114e4: 01861313 slli t1,a2,0x18 + 800114e8: f57c1637 lui a2,0xf57c1 + 800114ec: 01a36333 or t1,t1,s10 + 800114f0: 00a85e1b srliw t3,a6,0xa + 800114f4: faf6061b addiw a2,a2,-81 + 800114f8: 0168181b slliw a6,a6,0x16 + 800114fc: 0066063b addw a2,a2,t1 + 80011500: 010e6833 or a6,t3,a6 + 80011504: 03013e03 ld t3,48(sp) + 80011508: 00b605bb addw a1,a2,a1 + 8001150c: 02813603 ld a2,40(sp) + 80011510: 008e1293 slli t0,t3,0x8 + 80011514: 010e883b addw a6,t4,a6 + 80011518: 01d746b3 xor a3,a4,t4 + 8001151c: 00c2e2b3 or t0,t0,a2 + 80011520: 03813603 ld a2,56(sp) + 80011524: 0106f6b3 and a3,a3,a6 + 80011528: 00e6c6b3 xor a3,a3,a4 + 8001152c: 00b685bb addw a1,a3,a1 + 80011530: 01061e13 slli t3,a2,0x10 + 80011534: 04013603 ld a2,64(sp) + 80011538: 0075939b slliw t2,a1,0x7 + 8001153c: 0195d59b srliw a1,a1,0x19 + 80011540: 00b3e5b3 or a1,t2,a1 + 80011544: 00b806bb addw a3,a6,a1 + 80011548: 005e62b3 or t0,t3,t0 + 8001154c: 4787c5b7 lui a1,0x4787c + 80011550: 01861e13 slli t3,a2,0x18 + 80011554: 005e62b3 or t0,t3,t0 + 80011558: 010ec633 xor a2,t4,a6 + 8001155c: 62a5859b addiw a1,a1,1578 + 80011560: 005585bb addw a1,a1,t0 + 80011564: 00d67633 and a2,a2,a3 + 80011568: 01d64633 xor a2,a2,t4 + 8001156c: 00e5873b addw a4,a1,a4 + 80011570: 05013583 ld a1,80(sp) + 80011574: 00e6073b addw a4,a2,a4 + 80011578: 04813603 ld a2,72(sp) + 8001157c: 00859e13 slli t3,a1,0x8 + 80011580: 00c7149b slliw s1,a4,0xc + 80011584: 00ce6e33 or t3,t3,a2 + 80011588: 05813603 ld a2,88(sp) + 8001158c: 0147571b srliw a4,a4,0x14 + 80011590: 00e4e733 or a4,s1,a4 + 80011594: 01061393 slli t2,a2,0x10 + 80011598: 06013603 ld a2,96(sp) + 8001159c: 01c3ee33 or t3,t2,t3 + 800115a0: a83045b7 lui a1,0xa8304 + 800115a4: 01861393 slli t2,a2,0x18 + 800115a8: 01c3ee33 or t3,t2,t3 + 800115ac: 00e6863b addw a2,a3,a4 + 800115b0: 6135859b addiw a1,a1,1555 + 800115b4: 00d84733 xor a4,a6,a3 + 800115b8: 01c585bb addw a1,a1,t3 + 800115bc: 00c77733 and a4,a4,a2 + 800115c0: 01074733 xor a4,a4,a6 + 800115c4: 01d58ebb addw t4,a1,t4 + 800115c8: 07013583 ld a1,112(sp) + 800115cc: 01d70ebb addw t4,a4,t4 + 800115d0: 06813703 ld a4,104(sp) + 800115d4: 00859393 slli t2,a1,0x8 + 800115d8: 00fedb1b srliw s6,t4,0xf + 800115dc: 00e3e3b3 or t2,t2,a4 + 800115e0: 07813703 ld a4,120(sp) + 800115e4: 011e9e9b slliw t4,t4,0x11 + 800115e8: 01db6b33 or s6,s6,t4 + 800115ec: 01071493 slli s1,a4,0x10 + 800115f0: 08013703 ld a4,128(sp) + 800115f4: 0074e3b3 or t2,s1,t2 + 800115f8: fd4695b7 lui a1,0xfd469 + 800115fc: 01871493 slli s1,a4,0x18 + 80011600: 01660b3b addw s6,a2,s6 + 80011604: 0074e3b3 or t2,s1,t2 + 80011608: 00c6c733 xor a4,a3,a2 + 8001160c: 5015859b addiw a1,a1,1281 + 80011610: 007585bb addw a1,a1,t2 + 80011614: 01677733 and a4,a4,s6 + 80011618: 00d74733 xor a4,a4,a3 + 8001161c: 0105883b addw a6,a1,a6 + 80011620: 09013583 ld a1,144(sp) + 80011624: 0107083b addw a6,a4,a6 + 80011628: 08813703 ld a4,136(sp) + 8001162c: 00859e93 slli t4,a1,0x8 + 80011630: 00a85b9b srliw s7,a6,0xa + 80011634: 00eeeeb3 or t4,t4,a4 + 80011638: 09813703 ld a4,152(sp) + 8001163c: 0168181b slliw a6,a6,0x16 + 80011640: 010bebb3 or s7,s7,a6 + 80011644: 01071493 slli s1,a4,0x10 + 80011648: 0a013703 ld a4,160(sp) + 8001164c: 01d4eeb3 or t4,s1,t4 + 80011650: 6980a5b7 lui a1,0x6980a + 80011654: 01871493 slli s1,a4,0x18 + 80011658: 017b0bbb addw s7,s6,s7 + 8001165c: 01d4eeb3 or t4,s1,t4 + 80011660: 01664733 xor a4,a2,s6 + 80011664: 8d85859b addiw a1,a1,-1832 + 80011668: 01d585bb addw a1,a1,t4 + 8001166c: 01777733 and a4,a4,s7 + 80011670: 00c74733 xor a4,a4,a2 + 80011674: 00d586bb addw a3,a1,a3 + 80011678: 0b013583 ld a1,176(sp) + 8001167c: 00d706bb addw a3,a4,a3 + 80011680: 0a813703 ld a4,168(sp) + 80011684: 00859813 slli a6,a1,0x8 + 80011688: 0076991b slliw s2,a3,0x7 + 8001168c: 00e86833 or a6,a6,a4 + 80011690: 0b813703 ld a4,184(sp) + 80011694: 0196d69b srliw a3,a3,0x19 + 80011698: 00d966b3 or a3,s2,a3 + 8001169c: 01071493 slli s1,a4,0x10 + 800116a0: 0c013703 ld a4,192(sp) + 800116a4: 0104e833 or a6,s1,a6 + 800116a8: 00db85bb addw a1,s7,a3 + 800116ac: 01871493 slli s1,a4,0x18 + 800116b0: 8b44f737 lui a4,0x8b44f + 800116b4: 0104e833 or a6,s1,a6 + 800116b8: 7af7071b addiw a4,a4,1967 + 800116bc: 0107073b addw a4,a4,a6 + 800116c0: 00c7063b addw a2,a4,a2 + 800116c4: 0c813703 ld a4,200(sp) + 800116c8: 017b46b3 xor a3,s6,s7 + 800116cc: 00b6f6b3 and a3,a3,a1 + 800116d0: 008c9c93 slli s9,s9,0x8 + 800116d4: 0166c6b3 xor a3,a3,s6 + 800116d8: 00ececb3 or s9,s9,a4 + 800116dc: 0d013703 ld a4,208(sp) + 800116e0: 0d813483 ld s1,216(sp) + 800116e4: 00c6863b addw a2,a3,a2 + 800116e8: 00c6169b slliw a3,a2,0xc + 800116ec: 0146561b srliw a2,a2,0x14 + 800116f0: 00c6e633 or a2,a3,a2 + 800116f4: 01071713 slli a4,a4,0x10 + 800116f8: 00c5893b addw s2,a1,a2 + 800116fc: 01976733 or a4,a4,s9 + 80011700: 00bbc633 xor a2,s7,a1 + 80011704: 01849c93 slli s9,s1,0x18 + 80011708: ffff66b7 lui a3,0xffff6 + 8001170c: 00ececb3 or s9,s9,a4 + 80011710: 0e813483 ld s1,232(sp) + 80011714: 01267633 and a2,a2,s2 + 80011718: bb16869b addiw a3,a3,-1103 + 8001171c: 019686bb addw a3,a3,s9 + 80011720: 01764733 xor a4,a2,s7 + 80011724: 0e013603 ld a2,224(sp) + 80011728: 01668b3b addw s6,a3,s6 + 8001172c: 0167073b addw a4,a4,s6 + 80011730: 00849b13 slli s6,s1,0x8 + 80011734: 00cb6b33 or s6,s6,a2 + 80011738: 0f013603 ld a2,240(sp) + 8001173c: 0f813483 ld s1,248(sp) + 80011740: 008d9d93 slli s11,s11,0x8 + 80011744: 01061693 slli a3,a2,0x10 + 80011748: 0166e6b3 or a3,a3,s6 + 8001174c: 00f7561b srliw a2,a4,0xf + 80011750: 01849b13 slli s6,s1,0x18 + 80011754: 0117171b slliw a4,a4,0x11 + 80011758: 00db6b33 or s6,s6,a3 + 8001175c: 00e664b3 or s1,a2,a4 + 80011760: 895cd6b7 lui a3,0x895cd + 80011764: 009904bb addw s1,s2,s1 + 80011768: 0125c633 xor a2,a1,s2 + 8001176c: 7be6869b addiw a3,a3,1982 + 80011770: 016686bb addw a3,a3,s6 + 80011774: 00967633 and a2,a2,s1 + 80011778: 01768bbb addw s7,a3,s7 + 8001177c: 00b64733 xor a4,a2,a1 + 80011780: 0177073b addw a4,a4,s7 + 80011784: 10813b83 ld s7,264(sp) + 80011788: 10013603 ld a2,256(sp) + 8001178c: 00a7569b srliw a3,a4,0xa + 80011790: 008b9d13 slli s10,s7,0x8 + 80011794: 00cd6d33 or s10,s10,a2 + 80011798: 11013603 ld a2,272(sp) + 8001179c: 11813b83 ld s7,280(sp) + 800117a0: 0167171b slliw a4,a4,0x16 + 800117a4: 01061613 slli a2,a2,0x10 + 800117a8: 01a66633 or a2,a2,s10 + 800117ac: 018b9d13 slli s10,s7,0x18 + 800117b0: 00cd6d33 or s10,s10,a2 + 800117b4: 00e6e6b3 or a3,a3,a4 + 800117b8: 6b901637 lui a2,0x6b901 + 800117bc: 00d486bb addw a3,s1,a3 + 800117c0: 00994bb3 xor s7,s2,s1 + 800117c4: 1226061b addiw a2,a2,290 + 800117c8: 01a6063b addw a2,a2,s10 + 800117cc: 00dbfbb3 and s7,s7,a3 + 800117d0: 00b605bb addw a1,a2,a1 + 800117d4: 012bc733 xor a4,s7,s2 + 800117d8: 00b7073b addw a4,a4,a1 + 800117dc: 12813583 ld a1,296(sp) + 800117e0: 12013603 ld a2,288(sp) + 800117e4: 00d4cc33 xor s8,s1,a3 + 800117e8: 00859b93 slli s7,a1,0x8 + 800117ec: 00cbebb3 or s7,s7,a2 + 800117f0: 13013603 ld a2,304(sp) + 800117f4: 0077159b slliw a1,a4,0x7 + 800117f8: 0197571b srliw a4,a4,0x19 + 800117fc: 01061613 slli a2,a2,0x10 + 80011800: 01766633 or a2,a2,s7 + 80011804: 13813b83 ld s7,312(sp) + 80011808: 00e5e733 or a4,a1,a4 + 8001180c: fd9875b7 lui a1,0xfd987 + 80011810: 018b9b93 slli s7,s7,0x18 + 80011814: 00cbebb3 or s7,s7,a2 + 80011818: 1935859b addiw a1,a1,403 + 8001181c: 017585bb addw a1,a1,s7 + 80011820: 0125893b addw s2,a1,s2 + 80011824: 14013583 ld a1,320(sp) + 80011828: 00e6873b addw a4,a3,a4 + 8001182c: 00ec7c33 and s8,s8,a4 + 80011830: 00bdedb3 or s11,s11,a1 + 80011834: 14813583 ld a1,328(sp) + 80011838: 009c4633 xor a2,s8,s1 + 8001183c: 15013c03 ld s8,336(sp) + 80011840: 0126063b addw a2,a2,s2 + 80011844: 01059913 slli s2,a1,0x10 + 80011848: 01b96933 or s2,s2,s11 + 8001184c: 00c6159b slliw a1,a2,0xc + 80011850: 018c1d93 slli s11,s8,0x18 + 80011854: 0146561b srliw a2,a2,0x14 + 80011858: 012dedb3 or s11,s11,s2 + 8001185c: 00c5e5b3 or a1,a1,a2 + 80011860: a6794937 lui s2,0xa6794 + 80011864: 00b705bb addw a1,a4,a1 + 80011868: 00e6cc33 xor s8,a3,a4 + 8001186c: 38e9091b addiw s2,s2,910 + 80011870: 01b9093b addw s2,s2,s11 + 80011874: 00bc7c33 and s8,s8,a1 + 80011878: 009904bb addw s1,s2,s1 + 8001187c: 00dc4633 xor a2,s8,a3 + 80011880: 16013903 ld s2,352(sp) + 80011884: 0096063b addw a2,a2,s1 + 80011888: 15813483 ld s1,344(sp) + 8001188c: 00891c13 slli s8,s2,0x8 + 80011890: 00f6591b srliw s2,a2,0xf + 80011894: 009c6c33 or s8,s8,s1 + 80011898: 16813483 ld s1,360(sp) + 8001189c: 0116161b slliw a2,a2,0x11 + 800118a0: 00c96633 or a2,s2,a2 + 800118a4: 01049493 slli s1,s1,0x10 + 800118a8: 0184e4b3 or s1,s1,s8 + 800118ac: 49b41937 lui s2,0x49b41 + 800118b0: 018a1c13 slli s8,s4,0x18 + 800118b4: 00c5863b addw a2,a1,a2 + 800118b8: 009c6c33 or s8,s8,s1 + 800118bc: 8219091b addiw s2,s2,-2015 + 800118c0: 00b744b3 xor s1,a4,a1 + 800118c4: 00c4f4b3 and s1,s1,a2 + 800118c8: 0189093b addw s2,s2,s8 + 800118cc: 00d9093b addw s2,s2,a3 + 800118d0: 00e4c6b3 xor a3,s1,a4 + 800118d4: 012686bb addw a3,a3,s2 + 800118d8: 00a6d49b srliw s1,a3,0xa + 800118dc: 0166969b slliw a3,a3,0x16 + 800118e0: 00d4e6b3 or a3,s1,a3 + 800118e4: 00d606bb addw a3,a2,a3 + 800118e8: f61e2937 lui s2,0xf61e2 + 800118ec: 00d644b3 xor s1,a2,a3 + 800118f0: 5629091b addiw s2,s2,1378 + 800118f4: 00b4f4b3 and s1,s1,a1 + 800118f8: 01e9093b addw s2,s2,t5 + 800118fc: 00e9093b addw s2,s2,a4 + 80011900: 00c4c733 xor a4,s1,a2 + 80011904: 0127073b addw a4,a4,s2 + 80011908: 0057149b slliw s1,a4,0x5 + 8001190c: 01b7571b srliw a4,a4,0x1b + 80011910: 00e4e733 or a4,s1,a4 + 80011914: 00e6873b addw a4,a3,a4 + 80011918: c040b937 lui s2,0xc040b + 8001191c: 00e6c4b3 xor s1,a3,a4 + 80011920: 3409091b addiw s2,s2,832 + 80011924: 00c4f4b3 and s1,s1,a2 + 80011928: 01c9093b addw s2,s2,t3 + 8001192c: 00b9093b addw s2,s2,a1 + 80011930: 00d4c5b3 xor a1,s1,a3 + 80011934: 012585bb addw a1,a1,s2 + 80011938: 0095949b slliw s1,a1,0x9 + 8001193c: 0175d59b srliw a1,a1,0x17 + 80011940: 00b4e5b3 or a1,s1,a1 + 80011944: 00b705bb addw a1,a4,a1 + 80011948: 265e6937 lui s2,0x265e6 + 8001194c: 00b744b3 xor s1,a4,a1 + 80011950: a519091b addiw s2,s2,-1455 + 80011954: 00d4f4b3 and s1,s1,a3 + 80011958: 0169093b addw s2,s2,s6 + 8001195c: 00c9093b addw s2,s2,a2 + 80011960: 00e4c633 xor a2,s1,a4 + 80011964: 0126063b addw a2,a2,s2 + 80011968: 00e6149b slliw s1,a2,0xe + 8001196c: 0126561b srliw a2,a2,0x12 + 80011970: 00c4e633 or a2,s1,a2 + 80011974: 00c5863b addw a2,a1,a2 + 80011978: e9b6c937 lui s2,0xe9b6c + 8001197c: 00c5c4b3 xor s1,a1,a2 + 80011980: 7aa9091b addiw s2,s2,1962 + 80011984: 00e4f4b3 and s1,s1,a4 + 80011988: 0089093b addw s2,s2,s0 + 8001198c: 00d9093b addw s2,s2,a3 + 80011990: 00b4c6b3 xor a3,s1,a1 + 80011994: 012686bb addw a3,a3,s2 + 80011998: 00c6d49b srliw s1,a3,0xc + 8001199c: 0146969b slliw a3,a3,0x14 + 800119a0: 00d4e6b3 or a3,s1,a3 + 800119a4: 00d606bb addw a3,a2,a3 + 800119a8: d62f1937 lui s2,0xd62f1 + 800119ac: 00d644b3 xor s1,a2,a3 + 800119b0: 05d9091b addiw s2,s2,93 + 800119b4: 00b4f4b3 and s1,s1,a1 + 800119b8: 0059093b addw s2,s2,t0 + 800119bc: 00e9093b addw s2,s2,a4 + 800119c0: 00c4c733 xor a4,s1,a2 + 800119c4: 0127073b addw a4,a4,s2 + 800119c8: 0057149b slliw s1,a4,0x5 + 800119cc: 01b7571b srliw a4,a4,0x1b + 800119d0: 00e4e733 or a4,s1,a4 + 800119d4: 00e6873b addw a4,a3,a4 + 800119d8: 02441937 lui s2,0x2441 + 800119dc: 00e6c4b3 xor s1,a3,a4 + 800119e0: 4539091b addiw s2,s2,1107 + 800119e4: 00c4f4b3 and s1,s1,a2 + 800119e8: 0199093b addw s2,s2,s9 + 800119ec: 00b9093b addw s2,s2,a1 + 800119f0: 00d4c5b3 xor a1,s1,a3 + 800119f4: 012585bb addw a1,a1,s2 + 800119f8: 0095949b slliw s1,a1,0x9 + 800119fc: 0175d59b srliw a1,a1,0x17 + 80011a00: 00b4e5b3 or a1,s1,a1 + 80011a04: 00b705bb addw a1,a4,a1 + 80011a08: d8a1e937 lui s2,0xd8a1e + 80011a0c: 00b744b3 xor s1,a4,a1 + 80011a10: 6819091b addiw s2,s2,1665 + 80011a14: 00d4f4b3 and s1,s1,a3 + 80011a18: 0189093b addw s2,s2,s8 + 80011a1c: 00c9093b addw s2,s2,a2 + 80011a20: 00e4c633 xor a2,s1,a4 + 80011a24: 0126063b addw a2,a2,s2 + 80011a28: 00e6149b slliw s1,a2,0xe + 80011a2c: 0126561b srliw a2,a2,0x12 + 80011a30: 00c4e633 or a2,s1,a2 + 80011a34: 00c5863b addw a2,a1,a2 + 80011a38: e7d40937 lui s2,0xe7d40 + 80011a3c: 00c5c4b3 xor s1,a1,a2 + 80011a40: bc89091b addiw s2,s2,-1080 + 80011a44: 00e4f4b3 and s1,s1,a4 + 80011a48: 0069093b addw s2,s2,t1 + 80011a4c: 00d9093b addw s2,s2,a3 + 80011a50: 00b4c6b3 xor a3,s1,a1 + 80011a54: 012686bb addw a3,a3,s2 + 80011a58: 00c6d49b srliw s1,a3,0xc + 80011a5c: 0146969b slliw a3,a3,0x14 + 80011a60: 00d4e6b3 or a3,s1,a3 + 80011a64: 00d606bb addw a3,a2,a3 + 80011a68: 21e1d937 lui s2,0x21e1d + 80011a6c: 00d644b3 xor s1,a2,a3 + 80011a70: de69091b addiw s2,s2,-538 + 80011a74: 00b4f4b3 and s1,s1,a1 + 80011a78: 0109093b addw s2,s2,a6 + 80011a7c: 00e9093b addw s2,s2,a4 + 80011a80: 00c4c733 xor a4,s1,a2 + 80011a84: 0127073b addw a4,a4,s2 + 80011a88: 0057149b slliw s1,a4,0x5 + 80011a8c: 01b7571b srliw a4,a4,0x1b + 80011a90: 00e4e733 or a4,s1,a4 + 80011a94: 00e6873b addw a4,a3,a4 + 80011a98: c3370937 lui s2,0xc3370 + 80011a9c: 00e6c4b3 xor s1,a3,a4 + 80011aa0: 7d69091b addiw s2,s2,2006 + 80011aa4: 00c4f4b3 and s1,s1,a2 + 80011aa8: 01b9093b addw s2,s2,s11 + 80011aac: 00b9093b addw s2,s2,a1 + 80011ab0: 00d4c5b3 xor a1,s1,a3 + 80011ab4: 012585bb addw a1,a1,s2 + 80011ab8: 0095949b slliw s1,a1,0x9 + 80011abc: 0175d59b srliw a1,a1,0x17 + 80011ac0: 00b4e5b3 or a1,s1,a1 + 80011ac4: 00b705bb addw a1,a4,a1 + 80011ac8: f4d51937 lui s2,0xf4d51 + 80011acc: 00b744b3 xor s1,a4,a1 + 80011ad0: d879091b addiw s2,s2,-633 + 80011ad4: 00d4f4b3 and s1,s1,a3 + 80011ad8: 01f9093b addw s2,s2,t6 + 80011adc: 00c9093b addw s2,s2,a2 + 80011ae0: 00e4c633 xor a2,s1,a4 + 80011ae4: 0126063b addw a2,a2,s2 + 80011ae8: 00e6149b slliw s1,a2,0xe + 80011aec: 0126561b srliw a2,a2,0x12 + 80011af0: 00c4e633 or a2,s1,a2 + 80011af4: 00c584bb addw s1,a1,a2 + 80011af8: 455a1937 lui s2,0x455a1 + 80011afc: 0095c633 xor a2,a1,s1 + 80011b00: 4ed9091b addiw s2,s2,1261 + 80011b04: 00e67633 and a2,a2,a4 + 80011b08: 01d9093b addw s2,s2,t4 + 80011b0c: 00d9093b addw s2,s2,a3 + 80011b10: 00b646b3 xor a3,a2,a1 + 80011b14: 012686bb addw a3,a3,s2 + 80011b18: 00c6d61b srliw a2,a3,0xc + 80011b1c: 0146969b slliw a3,a3,0x14 + 80011b20: 00d666b3 or a3,a2,a3 + 80011b24: 00d4863b addw a2,s1,a3 + 80011b28: a9e3f937 lui s2,0xa9e3f + 80011b2c: 00c4c6b3 xor a3,s1,a2 + 80011b30: 9059091b addiw s2,s2,-1787 + 80011b34: 00b6f6b3 and a3,a3,a1 + 80011b38: 0179093b addw s2,s2,s7 + 80011b3c: 00e9093b addw s2,s2,a4 + 80011b40: 0096c733 xor a4,a3,s1 + 80011b44: 0127073b addw a4,a4,s2 + 80011b48: 0057169b slliw a3,a4,0x5 + 80011b4c: 01b7571b srliw a4,a4,0x1b + 80011b50: 00e6e733 or a4,a3,a4 + 80011b54: 00e606bb addw a3,a2,a4 + 80011b58: fcefa937 lui s2,0xfcefa + 80011b5c: 00d64733 xor a4,a2,a3 + 80011b60: 3f89091b addiw s2,s2,1016 + 80011b64: 00977733 and a4,a4,s1 + 80011b68: 0119093b addw s2,s2,a7 + 80011b6c: 00b9093b addw s2,s2,a1 + 80011b70: 00c745b3 xor a1,a4,a2 + 80011b74: 012585bb addw a1,a1,s2 + 80011b78: 0095971b slliw a4,a1,0x9 + 80011b7c: 0175d59b srliw a1,a1,0x17 + 80011b80: 00b765b3 or a1,a4,a1 + 80011b84: 00b685bb addw a1,a3,a1 + 80011b88: 676f0937 lui s2,0x676f0 + 80011b8c: 00b6c733 xor a4,a3,a1 + 80011b90: 2d99091b addiw s2,s2,729 + 80011b94: 00c77733 and a4,a4,a2 + 80011b98: 0079093b addw s2,s2,t2 + 80011b9c: 0099093b addw s2,s2,s1 + 80011ba0: 00d744b3 xor s1,a4,a3 + 80011ba4: 012484bb addw s1,s1,s2 + 80011ba8: 00e4971b slliw a4,s1,0xe + 80011bac: 0124d49b srliw s1,s1,0x12 + 80011bb0: 009764b3 or s1,a4,s1 + 80011bb4: 009584bb addw s1,a1,s1 + 80011bb8: 0095c733 xor a4,a1,s1 + 80011bbc: 8d2a5a37 lui s4,0x8d2a5 + 80011bc0: 0007071b sext.w a4,a4 + 80011bc4: c8aa0a1b addiw s4,s4,-886 + 80011bc8: 01aa0a3b addw s4,s4,s10 + 80011bcc: 00d77933 and s2,a4,a3 + 80011bd0: 00ca063b addw a2,s4,a2 + 80011bd4: 00b94933 xor s2,s2,a1 + 80011bd8: 00c9093b addw s2,s2,a2 + 80011bdc: 00c9561b srliw a2,s2,0xc + 80011be0: 0149191b slliw s2,s2,0x14 + 80011be4: 01266633 or a2,a2,s2 + 80011be8: fffa4937 lui s2,0xfffa4 + 80011bec: 9429091b addiw s2,s2,-1726 + 80011bf0: 00c4863b addw a2,s1,a2 + 80011bf4: 0059093b addw s2,s2,t0 + 80011bf8: 00d906bb addw a3,s2,a3 + 80011bfc: 00c74733 xor a4,a4,a2 + 80011c00: 00d7073b addw a4,a4,a3 + 80011c04: 0047169b slliw a3,a4,0x4 + 80011c08: 8771f937 lui s2,0x8771f + 80011c0c: 01c7571b srliw a4,a4,0x1c + 80011c10: 00e6e733 or a4,a3,a4 + 80011c14: 6819091b addiw s2,s2,1665 + 80011c18: 00e606bb addw a3,a2,a4 + 80011c1c: 01d9093b addw s2,s2,t4 + 80011c20: 00c4c733 xor a4,s1,a2 + 80011c24: 00b9093b addw s2,s2,a1 + 80011c28: 00d745b3 xor a1,a4,a3 + 80011c2c: 012585bb addw a1,a1,s2 + 80011c30: 00b5971b slliw a4,a1,0xb + 80011c34: 6d9d6937 lui s2,0x6d9d6 + 80011c38: 0155d59b srliw a1,a1,0x15 + 80011c3c: 00b765b3 or a1,a4,a1 + 80011c40: 1229091b addiw s2,s2,290 + 80011c44: 00b685bb addw a1,a3,a1 + 80011c48: 00d64733 xor a4,a2,a3 + 80011c4c: 0169093b addw s2,s2,s6 + 80011c50: 0099093b addw s2,s2,s1 + 80011c54: 00b744b3 xor s1,a4,a1 + 80011c58: 012484bb addw s1,s1,s2 + 80011c5c: 0104971b slliw a4,s1,0x10 + 80011c60: fde54937 lui s2,0xfde54 + 80011c64: 0104d49b srliw s1,s1,0x10 + 80011c68: 009764b3 or s1,a4,s1 + 80011c6c: 80c9091b addiw s2,s2,-2036 + 80011c70: 0095873b addw a4,a1,s1 + 80011c74: 01b9093b addw s2,s2,s11 + 80011c78: 00b6c4b3 xor s1,a3,a1 + 80011c7c: 00c9093b addw s2,s2,a2 + 80011c80: 00e4c633 xor a2,s1,a4 + 80011c84: 0126063b addw a2,a2,s2 + 80011c88: 0096549b srliw s1,a2,0x9 + 80011c8c: a4bef937 lui s2,0xa4bef + 80011c90: 0176161b slliw a2,a2,0x17 + 80011c94: 00c4e633 or a2,s1,a2 + 80011c98: a449091b addiw s2,s2,-1468 + 80011c9c: 00c7063b addw a2,a4,a2 + 80011ca0: 00e5c4b3 xor s1,a1,a4 + 80011ca4: 01e9093b addw s2,s2,t5 + 80011ca8: 00d9093b addw s2,s2,a3 + 80011cac: 00c4c6b3 xor a3,s1,a2 + 80011cb0: 012686bb addw a3,a3,s2 + 80011cb4: 0046949b slliw s1,a3,0x4 + 80011cb8: 4bded937 lui s2,0x4bded + 80011cbc: 01c6d69b srliw a3,a3,0x1c + 80011cc0: 00d4e6b3 or a3,s1,a3 + 80011cc4: fa99091b addiw s2,s2,-87 + 80011cc8: 00d604bb addw s1,a2,a3 + 80011ccc: 0069093b addw s2,s2,t1 + 80011cd0: 00c746b3 xor a3,a4,a2 + 80011cd4: 00b9093b addw s2,s2,a1 + 80011cd8: 0096c5b3 xor a1,a3,s1 + 80011cdc: 012585bb addw a1,a1,s2 + 80011ce0: 00b5969b slliw a3,a1,0xb + 80011ce4: f6bb5937 lui s2,0xf6bb5 + 80011ce8: 0155d59b srliw a1,a1,0x15 + 80011cec: 00b6e5b3 or a1,a3,a1 + 80011cf0: b609091b addiw s2,s2,-1184 + 80011cf4: 00b486bb addw a3,s1,a1 + 80011cf8: 0079093b addw s2,s2,t2 + 80011cfc: 009645b3 xor a1,a2,s1 + 80011d00: 00e9093b addw s2,s2,a4 + 80011d04: 00d5c733 xor a4,a1,a3 + 80011d08: 0127073b addw a4,a4,s2 + 80011d0c: 0107159b slliw a1,a4,0x10 + 80011d10: bebfc937 lui s2,0xbebfc + 80011d14: 0107571b srliw a4,a4,0x10 + 80011d18: 00e5e733 or a4,a1,a4 + 80011d1c: c709091b addiw s2,s2,-912 + 80011d20: 00e6873b addw a4,a3,a4 + 80011d24: 00d4c5b3 xor a1,s1,a3 + 80011d28: 0199093b addw s2,s2,s9 + 80011d2c: 00c9093b addw s2,s2,a2 + 80011d30: 00e5c633 xor a2,a1,a4 + 80011d34: 0126063b addw a2,a2,s2 + 80011d38: 0096559b srliw a1,a2,0x9 + 80011d3c: 289b8937 lui s2,0x289b8 + 80011d40: 0176161b slliw a2,a2,0x17 + 80011d44: 00c5e633 or a2,a1,a2 + 80011d48: ec69091b addiw s2,s2,-314 + 80011d4c: 00c705bb addw a1,a4,a2 + 80011d50: 0179093b addw s2,s2,s7 + 80011d54: 00e6c633 xor a2,a3,a4 + 80011d58: 0099093b addw s2,s2,s1 + 80011d5c: 00b644b3 xor s1,a2,a1 + 80011d60: 012484bb addw s1,s1,s2 + 80011d64: 0044961b slliw a2,s1,0x4 + 80011d68: eaa12937 lui s2,0xeaa12 + 80011d6c: 01c4d49b srliw s1,s1,0x1c + 80011d70: 009664b3 or s1,a2,s1 + 80011d74: 7fa9091b addiw s2,s2,2042 + 80011d78: 0095863b addw a2,a1,s1 + 80011d7c: 0089093b addw s2,s2,s0 + 80011d80: 00b744b3 xor s1,a4,a1 + 80011d84: 00d9093b addw s2,s2,a3 + 80011d88: 00c4c6b3 xor a3,s1,a2 + 80011d8c: 012686bb addw a3,a3,s2 + 80011d90: 00b6949b slliw s1,a3,0xb + 80011d94: d4ef3937 lui s2,0xd4ef3 + 80011d98: 0156d69b srliw a3,a3,0x15 + 80011d9c: 00d4e6b3 or a3,s1,a3 + 80011da0: 0859091b addiw s2,s2,133 + 80011da4: 00d606bb addw a3,a2,a3 + 80011da8: 00c5c4b3 xor s1,a1,a2 + 80011dac: 01f9093b addw s2,s2,t6 + 80011db0: 00e9093b addw s2,s2,a4 + 80011db4: 00d4c733 xor a4,s1,a3 + 80011db8: 0127073b addw a4,a4,s2 + 80011dbc: 0107149b slliw s1,a4,0x10 + 80011dc0: 04882937 lui s2,0x4882 + 80011dc4: 0107571b srliw a4,a4,0x10 + 80011dc8: 00e4e733 or a4,s1,a4 + 80011dcc: d059091b addiw s2,s2,-763 + 80011dd0: 00e684bb addw s1,a3,a4 + 80011dd4: 01c9093b addw s2,s2,t3 + 80011dd8: 00d64733 xor a4,a2,a3 + 80011ddc: 00b9093b addw s2,s2,a1 + 80011de0: 009745b3 xor a1,a4,s1 + 80011de4: 012585bb addw a1,a1,s2 + 80011de8: 0095d71b srliw a4,a1,0x9 + 80011dec: d9d4d937 lui s2,0xd9d4d + 80011df0: 0175959b slliw a1,a1,0x17 + 80011df4: 00b765b3 or a1,a4,a1 + 80011df8: 0399091b addiw s2,s2,57 + 80011dfc: 00b4873b addw a4,s1,a1 + 80011e00: 0109093b addw s2,s2,a6 + 80011e04: 0096c5b3 xor a1,a3,s1 + 80011e08: 00c9093b addw s2,s2,a2 + 80011e0c: 00e5c633 xor a2,a1,a4 + 80011e10: 0126063b addw a2,a2,s2 + 80011e14: 0046159b slliw a1,a2,0x4 + 80011e18: e6dba937 lui s2,0xe6dba + 80011e1c: 01c6561b srliw a2,a2,0x1c + 80011e20: 00c5e633 or a2,a1,a2 + 80011e24: 9e59091b addiw s2,s2,-1563 + 80011e28: 00c7063b addw a2,a4,a2 + 80011e2c: 00e4c5b3 xor a1,s1,a4 + 80011e30: 01a9093b addw s2,s2,s10 + 80011e34: 00d9093b addw s2,s2,a3 + 80011e38: 00c5c6b3 xor a3,a1,a2 + 80011e3c: 012686bb addw a3,a3,s2 + 80011e40: 00b6959b slliw a1,a3,0xb + 80011e44: 1fa28937 lui s2,0x1fa28 + 80011e48: 0156d69b srliw a3,a3,0x15 + 80011e4c: 00d5e6b3 or a3,a1,a3 + 80011e50: cf89091b addiw s2,s2,-776 + 80011e54: 00d605bb addw a1,a2,a3 + 80011e58: 0189093b addw s2,s2,s8 + 80011e5c: 00c746b3 xor a3,a4,a2 + 80011e60: 0099093b addw s2,s2,s1 + 80011e64: 00b6c4b3 xor s1,a3,a1 + 80011e68: 012484bb addw s1,s1,s2 + 80011e6c: 0104969b slliw a3,s1,0x10 + 80011e70: c4ac5937 lui s2,0xc4ac5 + 80011e74: 0104d49b srliw s1,s1,0x10 + 80011e78: 0096e4b3 or s1,a3,s1 + 80011e7c: 6659091b addiw s2,s2,1637 + 80011e80: 009586bb addw a3,a1,s1 + 80011e84: 0119093b addw s2,s2,a7 + 80011e88: 00b644b3 xor s1,a2,a1 + 80011e8c: 00e9093b addw s2,s2,a4 + 80011e90: 00d4c733 xor a4,s1,a3 + 80011e94: 0127073b addw a4,a4,s2 + 80011e98: 0097549b srliw s1,a4,0x9 + 80011e9c: 0177171b slliw a4,a4,0x17 + 80011ea0: 00e4e733 or a4,s1,a4 + 80011ea4: f4292937 lui s2,0xf4292 + 80011ea8: 00e6873b addw a4,a3,a4 + 80011eac: fff5c493 not s1,a1 + 80011eb0: 2449091b addiw s2,s2,580 + 80011eb4: 00e4e4b3 or s1,s1,a4 + 80011eb8: 0089043b addw s0,s2,s0 + 80011ebc: 00c4043b addw s0,s0,a2 + 80011ec0: 00d4c633 xor a2,s1,a3 + 80011ec4: 0086063b addw a2,a2,s0 + 80011ec8: 0066141b slliw s0,a2,0x6 + 80011ecc: 01a6561b srliw a2,a2,0x1a + 80011ed0: 00c46633 or a2,s0,a2 + 80011ed4: 432b04b7 lui s1,0x432b0 + 80011ed8: 00c7043b addw s0,a4,a2 + 80011edc: f974849b addiw s1,s1,-105 + 80011ee0: fff6c613 not a2,a3 + 80011ee4: 00866633 or a2,a2,s0 + 80011ee8: 007483bb addw t2,s1,t2 + 80011eec: 00b383bb addw t2,t2,a1 + 80011ef0: 00e645b3 xor a1,a2,a4 + 80011ef4: 007585bb addw a1,a1,t2 + 80011ef8: 00a5961b slliw a2,a1,0xa + 80011efc: 0165d59b srliw a1,a1,0x16 + 80011f00: 00b665b3 or a1,a2,a1 + 80011f04: ab9423b7 lui t2,0xab942 + 80011f08: 00b4063b addw a2,s0,a1 + 80011f0c: 3a73839b addiw t2,t2,935 + 80011f10: fff74593 not a1,a4 + 80011f14: 01b383bb addw t2,t2,s11 + 80011f18: 00c5edb3 or s11,a1,a2 + 80011f1c: 00d386bb addw a3,t2,a3 + 80011f20: 008dcdb3 xor s11,s11,s0 + 80011f24: 00dd8dbb addw s11,s11,a3 + 80011f28: 00fd969b slliw a3,s11,0xf + 80011f2c: 011ddd9b srliw s11,s11,0x11 + 80011f30: 01b6edb3 or s11,a3,s11 + 80011f34: fc93a3b7 lui t2,0xfc93a + 80011f38: 01b606bb addw a3,a2,s11 + 80011f3c: fff44593 not a1,s0 + 80011f40: 0393839b addiw t2,t2,57 + 80011f44: 00d5e5b3 or a1,a1,a3 + 80011f48: 005382bb addw t0,t2,t0 + 80011f4c: 00e282bb addw t0,t0,a4 + 80011f50: 00c5c733 xor a4,a1,a2 + 80011f54: 0057073b addw a4,a4,t0 + 80011f58: 00b7529b srliw t0,a4,0xb + 80011f5c: 0157171b slliw a4,a4,0x15 + 80011f60: 00e2e733 or a4,t0,a4 + 80011f64: 655b65b7 lui a1,0x655b6 + 80011f68: 00e682bb addw t0,a3,a4 + 80011f6c: 9c35859b addiw a1,a1,-1597 + 80011f70: fff64713 not a4,a2 + 80011f74: 01a585bb addw a1,a1,s10 + 80011f78: 00576d33 or s10,a4,t0 + 80011f7c: 0085843b addw s0,a1,s0 + 80011f80: 00dd4d33 xor s10,s10,a3 + 80011f84: 008d0d3b addw s10,s10,s0 + 80011f88: 006d159b slliw a1,s10,0x6 + 80011f8c: 01ad5d1b srliw s10,s10,0x1a + 80011f90: 01a5ed33 or s10,a1,s10 + 80011f94: 8f0cd3b7 lui t2,0x8f0cd + 80011f98: 01a285bb addw a1,t0,s10 + 80011f9c: fff6c713 not a4,a3 + 80011fa0: c923839b addiw t2,t2,-878 + 80011fa4: 01f383bb addw t2,t2,t6 + 80011fa8: 00b76fb3 or t6,a4,a1 + 80011fac: 00c3863b addw a2,t2,a2 + 80011fb0: 005fcfb3 xor t6,t6,t0 + 80011fb4: 00cf8fbb addw t6,t6,a2 + 80011fb8: 00af961b slliw a2,t6,0xa + 80011fbc: 016fdf9b srliw t6,t6,0x16 + 80011fc0: 01f66fb3 or t6,a2,t6 + 80011fc4: 01f5863b addw a2,a1,t6 + 80011fc8: ffefffb7 lui t6,0xffeff + 80011fcc: fff2c713 not a4,t0 + 80011fd0: 47df8f9b addiw t6,t6,1149 + 80011fd4: 019f8fbb addw t6,t6,s9 + 80011fd8: 00c76cb3 or s9,a4,a2 + 80011fdc: 00df86bb addw a3,t6,a3 + 80011fe0: 00bcccb3 xor s9,s9,a1 + 80011fe4: 00dc8cbb addw s9,s9,a3 + 80011fe8: 00fc969b slliw a3,s9,0xf + 80011fec: 011cdc9b srliw s9,s9,0x11 + 80011ff0: 0196ecb3 or s9,a3,s9 + 80011ff4: 85846fb7 lui t6,0x85846 + 80011ff8: 019606bb addw a3,a2,s9 + 80011ffc: fff5c713 not a4,a1 + 80012000: dd1f8f9b addiw t6,t6,-559 + 80012004: 01ef8f3b addw t5,t6,t5 + 80012008: 00d76733 or a4,a4,a3 + 8001200c: 005f02bb addw t0,t5,t0 + 80012010: 00c74733 xor a4,a4,a2 + 80012014: 0057073b addw a4,a4,t0 + 80012018: 00b75f1b srliw t5,a4,0xb + 8001201c: 0157171b slliw a4,a4,0x15 + 80012020: 00ef6733 or a4,t5,a4 + 80012024: 6fa88fb7 lui t6,0x6fa88 + 80012028: 00e68f3b addw t5,a3,a4 + 8001202c: e4ff8f9b addiw t6,t6,-433 + 80012030: fff64713 not a4,a2 + 80012034: 01df8fbb addw t6,t6,t4 + 80012038: 01e76eb3 or t4,a4,t5 + 8001203c: 00bf85bb addw a1,t6,a1 + 80012040: 00deceb3 xor t4,t4,a3 + 80012044: 00be8ebb addw t4,t4,a1 + 80012048: 006e959b slliw a1,t4,0x6 + 8001204c: 01aede9b srliw t4,t4,0x1a + 80012050: 01d5eeb3 or t4,a1,t4 + 80012054: 01df05bb addw a1,t5,t4 + 80012058: fe2ceeb7 lui t4,0xfe2ce + 8001205c: fff6c713 not a4,a3 + 80012060: 6e0e8e9b addiw t4,t4,1760 + 80012064: 018e8ebb addw t4,t4,s8 + 80012068: 00b76c33 or s8,a4,a1 + 8001206c: 00ce863b addw a2,t4,a2 + 80012070: 01ec4c33 xor s8,s8,t5 + 80012074: 00cc0c3b addw s8,s8,a2 + 80012078: 00ac161b slliw a2,s8,0xa + 8001207c: 016c5c1b srliw s8,s8,0x16 + 80012080: 01866c33 or s8,a2,s8 + 80012084: a3014eb7 lui t4,0xa3014 + 80012088: 0185863b addw a2,a1,s8 + 8001208c: ffff4713 not a4,t5 + 80012090: 314e8e9b addiw t4,t4,788 + 80012094: 01ce8ebb addw t4,t4,t3 + 80012098: 00c76e33 or t3,a4,a2 + 8001209c: 00de86bb addw a3,t4,a3 + 800120a0: 00be4e33 xor t3,t3,a1 + 800120a4: 00de0e3b addw t3,t3,a3 + 800120a8: 00fe169b slliw a3,t3,0xf + 800120ac: 011e5e1b srliw t3,t3,0x11 + 800120b0: 01c6ee33 or t3,a3,t3 + 800120b4: 01c606bb addw a3,a2,t3 + 800120b8: 4e081e37 lui t3,0x4e081 + 800120bc: fff5c713 not a4,a1 + 800120c0: 1a1e0e1b addiw t3,t3,417 + 800120c4: 017e0e3b addw t3,t3,s7 + 800120c8: 00d76bb3 or s7,a4,a3 + 800120cc: 01ee0f3b addw t5,t3,t5 + 800120d0: 00cbcbb3 xor s7,s7,a2 + 800120d4: 01eb8bbb addw s7,s7,t5 + 800120d8: 00bbd71b srliw a4,s7,0xb + 800120dc: 015b9b9b slliw s7,s7,0x15 + 800120e0: 01776bb3 or s7,a4,s7 + 800120e4: f7538e37 lui t3,0xf7538 + 800120e8: 01768bbb addw s7,a3,s7 + 800120ec: fff64713 not a4,a2 + 800120f0: e82e0e1b addiw t3,t3,-382 + 800120f4: 006e0e3b addw t3,t3,t1 + 800120f8: 01776333 or t1,a4,s7 + 800120fc: 00be05bb addw a1,t3,a1 + 80012100: 00d34333 xor t1,t1,a3 + 80012104: 00b3033b addw t1,t1,a1 + 80012108: 0063171b slliw a4,t1,0x6 + 8001210c: 01a3531b srliw t1,t1,0x1a + 80012110: 00676333 or t1,a4,t1 + 80012114: bd3af5b7 lui a1,0xbd3af + 80012118: 006b833b addw t1,s7,t1 + 8001211c: fff6c713 not a4,a3 + 80012120: 2355859b addiw a1,a1,565 + 80012124: 016585bb addw a1,a1,s6 + 80012128: 00676b33 or s6,a4,t1 + 8001212c: 00c5863b addw a2,a1,a2 + 80012130: 017b4b33 xor s6,s6,s7 + 80012134: 00cb0b3b addw s6,s6,a2 + 80012138: 00ab171b slliw a4,s6,0xa + 8001213c: 016b5b1b srliw s6,s6,0x16 + 80012140: 01676b33 or s6,a4,s6 + 80012144: 2ad7d637 lui a2,0x2ad7d + 80012148: 01630b3b addw s6,t1,s6 + 8001214c: fffbc713 not a4,s7 + 80012150: 2bb6061b addiw a2,a2,699 + 80012154: 0116063b addw a2,a2,a7 + 80012158: 016768b3 or a7,a4,s6 + 8001215c: 00d606bb addw a3,a2,a3 + 80012160: 0068c8b3 xor a7,a7,t1 + 80012164: 00d888bb addw a7,a7,a3 + 80012168: 00f8971b slliw a4,a7,0xf + 8001216c: 0118d89b srliw a7,a7,0x11 + 80012170: 011768b3 or a7,a4,a7 + 80012174: eb86d6b7 lui a3,0xeb86d + 80012178: 011b08bb addw a7,s6,a7 + 8001217c: fff34713 not a4,t1 + 80012180: 3916869b addiw a3,a3,913 + 80012184: 010686bb addw a3,a3,a6 + 80012188: 01176833 or a6,a4,a7 + 8001218c: 01768bbb addw s7,a3,s7 + 80012190: 01684833 xor a6,a6,s6 + 80012194: 00813683 ld a3,8(sp) + 80012198: 0178083b addw a6,a6,s7 + 8001219c: 00b8571b srliw a4,a6,0xb + 800121a0: 0158181b slliw a6,a6,0x15 + 800121a4: 1c813403 ld s0,456(sp) + 800121a8: 01076833 or a6,a4,a6 + 800121ac: 011787bb addw a5,a5,a7 + 800121b0: 016a8b3b addw s6,s5,s6 + 800121b4: 011989bb addw s3,s3,a7 + 800121b8: 0066833b addw t1,a3,t1 + 800121bc: 010787bb addw a5,a5,a6 + 800121c0: 01352823 sw s3,16(a0) + 800121c4: 01652a23 sw s6,20(a0) + 800121c8: 00652423 sw t1,8(a0) + 800121cc: 00f52623 sw a5,12(a0) + 800121d0: 1c013483 ld s1,448(sp) + 800121d4: 1b813903 ld s2,440(sp) + 800121d8: 1b013983 ld s3,432(sp) + 800121dc: 1a813a03 ld s4,424(sp) + 800121e0: 1a013a83 ld s5,416(sp) + 800121e4: 19813b03 ld s6,408(sp) + 800121e8: 19013b83 ld s7,400(sp) + 800121ec: 18813c03 ld s8,392(sp) + 800121f0: 18013c83 ld s9,384(sp) + 800121f4: 17813d03 ld s10,376(sp) + 800121f8: 17013d83 ld s11,368(sp) + 800121fc: 1d010113 addi sp,sp,464 + 80012200: 00008067 ret + +0000000080012204 <_Z10md5_updateP11md5_contextPhj.part.0>: + 80012204: fc010113 addi sp,sp,-64 + 80012208: 01413823 sd s4,16(sp) + 8001220c: 00052a03 lw s4,0(a0) + 80012210: 03213023 sd s2,32(sp) + 80012214: 00050913 mv s2,a0 + 80012218: 00452503 lw a0,4(a0) + 8001221c: 01313c23 sd s3,24(sp) + 80012220: 0036179b slliw a5,a2,0x3 + 80012224: 00060993 mv s3,a2 + 80012228: 01d9d71b srliw a4,s3,0x1d + 8001222c: 00fa063b addw a2,s4,a5 + 80012230: 00a7073b addw a4,a4,a0 + 80012234: 00f637b3 sltu a5,a2,a5 + 80012238: 02813823 sd s0,48(sp) + 8001223c: 02113c23 sd ra,56(sp) + 80012240: 02913423 sd s1,40(sp) + 80012244: 01513423 sd s5,8(sp) + 80012248: 003a5a1b srliw s4,s4,0x3 + 8001224c: 00f707bb addw a5,a4,a5 + 80012250: 03fa7a13 andi s4,s4,63 + 80012254: 00c92023 sw a2,0(s2) # fffffffff4292000 <_end+0xffffffff740bd000> + 80012258: 00f92223 sw a5,4(s2) + 8001225c: 00058413 mv s0,a1 + 80012260: 060a0863 beqz s4,800122d0 <_Z10md5_updateP11md5_contextPhj.part.0+0xcc> + 80012264: 04000613 li a2,64 + 80012268: 4146063b subw a2,a2,s4 + 8001226c: 0006079b sext.w a5,a2 + 80012270: 02f9f863 bgeu s3,a5,800122a0 <_Z10md5_updateP11md5_contextPhj.part.0+0x9c> + 80012274: 00040493 mv s1,s0 + 80012278: 08099a63 bnez s3,8001230c <_Z10md5_updateP11md5_contextPhj.part.0+0x108> + 8001227c: 03813083 ld ra,56(sp) + 80012280: 03013403 ld s0,48(sp) + 80012284: 02813483 ld s1,40(sp) + 80012288: 02013903 ld s2,32(sp) + 8001228c: 01813983 ld s3,24(sp) + 80012290: 01013a03 ld s4,16(sp) + 80012294: 00813a83 ld s5,8(sp) + 80012298: 04010113 addi sp,sp,64 + 8001229c: 00008067 ret + 800122a0: 02061493 slli s1,a2,0x20 + 800122a4: 0204d493 srli s1,s1,0x20 + 800122a8: 01890a93 addi s5,s2,24 + 800122ac: 00048613 mv a2,s1 + 800122b0: 014a8533 add a0,s5,s4 + 800122b4: 0a1290ef jal ra,8003bb54 + 800122b8: 000a8593 mv a1,s5 + 800122bc: 00090513 mv a0,s2 + 800122c0: fc09899b addiw s3,s3,-64 + 800122c4: ef9fe0ef jal ra,800111bc <_Z11md5_processP11md5_contextPh> + 800122c8: 014989bb addw s3,s3,s4 + 800122cc: 00940433 add s0,s0,s1 + 800122d0: 03f00793 li a5,63 + 800122d4: 0737f863 bgeu a5,s3,80012344 <_Z10md5_updateP11md5_contextPhj.part.0+0x140> + 800122d8: fc09849b addiw s1,s3,-64 + 800122dc: 0064d49b srliw s1,s1,0x6 + 800122e0: 00148493 addi s1,s1,1 # 432b0001 <_entry_offset+0x432b0001> + 800122e4: 00649493 slli s1,s1,0x6 + 800122e8: 009404b3 add s1,s0,s1 + 800122ec: 00040593 mv a1,s0 + 800122f0: 00090513 mv a0,s2 + 800122f4: 04040413 addi s0,s0,64 + 800122f8: ec5fe0ef jal ra,800111bc <_Z11md5_processP11md5_contextPh> + 800122fc: fe9418e3 bne s0,s1,800122ec <_Z10md5_updateP11md5_contextPhj.part.0+0xe8> + 80012300: 03f9f993 andi s3,s3,63 + 80012304: 00000a13 li s4,0 + 80012308: f6098ae3 beqz s3,8001227c <_Z10md5_updateP11md5_contextPhj.part.0+0x78> + 8001230c: 03013403 ld s0,48(sp) + 80012310: 01890513 addi a0,s2,24 + 80012314: 03813083 ld ra,56(sp) + 80012318: 02013903 ld s2,32(sp) + 8001231c: 00813a83 ld s5,8(sp) + 80012320: 02099613 slli a2,s3,0x20 + 80012324: 00048593 mv a1,s1 + 80012328: 01813983 ld s3,24(sp) + 8001232c: 02813483 ld s1,40(sp) + 80012330: 01450533 add a0,a0,s4 + 80012334: 01013a03 ld s4,16(sp) + 80012338: 02065613 srli a2,a2,0x20 + 8001233c: 04010113 addi sp,sp,64 + 80012340: 0152906f j 8003bb54 + 80012344: 00000a13 li s4,0 + 80012348: 00040493 mv s1,s0 + 8001234c: f2dff06f j 80012278 <_Z10md5_updateP11md5_contextPhj.part.0+0x74> + +0000000080012350 <_Z10md5_updateP11md5_contextPhj>: + 80012350: 00060463 beqz a2,80012358 <_Z10md5_updateP11md5_contextPhj+0x8> + 80012354: eb1ff06f j 80012204 <_Z10md5_updateP11md5_contextPhj.part.0> + 80012358: 00008067 ret + +000000008001235c <_Z10md5_finishP11md5_contextPh>: + 8001235c: 00052783 lw a5,0(a0) + 80012360: 00452703 lw a4,4(a0) + 80012364: fd010113 addi sp,sp,-48 + 80012368: 0037d61b srliw a2,a5,0x3 + 8001236c: 02813023 sd s0,32(sp) + 80012370: 00913c23 sd s1,24(sp) + 80012374: 00f12423 sw a5,8(sp) + 80012378: 02113423 sd ra,40(sp) + 8001237c: 00e12623 sw a4,12(sp) + 80012380: 03f67613 andi a2,a2,63 + 80012384: 03700793 li a5,55 + 80012388: 00050413 mv s0,a0 + 8001238c: 00058493 mv s1,a1 + 80012390: 0cc7e863 bltu a5,a2,80012460 <_Z10md5_finishP11md5_contextPh+0x104> + 80012394: 03800793 li a5,56 + 80012398: 40c7863b subw a2,a5,a2 + 8001239c: 00040513 mv a0,s0 + 800123a0: 00038597 auipc a1,0x38 + 800123a4: d7058593 addi a1,a1,-656 # 8004a110 <_ZL11md5_padding> + 800123a8: e5dff0ef jal ra,80012204 <_Z10md5_updateP11md5_contextPhj.part.0> + 800123ac: 00810593 addi a1,sp,8 + 800123b0: 00040513 mv a0,s0 + 800123b4: 00800613 li a2,8 + 800123b8: e4dff0ef jal ra,80012204 <_Z10md5_updateP11md5_contextPhj.part.0> + 800123bc: 00842783 lw a5,8(s0) + 800123c0: 00f48023 sb a5,0(s1) + 800123c4: 00842783 lw a5,8(s0) + 800123c8: 0087d79b srliw a5,a5,0x8 + 800123cc: 00f480a3 sb a5,1(s1) + 800123d0: 00a45783 lhu a5,10(s0) + 800123d4: 00f48123 sb a5,2(s1) + 800123d8: 00b44783 lbu a5,11(s0) + 800123dc: 00f481a3 sb a5,3(s1) + 800123e0: 00c42783 lw a5,12(s0) + 800123e4: 00f48223 sb a5,4(s1) + 800123e8: 00c42783 lw a5,12(s0) + 800123ec: 0087d79b srliw a5,a5,0x8 + 800123f0: 00f482a3 sb a5,5(s1) + 800123f4: 00e45783 lhu a5,14(s0) + 800123f8: 00f48323 sb a5,6(s1) + 800123fc: 00f44783 lbu a5,15(s0) + 80012400: 00f483a3 sb a5,7(s1) + 80012404: 01042783 lw a5,16(s0) + 80012408: 00f48423 sb a5,8(s1) + 8001240c: 01042783 lw a5,16(s0) + 80012410: 0087d79b srliw a5,a5,0x8 + 80012414: 00f484a3 sb a5,9(s1) + 80012418: 01245783 lhu a5,18(s0) + 8001241c: 00f48523 sb a5,10(s1) + 80012420: 01344783 lbu a5,19(s0) + 80012424: 00f485a3 sb a5,11(s1) + 80012428: 01442783 lw a5,20(s0) + 8001242c: 00f48623 sb a5,12(s1) + 80012430: 01442783 lw a5,20(s0) + 80012434: 0087d79b srliw a5,a5,0x8 + 80012438: 00f486a3 sb a5,13(s1) + 8001243c: 01645783 lhu a5,22(s0) + 80012440: 00f48723 sb a5,14(s1) + 80012444: 01744783 lbu a5,23(s0) + 80012448: 00f487a3 sb a5,15(s1) + 8001244c: 02813083 ld ra,40(sp) + 80012450: 02013403 ld s0,32(sp) + 80012454: 01813483 ld s1,24(sp) + 80012458: 03010113 addi sp,sp,48 + 8001245c: 00008067 ret + 80012460: 07800793 li a5,120 + 80012464: 40c7863b subw a2,a5,a2 + 80012468: f35ff06f j 8001239c <_Z10md5_finishP11md5_contextPh+0x40> + +000000008001246c <_Z12FCEU_gmallocj>: + 8001246c: fe010113 addi sp,sp,-32 + 80012470: 00913423 sd s1,8(sp) + 80012474: 00050493 mv s1,a0 + 80012478: 02051513 slli a0,a0,0x20 + 8001247c: 02055513 srli a0,a0,0x20 + 80012480: 00113c23 sd ra,24(sp) + 80012484: 00813823 sd s0,16(sp) + 80012488: 721270ef jal ra,8003a3a8 + 8001248c: 02050663 beqz a0,800124b8 <_Z12FCEU_gmallocj+0x4c> + 80012490: 00048593 mv a1,s1 + 80012494: 00100613 li a2,1 + 80012498: 00050413 mv s0,a0 + 8001249c: 2b9210ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 800124a0: 01813083 ld ra,24(sp) + 800124a4: 00040513 mv a0,s0 + 800124a8: 01013403 ld s0,16(sp) + 800124ac: 00813483 ld s1,8(sp) + 800124b0: 02010113 addi sp,sp,32 + 800124b4: 00008067 ret + 800124b8: 00033517 auipc a0,0x33 + 800124bc: dd850513 addi a0,a0,-552 # 80045290 <_ZZL8SetInputvE3moo+0x440> + 800124c0: 088290ef jal ra,8003b548 + 800124c4: 02500613 li a2,37 + 800124c8: 00033597 auipc a1,0x33 + 800124cc: df858593 addi a1,a1,-520 # 800452c0 <_ZZL8SetInputvE3moo+0x470> + 800124d0: 0002b517 auipc a0,0x2b + 800124d4: 84050513 addi a0,a0,-1984 # 8003cd10 <_etext+0x2c> + 800124d8: 070290ef jal ra,8003b548 + 800124dc: 00100513 li a0,1 + 800124e0: 095270ef jal ra,80039d74 + +00000000800124e4 <_Z11FCEU_mallocj>: + 800124e4: fe010113 addi sp,sp,-32 + 800124e8: 00913423 sd s1,8(sp) + 800124ec: 02051493 slli s1,a0,0x20 + 800124f0: 0204d493 srli s1,s1,0x20 + 800124f4: 00048513 mv a0,s1 + 800124f8: 00813823 sd s0,16(sp) + 800124fc: 00113c23 sd ra,24(sp) + 80012500: 6a9270ef jal ra,8003a3a8 + 80012504: 00050413 mv s0,a0 + 80012508: 02050463 beqz a0,80012530 <_Z11FCEU_mallocj+0x4c> + 8001250c: 00048613 mv a2,s1 + 80012510: 00000593 li a1,0 + 80012514: 514290ef jal ra,8003ba28 + 80012518: 01813083 ld ra,24(sp) + 8001251c: 00040513 mv a0,s0 + 80012520: 01013403 ld s0,16(sp) + 80012524: 00813483 ld s1,8(sp) + 80012528: 02010113 addi sp,sp,32 + 8001252c: 00008067 ret + 80012530: 00033517 auipc a0,0x33 + 80012534: dc050513 addi a0,a0,-576 # 800452f0 <_ZZL8SetInputvE3moo+0x4a0> + 80012538: 010290ef jal ra,8003b548 + 8001253c: fddff06f j 80012518 <_Z11FCEU_mallocj+0x34> + +0000000080012540 <_Z10FCEU_gfreePv>: + 80012540: 6792706f j 8003a3b8 + +0000000080012544 <_Z12FCEU_dmallocj>: + 80012544: 02051513 slli a0,a0,0x20 + 80012548: 02055513 srli a0,a0,0x20 + 8001254c: 65d2706f j 8003a3a8 + +0000000080012550 <_Z6uppow2j>: + 80012550: 01f00793 li a5,31 + 80012554: 00100613 li a2,1 + 80012558: fff00593 li a1,-1 + 8001255c: 00c0006f j 80012568 <_Z6uppow2j+0x18> + 80012560: fff7879b addiw a5,a5,-1 + 80012564: 02b78263 beq a5,a1,80012588 <_Z6uppow2j+0x38> + 80012568: 00f616bb sllw a3,a2,a5 + 8001256c: 00d57733 and a4,a0,a3 + 80012570: 0007071b sext.w a4,a4 + 80012574: fe0706e3 beqz a4,80012560 <_Z6uppow2j+0x10> + 80012578: 00a68863 beq a3,a0,80012588 <_Z6uppow2j+0x38> + 8001257c: 0017879b addiw a5,a5,1 + 80012580: 00f6153b sllw a0,a2,a5 + 80012584: 00008067 ret + 80012588: 00008067 ret + +000000008001258c <_ZL16UNLSC127ProtReadj>: + 8001258c: 02000513 li a0,32 + 80012590: 00008067 ret + +0000000080012594 <_ZL13UNLSC127Resetv>: + 80012594: 001b9797 auipc a5,0x1b9 + 80012598: 70079e23 sh zero,1820(a5) # 801cbcb0 <_ZL4IRQa> + 8001259c: 001b9797 auipc a5,0x1b9 + 800125a0: 72079223 sh zero,1828(a5) # 801cbcc0 <_ZL8IRQCount> + 800125a4: 00008067 ret + +00000000800125a8 <_ZL4Syncv>: + 800125a8: fd010113 addi sp,sp,-48 + 800125ac: 00000613 li a2,0 + 800125b0: 000065b7 lui a1,0x6 + 800125b4: 01000513 li a0,16 + 800125b8: 02113423 sd ra,40(sp) + 800125bc: 02813023 sd s0,32(sp) + 800125c0: 00913c23 sd s1,24(sp) + 800125c4: 01213823 sd s2,16(sp) + 800125c8: 01313423 sd s3,8(sp) + 800125cc: b1cfe0ef jal ra,800108e8 <_Z8setprg8rijj> + 800125d0: 001b9997 auipc s3,0x1b9 + 800125d4: 6d898993 addi s3,s3,1752 # 801cbca8 <_ZL3reg> + 800125d8: 0009c583 lbu a1,0(s3) + 800125dc: 00008537 lui a0,0x8 + 800125e0: 001b9497 auipc s1,0x1b9 + 800125e4: 6c048493 addi s1,s1,1728 # 801cbca0 <_ZL3chr> + 800125e8: cb4fe0ef jal ra,80010a9c <_Z7setprg8jj> + 800125ec: 0019c583 lbu a1,1(s3) + 800125f0: 0000a537 lui a0,0xa + 800125f4: 00000413 li s0,0 + 800125f8: ca4fe0ef jal ra,80010a9c <_Z7setprg8jj> + 800125fc: 0029c583 lbu a1,2(s3) + 80012600: 0000c537 lui a0,0xc + 80012604: 00002937 lui s2,0x2 + 80012608: c94fe0ef jal ra,80010a9c <_Z7setprg8jj> + 8001260c: fff00593 li a1,-1 + 80012610: 0000e537 lui a0,0xe + 80012614: c88fe0ef jal ra,80010a9c <_Z7setprg8jj> + 80012618: 0004c583 lbu a1,0(s1) + 8001261c: 00040513 mv a0,s0 + 80012620: 4004041b addiw s0,s0,1024 + 80012624: 881fe0ef jal ra,80010ea4 <_Z7setchr1jj> + 80012628: 00148493 addi s1,s1,1 + 8001262c: ff2416e3 bne s0,s2,80012618 <_ZL4Syncv+0x70> + 80012630: 0039c503 lbu a0,3(s3) + 80012634: 02013403 ld s0,32(sp) + 80012638: 02813083 ld ra,40(sp) + 8001263c: 01813483 ld s1,24(sp) + 80012640: 01013903 ld s2,16(sp) + 80012644: 00813983 ld s3,8(sp) + 80012648: 00154513 xori a0,a0,1 + 8001264c: 03010113 addi sp,sp,48 + 80012650: 9f9fe06f j 80011048 <_Z9setmirrori> + +0000000080012654 <_ZL12StateRestorei>: + 80012654: f55ff06f j 800125a8 <_ZL4Syncv> + +0000000080012658 <_ZL13UNLSC127Closev>: + 80012658: 001b9517 auipc a0,0x1b9 + 8001265c: 66053503 ld a0,1632(a0) # 801cbcb8 <_ZL4WRAM> + 80012660: 02050263 beqz a0,80012684 <_ZL13UNLSC127Closev+0x2c> + 80012664: ff010113 addi sp,sp,-16 + 80012668: 00113423 sd ra,8(sp) + 8001266c: ed5ff0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80012670: 00813083 ld ra,8(sp) + 80012674: 001b9797 auipc a5,0x1b9 + 80012678: 6407b223 sd zero,1604(a5) # 801cbcb8 <_ZL4WRAM> + 8001267c: 01010113 addi sp,sp,16 + 80012680: 00008067 ret + 80012684: 001b9797 auipc a5,0x1b9 + 80012688: 6207ba23 sd zero,1588(a5) # 801cbcb8 <_ZL4WRAM> + 8001268c: 00008067 ret + +0000000080012690 <_ZL13UNLSC127Powerv>: + 80012690: ff010113 addi sp,sp,-16 + 80012694: 00113423 sd ra,8(sp) + 80012698: 00813023 sd s0,0(sp) + 8001269c: 001b9797 auipc a5,0x1b9 + 800126a0: 60079a23 sh zero,1556(a5) # 801cbcb0 <_ZL4IRQa> + 800126a4: 001b9797 auipc a5,0x1b9 + 800126a8: 60079e23 sh zero,1564(a5) # 801cbcc0 <_ZL8IRQCount> + 800126ac: efdff0ef jal ra,800125a8 <_ZL4Syncv> + 800126b0: 000065b7 lui a1,0x6 + 800126b4: 80058593 addi a1,a1,-2048 # 5800 <_entry_offset+0x5800> + 800126b8: 00058513 mv a0,a1 + 800126bc: 00000617 auipc a2,0x0 + 800126c0: ed060613 addi a2,a2,-304 # 8001258c <_ZL16UNLSC127ProtReadj> + 800126c4: 358210ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800126c8: 00008437 lui s0,0x8 + 800126cc: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 800126d0: ffffe617 auipc a2,0xffffe + 800126d4: f9060613 addi a2,a2,-112 # 80010660 <_Z6CartBRj> + 800126d8: 00006537 lui a0,0x6 + 800126dc: 340210ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800126e0: fff40593 addi a1,s0,-1 + 800126e4: ffffe617 auipc a2,0xffffe + 800126e8: fa860613 addi a2,a2,-88 # 8001068c <_Z6CartBWjh> + 800126ec: 00006537 lui a0,0x6 + 800126f0: 438210ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800126f4: 00010437 lui s0,0x10 + 800126f8: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800126fc: ffffe617 auipc a2,0xffffe + 80012700: f6460613 addi a2,a2,-156 # 80010660 <_Z6CartBRj> + 80012704: 00008537 lui a0,0x8 + 80012708: 314210ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001270c: fff40593 addi a1,s0,-1 + 80012710: 00000617 auipc a2,0x0 + 80012714: 03860613 addi a2,a2,56 # 80012748 <_ZL13UNLSC127Writejh> + 80012718: 00008537 lui a0,0x8 + 8001271c: 40c210ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80012720: 00013403 ld s0,0(sp) + 80012724: 00813083 ld ra,8(sp) + 80012728: 001b9517 auipc a0,0x1b9 + 8001272c: 59c52503 lw a0,1436(a0) # 801cbcc4 <_ZL8WRAMSIZE> + 80012730: 001b9617 auipc a2,0x1b9 + 80012734: 58863603 ld a2,1416(a2) # 801cbcb8 <_ZL4WRAM> + 80012738: 000065b7 lui a1,0x6 + 8001273c: 00a5551b srliw a0,a0,0xa + 80012740: 01010113 addi sp,sp,16 + 80012744: 8d1ed06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080012748 <_ZL13UNLSC127Writejh>: + 80012748: 000097b7 lui a5,0x9 + 8001274c: 00778713 addi a4,a5,7 # 9007 <_entry_offset+0x9007> + 80012750: 04a76263 bltu a4,a0,80012794 <_ZL13UNLSC127Writejh+0x4c> + 80012754: 08f56463 bltu a0,a5,800127dc <_ZL13UNLSC127Writejh+0x94> + 80012758: ffff77b7 lui a5,0xffff7 + 8001275c: fff7879b addiw a5,a5,-1 + 80012760: 00f5053b addw a0,a0,a5 + 80012764: 0005071b sext.w a4,a0 + 80012768: 00600793 li a5,6 + 8001276c: 12e7e863 bltu a5,a4,8001289c <_ZL13UNLSC127Writejh+0x154> + 80012770: 02051513 slli a0,a0,0x20 + 80012774: 02055513 srli a0,a0,0x20 + 80012778: 00033717 auipc a4,0x33 + 8001277c: b9470713 addi a4,a4,-1132 # 8004530c <_ZZL8SetInputvE3moo+0x4bc> + 80012780: 00251513 slli a0,a0,0x2 + 80012784: 00e50533 add a0,a0,a4 + 80012788: 00052783 lw a5,0(a0) + 8001278c: 00e787b3 add a5,a5,a4 + 80012790: 00078067 jr a5 # ffffffffffff7000 <_end+0xffffffff7fe22000> + 80012794: 0000c7b7 lui a5,0xc + 80012798: 00578713 addi a4,a5,5 # c005 <_entry_offset+0xc005> + 8001279c: 0ce50263 beq a0,a4,80012860 <_ZL13UNLSC127Writejh+0x118> + 800127a0: 00a77e63 bgeu a4,a0,800127bc <_ZL13UNLSC127Writejh+0x74> + 800127a4: 0000d7b7 lui a5,0xd + 800127a8: 00178793 addi a5,a5,1 # d001 <_entry_offset+0xd001> + 800127ac: 00f51663 bne a0,a5,800127b8 <_ZL13UNLSC127Writejh+0x70> + 800127b0: 001b9797 auipc a5,0x1b9 + 800127b4: 4eb78da3 sb a1,1275(a5) # 801cbcab <_ZL3reg+0x3> + 800127b8: df1ff06f j 800125a8 <_ZL4Syncv> + 800127bc: 00278713 addi a4,a5,2 + 800127c0: 0ae50663 beq a0,a4,8001286c <_ZL13UNLSC127Writejh+0x124> + 800127c4: 00378793 addi a5,a5,3 + 800127c8: fef518e3 bne a0,a5,800127b8 <_ZL13UNLSC127Writejh+0x70> + 800127cc: 00100793 li a5,1 + 800127d0: 001b9717 auipc a4,0x1b9 + 800127d4: 4ef71023 sh a5,1248(a4) # 801cbcb0 <_ZL4IRQa> + 800127d8: fe1ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 800127dc: 000087b7 lui a5,0x8 + 800127e0: 00178713 addi a4,a5,1 # 8001 <_entry_offset+0x8001> + 800127e4: 0ae50663 beq a0,a4,80012890 <_ZL13UNLSC127Writejh+0x148> + 800127e8: 00278713 addi a4,a5,2 + 800127ec: 00e51863 bne a0,a4,800127fc <_ZL13UNLSC127Writejh+0xb4> + 800127f0: 001b9797 auipc a5,0x1b9 + 800127f4: 4ab78d23 sb a1,1210(a5) # 801cbcaa <_ZL3reg+0x2> + 800127f8: fc1ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 800127fc: faf51ee3 bne a0,a5,800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012800: 001b9797 auipc a5,0x1b9 + 80012804: 4ab78423 sb a1,1192(a5) # 801cbca8 <_ZL3reg> + 80012808: fb1ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 8001280c: 001b9797 auipc a5,0x1b9 + 80012810: 48b78ca3 sb a1,1177(a5) # 801cbca5 <_ZL3chr+0x5> + 80012814: fa5ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012818: 001b9797 auipc a5,0x1b9 + 8001281c: 48b787a3 sb a1,1167(a5) # 801cbca7 <_ZL3chr+0x7> + 80012820: f99ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012824: 001b9797 auipc a5,0x1b9 + 80012828: 48b78123 sb a1,1154(a5) # 801cbca6 <_ZL3chr+0x6> + 8001282c: f8dff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012830: 001b9797 auipc a5,0x1b9 + 80012834: 46b788a3 sb a1,1137(a5) # 801cbca1 <_ZL3chr+0x1> + 80012838: f81ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 8001283c: 001b9797 auipc a5,0x1b9 + 80012840: 46b78323 sb a1,1126(a5) # 801cbca2 <_ZL3chr+0x2> + 80012844: f75ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012848: 001b9797 auipc a5,0x1b9 + 8001284c: 44b78da3 sb a1,1115(a5) # 801cbca3 <_ZL3chr+0x3> + 80012850: f69ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012854: 001b9797 auipc a5,0x1b9 + 80012858: 44b78823 sb a1,1104(a5) # 801cbca4 <_ZL3chr+0x4> + 8001285c: f5dff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 80012860: 001b9797 auipc a5,0x1b9 + 80012864: 46b79023 sh a1,1120(a5) # 801cbcc0 <_ZL8IRQCount> + 80012868: f51ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 8001286c: ff010113 addi sp,sp,-16 + 80012870: 00100513 li a0,1 + 80012874: 00113423 sd ra,8(sp) + 80012878: 001b9797 auipc a5,0x1b9 + 8001287c: 42079c23 sh zero,1080(a5) # 801cbcb0 <_ZL4IRQa> + 80012880: cd0ee0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80012884: 00813083 ld ra,8(sp) + 80012888: 01010113 addi sp,sp,16 + 8001288c: d1dff06f j 800125a8 <_ZL4Syncv> + 80012890: 001b9797 auipc a5,0x1b9 + 80012894: 40b78ca3 sb a1,1049(a5) # 801cbca9 <_ZL3reg+0x1> + 80012898: f21ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + 8001289c: 001b9797 auipc a5,0x1b9 + 800128a0: 40b78223 sb a1,1028(a5) # 801cbca0 <_ZL3chr> + 800128a4: f15ff06f j 800127b8 <_ZL13UNLSC127Writejh+0x70> + +00000000800128a8 <_ZL11UNLSC127IRQv>: + 800128a8: 001b9797 auipc a5,0x1b9 + 800128ac: 4087d783 lhu a5,1032(a5) # 801cbcb0 <_ZL4IRQa> + 800128b0: 02078663 beqz a5,800128dc <_ZL11UNLSC127IRQv+0x34> + 800128b4: 001b9717 auipc a4,0x1b9 + 800128b8: 40c70713 addi a4,a4,1036 # 801cbcc0 <_ZL8IRQCount> + 800128bc: 00075783 lhu a5,0(a4) + 800128c0: 02078063 beqz a5,800128e0 <_ZL11UNLSC127IRQv+0x38> + 800128c4: fff7879b addiw a5,a5,-1 + 800128c8: 03079793 slli a5,a5,0x30 + 800128cc: 0307d793 srli a5,a5,0x30 + 800128d0: 00f71023 sh a5,0(a4) + 800128d4: 00078663 beqz a5,800128e0 <_ZL11UNLSC127IRQv+0x38> + 800128d8: 00008067 ret + 800128dc: 00008067 ret + 800128e0: ff010113 addi sp,sp,-16 + 800128e4: 00100513 li a0,1 + 800128e8: 00113423 sd ra,8(sp) + 800128ec: c4cee0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 800128f0: 00813083 ld ra,8(sp) + 800128f4: 001b9797 auipc a5,0x1b9 + 800128f8: 3a079e23 sh zero,956(a5) # 801cbcb0 <_ZL4IRQa> + 800128fc: 01010113 addi sp,sp,16 + 80012900: 00008067 ret + +0000000080012904 <_Z13UNLSC127_InitP8CartInfo>: + 80012904: fe010113 addi sp,sp,-32 + 80012908: 00113c23 sd ra,24(sp) + 8001290c: 00813823 sd s0,16(sp) + 80012910: 00913423 sd s1,8(sp) + 80012914: 00000797 auipc a5,0x0 + 80012918: d7c78793 addi a5,a5,-644 # 80012690 <_ZL13UNLSC127Powerv> + 8001291c: 00f53023 sd a5,0(a0) + 80012920: 00000797 auipc a5,0x0 + 80012924: c7478793 addi a5,a5,-908 # 80012594 <_ZL13UNLSC127Resetv> + 80012928: 00f53423 sd a5,8(a0) + 8001292c: 00000797 auipc a5,0x0 + 80012930: d2c78793 addi a5,a5,-724 # 80012658 <_ZL13UNLSC127Closev> + 80012934: 00f53823 sd a5,16(a0) + 80012938: 00000797 auipc a5,0x0 + 8001293c: f7078793 addi a5,a5,-144 # 800128a8 <_ZL11UNLSC127IRQv> + 80012940: 001ba717 auipc a4,0x1ba + 80012944: d8f73023 sd a5,-640(a4) # 801cc6c0 + 80012948: 00000797 auipc a5,0x0 + 8001294c: d0c78793 addi a5,a5,-756 # 80012654 <_ZL12StateRestorei> + 80012950: 001b9417 auipc s0,0x1b9 + 80012954: 37440413 addi s0,s0,884 # 801cbcc4 <_ZL8WRAMSIZE> + 80012958: 001ba717 auipc a4,0x1ba + 8001295c: d0f73023 sd a5,-768(a4) # 801cc658 + 80012960: 00002537 lui a0,0x2 + 80012964: 000027b7 lui a5,0x2 + 80012968: 00f42023 sw a5,0(s0) + 8001296c: b01ff0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80012970: 00042603 lw a2,0(s0) + 80012974: 00050593 mv a1,a0 + 80012978: 001b9497 auipc s1,0x1b9 + 8001297c: 34048493 addi s1,s1,832 # 801cbcb8 <_ZL4WRAM> + 80012980: 00100693 li a3,1 + 80012984: 01000513 li a0,16 + 80012988: 00b4b023 sd a1,0(s1) + 8001298c: b69fd0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80012990: 00042583 lw a1,0(s0) + 80012994: 0004b503 ld a0,0(s1) + 80012998: 00033697 auipc a3,0x33 + 8001299c: 99068693 addi a3,a3,-1648 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800129a0: 00000613 li a2,0 + 800129a4: e6ced0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800129a8: 01013403 ld s0,16(sp) + 800129ac: 01813083 ld ra,24(sp) + 800129b0: 00813483 ld s1,8(sp) + 800129b4: 00000693 li a3,0 + 800129b8: 00000613 li a2,0 + 800129bc: fff00593 li a1,-1 + 800129c0: 00037517 auipc a0,0x37 + 800129c4: 79050513 addi a0,a0,1936 # 8004a150 <_ZL9StateRegs> + 800129c8: 02010113 addi sp,sp,32 + 800129cc: e44ed06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800129d0 <_ZL10BandaiReadj>: + 800129d0: 00045517 auipc a0,0x45 + 800129d4: e9854503 lbu a0,-360(a0) # 80057868 + 800129d8: 001b9797 auipc a5,0x1b9 + 800129dc: 2f47c783 lbu a5,756(a5) # 801cbccc <_ZL10x24c0x_out> + 800129e0: 0047979b slliw a5,a5,0x4 + 800129e4: fef57513 andi a0,a0,-17 + 800129e8: 00f56533 or a0,a0,a5 + 800129ec: 0ff57513 andi a0,a0,255 + 800129f0: 00008067 ret + +00000000800129f4 <_ZL11BarcodeReadj>: + 800129f4: 001b9517 auipc a0,0x1b9 + 800129f8: 2d454503 lbu a0,724(a0) # 801cbcc8 <_ZL10BarcodeOut> + 800129fc: 00008067 ret + +0000000080012a00 <_ZL4Syncv>: + 80012a00: fd010113 addi sp,sp,-48 + 80012a04: 01313423 sd s3,8(sp) + 80012a08: 02113423 sd ra,40(sp) + 80012a0c: 000b2997 auipc s3,0xb2 + 80012a10: 59498993 addi s3,s3,1428 # 800c4fa0 <_ZL3reg> + 80012a14: 02813023 sd s0,32(sp) + 80012a18: 00913c23 sd s1,24(sp) + 80012a1c: 01213823 sd s2,16(sp) + 80012a20: 001b9797 auipc a5,0x1b9 + 80012a24: 2d07c783 lbu a5,720(a5) # 801cbcf0 <_ZL5is153> + 80012a28: 0009c583 lbu a1,0(s3) + 80012a2c: 08079863 bnez a5,80012abc <_ZL4Syncv+0xbc> + 80012a30: 00002937 lui s2,0x2 + 80012a34: 00098493 mv s1,s3 + 80012a38: 00000413 li s0,0 + 80012a3c: c0090913 addi s2,s2,-1024 # 1c00 <_entry_offset+0x1c00> + 80012a40: 00c0006f j 80012a4c <_ZL4Syncv+0x4c> + 80012a44: 0004c583 lbu a1,0(s1) + 80012a48: 4004041b addiw s0,s0,1024 + 80012a4c: 00040513 mv a0,s0 + 80012a50: c54fe0ef jal ra,80010ea4 <_Z7setchr1jj> + 80012a54: 00148493 addi s1,s1,1 + 80012a58: ff2416e3 bne s0,s2,80012a44 <_ZL4Syncv+0x44> + 80012a5c: 0089c583 lbu a1,8(s3) + 80012a60: 00008537 lui a0,0x8 + 80012a64: 9f4fe0ef jal ra,80010c58 <_Z8setprg16jj> + 80012a68: fff00593 li a1,-1 + 80012a6c: 0000c537 lui a0,0xc + 80012a70: 9e8fe0ef jal ra,80010c58 <_Z8setprg16jj> + 80012a74: 0099c783 lbu a5,9(s3) + 80012a78: 00200713 li a4,2 + 80012a7c: 00200513 li a0,2 + 80012a80: 0037f793 andi a5,a5,3 + 80012a84: 00e78e63 beq a5,a4,80012aa0 <_ZL4Syncv+0xa0> + 80012a88: 00300713 li a4,3 + 80012a8c: 00300513 li a0,3 + 80012a90: 00e78863 beq a5,a4,80012aa0 <_ZL4Syncv+0xa0> + 80012a94: 00100713 li a4,1 + 80012a98: 00100513 li a0,1 + 80012a9c: 04e78c63 beq a5,a4,80012af4 <_ZL4Syncv+0xf4> + 80012aa0: 02013403 ld s0,32(sp) + 80012aa4: 02813083 ld ra,40(sp) + 80012aa8: 01813483 ld s1,24(sp) + 80012aac: 01013903 ld s2,16(sp) + 80012ab0: 00813983 ld s3,8(sp) + 80012ab4: 03010113 addi sp,sp,48 + 80012ab8: d90fe06f j 80011048 <_Z9setmirrori> + 80012abc: 00000513 li a0,0 + 80012ac0: 0045941b slliw s0,a1,0x4 + 80012ac4: c40fe0ef jal ra,80010f04 <_Z7setchr8j> + 80012ac8: 0089c583 lbu a1,8(s3) + 80012acc: 01047413 andi s0,s0,16 + 80012ad0: 0004041b sext.w s0,s0 + 80012ad4: 00f5f593 andi a1,a1,15 + 80012ad8: 0085e5b3 or a1,a1,s0 + 80012adc: 00008537 lui a0,0x8 + 80012ae0: 978fe0ef jal ra,80010c58 <_Z8setprg16jj> + 80012ae4: 00f46593 ori a1,s0,15 + 80012ae8: 0000c537 lui a0,0xc + 80012aec: 96cfe0ef jal ra,80010c58 <_Z8setprg16jj> + 80012af0: f85ff06f j 80012a74 <_ZL4Syncv+0x74> + 80012af4: 02013403 ld s0,32(sp) + 80012af8: 02813083 ld ra,40(sp) + 80012afc: 01813483 ld s1,24(sp) + 80012b00: 01013903 ld s2,16(sp) + 80012b04: 00813983 ld s3,8(sp) + 80012b08: 00000513 li a0,0 + 80012b0c: 03010113 addi sp,sp,48 + 80012b10: d38fe06f j 80011048 <_Z9setmirrori> + +0000000080012b14 <_ZL12StateRestorei>: + 80012b14: eedff06f j 80012a00 <_ZL4Syncv> + +0000000080012b18 <_ZL11BandaiPowerv>: + 80012b18: ff010113 addi sp,sp,-16 + 80012b1c: 00113423 sd ra,8(sp) + 80012b20: 00813023 sd s0,0(sp) + 80012b24: 001b9797 auipc a5,0x1b9 + 80012b28: 1a078e23 sb zero,444(a5) # 801cbce0 <_ZL4IRQa> + 80012b2c: 001b9797 auipc a5,0x1b9 + 80012b30: 1c078823 sb zero,464(a5) # 801cbcfc <_ZL9x24c0x_oe> + 80012b34: 001b9797 auipc a5,0x1b9 + 80012b38: 18078ca3 sb zero,409(a5) # 801cbccd <_ZL10x24c0x_scl> + 80012b3c: 001b9797 auipc a5,0x1b9 + 80012b40: 18078923 sb zero,402(a5) # 801cbcce <_ZL10x24c0x_sda> + 80012b44: 001b9797 auipc a5,0x1b9 + 80012b48: 18078a23 sb zero,404(a5) # 801cbcd8 <_ZL15x24c0x_bitcount> + 80012b4c: 001b9797 auipc a5,0x1b9 + 80012b50: 180782a3 sb zero,389(a5) # 801cbcd1 <_ZL12x24c0x_latch> + 80012b54: 001b9797 auipc a5,0x1b9 + 80012b58: 16078e23 sb zero,380(a5) # 801cbcd0 <_ZL11x24c0x_word> + 80012b5c: 001b9797 auipc a5,0x1b9 + 80012b60: 160789a3 sb zero,371(a5) # 801cbccf <_ZL11x24c0x_addr> + 80012b64: 001b9797 auipc a5,0x1b9 + 80012b68: 16078723 sb zero,366(a5) # 801cbcd2 <_ZL12x24c0x_state> + 80012b6c: e95ff0ef jal ra,80012a00 <_ZL4Syncv> + 80012b70: 000085b7 lui a1,0x8 + 80012b74: 00000617 auipc a2,0x0 + 80012b78: e5c60613 addi a2,a2,-420 # 800129d0 <_ZL10BandaiReadj> + 80012b7c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80012b80: 00006537 lui a0,0x6 + 80012b84: 699200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80012b88: 00010437 lui s0,0x10 + 80012b8c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80012b90: ffffe617 auipc a2,0xffffe + 80012b94: ad060613 addi a2,a2,-1328 # 80010660 <_Z6CartBRj> + 80012b98: 00008537 lui a0,0x8 + 80012b9c: 681200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80012ba0: fff40593 addi a1,s0,-1 + 80012ba4: 00013403 ld s0,0(sp) + 80012ba8: 00813083 ld ra,8(sp) + 80012bac: 00000617 auipc a2,0x0 + 80012bb0: 27860613 addi a2,a2,632 # 80012e24 <_ZL11BandaiWritejh> + 80012bb4: 00006537 lui a0,0x6 + 80012bb8: 01010113 addi sp,sp,16 + 80012bbc: 76d2006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080012bc0 <_ZL9M157Powerv>: + 80012bc0: 001b9797 auipc a5,0x1b9 + 80012bc4: 12078023 sb zero,288(a5) # 801cbce0 <_ZL4IRQa> + 80012bc8: ff010113 addi sp,sp,-16 + 80012bcc: fff00793 li a5,-1 + 80012bd0: 00113423 sd ra,8(sp) + 80012bd4: 00813023 sd s0,0(sp) + 80012bd8: 000b2717 auipc a4,0xb2 + 80012bdc: 1cf70423 sb a5,456(a4) # 800c4da0 <_ZL11BarcodeData> + 80012be0: 00010437 lui s0,0x10 + 80012be4: 001b9797 auipc a5,0x1b9 + 80012be8: 0e07a823 sw zero,240(a5) # 801cbcd4 <_ZL14BarcodeReadPos> + 80012bec: 001b9797 auipc a5,0x1b9 + 80012bf0: 0c07ae23 sw zero,220(a5) # 801cbcc8 <_ZL10BarcodeOut> + 80012bf4: 001b9797 auipc a5,0x1b9 + 80012bf8: 0e07a423 sw zero,232(a5) # 801cbcdc <_ZL17BarcodeCycleCount> + 80012bfc: e05ff0ef jal ra,80012a00 <_ZL4Syncv> + 80012c00: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80012c04: 00000617 auipc a2,0x0 + 80012c08: 22060613 addi a2,a2,544 # 80012e24 <_ZL11BandaiWritejh> + 80012c0c: 00006537 lui a0,0x6 + 80012c10: 719200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80012c14: 000085b7 lui a1,0x8 + 80012c18: 00000617 auipc a2,0x0 + 80012c1c: ddc60613 addi a2,a2,-548 # 800129f4 <_ZL11BarcodeReadj> + 80012c20: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80012c24: 00006537 lui a0,0x6 + 80012c28: 5f5200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80012c2c: fff40593 addi a1,s0,-1 + 80012c30: 00013403 ld s0,0(sp) + 80012c34: 00813083 ld ra,8(sp) + 80012c38: ffffe617 auipc a2,0xffffe + 80012c3c: a2860613 addi a2,a2,-1496 # 80010660 <_Z6CartBRj> + 80012c40: 00008537 lui a0,0x8 + 80012c44: 01010113 addi sp,sp,16 + 80012c48: 5d52006f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080012c4c <_ZL9M153Closev>: + 80012c4c: 001b9517 auipc a0,0x1b9 + 80012c50: 09c53503 ld a0,156(a0) # 801cbce8 <_ZL4WRAM> + 80012c54: 02050263 beqz a0,80012c78 <_ZL9M153Closev+0x2c> + 80012c58: ff010113 addi sp,sp,-16 + 80012c5c: 00113423 sd ra,8(sp) + 80012c60: 8e1ff0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80012c64: 00813083 ld ra,8(sp) + 80012c68: 001b9797 auipc a5,0x1b9 + 80012c6c: 0807b023 sd zero,128(a5) # 801cbce8 <_ZL4WRAM> + 80012c70: 01010113 addi sp,sp,16 + 80012c74: 00008067 ret + 80012c78: 001b9797 auipc a5,0x1b9 + 80012c7c: 0607b823 sd zero,112(a5) # 801cbce8 <_ZL4WRAM> + 80012c80: 00008067 ret + +0000000080012c84 <_ZL9M153Powerv>: + 80012c84: ff010113 addi sp,sp,-16 + 80012c88: 00113423 sd ra,8(sp) + 80012c8c: 00813023 sd s0,0(sp) + 80012c90: d71ff0ef jal ra,80012a00 <_ZL4Syncv> + 80012c94: 00000613 li a2,0 + 80012c98: 000065b7 lui a1,0x6 + 80012c9c: 01000513 li a0,16 + 80012ca0: c49fd0ef jal ra,800108e8 <_Z8setprg8rijj> + 80012ca4: 00008437 lui s0,0x8 + 80012ca8: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80012cac: ffffe617 auipc a2,0xffffe + 80012cb0: 9b460613 addi a2,a2,-1612 # 80010660 <_Z6CartBRj> + 80012cb4: 00006537 lui a0,0x6 + 80012cb8: 565200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80012cbc: fff40593 addi a1,s0,-1 + 80012cc0: ffffe617 auipc a2,0xffffe + 80012cc4: 9cc60613 addi a2,a2,-1588 # 8001068c <_Z6CartBWjh> + 80012cc8: 00006537 lui a0,0x6 + 80012ccc: 65d200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80012cd0: 00010437 lui s0,0x10 + 80012cd4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80012cd8: ffffe617 auipc a2,0xffffe + 80012cdc: 98860613 addi a2,a2,-1656 # 80010660 <_Z6CartBRj> + 80012ce0: 00008537 lui a0,0x8 + 80012ce4: 539200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80012ce8: fff40593 addi a1,s0,-1 + 80012cec: 00000617 auipc a2,0x0 + 80012cf0: 13860613 addi a2,a2,312 # 80012e24 <_ZL11BandaiWritejh> + 80012cf4: 00008537 lui a0,0x8 + 80012cf8: 631200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80012cfc: 00013403 ld s0,0(sp) + 80012d00: 00813083 ld ra,8(sp) + 80012d04: 001b9517 auipc a0,0x1b9 + 80012d08: ff452503 lw a0,-12(a0) # 801cbcf8 <_ZL8WRAMSIZE> + 80012d0c: 001b9617 auipc a2,0x1b9 + 80012d10: fdc63603 ld a2,-36(a2) # 801cbce8 <_ZL4WRAM> + 80012d14: 000065b7 lui a1,0x6 + 80012d18: 00a5551b srliw a0,a0,0xa + 80012d1c: 01010113 addi sp,sp,16 + 80012d20: af4ed06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080012d24 <_ZL14BarcodeIRQHooki>: + 80012d24: fe010113 addi sp,sp,-32 + 80012d28: 00813823 sd s0,16(sp) + 80012d2c: 00113c23 sd ra,24(sp) + 80012d30: 00913423 sd s1,8(sp) + 80012d34: 001b9797 auipc a5,0x1b9 + 80012d38: fac7c783 lbu a5,-84(a5) # 801cbce0 <_ZL4IRQa> + 80012d3c: 00050413 mv s0,a0 + 80012d40: 02078263 beqz a5,80012d64 <_ZL14BarcodeIRQHooki+0x40> + 80012d44: 001b9497 auipc s1,0x1b9 + 80012d48: fae48493 addi s1,s1,-82 # 801cbcf2 <_ZL8IRQCount> + 80012d4c: 0004d783 lhu a5,0(s1) + 80012d50: 40a787bb subw a5,a5,a0 + 80012d54: 0107979b slliw a5,a5,0x10 + 80012d58: 4107d79b sraiw a5,a5,0x10 + 80012d5c: 00f49023 sh a5,0(s1) + 80012d60: 0a07c463 bltz a5,80012e08 <_ZL14BarcodeIRQHooki+0xe4> + 80012d64: 001b9717 auipc a4,0x1b9 + 80012d68: f7870713 addi a4,a4,-136 # 801cbcdc <_ZL17BarcodeCycleCount> + 80012d6c: 00072783 lw a5,0(a4) + 80012d70: 3e700693 li a3,999 + 80012d74: 008787bb addw a5,a5,s0 + 80012d78: 00f6ce63 blt a3,a5,80012d94 <_ZL14BarcodeIRQHooki+0x70> + 80012d7c: 01813083 ld ra,24(sp) + 80012d80: 01013403 ld s0,16(sp) + 80012d84: 00f72023 sw a5,0(a4) + 80012d88: 00813483 ld s1,8(sp) + 80012d8c: 02010113 addi sp,sp,32 + 80012d90: 00008067 ret + 80012d94: 001b9617 auipc a2,0x1b9 + 80012d98: f4060613 addi a2,a2,-192 # 801cbcd4 <_ZL14BarcodeReadPos> + 80012d9c: 00062683 lw a3,0(a2) + 80012da0: c187851b addiw a0,a5,-1000 + 80012da4: 000b2797 auipc a5,0xb2 + 80012da8: ffc78793 addi a5,a5,-4 # 800c4da0 <_ZL11BarcodeData> + 80012dac: 00d787b3 add a5,a5,a3 + 80012db0: 0007c783 lbu a5,0(a5) + 80012db4: 00a72023 sw a0,0(a4) + 80012db8: 0ff00713 li a4,255 + 80012dbc: 02e78863 beq a5,a4,80012dec <_ZL14BarcodeIRQHooki+0xc8> + 80012dc0: 01813083 ld ra,24(sp) + 80012dc4: 01013403 ld s0,16(sp) + 80012dc8: 0017c793 xori a5,a5,1 + 80012dcc: 0037979b slliw a5,a5,0x3 + 80012dd0: 0016869b addiw a3,a3,1 + 80012dd4: 001b9717 auipc a4,0x1b9 + 80012dd8: eef72a23 sw a5,-268(a4) # 801cbcc8 <_ZL10BarcodeOut> + 80012ddc: 00d62023 sw a3,0(a2) + 80012de0: 00813483 ld s1,8(sp) + 80012de4: 02010113 addi sp,sp,32 + 80012de8: 00008067 ret + 80012dec: 01813083 ld ra,24(sp) + 80012df0: 01013403 ld s0,16(sp) + 80012df4: 001b9797 auipc a5,0x1b9 + 80012df8: ec07aa23 sw zero,-300(a5) # 801cbcc8 <_ZL10BarcodeOut> + 80012dfc: 00813483 ld s1,8(sp) + 80012e00: 02010113 addi sp,sp,32 + 80012e04: 00008067 ret + 80012e08: 00100513 li a0,1 + 80012e0c: f2ded0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80012e10: 001b9797 auipc a5,0x1b9 + 80012e14: ec078823 sb zero,-304(a5) # 801cbce0 <_ZL4IRQa> + 80012e18: fff00793 li a5,-1 + 80012e1c: 00f49023 sh a5,0(s1) + 80012e20: f45ff06f j 80012d64 <_ZL14BarcodeIRQHooki+0x40> + +0000000080012e24 <_ZL11BandaiWritejh>: + 80012e24: 00f57513 andi a0,a0,15 + 80012e28: 00900793 li a5,9 + 80012e2c: 10a7f863 bgeu a5,a0,80012f3c <_ZL11BandaiWritejh+0x118> + 80012e30: fe010113 addi sp,sp,-32 + 80012e34: 00113c23 sd ra,24(sp) + 80012e38: 00813823 sd s0,16(sp) + 80012e3c: 00c00793 li a5,12 + 80012e40: 14f50663 beq a0,a5,80012f8c <_ZL11BandaiWritejh+0x168> + 80012e44: 02a7e663 bltu a5,a0,80012e70 <_ZL11BandaiWritejh+0x4c> + 80012e48: 00a00793 li a5,10 + 80012e4c: 10f50263 beq a0,a5,80012f50 <_ZL11BandaiWritejh+0x12c> + 80012e50: 00b00793 li a5,11 + 80012e54: 00f51663 bne a0,a5,80012e60 <_ZL11BandaiWritejh+0x3c> + 80012e58: 001b9797 auipc a5,0x1b9 + 80012e5c: e8b78e23 sb a1,-356(a5) # 801cbcf4 <_ZL8IRQLatch> + 80012e60: 01813083 ld ra,24(sp) + 80012e64: 01013403 ld s0,16(sp) + 80012e68: 02010113 addi sp,sp,32 + 80012e6c: 00008067 ret + 80012e70: 00d00793 li a5,13 + 80012e74: fef516e3 bne a0,a5,80012e60 <_ZL11BandaiWritejh+0x3c> + 80012e78: 001b9617 auipc a2,0x1b9 + 80012e7c: e5560613 addi a2,a2,-427 # 801cbccd <_ZL10x24c0x_scl> + 80012e80: 0005871b sext.w a4,a1 + 80012e84: 00064683 lbu a3,0(a2) + 80012e88: 4077571b sraiw a4,a4,0x7 + 80012e8c: 0065d793 srli a5,a1,0x6 + 80012e90: 0055d413 srli s0,a1,0x5 + 80012e94: 001b9597 auipc a1,0x1b9 + 80012e98: e6e58423 sb a4,-408(a1) # 801cbcfc <_ZL9x24c0x_oe> + 80012e9c: 0017f793 andi a5,a5,1 + 80012ea0: 00147413 andi s0,s0,1 + 80012ea4: 001b9717 auipc a4,0x1b9 + 80012ea8: e2a70713 addi a4,a4,-470 # 801cbcce <_ZL10x24c0x_sda> + 80012eac: 10069463 bnez a3,80012fb4 <_ZL11BandaiWritejh+0x190> + 80012eb0: 06040a63 beqz s0,80012f24 <_ZL11BandaiWritejh+0x100> + 80012eb4: 001b9517 auipc a0,0x1b9 + 80012eb8: e1e50513 addi a0,a0,-482 # 801cbcd2 <_ZL12x24c0x_state> + 80012ebc: 00054683 lbu a3,0(a0) + 80012ec0: 00300593 li a1,3 + 80012ec4: 1eb68663 beq a3,a1,800130b0 <_ZL11BandaiWritejh+0x28c> + 80012ec8: 10d5e463 bltu a1,a3,80012fd0 <_ZL11BandaiWritejh+0x1ac> + 80012ecc: 00100713 li a4,1 + 80012ed0: 1ae68063 beq a3,a4,80013070 <_ZL11BandaiWritejh+0x24c> + 80012ed4: 00200713 li a4,2 + 80012ed8: 04e69263 bne a3,a4,80012f1c <_ZL11BandaiWritejh+0xf8> + 80012edc: 001b9717 auipc a4,0x1b9 + 80012ee0: dfc70713 addi a4,a4,-516 # 801cbcd8 <_ZL15x24c0x_bitcount> + 80012ee4: 00074683 lbu a3,0(a4) + 80012ee8: 00800593 li a1,8 + 80012eec: 24b68663 beq a3,a1,80013138 <_ZL11BandaiWritejh+0x314> + 80012ef0: 001b9817 auipc a6,0x1b9 + 80012ef4: de080813 addi a6,a6,-544 # 801cbcd0 <_ZL11x24c0x_word> + 80012ef8: 00084583 lbu a1,0(a6) + 80012efc: 01000893 li a7,16 + 80012f00: 0015959b slliw a1,a1,0x1 + 80012f04: 00b7e5b3 or a1,a5,a1 + 80012f08: 00b80023 sb a1,0(a6) + 80012f0c: 2b168e63 beq a3,a7,800131c8 <_ZL11BandaiWritejh+0x3a4> + 80012f10: 0016869b addiw a3,a3,1 + 80012f14: 0ff6f693 andi a3,a3,255 + 80012f18: 00d70023 sb a3,0(a4) + 80012f1c: 001b9717 auipc a4,0x1b9 + 80012f20: db270713 addi a4,a4,-590 # 801cbcce <_ZL10x24c0x_sda> + 80012f24: 00f70023 sb a5,0(a4) + 80012f28: 00860023 sb s0,0(a2) + 80012f2c: 01813083 ld ra,24(sp) + 80012f30: 01013403 ld s0,16(sp) + 80012f34: 02010113 addi sp,sp,32 + 80012f38: 00008067 ret + 80012f3c: 000b2797 auipc a5,0xb2 + 80012f40: 06478793 addi a5,a5,100 # 800c4fa0 <_ZL3reg> + 80012f44: 00a78533 add a0,a5,a0 + 80012f48: 00b50023 sb a1,0(a0) + 80012f4c: ab5ff06f j 80012a00 <_ZL4Syncv> + 80012f50: 00100513 li a0,1 + 80012f54: 00b13423 sd a1,8(sp) + 80012f58: df9ed0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80012f5c: 00813583 ld a1,8(sp) + 80012f60: 01813083 ld ra,24(sp) + 80012f64: 0015f413 andi s0,a1,1 + 80012f68: 001b9797 auipc a5,0x1b9 + 80012f6c: d6878c23 sb s0,-648(a5) # 801cbce0 <_ZL4IRQa> + 80012f70: 01013403 ld s0,16(sp) + 80012f74: 001b9797 auipc a5,0x1b9 + 80012f78: d807d783 lhu a5,-640(a5) # 801cbcf4 <_ZL8IRQLatch> + 80012f7c: 001b9717 auipc a4,0x1b9 + 80012f80: d6f71b23 sh a5,-650(a4) # 801cbcf2 <_ZL8IRQCount> + 80012f84: 02010113 addi sp,sp,32 + 80012f88: 00008067 ret + 80012f8c: 001b9717 auipc a4,0x1b9 + 80012f90: d6870713 addi a4,a4,-664 # 801cbcf4 <_ZL8IRQLatch> + 80012f94: 00074783 lbu a5,0(a4) + 80012f98: 00859413 slli s0,a1,0x8 + 80012f9c: 01813083 ld ra,24(sp) + 80012fa0: 0087e433 or s0,a5,s0 + 80012fa4: 00871023 sh s0,0(a4) + 80012fa8: 01013403 ld s0,16(sp) + 80012fac: 02010113 addi sp,sp,32 + 80012fb0: 00008067 ret + 80012fb4: f60408e3 beqz s0,80012f24 <_ZL11BandaiWritejh+0x100> + 80012fb8: 00074683 lbu a3,0(a4) + 80012fbc: 08069863 bnez a3,8001304c <_ZL11BandaiWritejh+0x228> + 80012fc0: f60782e3 beqz a5,80012f24 <_ZL11BandaiWritejh+0x100> + 80012fc4: 001b9697 auipc a3,0x1b9 + 80012fc8: d0068723 sb zero,-754(a3) # 801cbcd2 <_ZL12x24c0x_state> + 80012fcc: f59ff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 80012fd0: 00400593 li a1,4 + 80012fd4: f4b698e3 bne a3,a1,80012f24 <_ZL11BandaiWritejh+0x100> + 80012fd8: 001b9717 auipc a4,0x1b9 + 80012fdc: d0070713 addi a4,a4,-768 # 801cbcd8 <_ZL15x24c0x_bitcount> + 80012fe0: 00074683 lbu a3,0(a4) + 80012fe4: 00800593 li a1,8 + 80012fe8: 16b68663 beq a3,a1,80013154 <_ZL11BandaiWritejh+0x330> + 80012fec: 001b9517 auipc a0,0x1b9 + 80012ff0: ce550513 addi a0,a0,-795 # 801cbcd1 <_ZL12x24c0x_latch> + 80012ff4: 00054583 lbu a1,0(a0) + 80012ff8: 0016869b addiw a3,a3,1 + 80012ffc: 0ff6f693 andi a3,a3,255 + 80013000: 0015959b slliw a1,a1,0x1 + 80013004: 00b7e5b3 or a1,a5,a1 + 80013008: 0ff5f593 andi a1,a1,255 + 8001300c: 00b50023 sb a1,0(a0) + 80013010: 00d70023 sb a3,0(a4) + 80013014: 00800513 li a0,8 + 80013018: 001b9717 auipc a4,0x1b9 + 8001301c: cb670713 addi a4,a4,-842 # 801cbcce <_ZL10x24c0x_sda> + 80013020: f0a692e3 bne a3,a0,80012f24 <_ZL11BandaiWritejh+0x100> + 80013024: 001b9817 auipc a6,0x1b9 + 80013028: cac80813 addi a6,a6,-852 # 801cbcd0 <_ZL11x24c0x_word> + 8001302c: 00084683 lbu a3,0(a6) + 80013030: 000b2517 auipc a0,0xb2 + 80013034: e7050513 addi a0,a0,-400 # 800c4ea0 <_ZL11x24c0x_data> + 80013038: 00d50533 add a0,a0,a3 + 8001303c: 0016869b addiw a3,a3,1 + 80013040: 00b50023 sb a1,0(a0) + 80013044: 00d80023 sb a3,0(a6) + 80013048: eddff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 8001304c: ec079ce3 bnez a5,80012f24 <_ZL11BandaiWritejh+0x100> + 80013050: 00100693 li a3,1 + 80013054: 001b9597 auipc a1,0x1b9 + 80013058: c6d58f23 sb a3,-898(a1) # 801cbcd2 <_ZL12x24c0x_state> + 8001305c: 001b9697 auipc a3,0x1b9 + 80013060: c6068e23 sb zero,-900(a3) # 801cbcd8 <_ZL15x24c0x_bitcount> + 80013064: 001b9697 auipc a3,0x1b9 + 80013068: c60685a3 sb zero,-917(a3) # 801cbccf <_ZL11x24c0x_addr> + 8001306c: eb9ff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 80013070: 001b9717 auipc a4,0x1b9 + 80013074: c6870713 addi a4,a4,-920 # 801cbcd8 <_ZL15x24c0x_bitcount> + 80013078: 00074683 lbu a3,0(a4) + 8001307c: 00600593 li a1,6 + 80013080: 08d5e663 bltu a1,a3,8001310c <_ZL11BandaiWritejh+0x2e8> + 80013084: 001b9517 auipc a0,0x1b9 + 80013088: c4b50513 addi a0,a0,-949 # 801cbccf <_ZL11x24c0x_addr> + 8001308c: 00054583 lbu a1,0(a0) + 80013090: 0015959b slliw a1,a1,0x1 + 80013094: 00b7e5b3 or a1,a5,a1 + 80013098: 00b50023 sb a1,0(a0) + 8001309c: 0016869b addiw a3,a3,1 + 800130a0: 00d70023 sb a3,0(a4) + 800130a4: 001b9717 auipc a4,0x1b9 + 800130a8: c2a70713 addi a4,a4,-982 # 801cbcce <_ZL10x24c0x_sda> + 800130ac: e79ff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 800130b0: 001b9817 auipc a6,0x1b9 + 800130b4: c2880813 addi a6,a6,-984 # 801cbcd8 <_ZL15x24c0x_bitcount> + 800130b8: 00084683 lbu a3,0(a6) + 800130bc: 00800513 li a0,8 + 800130c0: 0aa68c63 beq a3,a0,80013178 <_ZL11BandaiWritejh+0x354> + 800130c4: 001b9897 auipc a7,0x1b9 + 800130c8: c0d88893 addi a7,a7,-1011 # 801cbcd1 <_ZL12x24c0x_latch> + 800130cc: 0008c583 lbu a1,0(a7) + 800130d0: 0016869b addiw a3,a3,1 + 800130d4: 0ff6f693 andi a3,a3,255 + 800130d8: 4075d31b sraiw t1,a1,0x7 + 800130dc: 0015959b slliw a1,a1,0x1 + 800130e0: 001b9e17 auipc t3,0x1b9 + 800130e4: be6e0623 sb t1,-1044(t3) # 801cbccc <_ZL10x24c0x_out> + 800130e8: 00b88023 sb a1,0(a7) + 800130ec: 00d80023 sb a3,0(a6) + 800130f0: e2a69ae3 bne a3,a0,80012f24 <_ZL11BandaiWritejh+0x100> + 800130f4: 001b9597 auipc a1,0x1b9 + 800130f8: bdc58593 addi a1,a1,-1060 # 801cbcd0 <_ZL11x24c0x_word> + 800130fc: 0005c683 lbu a3,0(a1) + 80013100: 0016869b addiw a3,a3,1 + 80013104: 00d58023 sb a3,0(a1) + 80013108: e1dff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 8001310c: 001b9597 auipc a1,0x1b9 + 80013110: be55c583 lbu a1,-1051(a1) # 801cbcf1 <_ZL6x24c02> + 80013114: 0a059263 bnez a1,800131b8 <_ZL11BandaiWritejh+0x394> + 80013118: 001b9597 auipc a1,0x1b9 + 8001311c: bb75c583 lbu a1,-1097(a1) # 801cbccf <_ZL11x24c0x_addr> + 80013120: 001b9817 auipc a6,0x1b9 + 80013124: bab80823 sb a1,-1104(a6) # 801cbcd0 <_ZL11x24c0x_word> + 80013128: 08079263 bnez a5,800131ac <_ZL11BandaiWritejh+0x388> + 8001312c: 00400593 li a1,4 + 80013130: 00b50023 sb a1,0(a0) + 80013134: f69ff06f j 8001309c <_ZL11BandaiWritejh+0x278> + 80013138: 001b9697 auipc a3,0x1b9 + 8001313c: b8068c23 sb zero,-1128(a3) # 801cbcd0 <_ZL11x24c0x_word> + 80013140: 001b9697 auipc a3,0x1b9 + 80013144: b8068623 sb zero,-1140(a3) # 801cbccc <_ZL10x24c0x_out> + 80013148: 00900693 li a3,9 + 8001314c: 00d70023 sb a3,0(a4) + 80013150: dcdff06f j 80012f1c <_ZL11BandaiWritejh+0xf8> + 80013154: 001b9717 auipc a4,0x1b9 + 80013158: b6070c23 sb zero,-1160(a4) # 801cbccc <_ZL10x24c0x_out> + 8001315c: 001b9717 auipc a4,0x1b9 + 80013160: b6070aa3 sb zero,-1163(a4) # 801cbcd1 <_ZL12x24c0x_latch> + 80013164: 001b9717 auipc a4,0x1b9 + 80013168: b6070a23 sb zero,-1164(a4) # 801cbcd8 <_ZL15x24c0x_bitcount> + 8001316c: 001b9717 auipc a4,0x1b9 + 80013170: b6270713 addi a4,a4,-1182 # 801cbcce <_ZL10x24c0x_sda> + 80013174: db1ff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 80013178: 001b9597 auipc a1,0x1b9 + 8001317c: b585c583 lbu a1,-1192(a1) # 801cbcd0 <_ZL11x24c0x_word> + 80013180: 000b2697 auipc a3,0xb2 + 80013184: d2068693 addi a3,a3,-736 # 800c4ea0 <_ZL11x24c0x_data> + 80013188: 00b686b3 add a3,a3,a1 + 8001318c: 0006c683 lbu a3,0(a3) + 80013190: 001b9597 auipc a1,0x1b9 + 80013194: b2058e23 sb zero,-1220(a1) # 801cbccc <_ZL10x24c0x_out> + 80013198: 001b9597 auipc a1,0x1b9 + 8001319c: b4058023 sb zero,-1216(a1) # 801cbcd8 <_ZL15x24c0x_bitcount> + 800131a0: 001b9597 auipc a1,0x1b9 + 800131a4: b2d588a3 sb a3,-1231(a1) # 801cbcd1 <_ZL12x24c0x_latch> + 800131a8: d7dff06f j 80012f24 <_ZL11BandaiWritejh+0x100> + 800131ac: 00300593 li a1,3 + 800131b0: 00b50023 sb a1,0(a0) + 800131b4: ee9ff06f j 8001309c <_ZL11BandaiWritejh+0x278> + 800131b8: fe079ae3 bnez a5,800131ac <_ZL11BandaiWritejh+0x388> + 800131bc: 00200593 li a1,2 + 800131c0: 00b50023 sb a1,0(a0) + 800131c4: ed9ff06f j 8001309c <_ZL11BandaiWritejh+0x278> + 800131c8: 00400693 li a3,4 + 800131cc: 00d50023 sb a3,0(a0) + 800131d0: 00800693 li a3,8 + 800131d4: 00d70023 sb a3,0(a4) + 800131d8: d45ff06f j 80012f1c <_ZL11BandaiWritejh+0xf8> + +00000000800131dc <_ZL13BandaiIRQHooki>: + 800131dc: 001b9797 auipc a5,0x1b9 + 800131e0: b047c783 lbu a5,-1276(a5) # 801cbce0 <_ZL4IRQa> + 800131e4: 04078063 beqz a5,80013224 <_ZL13BandaiIRQHooki+0x48> + 800131e8: ff010113 addi sp,sp,-16 + 800131ec: 00813023 sd s0,0(sp) + 800131f0: 001b9417 auipc s0,0x1b9 + 800131f4: b0240413 addi s0,s0,-1278 # 801cbcf2 <_ZL8IRQCount> + 800131f8: 00045783 lhu a5,0(s0) + 800131fc: 00113423 sd ra,8(sp) + 80013200: 40a7853b subw a0,a5,a0 + 80013204: 0105151b slliw a0,a0,0x10 + 80013208: 4105551b sraiw a0,a0,0x10 + 8001320c: 00a41023 sh a0,0(s0) + 80013210: 00054c63 bltz a0,80013228 <_ZL13BandaiIRQHooki+0x4c> + 80013214: 00813083 ld ra,8(sp) + 80013218: 00013403 ld s0,0(sp) + 8001321c: 01010113 addi sp,sp,16 + 80013220: 00008067 ret + 80013224: 00008067 ret + 80013228: 00100513 li a0,1 + 8001322c: b0ded0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80013230: 001b9797 auipc a5,0x1b9 + 80013234: aa078823 sb zero,-1360(a5) # 801cbce0 <_ZL4IRQa> + 80013238: fff00793 li a5,-1 + 8001323c: 00813083 ld ra,8(sp) + 80013240: 00f41023 sh a5,0(s0) + 80013244: 00013403 ld s0,0(sp) + 80013248: 01010113 addi sp,sp,16 + 8001324c: 00008067 ret + +0000000080013250 <_Z13Mapper16_InitP8CartInfo>: + 80013250: ff010113 addi sp,sp,-16 + 80013254: 00113423 sd ra,8(sp) + 80013258: 00050793 mv a5,a0 + 8001325c: 00000717 auipc a4,0x0 + 80013260: 8bc70713 addi a4,a4,-1860 # 80012b18 <_ZL11BandaiPowerv> + 80013264: 00e7b023 sd a4,0(a5) + 80013268: 00100713 li a4,1 + 8001326c: 000b2517 auipc a0,0xb2 + 80013270: c3450513 addi a0,a0,-972 # 800c4ea0 <_ZL11x24c0x_data> + 80013274: 04e7a623 sw a4,76(a5) + 80013278: 10000713 li a4,256 + 8001327c: 02e7ac23 sw a4,56(a5) + 80013280: 00a7bc23 sd a0,24(a5) + 80013284: 00100793 li a5,1 + 80013288: 001b9717 auipc a4,0x1b9 + 8001328c: a6f704a3 sb a5,-1431(a4) # 801cbcf1 <_ZL6x24c02> + 80013290: 001b9797 auipc a5,0x1b9 + 80013294: a6078023 sb zero,-1440(a5) # 801cbcf0 <_ZL5is153> + 80013298: 00000797 auipc a5,0x0 + 8001329c: f4478793 addi a5,a5,-188 # 800131dc <_ZL13BandaiIRQHooki> + 800132a0: 00032697 auipc a3,0x32 + 800132a4: 0b068693 addi a3,a3,176 # 80045350 <_ZZL8SetInputvE3moo+0x500> + 800132a8: 00000613 li a2,0 + 800132ac: 10000593 li a1,256 + 800132b0: 001b9717 auipc a4,0x1b9 + 800132b4: 88f73823 sd a5,-1904(a4) # 801cbb40 + 800132b8: d59ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800132bc: 00000693 li a3,0 + 800132c0: 00000613 li a2,0 + 800132c4: fff00593 li a1,-1 + 800132c8: 00039517 auipc a0,0x39 + 800132cc: 3c050513 addi a0,a0,960 # 8004c688 <_ZL15x24c0xStateRegs> + 800132d0: 00000797 auipc a5,0x0 + 800132d4: 84478793 addi a5,a5,-1980 # 80012b14 <_ZL12StateRestorei> + 800132d8: 001b9717 auipc a4,0x1b9 + 800132dc: 38f73023 sd a5,896(a4) # 801cc658 + 800132e0: d31ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800132e4: 00813083 ld ra,8(sp) + 800132e8: 00000693 li a3,0 + 800132ec: 00000613 li a2,0 + 800132f0: fff00593 li a1,-1 + 800132f4: 00037517 auipc a0,0x37 + 800132f8: ed450513 addi a0,a0,-300 # 8004a1c8 <_ZL9StateRegs> + 800132fc: 01010113 addi sp,sp,16 + 80013300: d11ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080013304 <_Z14Mapper159_InitP8CartInfo>: + 80013304: ff010113 addi sp,sp,-16 + 80013308: 00113423 sd ra,8(sp) + 8001330c: 00050793 mv a5,a0 + 80013310: 00000717 auipc a4,0x0 + 80013314: 80870713 addi a4,a4,-2040 # 80012b18 <_ZL11BandaiPowerv> + 80013318: 00e7b023 sd a4,0(a5) + 8001331c: 00100713 li a4,1 + 80013320: 04e7a623 sw a4,76(a5) + 80013324: 000b2517 auipc a0,0xb2 + 80013328: b7c50513 addi a0,a0,-1156 # 800c4ea0 <_ZL11x24c0x_data> + 8001332c: 08000713 li a4,128 + 80013330: 02e7ac23 sw a4,56(a5) + 80013334: 00a7bc23 sd a0,24(a5) + 80013338: 001b9797 auipc a5,0x1b9 + 8001333c: 9a078ca3 sb zero,-1607(a5) # 801cbcf1 <_ZL6x24c02> + 80013340: 001b9797 auipc a5,0x1b9 + 80013344: 9a078823 sb zero,-1616(a5) # 801cbcf0 <_ZL5is153> + 80013348: 00000797 auipc a5,0x0 + 8001334c: e9478793 addi a5,a5,-364 # 800131dc <_ZL13BandaiIRQHooki> + 80013350: 00032697 auipc a3,0x32 + 80013354: 00068693 mv a3,a3 + 80013358: 00000613 li a2,0 + 8001335c: 08000593 li a1,128 + 80013360: 001b8717 auipc a4,0x1b8 + 80013364: 7ef73023 sd a5,2016(a4) # 801cbb40 + 80013368: ca9ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001336c: 00000693 li a3,0 + 80013370: 00000613 li a2,0 + 80013374: fff00593 li a1,-1 + 80013378: 00039517 auipc a0,0x39 + 8001337c: 31050513 addi a0,a0,784 # 8004c688 <_ZL15x24c0xStateRegs> + 80013380: fffff797 auipc a5,0xfffff + 80013384: 79478793 addi a5,a5,1940 # 80012b14 <_ZL12StateRestorei> + 80013388: 001b9717 auipc a4,0x1b9 + 8001338c: 2cf73823 sd a5,720(a4) # 801cc658 + 80013390: c81ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80013394: 00813083 ld ra,8(sp) + 80013398: 00000693 li a3,0 + 8001339c: 00000613 li a2,0 + 800133a0: fff00593 li a1,-1 + 800133a4: 00037517 auipc a0,0x37 + 800133a8: e2450513 addi a0,a0,-476 # 8004a1c8 <_ZL9StateRegs> + 800133ac: 01010113 addi sp,sp,16 + 800133b0: c61ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800133b4 <_Z14Mapper153_InitP8CartInfo>: + 800133b4: fe010113 addi sp,sp,-32 + 800133b8: 00113c23 sd ra,24(sp) + 800133bc: 00813823 sd s0,16(sp) + 800133c0: 00913423 sd s1,8(sp) + 800133c4: 01213023 sd s2,0(sp) + 800133c8: 00000797 auipc a5,0x0 + 800133cc: 8bc78793 addi a5,a5,-1860 # 80012c84 <_ZL9M153Powerv> + 800133d0: 00f53023 sd a5,0(a0) + 800133d4: 00000797 auipc a5,0x0 + 800133d8: 87878793 addi a5,a5,-1928 # 80012c4c <_ZL9M153Closev> + 800133dc: 00f53823 sd a5,16(a0) + 800133e0: 00100793 li a5,1 + 800133e4: 001b9717 auipc a4,0x1b9 + 800133e8: 90f70623 sb a5,-1780(a4) # 801cbcf0 <_ZL5is153> + 800133ec: 00000797 auipc a5,0x0 + 800133f0: df078793 addi a5,a5,-528 # 800131dc <_ZL13BandaiIRQHooki> + 800133f4: 001b9497 auipc s1,0x1b9 + 800133f8: 90448493 addi s1,s1,-1788 # 801cbcf8 <_ZL8WRAMSIZE> + 800133fc: 00050413 mv s0,a0 + 80013400: 001b8717 auipc a4,0x1b8 + 80013404: 74f73023 sd a5,1856(a4) # 801cbb40 + 80013408: 00002537 lui a0,0x2 + 8001340c: 000027b7 lui a5,0x2 + 80013410: 00f4a023 sw a5,0(s1) + 80013414: 858ff0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80013418: 0004a603 lw a2,0(s1) + 8001341c: 00050593 mv a1,a0 + 80013420: 001b9917 auipc s2,0x1b9 + 80013424: 8c890913 addi s2,s2,-1848 # 801cbce8 <_ZL4WRAM> + 80013428: 00100693 li a3,1 + 8001342c: 01000513 li a0,16 + 80013430: 00b93023 sd a1,0(s2) + 80013434: 8c0fd0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80013438: 0004a583 lw a1,0(s1) + 8001343c: 00093503 ld a0,0(s2) + 80013440: 00032697 auipc a3,0x32 + 80013444: ee868693 addi a3,a3,-280 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80013448: 00000613 li a2,0 + 8001344c: bc5ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80013450: 04c42783 lw a5,76(s0) + 80013454: 00078a63 beqz a5,80013468 <_Z14Mapper153_InitP8CartInfo+0xb4> + 80013458: 00093703 ld a4,0(s2) + 8001345c: 0004a783 lw a5,0(s1) + 80013460: 00e43c23 sd a4,24(s0) + 80013464: 02f42c23 sw a5,56(s0) + 80013468: 01013403 ld s0,16(sp) + 8001346c: 01813083 ld ra,24(sp) + 80013470: 00813483 ld s1,8(sp) + 80013474: 00013903 ld s2,0(sp) + 80013478: fffff797 auipc a5,0xfffff + 8001347c: 69c78793 addi a5,a5,1692 # 80012b14 <_ZL12StateRestorei> + 80013480: 001b9717 auipc a4,0x1b9 + 80013484: 1cf73c23 sd a5,472(a4) # 801cc658 + 80013488: 00000693 li a3,0 + 8001348c: 00000613 li a2,0 + 80013490: fff00593 li a1,-1 + 80013494: 00037517 auipc a0,0x37 + 80013498: d3450513 addi a0,a0,-716 # 8004a1c8 <_ZL9StateRegs> + 8001349c: 02010113 addi sp,sp,32 + 800134a0: b71ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800134a4 <_Z14Mapper157_InitP8CartInfo>: + 800134a4: fffff797 auipc a5,0xfffff + 800134a8: 71c78793 addi a5,a5,1820 # 80012bc0 <_ZL9M157Powerv> + 800134ac: 00f53023 sd a5,0(a0) + 800134b0: 00100713 li a4,1 + 800134b4: 001b9797 auipc a5,0x1b9 + 800134b8: 1947b783 ld a5,404(a5) # 801cc648 + 800134bc: 02e7a023 sw a4,32(a5) + 800134c0: 00100793 li a5,1 + 800134c4: 001b9717 auipc a4,0x1b9 + 800134c8: 82f70623 sb a5,-2004(a4) # 801cbcf0 <_ZL5is153> + 800134cc: 00000797 auipc a5,0x0 + 800134d0: 85878793 addi a5,a5,-1960 # 80012d24 <_ZL14BarcodeIRQHooki> + 800134d4: 001b8717 auipc a4,0x1b8 + 800134d8: 66f73623 sd a5,1644(a4) # 801cbb40 + 800134dc: fffff797 auipc a5,0xfffff + 800134e0: 63878793 addi a5,a5,1592 # 80012b14 <_ZL12StateRestorei> + 800134e4: 00000693 li a3,0 + 800134e8: 00000613 li a2,0 + 800134ec: fff00593 li a1,-1 + 800134f0: 00037517 auipc a0,0x37 + 800134f4: cd850513 addi a0,a0,-808 # 8004a1c8 <_ZL9StateRegs> + 800134f8: 001b9717 auipc a4,0x1b9 + 800134fc: 16f73023 sd a5,352(a4) # 801cc658 + 80013500: b11ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080013504 <_ZL4Syncv>: + 80013504: fd010113 addi sp,sp,-48 + 80013508: 01413023 sd s4,0(sp) + 8001350c: 001b8a17 auipc s4,0x1b8 + 80013510: 7fca0a13 addi s4,s4,2044 # 801cbd08 <_ZL4ctrl> + 80013514: 02813023 sd s0,32(sp) + 80013518: 000a4403 lbu s0,0(s4) + 8001351c: 00913c23 sd s1,24(sp) + 80013520: 000b2497 auipc s1,0xb2 + 80013524: a9048493 addi s1,s1,-1392 # 800c4fb0 <_ZL4regs> + 80013528: 01313423 sd s3,8(sp) + 8001352c: 0004c583 lbu a1,0(s1) + 80013530: 000019b7 lui s3,0x1 + 80013534: 00b4141b slliw s0,s0,0xb + 80013538: 01347433 and s0,s0,s3 + 8001353c: 0004041b sext.w s0,s0 + 80013540: 00040513 mv a0,s0 + 80013544: 0015d593 srli a1,a1,0x1 + 80013548: 02113423 sd ra,40(sp) + 8001354c: 01213823 sd s2,16(sp) + 80013550: 975fd0ef jal ra,80010ec4 <_Z7setchr2jj> + 80013554: 0014c583 lbu a1,1(s1) + 80013558: 00001937 lui s2,0x1 + 8001355c: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 80013560: 00a44533 xor a0,s0,a0 + 80013564: 0015d593 srli a1,a1,0x1 + 80013568: 95dfd0ef jal ra,80010ec4 <_Z7setchr2jj> + 8001356c: 0024c583 lbu a1,2(s1) + 80013570: 01344533 xor a0,s0,s3 + 80013574: 0005051b sext.w a0,a0 + 80013578: 92dfd0ef jal ra,80010ea4 <_Z7setchr1jj> + 8001357c: 0034c583 lbu a1,3(s1) + 80013580: 40090513 addi a0,s2,1024 + 80013584: 00a44533 xor a0,s0,a0 + 80013588: 91dfd0ef jal ra,80010ea4 <_Z7setchr1jj> + 8001358c: 0044c583 lbu a1,4(s1) + 80013590: 00002937 lui s2,0x2 + 80013594: 80090513 addi a0,s2,-2048 # 1800 <_entry_offset+0x1800> + 80013598: 00a44533 xor a0,s0,a0 + 8001359c: 909fd0ef jal ra,80010ea4 <_Z7setchr1jj> + 800135a0: 0054c583 lbu a1,5(s1) + 800135a4: c0090513 addi a0,s2,-1024 + 800135a8: 00a44533 xor a0,s0,a0 + 800135ac: 8f9fd0ef jal ra,80010ea4 <_Z7setchr1jj> + 800135b0: 00000613 li a2,0 + 800135b4: 000065b7 lui a1,0x6 + 800135b8: 01000513 li a0,16 + 800135bc: b2cfd0ef jal ra,800108e8 <_Z8setprg8rijj> + 800135c0: 0064c583 lbu a1,6(s1) + 800135c4: 00008537 lui a0,0x8 + 800135c8: cd4fd0ef jal ra,80010a9c <_Z7setprg8jj> + 800135cc: 0074c583 lbu a1,7(s1) + 800135d0: 0000a537 lui a0,0xa + 800135d4: cc8fd0ef jal ra,80010a9c <_Z7setprg8jj> + 800135d8: 0084c583 lbu a1,8(s1) + 800135dc: 0000c537 lui a0,0xc + 800135e0: cbcfd0ef jal ra,80010a9c <_Z7setprg8jj> + 800135e4: 0000e537 lui a0,0xe + 800135e8: fff00593 li a1,-1 + 800135ec: cb0fd0ef jal ra,80010a9c <_Z7setprg8jj> + 800135f0: 000a4503 lbu a0,0(s4) + 800135f4: 02013403 ld s0,32(sp) + 800135f8: 02813083 ld ra,40(sp) + 800135fc: 01813483 ld s1,24(sp) + 80013600: 01013903 ld s2,16(sp) + 80013604: 00813983 ld s3,8(sp) + 80013608: 00013a03 ld s4,0(sp) + 8001360c: 00157513 andi a0,a0,1 + 80013610: 03010113 addi sp,sp,48 + 80013614: a35fd06f j 80011048 <_Z9setmirrori> + +0000000080013618 <_ZL12StateRestorei>: + 80013618: eedff06f j 80013504 <_ZL4Syncv> + +000000008001361c <_ZL8M82Writejh>: + 8001361c: 000087b7 lui a5,0x8 + 80013620: ef578713 addi a4,a5,-267 # 7ef5 <_entry_offset+0x7ef5> + 80013624: 00a76e63 bltu a4,a0,80013640 <_ZL8M82Writejh+0x24> + 80013628: 00757513 andi a0,a0,7 + 8001362c: 000b2797 auipc a5,0xb2 + 80013630: 98478793 addi a5,a5,-1660 # 800c4fb0 <_ZL4regs> + 80013634: 00a78533 add a0,a5,a0 + 80013638: 00b50023 sb a1,0(a0) # e000 <_entry_offset+0xe000> + 8001363c: ec9ff06f j 80013504 <_ZL4Syncv> + 80013640: efb78713 addi a4,a5,-261 + 80013644: 04e50863 beq a0,a4,80013694 <_ZL8M82Writejh+0x78> + 80013648: 02a76263 bltu a4,a0,8001366c <_ZL8M82Writejh+0x50> + 8001364c: ef678713 addi a4,a5,-266 + 80013650: 02e50a63 beq a0,a4,80013684 <_ZL8M82Writejh+0x68> + 80013654: efa78793 addi a5,a5,-262 + 80013658: fef512e3 bne a0,a5,8001363c <_ZL8M82Writejh+0x20> + 8001365c: 4025d59b sraiw a1,a1,0x2 + 80013660: 000b2797 auipc a5,0xb2 + 80013664: 94b78b23 sb a1,-1706(a5) # 800c4fb6 <_ZL4regs+0x6> + 80013668: e9dff06f j 80013504 <_ZL4Syncv> + 8001366c: efc78793 addi a5,a5,-260 + 80013670: fcf516e3 bne a0,a5,8001363c <_ZL8M82Writejh+0x20> + 80013674: 4025d59b sraiw a1,a1,0x2 + 80013678: 000b2797 auipc a5,0xb2 + 8001367c: 94b78023 sb a1,-1728(a5) # 800c4fb8 <_ZL4regs+0x8> + 80013680: e85ff06f j 80013504 <_ZL4Syncv> + 80013684: 0035f593 andi a1,a1,3 + 80013688: 001b8797 auipc a5,0x1b8 + 8001368c: 68b78023 sb a1,1664(a5) # 801cbd08 <_ZL4ctrl> + 80013690: e75ff06f j 80013504 <_ZL4Syncv> + 80013694: 4025d59b sraiw a1,a1,0x2 + 80013698: 000b2797 auipc a5,0xb2 + 8001369c: 90b78fa3 sb a1,-1761(a5) # 800c4fb7 <_ZL4regs+0x7> + 800136a0: e65ff06f j 80013504 <_ZL4Syncv> + +00000000800136a4 <_ZL8M82Closev>: + 800136a4: 001b8517 auipc a0,0x1b8 + 800136a8: 65c53503 ld a0,1628(a0) # 801cbd00 <_ZL4WRAM> + 800136ac: 02050263 beqz a0,800136d0 <_ZL8M82Closev+0x2c> + 800136b0: ff010113 addi sp,sp,-16 + 800136b4: 00113423 sd ra,8(sp) + 800136b8: e89fe0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800136bc: 00813083 ld ra,8(sp) + 800136c0: 001b8797 auipc a5,0x1b8 + 800136c4: 6407b023 sd zero,1600(a5) # 801cbd00 <_ZL4WRAM> + 800136c8: 01010113 addi sp,sp,16 + 800136cc: 00008067 ret + 800136d0: 001b8797 auipc a5,0x1b8 + 800136d4: 6207b823 sd zero,1584(a5) # 801cbd00 <_ZL4WRAM> + 800136d8: 00008067 ret + +00000000800136dc <_ZL8M82Powerv>: + 800136dc: ff010113 addi sp,sp,-16 + 800136e0: 00113423 sd ra,8(sp) + 800136e4: 00813023 sd s0,0(sp) + 800136e8: e1dff0ef jal ra,80013504 <_ZL4Syncv> + 800136ec: 000105b7 lui a1,0x10 + 800136f0: ffffd617 auipc a2,0xffffd + 800136f4: f7060613 addi a2,a2,-144 # 80010660 <_Z6CartBRj> + 800136f8: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800136fc: 00006537 lui a0,0x6 + 80013700: 31c200ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80013704: 00008437 lui s0,0x8 + 80013708: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8001370c: ffffd617 auipc a2,0xffffd + 80013710: f8060613 addi a2,a2,-128 # 8001068c <_Z6CartBWjh> + 80013714: 00006537 lui a0,0x6 + 80013718: 410200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001371c: efc40593 addi a1,s0,-260 + 80013720: ef040513 addi a0,s0,-272 + 80013724: 00000617 auipc a2,0x0 + 80013728: ef860613 addi a2,a2,-264 # 8001361c <_ZL8M82Writejh> + 8001372c: 3fc200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80013730: 00013403 ld s0,0(sp) + 80013734: 00813083 ld ra,8(sp) + 80013738: 001b8517 auipc a0,0x1b8 + 8001373c: 5d452503 lw a0,1492(a0) # 801cbd0c <_ZL8WRAMSIZE> + 80013740: 001b8617 auipc a2,0x1b8 + 80013744: 5c063603 ld a2,1472(a2) # 801cbd00 <_ZL4WRAM> + 80013748: 000065b7 lui a1,0x6 + 8001374c: 00a5551b srliw a0,a0,0xa + 80013750: 01010113 addi sp,sp,16 + 80013754: 8c1ec06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080013758 <_Z13Mapper82_InitP8CartInfo>: + 80013758: fe010113 addi sp,sp,-32 + 8001375c: 00113c23 sd ra,24(sp) + 80013760: 00813823 sd s0,16(sp) + 80013764: 00913423 sd s1,8(sp) + 80013768: 01213023 sd s2,0(sp) + 8001376c: 00000797 auipc a5,0x0 + 80013770: f7078793 addi a5,a5,-144 # 800136dc <_ZL8M82Powerv> + 80013774: 00f53023 sd a5,0(a0) + 80013778: 00000797 auipc a5,0x0 + 8001377c: f2c78793 addi a5,a5,-212 # 800136a4 <_ZL8M82Closev> + 80013780: 001b8497 auipc s1,0x1b8 + 80013784: 58c48493 addi s1,s1,1420 # 801cbd0c <_ZL8WRAMSIZE> + 80013788: 00f53823 sd a5,16(a0) + 8001378c: 00050413 mv s0,a0 + 80013790: 000027b7 lui a5,0x2 + 80013794: 00002537 lui a0,0x2 + 80013798: 00f4a023 sw a5,0(s1) + 8001379c: cd1fe0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800137a0: 0004a603 lw a2,0(s1) + 800137a4: 00050593 mv a1,a0 + 800137a8: 001b8917 auipc s2,0x1b8 + 800137ac: 55890913 addi s2,s2,1368 # 801cbd00 <_ZL4WRAM> + 800137b0: 00100693 li a3,1 + 800137b4: 01000513 li a0,16 + 800137b8: 00b93023 sd a1,0(s2) + 800137bc: d39fc0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800137c0: 0004a583 lw a1,0(s1) + 800137c4: 00093503 ld a0,0(s2) + 800137c8: 00032697 auipc a3,0x32 + 800137cc: b6068693 addi a3,a3,-1184 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800137d0: 00000613 li a2,0 + 800137d4: 83dec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800137d8: 04c42783 lw a5,76(s0) + 800137dc: 00078a63 beqz a5,800137f0 <_Z13Mapper82_InitP8CartInfo+0x98> + 800137e0: 00093703 ld a4,0(s2) + 800137e4: 0004a783 lw a5,0(s1) + 800137e8: 00e43c23 sd a4,24(s0) + 800137ec: 02f42c23 sw a5,56(s0) + 800137f0: 01013403 ld s0,16(sp) + 800137f4: 01813083 ld ra,24(sp) + 800137f8: 00813483 ld s1,8(sp) + 800137fc: 00013903 ld s2,0(sp) + 80013800: 00000797 auipc a5,0x0 + 80013804: e1878793 addi a5,a5,-488 # 80013618 <_ZL12StateRestorei> + 80013808: 001b9717 auipc a4,0x1b9 + 8001380c: e4f73823 sd a5,-432(a4) # 801cc658 + 80013810: 00000693 li a3,0 + 80013814: 00000613 li a2,0 + 80013818: fff00593 li a1,-1 + 8001381c: 00037517 auipc a0,0x37 + 80013820: a2450513 addi a0,a0,-1500 # 8004a240 <_ZL9StateRegs> + 80013824: 02010113 addi sp,sp,32 + 80013828: fe8ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001382c <_ZL4Syncv>: + 8001382c: fe010113 addi sp,sp,-32 + 80013830: 00913423 sd s1,8(sp) + 80013834: 001b8497 auipc s1,0x1b8 + 80013838: 4e448493 addi s1,s1,1252 # 801cbd18 <_ZL6latche> + 8001383c: 0014c783 lbu a5,1(s1) + 80013840: 0004c703 lbu a4,0(s1) + 80013844: 00113c23 sd ra,24(sp) + 80013848: 0067979b slliw a5,a5,0x6 + 8001384c: 0407f793 andi a5,a5,64 + 80013850: 0187979b slliw a5,a5,0x18 + 80013854: 00813823 sd s0,16(sp) + 80013858: 001b8697 auipc a3,0x1b8 + 8001385c: 4b86c683 lbu a3,1208(a3) # 801cbd10 <_ZL12isresetbased> + 80013860: 01f77593 andi a1,a4,31 + 80013864: 4187d79b sraiw a5,a5,0x18 + 80013868: 04068c63 beqz a3,800138c0 <_ZL4Syncv+0x94> + 8001386c: 001b8697 auipc a3,0x1b8 + 80013870: 4a56c683 lbu a3,1189(a3) # 801cbd11 <_ZL5reset> + 80013874: 0056969b slliw a3,a3,0x5 + 80013878: 00d5e5b3 or a1,a1,a3 + 8001387c: 00b7e7b3 or a5,a5,a1 + 80013880: 02077713 andi a4,a4,32 + 80013884: 0ff7f793 andi a5,a5,255 + 80013888: 04071863 bnez a4,800138d8 <_ZL4Syncv+0xac> + 8001388c: 0017d593 srli a1,a5,0x1 + 80013890: 00008537 lui a0,0x8 + 80013894: d80fd0ef jal ra,80010e14 <_Z8setprg32jj> + 80013898: 0004c503 lbu a0,0(s1) + 8001389c: 4065551b sraiw a0,a0,0x6 + 800138a0: 00157513 andi a0,a0,1 + 800138a4: fa4fd0ef jal ra,80011048 <_Z9setmirrori> + 800138a8: 01013403 ld s0,16(sp) + 800138ac: 01813083 ld ra,24(sp) + 800138b0: 00813483 ld s1,8(sp) + 800138b4: 00000513 li a0,0 + 800138b8: 02010113 addi sp,sp,32 + 800138bc: e48fd06f j 80010f04 <_Z7setchr8j> + 800138c0: 4027569b sraiw a3,a4,0x2 + 800138c4: 0206f693 andi a3,a3,32 + 800138c8: 00d7e7b3 or a5,a5,a3 + 800138cc: 02077713 andi a4,a4,32 + 800138d0: 00f5e7b3 or a5,a1,a5 + 800138d4: fa070ce3 beqz a4,8001388c <_ZL4Syncv+0x60> + 800138d8: 0007841b sext.w s0,a5 + 800138dc: 00040593 mv a1,s0 + 800138e0: 00008537 lui a0,0x8 + 800138e4: b74fd0ef jal ra,80010c58 <_Z8setprg16jj> + 800138e8: 00040593 mv a1,s0 + 800138ec: 0000c537 lui a0,0xc + 800138f0: b68fd0ef jal ra,80010c58 <_Z8setprg16jj> + 800138f4: fa5ff06f j 80013898 <_ZL4Syncv+0x6c> + +00000000800138f8 <_ZL12StateRestorei>: + 800138f8: f35ff06f j 8001382c <_ZL4Syncv> + +00000000800138fc <_ZL9M226Writejh>: + 800138fc: 00157793 andi a5,a0,1 + 80013900: 001b8517 auipc a0,0x1b8 + 80013904: 41850513 addi a0,a0,1048 # 801cbd18 <_ZL6latche> + 80013908: 00f50533 add a0,a0,a5 + 8001390c: 00b50023 sb a1,0(a0) + 80013910: f1dff06f j 8001382c <_ZL4Syncv> + +0000000080013914 <_ZL9M233Resetv>: + 80013914: 001b8717 auipc a4,0x1b8 + 80013918: 3fd70713 addi a4,a4,1021 # 801cbd11 <_ZL5reset> + 8001391c: 00074783 lbu a5,0(a4) + 80013920: 0017c793 xori a5,a5,1 + 80013924: 00f70023 sb a5,0(a4) + 80013928: f05ff06f j 8001382c <_ZL4Syncv> + +000000008001392c <_ZL9M226Powerv>: + 8001392c: ff010113 addi sp,sp,-16 + 80013930: 00113423 sd ra,8(sp) + 80013934: 00813023 sd s0,0(sp) + 80013938: 001b8797 auipc a5,0x1b8 + 8001393c: 3c078ca3 sb zero,985(a5) # 801cbd11 <_ZL5reset> + 80013940: 00010437 lui s0,0x10 + 80013944: 001b8797 auipc a5,0x1b8 + 80013948: 3c079a23 sh zero,980(a5) # 801cbd18 <_ZL6latche> + 8001394c: ee1ff0ef jal ra,8001382c <_ZL4Syncv> + 80013950: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80013954: 00000617 auipc a2,0x0 + 80013958: fa860613 addi a2,a2,-88 # 800138fc <_ZL9M226Writejh> + 8001395c: 00008537 lui a0,0x8 + 80013960: 1c8200ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80013964: fff40593 addi a1,s0,-1 + 80013968: 00013403 ld s0,0(sp) + 8001396c: 00813083 ld ra,8(sp) + 80013970: ffffd617 auipc a2,0xffffd + 80013974: cf060613 addi a2,a2,-784 # 80010660 <_Z6CartBRj> + 80013978: 00008537 lui a0,0x8 + 8001397c: 01010113 addi sp,sp,16 + 80013980: 09c2006f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080013984 <_Z14Mapper226_InitP8CartInfo>: + 80013984: ff010113 addi sp,sp,-16 + 80013988: 00113423 sd ra,8(sp) + 8001398c: 00000797 auipc a5,0x0 + 80013990: fa078793 addi a5,a5,-96 # 8001392c <_ZL9M226Powerv> + 80013994: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80013998: 00000693 li a3,0 + 8001399c: 00000613 li a2,0 + 800139a0: fff00593 li a1,-1 + 800139a4: 00037517 auipc a0,0x37 + 800139a8: 8e450513 addi a0,a0,-1820 # 8004a288 <_ZL9StateRegs> + 800139ac: 001b8797 auipc a5,0x1b8 + 800139b0: 36078223 sb zero,868(a5) # 801cbd10 <_ZL12isresetbased> + 800139b4: e5cec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800139b8: 00813083 ld ra,8(sp) + 800139bc: 00000797 auipc a5,0x0 + 800139c0: f3c78793 addi a5,a5,-196 # 800138f8 <_ZL12StateRestorei> + 800139c4: 001b9717 auipc a4,0x1b9 + 800139c8: c8f73a23 sd a5,-876(a4) # 801cc658 + 800139cc: 01010113 addi sp,sp,16 + 800139d0: 00008067 ret + +00000000800139d4 <_Z14Mapper233_InitP8CartInfo>: + 800139d4: ff010113 addi sp,sp,-16 + 800139d8: 00113423 sd ra,8(sp) + 800139dc: 00000797 auipc a5,0x0 + 800139e0: f5078793 addi a5,a5,-176 # 8001392c <_ZL9M226Powerv> + 800139e4: 00f53023 sd a5,0(a0) + 800139e8: 00000797 auipc a5,0x0 + 800139ec: f2c78793 addi a5,a5,-212 # 80013914 <_ZL9M233Resetv> + 800139f0: 00f53423 sd a5,8(a0) + 800139f4: 00000693 li a3,0 + 800139f8: 00100793 li a5,1 + 800139fc: 00000613 li a2,0 + 80013a00: fff00593 li a1,-1 + 80013a04: 00037517 auipc a0,0x37 + 80013a08: 88450513 addi a0,a0,-1916 # 8004a288 <_ZL9StateRegs> + 80013a0c: 001b8717 auipc a4,0x1b8 + 80013a10: 30f70223 sb a5,772(a4) # 801cbd10 <_ZL12isresetbased> + 80013a14: dfcec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80013a18: 00813083 ld ra,8(sp) + 80013a1c: 00000797 auipc a5,0x0 + 80013a20: edc78793 addi a5,a5,-292 # 800138f8 <_ZL12StateRestorei> + 80013a24: 001b9717 auipc a4,0x1b9 + 80013a28: c2f73a23 sd a5,-972(a4) # 801cc658 + 80013a2c: 01010113 addi sp,sp,16 + 80013a30: 00008067 ret + +0000000080013a34 <_ZL4Syncv>: + 80013a34: ff010113 addi sp,sp,-16 + 80013a38: 00113423 sd ra,8(sp) + 80013a3c: 00813023 sd s0,0(sp) + 80013a40: 001b8797 auipc a5,0x1b8 + 80013a44: 2ec7c783 lbu a5,748(a5) # 801cbd2c <_ZL4is10> + 80013a48: 08078263 beqz a5,80013acc <_ZL4Syncv+0x98> + 80013a4c: 00000613 li a2,0 + 80013a50: 000065b7 lui a1,0x6 + 80013a54: 01000513 li a0,16 + 80013a58: e91fc0ef jal ra,800108e8 <_Z8setprg8rijj> + 80013a5c: 001b8597 auipc a1,0x1b8 + 80013a60: 2d25c583 lbu a1,722(a1) # 801cbd2e <_ZL4preg> + 80013a64: 00008537 lui a0,0x8 + 80013a68: 9f0fd0ef jal ra,80010c58 <_Z8setprg16jj> + 80013a6c: fff00593 li a1,-1 + 80013a70: 0000c537 lui a0,0xc + 80013a74: 9e4fd0ef jal ra,80010c58 <_Z8setprg16jj> + 80013a78: 001b8417 auipc s0,0x1b8 + 80013a7c: 2b040413 addi s0,s0,688 # 801cbd28 <_ZL4creg> + 80013a80: 001b8797 auipc a5,0x1b8 + 80013a84: 2af7c783 lbu a5,687(a5) # 801cbd2f <_ZL6latch0> + 80013a88: 00f407b3 add a5,s0,a5 + 80013a8c: 0007c583 lbu a1,0(a5) + 80013a90: 00000513 li a0,0 + 80013a94: c50fd0ef jal ra,80010ee4 <_Z7setchr4jj> + 80013a98: 001b8797 auipc a5,0x1b8 + 80013a9c: 2987c783 lbu a5,664(a5) # 801cbd30 <_ZL6latch1> + 80013aa0: 0027879b addiw a5,a5,2 + 80013aa4: 00f40433 add s0,s0,a5 + 80013aa8: 00044583 lbu a1,0(s0) + 80013aac: 00001537 lui a0,0x1 + 80013ab0: c34fd0ef jal ra,80010ee4 <_Z7setchr4jj> + 80013ab4: 00013403 ld s0,0(sp) + 80013ab8: 00813083 ld ra,8(sp) + 80013abc: 001b8517 auipc a0,0x1b8 + 80013ac0: 27154503 lbu a0,625(a0) # 801cbd2d <_ZL4mirr> + 80013ac4: 01010113 addi sp,sp,16 + 80013ac8: d80fd06f j 80011048 <_Z9setmirrori> + 80013acc: 001b8597 auipc a1,0x1b8 + 80013ad0: 2625c583 lbu a1,610(a1) # 801cbd2e <_ZL4preg> + 80013ad4: 00008537 lui a0,0x8 + 80013ad8: fc5fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80013adc: ffd00593 li a1,-3 + 80013ae0: 0000a537 lui a0,0xa + 80013ae4: fb9fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80013ae8: ffe00593 li a1,-2 + 80013aec: 0000c537 lui a0,0xc + 80013af0: fadfc0ef jal ra,80010a9c <_Z7setprg8jj> + 80013af4: fff00593 li a1,-1 + 80013af8: 0000e537 lui a0,0xe + 80013afc: fa1fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80013b00: f79ff06f j 80013a78 <_ZL4Syncv+0x44> + +0000000080013b04 <_ZL12StateRestorei>: + 80013b04: f31ff06f j 80013a34 <_ZL4Syncv> + +0000000080013b08 <_ZL13MMC2and4Powerv>: + 80013b08: 00100793 li a5,1 + 80013b0c: ff010113 addi sp,sp,-16 + 80013b10: 001b8717 auipc a4,0x1b8 + 80013b14: 22f70023 sb a5,544(a4) # 801cbd30 <_ZL6latch1> + 80013b18: 001b8717 auipc a4,0x1b8 + 80013b1c: 20f70ba3 sb a5,535(a4) # 801cbd2f <_ZL6latch0> + 80013b20: 00113423 sd ra,8(sp) + 80013b24: 00813023 sd s0,0(sp) + 80013b28: 001b8717 auipc a4,0x1b8 + 80013b2c: 20070323 sb zero,518(a4) # 801cbd2e <_ZL4preg> + 80013b30: f05ff0ef jal ra,80013a34 <_ZL4Syncv> + 80013b34: 001b8797 auipc a5,0x1b8 + 80013b38: 1f87c783 lbu a5,504(a5) # 801cbd2c <_ZL4is10> + 80013b3c: 02079e63 bnez a5,80013b78 <_ZL13MMC2and4Powerv+0x70> + 80013b40: 00010437 lui s0,0x10 + 80013b44: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80013b48: ffffd617 auipc a2,0xffffd + 80013b4c: b1860613 addi a2,a2,-1256 # 80010660 <_Z6CartBRj> + 80013b50: 00008537 lui a0,0x8 + 80013b54: 6c91f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80013b58: fff40593 addi a1,s0,-1 + 80013b5c: 00013403 ld s0,0(sp) + 80013b60: 00813083 ld ra,8(sp) + 80013b64: 00000617 auipc a2,0x0 + 80013b68: 14c60613 addi a2,a2,332 # 80013cb0 <_Z13MMC2and4Writejh> + 80013b6c: 0000a537 lui a0,0xa + 80013b70: 01010113 addi sp,sp,16 + 80013b74: 7b51f06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80013b78: 00008437 lui s0,0x8 + 80013b7c: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80013b80: ffffd617 auipc a2,0xffffd + 80013b84: ae060613 addi a2,a2,-1312 # 80010660 <_Z6CartBRj> + 80013b88: 00006537 lui a0,0x6 + 80013b8c: 6911f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80013b90: fff40593 addi a1,s0,-1 + 80013b94: ffffd617 auipc a2,0xffffd + 80013b98: af860613 addi a2,a2,-1288 # 8001068c <_Z6CartBWjh> + 80013b9c: 00006537 lui a0,0x6 + 80013ba0: 7891f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80013ba4: 001b8517 auipc a0,0x1b8 + 80013ba8: 19052503 lw a0,400(a0) # 801cbd34 <_ZL8WRAMSIZE> + 80013bac: 001b8617 auipc a2,0x1b8 + 80013bb0: 17463603 ld a2,372(a2) # 801cbd20 <_ZL4WRAM> + 80013bb4: 000065b7 lui a1,0x6 + 80013bb8: 00a5551b srliw a0,a0,0xa + 80013bbc: c58ec0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80013bc0: f81ff06f j 80013b40 <_ZL13MMC2and4Powerv+0x38> + +0000000080013bc4 <_ZL13MMC2and4Closev>: + 80013bc4: 001b8517 auipc a0,0x1b8 + 80013bc8: 15c53503 ld a0,348(a0) # 801cbd20 <_ZL4WRAM> + 80013bcc: 02050263 beqz a0,80013bf0 <_ZL13MMC2and4Closev+0x2c> + 80013bd0: ff010113 addi sp,sp,-16 + 80013bd4: 00113423 sd ra,8(sp) + 80013bd8: 969fe0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80013bdc: 00813083 ld ra,8(sp) + 80013be0: 001b8797 auipc a5,0x1b8 + 80013be4: 1407b023 sd zero,320(a5) # 801cbd20 <_ZL4WRAM> + 80013be8: 01010113 addi sp,sp,16 + 80013bec: 00008067 ret + 80013bf0: 001b8797 auipc a5,0x1b8 + 80013bf4: 1207b823 sd zero,304(a5) # 801cbd20 <_ZL4WRAM> + 80013bf8: 00008067 ret + +0000000080013bfc <_ZL15MMC2and4PPUHookj>: + 80013bfc: 0085579b srliw a5,a0,0x8 + 80013c00: 0ff7f793 andi a5,a5,255 + 80013c04: 01f00693 li a3,31 + 80013c08: 0085571b srliw a4,a0,0x8 + 80013c0c: 00f6e863 bltu a3,a5,80013c1c <_ZL15MMC2and4PPUHookj+0x20> + 80013c10: 00f77713 andi a4,a4,15 + 80013c14: 00f00693 li a3,15 + 80013c18: 00d70463 beq a4,a3,80013c20 <_ZL15MMC2and4PPUHookj+0x24> + 80013c1c: 00008067 ret + 80013c20: 0f057513 andi a0,a0,240 + 80013c24: 02f76863 bltu a4,a5,80013c54 <_ZL15MMC2and4PPUHookj+0x58> + 80013c28: 0d000793 li a5,208 + 80013c2c: 04f50a63 beq a0,a5,80013c80 <_ZL15MMC2and4PPUHookj+0x84> + 80013c30: 0e000793 li a5,224 + 80013c34: fef514e3 bne a0,a5,80013c1c <_ZL15MMC2and4PPUHookj+0x20> + 80013c38: 00100793 li a5,1 + 80013c3c: 001b8597 auipc a1,0x1b8 + 80013c40: 0ed5c583 lbu a1,237(a1) # 801cbd29 <_ZL4creg+0x1> + 80013c44: 00000513 li a0,0 + 80013c48: 001b8717 auipc a4,0x1b8 + 80013c4c: 0ef703a3 sb a5,231(a4) # 801cbd2f <_ZL6latch0> + 80013c50: a94fd06f j 80010ee4 <_Z7setchr4jj> + 80013c54: 0d000793 li a5,208 + 80013c58: 04f50063 beq a0,a5,80013c98 <_ZL15MMC2and4PPUHookj+0x9c> + 80013c5c: 0e000793 li a5,224 + 80013c60: faf51ee3 bne a0,a5,80013c1c <_ZL15MMC2and4PPUHookj+0x20> + 80013c64: 00100793 li a5,1 + 80013c68: 001b8597 auipc a1,0x1b8 + 80013c6c: 0c35c583 lbu a1,195(a1) # 801cbd2b <_ZL4creg+0x3> + 80013c70: 00001537 lui a0,0x1 + 80013c74: 001b8717 auipc a4,0x1b8 + 80013c78: 0af70e23 sb a5,188(a4) # 801cbd30 <_ZL6latch1> + 80013c7c: a68fd06f j 80010ee4 <_Z7setchr4jj> + 80013c80: 001b8597 auipc a1,0x1b8 + 80013c84: 0a85c583 lbu a1,168(a1) # 801cbd28 <_ZL4creg> + 80013c88: 00000513 li a0,0 + 80013c8c: 001b8797 auipc a5,0x1b8 + 80013c90: 0a0781a3 sb zero,163(a5) # 801cbd2f <_ZL6latch0> + 80013c94: a50fd06f j 80010ee4 <_Z7setchr4jj> + 80013c98: 001b8597 auipc a1,0x1b8 + 80013c9c: 0925c583 lbu a1,146(a1) # 801cbd2a <_ZL4creg+0x2> + 80013ca0: 00001537 lui a0,0x1 + 80013ca4: 001b8797 auipc a5,0x1b8 + 80013ca8: 08078623 sb zero,140(a5) # 801cbd30 <_ZL6latch1> + 80013cac: a38fd06f j 80010ee4 <_Z7setchr4jj> + +0000000080013cb0 <_Z13MMC2and4Writejh>: + 80013cb0: 0000f7b7 lui a5,0xf + 80013cb4: 00f57533 and a0,a0,a5 + 80013cb8: 0000d7b7 lui a5,0xd + 80013cbc: 06f50663 beq a0,a5,80013d28 <_Z13MMC2and4Writejh+0x78> + 80013cc0: 02a7e063 bltu a5,a0,80013ce0 <_Z13MMC2and4Writejh+0x30> + 80013cc4: 0000b7b7 lui a5,0xb + 80013cc8: 06f50663 beq a0,a5,80013d34 <_Z13MMC2and4Writejh+0x84> + 80013ccc: 0000c7b7 lui a5,0xc + 80013cd0: 02f51a63 bne a0,a5,80013d04 <_Z13MMC2and4Writejh+0x54> + 80013cd4: 001b8797 auipc a5,0x1b8 + 80013cd8: 04b78aa3 sb a1,85(a5) # 801cbd29 <_ZL4creg+0x1> + 80013cdc: d59ff06f j 80013a34 <_ZL4Syncv> + 80013ce0: 0000e7b7 lui a5,0xe + 80013ce4: 02f50c63 beq a0,a5,80013d1c <_Z13MMC2and4Writejh+0x6c> + 80013ce8: 0000f7b7 lui a5,0xf + 80013cec: 04f51a63 bne a0,a5,80013d40 <_Z13MMC2and4Writejh+0x90> + 80013cf0: fff5c593 not a1,a1 + 80013cf4: 0015f593 andi a1,a1,1 + 80013cf8: 001b8797 auipc a5,0x1b8 + 80013cfc: 02b78aa3 sb a1,53(a5) # 801cbd2d <_ZL4mirr> + 80013d00: d35ff06f j 80013a34 <_ZL4Syncv> + 80013d04: 0000a7b7 lui a5,0xa + 80013d08: 00f51863 bne a0,a5,80013d18 <_Z13MMC2and4Writejh+0x68> + 80013d0c: 001b8797 auipc a5,0x1b8 + 80013d10: 02b78123 sb a1,34(a5) # 801cbd2e <_ZL4preg> + 80013d14: d21ff06f j 80013a34 <_ZL4Syncv> + 80013d18: 00008067 ret + 80013d1c: 001b8797 auipc a5,0x1b8 + 80013d20: 00b787a3 sb a1,15(a5) # 801cbd2b <_ZL4creg+0x3> + 80013d24: d11ff06f j 80013a34 <_ZL4Syncv> + 80013d28: 001b8797 auipc a5,0x1b8 + 80013d2c: 00b78123 sb a1,2(a5) # 801cbd2a <_ZL4creg+0x2> + 80013d30: d05ff06f j 80013a34 <_ZL4Syncv> + 80013d34: 001b8797 auipc a5,0x1b8 + 80013d38: feb78a23 sb a1,-12(a5) # 801cbd28 <_ZL4creg> + 80013d3c: cf9ff06f j 80013a34 <_ZL4Syncv> + 80013d40: 00008067 ret + +0000000080013d44 <_Z12Mapper9_InitP8CartInfo>: + 80013d44: 00000797 auipc a5,0x0 + 80013d48: dc478793 addi a5,a5,-572 # 80013b08 <_ZL13MMC2and4Powerv> + 80013d4c: 00f53023 sd a5,0(a0) # 1000 <_entry_offset+0x1000> + 80013d50: 001b8797 auipc a5,0x1b8 + 80013d54: fc078e23 sb zero,-36(a5) # 801cbd2c <_ZL4is10> + 80013d58: 00000797 auipc a5,0x0 + 80013d5c: ea478793 addi a5,a5,-348 # 80013bfc <_ZL15MMC2and4PPUHookj> + 80013d60: 001b9717 auipc a4,0x1b9 + 80013d64: 9af73423 sd a5,-1624(a4) # 801cc708 + 80013d68: 00000797 auipc a5,0x0 + 80013d6c: d9c78793 addi a5,a5,-612 # 80013b04 <_ZL12StateRestorei> + 80013d70: 00000693 li a3,0 + 80013d74: 00000613 li a2,0 + 80013d78: fff00593 li a1,-1 + 80013d7c: 00036517 auipc a0,0x36 + 80013d80: 55450513 addi a0,a0,1364 # 8004a2d0 <_ZL9StateRegs> + 80013d84: 001b9717 auipc a4,0x1b9 + 80013d88: 8cf73a23 sd a5,-1836(a4) # 801cc658 + 80013d8c: a84ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080013d90 <_Z13Mapper10_InitP8CartInfo>: + 80013d90: fe010113 addi sp,sp,-32 + 80013d94: 00113c23 sd ra,24(sp) + 80013d98: 00813823 sd s0,16(sp) + 80013d9c: 00913423 sd s1,8(sp) + 80013da0: 01213023 sd s2,0(sp) + 80013da4: 00000797 auipc a5,0x0 + 80013da8: d6478793 addi a5,a5,-668 # 80013b08 <_ZL13MMC2and4Powerv> + 80013dac: 00f53023 sd a5,0(a0) + 80013db0: 00000797 auipc a5,0x0 + 80013db4: e1478793 addi a5,a5,-492 # 80013bc4 <_ZL13MMC2and4Closev> + 80013db8: 00f53823 sd a5,16(a0) + 80013dbc: 00100793 li a5,1 + 80013dc0: 001b8717 auipc a4,0x1b8 + 80013dc4: f6f70623 sb a5,-148(a4) # 801cbd2c <_ZL4is10> + 80013dc8: 00000797 auipc a5,0x0 + 80013dcc: e3478793 addi a5,a5,-460 # 80013bfc <_ZL15MMC2and4PPUHookj> + 80013dd0: 001b8497 auipc s1,0x1b8 + 80013dd4: f6448493 addi s1,s1,-156 # 801cbd34 <_ZL8WRAMSIZE> + 80013dd8: 00050413 mv s0,a0 + 80013ddc: 001b9717 auipc a4,0x1b9 + 80013de0: 92f73623 sd a5,-1748(a4) # 801cc708 + 80013de4: 00002537 lui a0,0x2 + 80013de8: 000027b7 lui a5,0x2 + 80013dec: 00f4a023 sw a5,0(s1) + 80013df0: e7cfe0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80013df4: 0004a603 lw a2,0(s1) + 80013df8: 00050593 mv a1,a0 + 80013dfc: 001b8917 auipc s2,0x1b8 + 80013e00: f2490913 addi s2,s2,-220 # 801cbd20 <_ZL4WRAM> + 80013e04: 00100693 li a3,1 + 80013e08: 01000513 li a0,16 + 80013e0c: 00b93023 sd a1,0(s2) + 80013e10: ee4fc0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80013e14: 0004a583 lw a1,0(s1) + 80013e18: 00093503 ld a0,0(s2) + 80013e1c: 00031697 auipc a3,0x31 + 80013e20: 50c68693 addi a3,a3,1292 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80013e24: 00000613 li a2,0 + 80013e28: 9e8ec0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80013e2c: 04c42783 lw a5,76(s0) + 80013e30: 00078a63 beqz a5,80013e44 <_Z13Mapper10_InitP8CartInfo+0xb4> + 80013e34: 00093703 ld a4,0(s2) + 80013e38: 0004a783 lw a5,0(s1) + 80013e3c: 00e43c23 sd a4,24(s0) + 80013e40: 02f42c23 sw a5,56(s0) + 80013e44: 01013403 ld s0,16(sp) + 80013e48: 01813083 ld ra,24(sp) + 80013e4c: 00813483 ld s1,8(sp) + 80013e50: 00013903 ld s2,0(sp) + 80013e54: 00000797 auipc a5,0x0 + 80013e58: cb078793 addi a5,a5,-848 # 80013b04 <_ZL12StateRestorei> + 80013e5c: 001b8717 auipc a4,0x1b8 + 80013e60: 7ef73e23 sd a5,2044(a4) # 801cc658 + 80013e64: 00000693 li a3,0 + 80013e68: 00000613 li a2,0 + 80013e6c: fff00593 li a1,-1 + 80013e70: 00036517 auipc a0,0x36 + 80013e74: 46050513 addi a0,a0,1120 # 8004a2d0 <_ZL9StateRegs> + 80013e78: 02010113 addi sp,sp,32 + 80013e7c: 994ec06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080013e80 <_ZL14UNLYOKOReadDipj>: + 80013e80: 00044517 auipc a0,0x44 + 80013e84: 9e854503 lbu a0,-1560(a0) # 80057868 + 80013e88: 001b8797 auipc a5,0x1b8 + 80013e8c: eb17c783 lbu a5,-335(a5) # 801cbd39 <_ZL3dip> + 80013e90: ffc57513 andi a0,a0,-4 + 80013e94: 00f56533 or a0,a0,a5 + 80013e98: 0ff57513 andi a0,a0,255 + 80013e9c: 00008067 ret + +0000000080013ea0 <_ZL14UNLYOKOReadLowj>: + 80013ea0: 00357793 andi a5,a0,3 + 80013ea4: 001b8517 auipc a0,0x1b8 + 80013ea8: e9c50513 addi a0,a0,-356 # 801cbd40 <_ZL3low> + 80013eac: 00f50533 add a0,a0,a5 + 80013eb0: 00054503 lbu a0,0(a0) + 80013eb4: 00008067 ret + +0000000080013eb8 <_ZL15UNLYOKOWriteLowjh>: + 80013eb8: 00357793 andi a5,a0,3 + 80013ebc: 001b8517 auipc a0,0x1b8 + 80013ec0: e8450513 addi a0,a0,-380 # 801cbd40 <_ZL3low> + 80013ec4: 00f50533 add a0,a0,a5 + 80013ec8: 00b50023 sb a1,0(a0) + 80013ecc: 00008067 ret + +0000000080013ed0 <_ZL7M83Syncv>: + 80013ed0: fc010113 addi sp,sp,-64 + 80013ed4: 01413823 sd s4,16(sp) + 80013ed8: 001b8a17 auipc s4,0x1b8 + 80013edc: e79a0a13 addi s4,s4,-391 # 801cbd51 <_ZL4mode> + 80013ee0: 000a4783 lbu a5,0(s4) + 80013ee4: 02113c23 sd ra,56(sp) + 80013ee8: 02813823 sd s0,48(sp) + 80013eec: 02913423 sd s1,40(sp) + 80013ef0: 03213023 sd s2,32(sp) + 80013ef4: 01313c23 sd s3,24(sp) + 80013ef8: 01513423 sd s5,8(sp) + 80013efc: 00200713 li a4,2 + 80013f00: 0037f793 andi a5,a5,3 + 80013f04: 14e78c63 beq a5,a4,8001405c <_ZL7M83Syncv+0x18c> + 80013f08: 00300713 li a4,3 + 80013f0c: 14e78263 beq a5,a4,80014050 <_ZL7M83Syncv+0x180> + 80013f10: 00100713 li a4,1 + 80013f14: 12e78863 beq a5,a4,80014044 <_ZL7M83Syncv+0x174> + 80013f18: 00100513 li a0,1 + 80013f1c: 92cfd0ef jal ra,80011048 <_Z9setmirrori> + 80013f20: 000b1a97 auipc s5,0xb1 + 80013f24: 0a0a8a93 addi s5,s5,160 # 800c4fc0 <_ZL3reg> + 80013f28: 001b8797 auipc a5,0x1b8 + 80013f2c: e347c783 lbu a5,-460(a5) # 801cbd5c <_ZL8is2kbank> + 80013f30: 000ac583 lbu a1,0(s5) + 80013f34: 00078863 beqz a5,80013f44 <_ZL7M83Syncv+0x74> + 80013f38: 001b8797 auipc a5,0x1b8 + 80013f3c: e007c783 lbu a5,-512(a5) # 801cbd38 <_ZL11isnot2kbank> + 80013f40: 12078463 beqz a5,80014068 <_ZL7M83Syncv+0x198> + 80013f44: 00002937 lui s2,0x2 + 80013f48: 000b1497 auipc s1,0xb1 + 80013f4c: 07848493 addi s1,s1,120 # 800c4fc0 <_ZL3reg> + 80013f50: 00000413 li s0,0 + 80013f54: 001b8997 auipc s3,0x1b8 + 80013f58: dfc98993 addi s3,s3,-516 # 801cbd50 <_ZL4bank> + 80013f5c: c0090913 addi s2,s2,-1024 # 1c00 <_entry_offset+0x1c00> + 80013f60: 00c0006f j 80013f6c <_ZL7M83Syncv+0x9c> + 80013f64: 0004c583 lbu a1,0(s1) + 80013f68: 4004041b addiw s0,s0,1024 + 80013f6c: 0009c783 lbu a5,0(s3) + 80013f70: 00040513 mv a0,s0 + 80013f74: 00148493 addi s1,s1,1 + 80013f78: 0047979b slliw a5,a5,0x4 + 80013f7c: 3007f793 andi a5,a5,768 + 80013f80: 00b7e5b3 or a1,a5,a1 + 80013f84: f21fc0ef jal ra,80010ea4 <_Z7setchr1jj> + 80013f88: fd241ee3 bne s0,s2,80013f64 <_ZL7M83Syncv+0x94> + 80013f8c: 00000613 li a2,0 + 80013f90: 000065b7 lui a1,0x6 + 80013f94: 01000513 li a0,16 + 80013f98: 951fc0ef jal ra,800108e8 <_Z8setprg8rijj> + 80013f9c: 000a4783 lbu a5,0(s4) + 80013fa0: 0407f793 andi a5,a5,64 + 80013fa4: 04078863 beqz a5,80013ff4 <_ZL7M83Syncv+0x124> + 80013fa8: 001b8417 auipc s0,0x1b8 + 80013fac: da840413 addi s0,s0,-600 # 801cbd50 <_ZL4bank> + 80013fb0: 00044583 lbu a1,0(s0) + 80013fb4: 00008537 lui a0,0x8 + 80013fb8: 03f5f593 andi a1,a1,63 + 80013fbc: c9dfc0ef jal ra,80010c58 <_Z8setprg16jj> + 80013fc0: 00044583 lbu a1,0(s0) + 80013fc4: 03013403 ld s0,48(sp) + 80013fc8: 03813083 ld ra,56(sp) + 80013fcc: 02813483 ld s1,40(sp) + 80013fd0: 02013903 ld s2,32(sp) + 80013fd4: 01813983 ld s3,24(sp) + 80013fd8: 01013a03 ld s4,16(sp) + 80013fdc: 00813a83 ld s5,8(sp) + 80013fe0: 0305f593 andi a1,a1,48 + 80013fe4: 0000c537 lui a0,0xc + 80013fe8: 00f5e593 ori a1,a1,15 + 80013fec: 04010113 addi sp,sp,64 + 80013ff0: c69fc06f j 80010c58 <_Z8setprg16jj> + 80013ff4: 008ac583 lbu a1,8(s5) + 80013ff8: 00008537 lui a0,0x8 + 80013ffc: aa1fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80014000: 009ac583 lbu a1,9(s5) + 80014004: 0000a537 lui a0,0xa + 80014008: a95fc0ef jal ra,80010a9c <_Z7setprg8jj> + 8001400c: 00aac583 lbu a1,10(s5) + 80014010: 0000c537 lui a0,0xc + 80014014: a89fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80014018: 03013403 ld s0,48(sp) + 8001401c: 03813083 ld ra,56(sp) + 80014020: 02813483 ld s1,40(sp) + 80014024: 02013903 ld s2,32(sp) + 80014028: 01813983 ld s3,24(sp) + 8001402c: 01013a03 ld s4,16(sp) + 80014030: 00813a83 ld s5,8(sp) + 80014034: fff00593 li a1,-1 + 80014038: 0000e537 lui a0,0xe + 8001403c: 04010113 addi sp,sp,64 + 80014040: a5dfc06f j 80010a9c <_Z7setprg8jj> + 80014044: 00000513 li a0,0 + 80014048: 800fd0ef jal ra,80011048 <_Z9setmirrori> + 8001404c: ed5ff06f j 80013f20 <_ZL7M83Syncv+0x50> + 80014050: 00300513 li a0,3 + 80014054: ff5fc0ef jal ra,80011048 <_Z9setmirrori> + 80014058: ec9ff06f j 80013f20 <_ZL7M83Syncv+0x50> + 8001405c: 00200513 li a0,2 + 80014060: fe9fc0ef jal ra,80011048 <_Z9setmirrori> + 80014064: ebdff06f j 80013f20 <_ZL7M83Syncv+0x50> + 80014068: 00000513 li a0,0 + 8001406c: e59fc0ef jal ra,80010ec4 <_Z7setchr2jj> + 80014070: 001ac583 lbu a1,1(s5) + 80014074: 00001537 lui a0,0x1 + 80014078: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8001407c: e49fc0ef jal ra,80010ec4 <_Z7setchr2jj> + 80014080: 006ac583 lbu a1,6(s5) + 80014084: 00001537 lui a0,0x1 + 80014088: e3dfc0ef jal ra,80010ec4 <_Z7setchr2jj> + 8001408c: 007ac583 lbu a1,7(s5) + 80014090: 00002537 lui a0,0x2 + 80014094: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80014098: e2dfc0ef jal ra,80010ec4 <_Z7setchr2jj> + 8001409c: ef1ff06f j 80013f8c <_ZL7M83Syncv+0xbc> + +00000000800140a0 <_ZL15M83StateRestorei>: + 800140a0: e31ff06f j 80013ed0 <_ZL7M83Syncv> + +00000000800140a4 <_ZL8M83Resetv>: + 800140a4: 001b8717 auipc a4,0x1b8 + 800140a8: c9570713 addi a4,a4,-875 # 801cbd39 <_ZL3dip> + 800140ac: 00074783 lbu a5,0(a4) + 800140b0: 0017c793 xori a5,a5,1 + 800140b4: 00f70023 sb a5,0(a4) + 800140b8: e19ff06f j 80013ed0 <_ZL7M83Syncv> + +00000000800140bc <_ZL8M83Writejh>: + 800140bc: 000087b7 lui a5,0x8 + 800140c0: 31778713 addi a4,a5,791 # 8317 <_entry_offset+0x8317> + 800140c4: 04a76463 bltu a4,a0,8001410c <_ZL8M83Writejh+0x50> + 800140c8: 2ff78713 addi a4,a5,767 + 800140cc: 06a77a63 bgeu a4,a0,80014140 <_ZL8M83Writejh+0x84> + 800140d0: ffff87b7 lui a5,0xffff8 + 800140d4: d007879b addiw a5,a5,-768 + 800140d8: 00f5053b addw a0,a0,a5 + 800140dc: 0005071b sext.w a4,a0 + 800140e0: 01700793 li a5,23 + 800140e4: 0ce7e863 bltu a5,a4,800141b4 <_ZL8M83Writejh+0xf8> + 800140e8: 02051513 slli a0,a0,0x20 + 800140ec: 02055513 srli a0,a0,0x20 + 800140f0: 00031717 auipc a4,0x31 + 800140f4: 30070713 addi a4,a4,768 # 800453f0 <_ZZL8SetInputvE3moo+0x5a0> + 800140f8: 00251513 slli a0,a0,0x2 + 800140fc: 00e50533 add a0,a0,a4 + 80014100: 00052783 lw a5,0(a0) + 80014104: 00e787b3 add a5,a5,a4 + 80014108: 00078067 jr a5 # ffffffffffff8000 <_end+0xffffffff7fe23000> + 8001410c: 0000b737 lui a4,0xb + 80014110: eff57793 andi a5,a0,-257 + 80014114: 0ff70693 addi a3,a4,255 # b0ff <_entry_offset+0xb0ff> + 80014118: 00d78463 beq a5,a3,80014120 <_ZL8M83Writejh+0x64> + 8001411c: 08e51863 bne a0,a4,800141ac <_ZL8M83Writejh+0xf0> + 80014120: 001b8717 auipc a4,0x1b8 + 80014124: c3170713 addi a4,a4,-975 # 801cbd51 <_ZL4mode> + 80014128: 00074783 lbu a5,0(a4) + 8001412c: 001b8697 auipc a3,0x1b8 + 80014130: c2b68223 sb a1,-988(a3) # 801cbd50 <_ZL4bank> + 80014134: 0407e793 ori a5,a5,64 + 80014138: 00f70023 sb a5,0(a4) + 8001413c: d95ff06f j 80013ed0 <_ZL7M83Syncv> + 80014140: 20078713 addi a4,a5,512 + 80014144: 16e50263 beq a0,a4,800142a8 <_ZL8M83Writejh+0x1ec> + 80014148: 02a77e63 bgeu a4,a0,80014184 <_ZL8M83Writejh+0xc8> + 8001414c: 20178793 addi a5,a5,513 + 80014150: 06f51063 bne a0,a5,800141b0 <_ZL8M83Writejh+0xf4> + 80014154: 001b8697 auipc a3,0x1b8 + 80014158: c0068693 addi a3,a3,-1024 # 801cbd54 <_ZL8IRQCount> + 8001415c: 0006c783 lbu a5,0(a3) + 80014160: 001b8717 auipc a4,0x1b8 + 80014164: bf174703 lbu a4,-1039(a4) # 801cbd51 <_ZL4mode> + 80014168: 0085959b slliw a1,a1,0x8 + 8001416c: 00b7e5b3 or a1,a5,a1 + 80014170: f8077713 andi a4,a4,-128 + 80014174: 001b8797 auipc a5,0x1b8 + 80014178: bce78823 sb a4,-1072(a5) # 801cbd44 <_ZL4IRQa> + 8001417c: 00b6a023 sw a1,0(a3) + 80014180: 00008067 ret + 80014184: 14f50663 beq a0,a5,800142d0 <_ZL8M83Writejh+0x214> + 80014188: 10078793 addi a5,a5,256 + 8001418c: 14f51a63 bne a0,a5,800142e0 <_ZL8M83Writejh+0x224> + 80014190: 001b8717 auipc a4,0x1b8 + 80014194: bc170713 addi a4,a4,-1087 # 801cbd51 <_ZL4mode> + 80014198: 00074783 lbu a5,0(a4) + 8001419c: 0407f793 andi a5,a5,64 + 800141a0: 00f5e5b3 or a1,a1,a5 + 800141a4: 00b70023 sb a1,0(a4) + 800141a8: d29ff06f j 80013ed0 <_ZL7M83Syncv> + 800141ac: 00008067 ret + 800141b0: 00008067 ret + 800141b4: 00008067 ret + 800141b8: 001b8717 auipc a4,0x1b8 + 800141bc: b9970713 addi a4,a4,-1127 # 801cbd51 <_ZL4mode> + 800141c0: 00074783 lbu a5,0(a4) + 800141c4: 000b1697 auipc a3,0xb1 + 800141c8: e0b68323 sb a1,-506(a3) # 800c4fca <_ZL3reg+0xa> + 800141cc: fbf7f793 andi a5,a5,-65 + 800141d0: 00f70023 sb a5,0(a4) + 800141d4: cfdff06f j 80013ed0 <_ZL7M83Syncv> + 800141d8: 000b1797 auipc a5,0xb1 + 800141dc: deb78423 sb a1,-536(a5) # 800c4fc0 <_ZL3reg> + 800141e0: cf1ff06f j 80013ed0 <_ZL7M83Syncv> + 800141e4: 000b1797 auipc a5,0xb1 + 800141e8: dcb78ea3 sb a1,-547(a5) # 800c4fc1 <_ZL3reg+0x1> + 800141ec: ce5ff06f j 80013ed0 <_ZL7M83Syncv> + 800141f0: 000b1797 auipc a5,0xb1 + 800141f4: dcb78923 sb a1,-558(a5) # 800c4fc2 <_ZL3reg+0x2> + 800141f8: 00100793 li a5,1 + 800141fc: 001b8717 auipc a4,0x1b8 + 80014200: b2f70e23 sb a5,-1220(a4) # 801cbd38 <_ZL11isnot2kbank> + 80014204: ccdff06f j 80013ed0 <_ZL7M83Syncv> + 80014208: 001b8717 auipc a4,0x1b8 + 8001420c: b4970713 addi a4,a4,-1207 # 801cbd51 <_ZL4mode> + 80014210: 00074783 lbu a5,0(a4) + 80014214: 000b1697 auipc a3,0xb1 + 80014218: dab68a23 sb a1,-588(a3) # 800c4fc8 <_ZL3reg+0x8> + 8001421c: fbf7f793 andi a5,a5,-65 + 80014220: 00f70023 sb a5,0(a4) + 80014224: cadff06f j 80013ed0 <_ZL7M83Syncv> + 80014228: 001b8717 auipc a4,0x1b8 + 8001422c: b2970713 addi a4,a4,-1239 # 801cbd51 <_ZL4mode> + 80014230: 00074783 lbu a5,0(a4) + 80014234: 000b1697 auipc a3,0xb1 + 80014238: d8b68aa3 sb a1,-619(a3) # 800c4fc9 <_ZL3reg+0x9> + 8001423c: fbf7f793 andi a5,a5,-65 + 80014240: 00f70023 sb a5,0(a4) + 80014244: c8dff06f j 80013ed0 <_ZL7M83Syncv> + 80014248: 000b1797 auipc a5,0xb1 + 8001424c: d6b78fa3 sb a1,-641(a5) # 800c4fc7 <_ZL3reg+0x7> + 80014250: c81ff06f j 80013ed0 <_ZL7M83Syncv> + 80014254: 000b1797 auipc a5,0xb1 + 80014258: d6b787a3 sb a1,-657(a5) # 800c4fc3 <_ZL3reg+0x3> + 8001425c: 00100793 li a5,1 + 80014260: 001b8717 auipc a4,0x1b8 + 80014264: acf70c23 sb a5,-1320(a4) # 801cbd38 <_ZL11isnot2kbank> + 80014268: c69ff06f j 80013ed0 <_ZL7M83Syncv> + 8001426c: 000b1797 auipc a5,0xb1 + 80014270: d4b78c23 sb a1,-680(a5) # 800c4fc4 <_ZL3reg+0x4> + 80014274: 00100793 li a5,1 + 80014278: 001b8717 auipc a4,0x1b8 + 8001427c: acf70023 sb a5,-1344(a4) # 801cbd38 <_ZL11isnot2kbank> + 80014280: c51ff06f j 80013ed0 <_ZL7M83Syncv> + 80014284: 000b1797 auipc a5,0xb1 + 80014288: d4b780a3 sb a1,-703(a5) # 800c4fc5 <_ZL3reg+0x5> + 8001428c: 00100793 li a5,1 + 80014290: 001b8717 auipc a4,0x1b8 + 80014294: aaf70423 sb a5,-1368(a4) # 801cbd38 <_ZL11isnot2kbank> + 80014298: c39ff06f j 80013ed0 <_ZL7M83Syncv> + 8001429c: 000b1797 auipc a5,0xb1 + 800142a0: d2b78523 sb a1,-726(a5) # 800c4fc6 <_ZL3reg+0x6> + 800142a4: c2dff06f j 80013ed0 <_ZL7M83Syncv> + 800142a8: 001b8697 auipc a3,0x1b8 + 800142ac: aac68693 addi a3,a3,-1364 # 801cbd54 <_ZL8IRQCount> + 800142b0: 0006a783 lw a5,0(a3) + 800142b4: 00010737 lui a4,0x10 + 800142b8: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 800142bc: 00e7f7b3 and a5,a5,a4 + 800142c0: 00b7e5b3 or a1,a5,a1 + 800142c4: 00100513 li a0,1 + 800142c8: 00b6a023 sw a1,0(a3) + 800142cc: a85ec06f j 80000d50 <_Z12X6502_IRQEndi> + 800142d0: 00100793 li a5,1 + 800142d4: 001b8717 auipc a4,0x1b8 + 800142d8: a8f70423 sb a5,-1400(a4) # 801cbd5c <_ZL8is2kbank> + 800142dc: e45ff06f j 80014120 <_ZL8M83Writejh+0x64> + 800142e0: 00008067 ret + +00000000800142e4 <_ZL8M83Closev>: + 800142e4: 001b8517 auipc a0,0x1b8 + 800142e8: a6453503 ld a0,-1436(a0) # 801cbd48 <_ZL4WRAM> + 800142ec: 02050263 beqz a0,80014310 <_ZL8M83Closev+0x2c> + 800142f0: ff010113 addi sp,sp,-16 + 800142f4: 00113423 sd ra,8(sp) + 800142f8: a48fe0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800142fc: 00813083 ld ra,8(sp) + 80014300: 001b8797 auipc a5,0x1b8 + 80014304: a407b423 sd zero,-1464(a5) # 801cbd48 <_ZL4WRAM> + 80014308: 01010113 addi sp,sp,16 + 8001430c: 00008067 ret + 80014310: 001b8797 auipc a5,0x1b8 + 80014314: a207bc23 sd zero,-1480(a5) # 801cbd48 <_ZL4WRAM> + 80014318: 00008067 ret + +000000008001431c <_ZL8M83Powerv>: + 8001431c: ff010113 addi sp,sp,-16 + 80014320: 00113423 sd ra,8(sp) + 80014324: 00813023 sd s0,0(sp) + 80014328: 001b8797 auipc a5,0x1b8 + 8001432c: a2078a23 sb zero,-1484(a5) # 801cbd5c <_ZL8is2kbank> + 80014330: 001b8797 auipc a5,0x1b8 + 80014334: a0078423 sb zero,-1528(a5) # 801cbd38 <_ZL11isnot2kbank> + 80014338: 001b8797 auipc a5,0x1b8 + 8001433c: a0078c23 sb zero,-1512(a5) # 801cbd50 <_ZL4bank> + 80014340: 001b8797 auipc a5,0x1b8 + 80014344: a00788a3 sb zero,-1519(a5) # 801cbd51 <_ZL4mode> + 80014348: 001b8797 auipc a5,0x1b8 + 8001434c: 9e0788a3 sb zero,-1551(a5) # 801cbd39 <_ZL3dip> + 80014350: b81ff0ef jal ra,80013ed0 <_ZL7M83Syncv> + 80014354: 00000617 auipc a2,0x0 + 80014358: b2c60613 addi a2,a2,-1236 # 80013e80 <_ZL14UNLYOKOReadDipj> + 8001435c: 000055b7 lui a1,0x5 + 80014360: 00005537 lui a0,0x5 + 80014364: 6b81f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80014368: 00005437 lui s0,0x5 + 8001436c: 10340593 addi a1,s0,259 # 5103 <_entry_offset+0x5103> + 80014370: 10040513 addi a0,s0,256 + 80014374: 00000617 auipc a2,0x0 + 80014378: b2c60613 addi a2,a2,-1236 # 80013ea0 <_ZL14UNLYOKOReadLowj> + 8001437c: 6a01f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80014380: 10340593 addi a1,s0,259 + 80014384: 10040513 addi a0,s0,256 + 80014388: 00000617 auipc a2,0x0 + 8001438c: b3060613 addi a2,a2,-1232 # 80013eb8 <_ZL15UNLYOKOWriteLowjh> + 80014390: 7981f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80014394: 00008437 lui s0,0x8 + 80014398: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8001439c: ffffc617 auipc a2,0xffffc + 800143a0: 2c460613 addi a2,a2,708 # 80010660 <_Z6CartBRj> + 800143a4: 00006537 lui a0,0x6 + 800143a8: 6741f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800143ac: fff40593 addi a1,s0,-1 + 800143b0: ffffc617 auipc a2,0xffffc + 800143b4: 2dc60613 addi a2,a2,732 # 8001068c <_Z6CartBWjh> + 800143b8: 00006537 lui a0,0x6 + 800143bc: 76c1f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800143c0: 00010437 lui s0,0x10 + 800143c4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800143c8: ffffc617 auipc a2,0xffffc + 800143cc: 29860613 addi a2,a2,664 # 80010660 <_Z6CartBRj> + 800143d0: 00008537 lui a0,0x8 + 800143d4: 6481f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800143d8: fff40593 addi a1,s0,-1 + 800143dc: 00000617 auipc a2,0x0 + 800143e0: ce060613 addi a2,a2,-800 # 800140bc <_ZL8M83Writejh> + 800143e4: 00008537 lui a0,0x8 + 800143e8: 7401f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800143ec: 00013403 ld s0,0(sp) + 800143f0: 00813083 ld ra,8(sp) + 800143f4: 001b8517 auipc a0,0x1b8 + 800143f8: 96452503 lw a0,-1692(a0) # 801cbd58 <_ZL8WRAMSIZE> + 800143fc: 001b8617 auipc a2,0x1b8 + 80014400: 94c63603 ld a2,-1716(a2) # 801cbd48 <_ZL4WRAM> + 80014404: 000065b7 lui a1,0x6 + 80014408: 00a5551b srliw a0,a0,0xa + 8001440c: 01010113 addi sp,sp,16 + 80014410: c05eb06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080014414 <_ZL14UNLYOKOIRQHooki>: + 80014414: 001b8797 auipc a5,0x1b8 + 80014418: 9307c783 lbu a5,-1744(a5) # 801cbd44 <_ZL4IRQa> + 8001441c: 02078e63 beqz a5,80014458 <_ZL14UNLYOKOIRQHooki+0x44> + 80014420: ff010113 addi sp,sp,-16 + 80014424: 00813023 sd s0,0(sp) + 80014428: 001b8417 auipc s0,0x1b8 + 8001442c: 92c40413 addi s0,s0,-1748 # 801cbd54 <_ZL8IRQCount> + 80014430: 00042783 lw a5,0(s0) + 80014434: 00113423 sd ra,8(sp) + 80014438: 40a7853b subw a0,a5,a0 + 8001443c: 00a42023 sw a0,0(s0) + 80014440: 02051793 slli a5,a0,0x20 + 80014444: 0007cc63 bltz a5,8001445c <_ZL14UNLYOKOIRQHooki+0x48> + 80014448: 00813083 ld ra,8(sp) + 8001444c: 00013403 ld s0,0(sp) + 80014450: 01010113 addi sp,sp,16 + 80014454: 00008067 ret + 80014458: 00008067 ret + 8001445c: 00100513 li a0,1 + 80014460: 8d9ec0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80014464: 001b8797 auipc a5,0x1b8 + 80014468: 8e078023 sb zero,-1824(a5) # 801cbd44 <_ZL4IRQa> + 8001446c: 000107b7 lui a5,0x10 + 80014470: fff7879b addiw a5,a5,-1 + 80014474: 00813083 ld ra,8(sp) + 80014478: 00f42023 sw a5,0(s0) + 8001447c: 00013403 ld s0,0(sp) + 80014480: 01010113 addi sp,sp,16 + 80014484: 00008067 ret + +0000000080014488 <_Z13Mapper83_InitP8CartInfo>: + 80014488: fe010113 addi sp,sp,-32 + 8001448c: 00113c23 sd ra,24(sp) + 80014490: 00813823 sd s0,16(sp) + 80014494: 00913423 sd s1,8(sp) + 80014498: 00000797 auipc a5,0x0 + 8001449c: e8478793 addi a5,a5,-380 # 8001431c <_ZL8M83Powerv> + 800144a0: 00f53023 sd a5,0(a0) + 800144a4: 00000797 auipc a5,0x0 + 800144a8: c0078793 addi a5,a5,-1024 # 800140a4 <_ZL8M83Resetv> + 800144ac: 00f53423 sd a5,8(a0) + 800144b0: 00000797 auipc a5,0x0 + 800144b4: e3478793 addi a5,a5,-460 # 800142e4 <_ZL8M83Closev> + 800144b8: 00f53823 sd a5,16(a0) + 800144bc: 00000797 auipc a5,0x0 + 800144c0: f5878793 addi a5,a5,-168 # 80014414 <_ZL14UNLYOKOIRQHooki> + 800144c4: 001b7717 auipc a4,0x1b7 + 800144c8: 66f73e23 sd a5,1660(a4) # 801cbb40 + 800144cc: 00000797 auipc a5,0x0 + 800144d0: bd478793 addi a5,a5,-1068 # 800140a0 <_ZL15M83StateRestorei> + 800144d4: 001b8417 auipc s0,0x1b8 + 800144d8: 88440413 addi s0,s0,-1916 # 801cbd58 <_ZL8WRAMSIZE> + 800144dc: 001b8717 auipc a4,0x1b8 + 800144e0: 16f73e23 sd a5,380(a4) # 801cc658 + 800144e4: 00002537 lui a0,0x2 + 800144e8: 000027b7 lui a5,0x2 + 800144ec: 00f42023 sw a5,0(s0) + 800144f0: f7dfd0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800144f4: 00042603 lw a2,0(s0) + 800144f8: 00050593 mv a1,a0 + 800144fc: 001b8497 auipc s1,0x1b8 + 80014500: 84c48493 addi s1,s1,-1972 # 801cbd48 <_ZL4WRAM> + 80014504: 00100693 li a3,1 + 80014508: 01000513 li a0,16 + 8001450c: 00b4b023 sd a1,0(s1) + 80014510: fe5fb0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80014514: 00042583 lw a1,0(s0) + 80014518: 0004b503 ld a0,0(s1) + 8001451c: 00031697 auipc a3,0x31 + 80014520: e0c68693 addi a3,a3,-500 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80014524: 00000613 li a2,0 + 80014528: ae9eb0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001452c: 01013403 ld s0,16(sp) + 80014530: 01813083 ld ra,24(sp) + 80014534: 00813483 ld s1,8(sp) + 80014538: 00000693 li a3,0 + 8001453c: 00000613 li a2,0 + 80014540: fff00593 li a1,-1 + 80014544: 00036517 auipc a0,0x36 + 80014548: e1c50513 addi a0,a0,-484 # 8004a360 <_ZL9StateRegs> + 8001454c: 02010113 addi sp,sp,32 + 80014550: ac1eb06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080014554 <_ZL4Syncv>: + 80014554: ff010113 addi sp,sp,-16 + 80014558: 00000513 li a0,0 + 8001455c: 00113423 sd ra,8(sp) + 80014560: 00813023 sd s0,0(sp) + 80014564: 9a1fc0ef jal ra,80010f04 <_Z7setchr8j> + 80014568: 00000613 li a2,0 + 8001456c: 000065b7 lui a1,0x6 + 80014570: 01000513 li a0,16 + 80014574: b74fc0ef jal ra,800108e8 <_Z8setprg8rijj> + 80014578: 001b7417 auipc s0,0x1b7 + 8001457c: 7e540413 addi s0,s0,2021 # 801cbd5d <_ZL3reg> + 80014580: 00044583 lbu a1,0(s0) + 80014584: 00008537 lui a0,0x8 + 80014588: 01f5f593 andi a1,a1,31 + 8001458c: 889fc0ef jal ra,80010e14 <_Z8setprg32jj> + 80014590: 00044503 lbu a0,0(s0) + 80014594: 00013403 ld s0,0(sp) + 80014598: 00813083 ld ra,8(sp) + 8001459c: 00555513 srli a0,a0,0x5 + 800145a0: 00154513 xori a0,a0,1 + 800145a4: 00157513 andi a0,a0,1 + 800145a8: 01010113 addi sp,sp,16 + 800145ac: a9dfc06f j 80011048 <_Z9setmirrori> + +00000000800145b0 <_ZL12StateRestorei>: + 800145b0: fa5ff06f j 80014554 <_ZL4Syncv> + +00000000800145b4 <_ZL9M177Writejh>: + 800145b4: 001b7797 auipc a5,0x1b7 + 800145b8: 7ab784a3 sb a1,1961(a5) # 801cbd5d <_ZL3reg> + 800145bc: f99ff06f j 80014554 <_ZL4Syncv> + +00000000800145c0 <_ZL9M177Closev>: + 800145c0: 001b7517 auipc a0,0x1b7 + 800145c4: 7a053503 ld a0,1952(a0) # 801cbd60 <_ZL4WRAM> + 800145c8: 02050263 beqz a0,800145ec <_ZL9M177Closev+0x2c> + 800145cc: ff010113 addi sp,sp,-16 + 800145d0: 00113423 sd ra,8(sp) + 800145d4: f6dfd0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800145d8: 00813083 ld ra,8(sp) + 800145dc: 001b7797 auipc a5,0x1b7 + 800145e0: 7807b223 sd zero,1924(a5) # 801cbd60 <_ZL4WRAM> + 800145e4: 01010113 addi sp,sp,16 + 800145e8: 00008067 ret + 800145ec: 001b7797 auipc a5,0x1b7 + 800145f0: 7607ba23 sd zero,1908(a5) # 801cbd60 <_ZL4WRAM> + 800145f4: 00008067 ret + +00000000800145f8 <_ZL9M177Powerv>: + 800145f8: ff010113 addi sp,sp,-16 + 800145fc: 00113423 sd ra,8(sp) + 80014600: 00813023 sd s0,0(sp) + 80014604: 001b7797 auipc a5,0x1b7 + 80014608: 74078ca3 sb zero,1881(a5) # 801cbd5d <_ZL3reg> + 8001460c: 00008437 lui s0,0x8 + 80014610: f45ff0ef jal ra,80014554 <_ZL4Syncv> + 80014614: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80014618: ffffc617 auipc a2,0xffffc + 8001461c: 04860613 addi a2,a2,72 # 80010660 <_Z6CartBRj> + 80014620: 00006537 lui a0,0x6 + 80014624: 3f81f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80014628: fff40593 addi a1,s0,-1 + 8001462c: ffffc617 auipc a2,0xffffc + 80014630: 06060613 addi a2,a2,96 # 8001068c <_Z6CartBWjh> + 80014634: 00006537 lui a0,0x6 + 80014638: 4f01f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001463c: 00010437 lui s0,0x10 + 80014640: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80014644: ffffc617 auipc a2,0xffffc + 80014648: 01c60613 addi a2,a2,28 # 80010660 <_Z6CartBRj> + 8001464c: 00008537 lui a0,0x8 + 80014650: 3cc1f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80014654: fff40593 addi a1,s0,-1 + 80014658: 00000617 auipc a2,0x0 + 8001465c: f5c60613 addi a2,a2,-164 # 800145b4 <_ZL9M177Writejh> + 80014660: 00008537 lui a0,0x8 + 80014664: 4c41f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80014668: 00013403 ld s0,0(sp) + 8001466c: 00813083 ld ra,8(sp) + 80014670: 001b7517 auipc a0,0x1b7 + 80014674: 6f852503 lw a0,1784(a0) # 801cbd68 <_ZL8WRAMSIZE> + 80014678: 001b7617 auipc a2,0x1b7 + 8001467c: 6e863603 ld a2,1768(a2) # 801cbd60 <_ZL4WRAM> + 80014680: 000065b7 lui a1,0x6 + 80014684: 00a5551b srliw a0,a0,0xa + 80014688: 01010113 addi sp,sp,16 + 8001468c: 989eb06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080014690 <_Z14Mapper177_InitP8CartInfo>: + 80014690: fe010113 addi sp,sp,-32 + 80014694: 00113c23 sd ra,24(sp) + 80014698: 00813823 sd s0,16(sp) + 8001469c: 00913423 sd s1,8(sp) + 800146a0: 01213023 sd s2,0(sp) + 800146a4: 00000797 auipc a5,0x0 + 800146a8: f5478793 addi a5,a5,-172 # 800145f8 <_ZL9M177Powerv> + 800146ac: 00f53023 sd a5,0(a0) + 800146b0: 00000797 auipc a5,0x0 + 800146b4: f1078793 addi a5,a5,-240 # 800145c0 <_ZL9M177Closev> + 800146b8: 00f53823 sd a5,16(a0) + 800146bc: 00000797 auipc a5,0x0 + 800146c0: ef478793 addi a5,a5,-268 # 800145b0 <_ZL12StateRestorei> + 800146c4: 001b7497 auipc s1,0x1b7 + 800146c8: 6a448493 addi s1,s1,1700 # 801cbd68 <_ZL8WRAMSIZE> + 800146cc: 00050413 mv s0,a0 + 800146d0: 001b8717 auipc a4,0x1b8 + 800146d4: f8f73423 sd a5,-120(a4) # 801cc658 + 800146d8: 00002537 lui a0,0x2 + 800146dc: 000027b7 lui a5,0x2 + 800146e0: 00f4a023 sw a5,0(s1) + 800146e4: d89fd0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800146e8: 0004a603 lw a2,0(s1) + 800146ec: 00050593 mv a1,a0 + 800146f0: 001b7917 auipc s2,0x1b7 + 800146f4: 67090913 addi s2,s2,1648 # 801cbd60 <_ZL4WRAM> + 800146f8: 00100693 li a3,1 + 800146fc: 01000513 li a0,16 + 80014700: 00b93023 sd a1,0(s2) + 80014704: df1fb0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80014708: 0004a583 lw a1,0(s1) + 8001470c: 00093503 ld a0,0(s2) + 80014710: 00031697 auipc a3,0x31 + 80014714: c1868693 addi a3,a3,-1000 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80014718: 00000613 li a2,0 + 8001471c: 8f5eb0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80014720: 04c42783 lw a5,76(s0) + 80014724: 00078a63 beqz a5,80014738 <_Z14Mapper177_InitP8CartInfo+0xa8> + 80014728: 00093703 ld a4,0(s2) + 8001472c: 0004a783 lw a5,0(s1) + 80014730: 00e43c23 sd a4,24(s0) + 80014734: 02f42c23 sw a5,56(s0) + 80014738: 01013403 ld s0,16(sp) + 8001473c: 01813083 ld ra,24(sp) + 80014740: 00813483 ld s1,8(sp) + 80014744: 00013903 ld s2,0(sp) + 80014748: 00000693 li a3,0 + 8001474c: 00000613 li a2,0 + 80014750: fff00593 li a1,-1 + 80014754: 00036517 auipc a0,0x36 + 80014758: ce450513 addi a0,a0,-796 # 8004a438 <_ZL9StateRegs> + 8001475c: 02010113 addi sp,sp,32 + 80014760: 8b1eb06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080014764 <_Z12UpdateOPLNEOPii>: + 80014764: 00058613 mv a2,a1 + 80014768: 00400693 li a3,4 + 8001476c: 00050593 mv a1,a0 + 80014770: 001b7517 auipc a0,0x1b7 + 80014774: 63853503 ld a0,1592(a0) # 801cbda8 <_ZL9VRC7Sound> + 80014778: 0ad1d06f j 80032024 + +000000008001477c <_Z9UpdateOPLi>: + 8001477c: ff010113 addi sp,sp,-16 + 80014780: 00813023 sd s0,0(sp) + 80014784: 00113423 sd ra,8(sp) + 80014788: 001b7417 auipc s0,0x1b7 + 8001478c: 62043403 ld s0,1568(s0) # 801cbda8 <_ZL9VRC7Sound> + 80014790: 04040263 beqz s0,800147d4 <_Z9UpdateOPLi+0x58> + 80014794: 001b7797 auipc a5,0x1b7 + 80014798: 43c7a783 lw a5,1084(a5) # 801cbbd0 + 8001479c: 001b7517 auipc a0,0x1b7 + 800147a0: 3ac52503 lw a0,940(a0) # 801cbb48 + 800147a4: 00f5053b addw a0,a0,a5 + 800147a8: 0105151b slliw a0,a0,0x10 + 800147ac: 02051513 slli a0,a0,0x20 + 800147b0: 001b7597 auipc a1,0x1b7 + 800147b4: 41c5e583 lwu a1,1052(a1) # 801cbbcc + 800147b8: 02055513 srli a0,a0,0x20 + 800147bc: 66c250ef jal ra,80039e28 <__udivdi3> + 800147c0: 001b7597 auipc a1,0x1b7 + 800147c4: 5d45a583 lw a1,1492(a1) # 801cbd94 <_ZL5dwave> + 800147c8: 0045561b srliw a2,a0,0x4 + 800147cc: 40b6063b subw a2,a2,a1 + 800147d0: 00061e63 bnez a2,800147ec <_Z9UpdateOPLi+0x70> + 800147d4: 00813083 ld ra,8(sp) + 800147d8: 00013403 ld s0,0(sp) + 800147dc: 001b7797 auipc a5,0x1b7 + 800147e0: 5a07ac23 sw zero,1464(a5) # 801cbd94 <_ZL5dwave> + 800147e4: 01010113 addi sp,sp,16 + 800147e8: 00008067 ret + 800147ec: 00043797 auipc a5,0x43 + 800147f0: 1dc78793 addi a5,a5,476 # 800579c8 + 800147f4: 00259593 slli a1,a1,0x2 + 800147f8: 00b785b3 add a1,a5,a1 + 800147fc: 00040513 mv a0,s0 + 80014800: 00100693 li a3,1 + 80014804: 0211d0ef jal ra,80032024 + 80014808: 00813083 ld ra,8(sp) + 8001480c: 00013403 ld s0,0(sp) + 80014810: 001b7797 auipc a5,0x1b7 + 80014814: 5807a223 sw zero,1412(a5) # 801cbd94 <_ZL5dwave> + 80014818: 01010113 addi sp,sp,16 + 8001481c: 00008067 ret + +0000000080014820 <_ZL4Syncv>: + 80014820: fe010113 addi sp,sp,-32 + 80014824: 00000613 li a2,0 + 80014828: 000065b7 lui a1,0x6 + 8001482c: 01000513 li a0,16 + 80014830: 00113c23 sd ra,24(sp) + 80014834: 00813823 sd s0,16(sp) + 80014838: 00913423 sd s1,8(sp) + 8001483c: 01213023 sd s2,0(sp) + 80014840: 8a8fc0ef jal ra,800108e8 <_Z8setprg8rijj> + 80014844: 001b7917 auipc s2,0x1b7 + 80014848: 54c90913 addi s2,s2,1356 # 801cbd90 <_ZL4preg> + 8001484c: 00094583 lbu a1,0(s2) + 80014850: 00008537 lui a0,0x8 + 80014854: 001b7497 auipc s1,0x1b7 + 80014858: 52c48493 addi s1,s1,1324 # 801cbd80 <_ZL4creg> + 8001485c: a40fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80014860: 00194583 lbu a1,1(s2) + 80014864: 0000a537 lui a0,0xa + 80014868: 00000413 li s0,0 + 8001486c: a30fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80014870: 00294583 lbu a1,2(s2) + 80014874: 0000c537 lui a0,0xc + 80014878: 00002937 lui s2,0x2 + 8001487c: a20fc0ef jal ra,80010a9c <_Z7setprg8jj> + 80014880: fff00593 li a1,-1 + 80014884: 0000e537 lui a0,0xe + 80014888: a14fc0ef jal ra,80010a9c <_Z7setprg8jj> + 8001488c: 0004c583 lbu a1,0(s1) + 80014890: 00040513 mv a0,s0 + 80014894: 4004041b addiw s0,s0,1024 + 80014898: e0cfc0ef jal ra,80010ea4 <_Z7setchr1jj> + 8001489c: 00148493 addi s1,s1,1 + 800148a0: ff2416e3 bne s0,s2,8001488c <_ZL4Syncv+0x6c> + 800148a4: 001b7797 auipc a5,0x1b7 + 800148a8: 4e47c783 lbu a5,1252(a5) # 801cbd88 <_ZL4mirr> + 800148ac: 0037f793 andi a5,a5,3 + 800148b0: 00200713 li a4,2 + 800148b4: 00200513 li a0,2 + 800148b8: 00e78e63 beq a5,a4,800148d4 <_ZL4Syncv+0xb4> + 800148bc: 00300713 li a4,3 + 800148c0: 00300513 li a0,3 + 800148c4: 00e78863 beq a5,a4,800148d4 <_ZL4Syncv+0xb4> + 800148c8: 00100713 li a4,1 + 800148cc: 00100513 li a0,1 + 800148d0: 00e78e63 beq a5,a4,800148ec <_ZL4Syncv+0xcc> + 800148d4: 01013403 ld s0,16(sp) + 800148d8: 01813083 ld ra,24(sp) + 800148dc: 00813483 ld s1,8(sp) + 800148e0: 00013903 ld s2,0(sp) + 800148e4: 02010113 addi sp,sp,32 + 800148e8: f60fc06f j 80011048 <_Z9setmirrori> + 800148ec: 01013403 ld s0,16(sp) + 800148f0: 01813083 ld ra,24(sp) + 800148f4: 00813483 ld s1,8(sp) + 800148f8: 00013903 ld s2,0(sp) + 800148fc: 00000513 li a0,0 + 80014900: 02010113 addi sp,sp,32 + 80014904: f44fc06f j 80011048 <_Z9setmirrori> + +0000000080014908 <_ZL12StateRestorei>: + 80014908: f19ff06f j 80014820 <_ZL4Syncv> + +000000008001490c <_ZL9VRC7Closev>: + 8001490c: 001b7517 auipc a0,0x1b7 + 80014910: 46c53503 ld a0,1132(a0) # 801cbd78 <_ZL4WRAM> + 80014914: 02050263 beqz a0,80014938 <_ZL9VRC7Closev+0x2c> + 80014918: ff010113 addi sp,sp,-16 + 8001491c: 00113423 sd ra,8(sp) + 80014920: c21fd0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80014924: 00813083 ld ra,8(sp) + 80014928: 001b7797 auipc a5,0x1b7 + 8001492c: 4407b823 sd zero,1104(a5) # 801cbd78 <_ZL4WRAM> + 80014930: 01010113 addi sp,sp,16 + 80014934: 00008067 ret + 80014938: 001b7797 auipc a5,0x1b7 + 8001493c: 4407b023 sd zero,1088(a5) # 801cbd78 <_ZL4WRAM> + 80014940: 00008067 ret + +0000000080014944 <_ZL9VRC7Powerv>: + 80014944: ff010113 addi sp,sp,-16 + 80014948: 00113423 sd ra,8(sp) + 8001494c: 00813023 sd s0,0(sp) + 80014950: ed1ff0ef jal ra,80014820 <_ZL4Syncv> + 80014954: 000085b7 lui a1,0x8 + 80014958: ffffc617 auipc a2,0xffffc + 8001495c: d3460613 addi a2,a2,-716 # 8001068c <_Z6CartBWjh> + 80014960: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80014964: 00006537 lui a0,0x6 + 80014968: 1c01f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001496c: 00010437 lui s0,0x10 + 80014970: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80014974: ffffc617 auipc a2,0xffffc + 80014978: cec60613 addi a2,a2,-788 # 80010660 <_Z6CartBRj> + 8001497c: 00006537 lui a0,0x6 + 80014980: 09c1f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80014984: fff40593 addi a1,s0,-1 + 80014988: 00000617 auipc a2,0x0 + 8001498c: 1c060613 addi a2,a2,448 # 80014b48 <_ZL9VRC7Writejh> + 80014990: 00008537 lui a0,0x8 + 80014994: 1941f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80014998: 00013403 ld s0,0(sp) + 8001499c: 00813083 ld ra,8(sp) + 800149a0: 001b7517 auipc a0,0x1b7 + 800149a4: 40452503 lw a0,1028(a0) # 801cbda4 <_ZL8WRAMSIZE> + 800149a8: 001b7617 auipc a2,0x1b7 + 800149ac: 3d063603 ld a2,976(a2) # 801cbd78 <_ZL4WRAM> + 800149b0: 000065b7 lui a1,0x6 + 800149b4: 00a5551b srliw a0,a0,0xa + 800149b8: 01010113 addi sp,sp,16 + 800149bc: e58eb06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +00000000800149c0 <_ZL8VRC7_ESIv>: + 800149c0: ff010113 addi sp,sp,-16 + 800149c4: 00113423 sd ra,8(sp) + 800149c8: 00813023 sd s0,0(sp) + 800149cc: 00043797 auipc a5,0x43 + 800149d0: fbc78793 addi a5,a5,-68 # 80057988 + 800149d4: 00000717 auipc a4,0x0 + 800149d8: 09470713 addi a4,a4,148 # 80014a68 <_ZL6VRC7SCv> + 800149dc: 02e7b023 sd a4,32(a5) + 800149e0: 00000717 auipc a4,0x0 + 800149e4: 05070713 addi a4,a4,80 # 80014a30 <_ZL9VRC7SKillv> + 800149e8: 02e7b423 sd a4,40(a5) + 800149ec: 001b4597 auipc a1,0x1b4 + 800149f0: 3305a583 lw a1,816(a1) # 801c8d1c + 800149f4: 00059663 bnez a1,80014a00 <_ZL8VRC7_ESIv+0x40> + 800149f8: 0000c5b7 lui a1,0xc + 800149fc: b8058593 addi a1,a1,-1152 # bb80 <_entry_offset+0xbb80> + 80014a00: 0036a537 lui a0,0x36a + 80014a04: e9950513 addi a0,a0,-359 # 369e99 <_entry_offset+0x369e99> + 80014a08: 0b81e0ef jal ra,80032ac0 + 80014a0c: 001b7417 auipc s0,0x1b7 + 80014a10: 39c40413 addi s0,s0,924 # 801cbda8 <_ZL9VRC7Sound> + 80014a14: 00a43023 sd a0,0(s0) + 80014a18: 08c1e0ef jal ra,80032aa4 + 80014a1c: 00043503 ld a0,0(s0) + 80014a20: 00013403 ld s0,0(sp) + 80014a24: 00813083 ld ra,8(sp) + 80014a28: 01010113 addi sp,sp,16 + 80014a2c: 0781e06f j 80032aa4 + +0000000080014a30 <_ZL9VRC7SKillv>: + 80014a30: 001b7517 auipc a0,0x1b7 + 80014a34: 37853503 ld a0,888(a0) # 801cbda8 <_ZL9VRC7Sound> + 80014a38: 02050263 beqz a0,80014a5c <_ZL9VRC7SKillv+0x2c> + 80014a3c: ff010113 addi sp,sp,-16 + 80014a40: 00113423 sd ra,8(sp) + 80014a44: 5ac1d0ef jal ra,80031ff0 + 80014a48: 00813083 ld ra,8(sp) + 80014a4c: 001b7797 auipc a5,0x1b7 + 80014a50: 3407be23 sd zero,860(a5) # 801cbda8 <_ZL9VRC7Sound> + 80014a54: 01010113 addi sp,sp,16 + 80014a58: 00008067 ret + 80014a5c: 001b7797 auipc a5,0x1b7 + 80014a60: 3407b623 sd zero,844(a5) # 801cbda8 <_ZL9VRC7Sound> + 80014a64: 00008067 ret + +0000000080014a68 <_ZL6VRC7SCv>: + 80014a68: 001b7517 auipc a0,0x1b7 + 80014a6c: 34053503 ld a0,832(a0) # 801cbda8 <_ZL9VRC7Sound> + 80014a70: 00050863 beqz a0,80014a80 <_ZL6VRC7SCv+0x18> + 80014a74: 001b4597 auipc a1,0x1b4 + 80014a78: 2a85a583 lw a1,680(a1) # 801c8d1c + 80014a7c: 5781d06f j 80031ff4 + 80014a80: 00008067 ret + +0000000080014a84 <_ZL11VRC7IRQHooki>: + 80014a84: 001b7797 auipc a5,0x1b7 + 80014a88: 2ec7c783 lbu a5,748(a5) # 801cbd70 <_ZL4IRQa> + 80014a8c: 0a078c63 beqz a5,80014b44 <_ZL11VRC7IRQHooki+0xc0> + 80014a90: fd010113 addi sp,sp,-48 + 80014a94: 01213823 sd s2,16(sp) + 80014a98: 001b7917 auipc s2,0x1b7 + 80014a9c: 2d490913 addi s2,s2,724 # 801cbd6c <_ZL10CycleCount> + 80014aa0: 00092703 lw a4,0(s2) + 80014aa4: 0015179b slliw a5,a0,0x1 + 80014aa8: 00a7853b addw a0,a5,a0 + 80014aac: 00e507bb addw a5,a0,a4 + 80014ab0: 02813023 sd s0,32(sp) + 80014ab4: 00913c23 sd s1,24(sp) + 80014ab8: 01313423 sd s3,8(sp) + 80014abc: 01413023 sd s4,0(sp) + 80014ac0: 02113423 sd ra,40(sp) + 80014ac4: 00f92023 sw a5,0(s2) + 80014ac8: 001b7997 auipc s3,0x1b7 + 80014acc: 2d498993 addi s3,s3,724 # 801cbd9c <_ZL8IRQCount> + 80014ad0: 15400413 li s0,340 + 80014ad4: 10000493 li s1,256 + 80014ad8: 001b7a17 auipc s4,0x1b7 + 80014adc: 2c8a0a13 addi s4,s4,712 # 801cbda0 <_ZL8IRQLatch> + 80014ae0: 0009a703 lw a4,0(s3) + 80014ae4: 00000693 li a3,0 + 80014ae8: 02f45863 bge s0,a5,80014b18 <_ZL11VRC7IRQHooki+0x94> + 80014aec: 0017071b addiw a4,a4,1 + 80014af0: eab7879b addiw a5,a5,-341 + 80014af4: 00100693 li a3,1 + 80014af8: fe9718e3 bne a4,s1,80014ae8 <_ZL11VRC7IRQHooki+0x64> + 80014afc: 000a4703 lbu a4,0(s4) + 80014b00: 00100513 li a0,1 + 80014b04: 00f92023 sw a5,0(s2) + 80014b08: 00e9a023 sw a4,0(s3) + 80014b0c: a2cec0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80014b10: 00092783 lw a5,0(s2) + 80014b14: fcdff06f j 80014ae0 <_ZL11VRC7IRQHooki+0x5c> + 80014b18: 00068663 beqz a3,80014b24 <_ZL11VRC7IRQHooki+0xa0> + 80014b1c: 00f92023 sw a5,0(s2) + 80014b20: 00e9a023 sw a4,0(s3) + 80014b24: 02813083 ld ra,40(sp) + 80014b28: 02013403 ld s0,32(sp) + 80014b2c: 01813483 ld s1,24(sp) + 80014b30: 01013903 ld s2,16(sp) + 80014b34: 00813983 ld s3,8(sp) + 80014b38: 00013a03 ld s4,0(sp) + 80014b3c: 03010113 addi sp,sp,48 + 80014b40: 00008067 ret + 80014b44: 00008067 ret + +0000000080014b48 <_ZL9VRC7Writejh>: + 80014b48: 0015179b slliw a5,a0,0x1 + 80014b4c: 0107f793 andi a5,a5,16 + 80014b50: 00a7e533 or a0,a5,a0 + 80014b54: ffff67b7 lui a5,0xffff6 + 80014b58: 00a786bb addw a3,a5,a0 + 80014b5c: 00004737 lui a4,0x4 + 80014b60: 08e6ec63 bltu a3,a4,80014bf8 <_ZL9VRC7Writejh+0xb0> + 80014b64: 000097b7 lui a5,0x9 + 80014b68: 03078713 addi a4,a5,48 # 9030 <_entry_offset+0x9030> + 80014b6c: 12e50463 beq a0,a4,80014c94 <_ZL9VRC7Writejh+0x14c> + 80014b70: 0000f637 lui a2,0xf + 80014b74: 01060693 addi a3,a2,16 # f010 <_entry_offset+0xf010> + 80014b78: 00d57533 and a0,a0,a3 + 80014b7c: 0000e737 lui a4,0xe + 80014b80: 10e50263 beq a0,a4,80014c84 <_ZL9VRC7Writejh+0x13c> + 80014b84: 02a76e63 bltu a4,a0,80014bc0 <_ZL9VRC7Writejh+0x78> + 80014b88: 0ef50663 beq a0,a5,80014c74 <_ZL9VRC7Writejh+0x12c> + 80014b8c: 00a7fc63 bgeu a5,a0,80014ba4 <_ZL9VRC7Writejh+0x5c> + 80014b90: 01078793 addi a5,a5,16 + 80014b94: 0ef51663 bne a0,a5,80014c80 <_ZL9VRC7Writejh+0x138> + 80014b98: 001b7797 auipc a5,0x1b7 + 80014b9c: 20b78023 sb a1,512(a5) # 801cbd98 <_ZL7vrc7idx> + 80014ba0: 00008067 ret + 80014ba4: 000087b7 lui a5,0x8 + 80014ba8: 08f50263 beq a0,a5,80014c2c <_ZL9VRC7Writejh+0xe4> + 80014bac: 01078793 addi a5,a5,16 # 8010 <_entry_offset+0x8010> + 80014bb0: 14f51063 bne a0,a5,80014cf0 <_ZL9VRC7Writejh+0x1a8> + 80014bb4: 001b7797 auipc a5,0x1b7 + 80014bb8: 1cb78ea3 sb a1,477(a5) # 801cbd91 <_ZL4preg+0x1> + 80014bbc: c65ff06f j 80014820 <_ZL4Syncv> + 80014bc0: 06c50c63 beq a0,a2,80014c38 <_ZL9VRC7Writejh+0xf0> + 80014bc4: 00d51e63 bne a0,a3,80014be0 <_ZL9VRC7Writejh+0x98> + 80014bc8: 001b7797 auipc a5,0x1b7 + 80014bcc: 1a97c783 lbu a5,425(a5) # 801cbd71 <_ZL4IRQd> + 80014bd0: 00100513 li a0,1 + 80014bd4: 001b7717 auipc a4,0x1b7 + 80014bd8: 18f70e23 sb a5,412(a4) # 801cbd70 <_ZL4IRQa> + 80014bdc: 974ec06f j 80000d50 <_Z12X6502_IRQEndi> + 80014be0: 01070713 addi a4,a4,16 + 80014be4: 10e51863 bne a0,a4,80014cf4 <_ZL9VRC7Writejh+0x1ac> + 80014be8: 00100513 li a0,1 + 80014bec: 001b7797 auipc a5,0x1b7 + 80014bf0: 1ab78a23 sb a1,436(a5) # 801cbda0 <_ZL8IRQLatch> + 80014bf4: 95cec06f j 80000d50 <_Z12X6502_IRQEndi> + 80014bf8: 0000f737 lui a4,0xf + 80014bfc: 01070713 addi a4,a4,16 # f010 <_entry_offset+0xf010> + 80014c00: 00e57533 and a0,a0,a4 + 80014c04: 0045571b srliw a4,a0,0x4 + 80014c08: 00a7853b addw a0,a5,a0 + 80014c0c: 00b5551b srliw a0,a0,0xb + 80014c10: 00177793 andi a5,a4,1 + 80014c14: 00f56533 or a0,a0,a5 + 80014c18: 001b7797 auipc a5,0x1b7 + 80014c1c: 16878793 addi a5,a5,360 # 801cbd80 <_ZL4creg> + 80014c20: 00a78533 add a0,a5,a0 + 80014c24: 00b50023 sb a1,0(a0) + 80014c28: bf9ff06f j 80014820 <_ZL4Syncv> + 80014c2c: 001b7797 auipc a5,0x1b7 + 80014c30: 16b78223 sb a1,356(a5) # 801cbd90 <_ZL4preg> + 80014c34: bedff06f j 80014820 <_ZL4Syncv> + 80014c38: 0025f793 andi a5,a1,2 + 80014c3c: 0015f593 andi a1,a1,1 + 80014c40: 001b7717 auipc a4,0x1b7 + 80014c44: 12f70823 sb a5,304(a4) # 801cbd70 <_ZL4IRQa> + 80014c48: 001b7717 auipc a4,0x1b7 + 80014c4c: 12b704a3 sb a1,297(a4) # 801cbd71 <_ZL4IRQd> + 80014c50: 00078a63 beqz a5,80014c64 <_ZL9VRC7Writejh+0x11c> + 80014c54: 001b7797 auipc a5,0x1b7 + 80014c58: 14c7c783 lbu a5,332(a5) # 801cbda0 <_ZL8IRQLatch> + 80014c5c: 001b7717 auipc a4,0x1b7 + 80014c60: 14f72023 sw a5,320(a4) # 801cbd9c <_ZL8IRQCount> + 80014c64: 00100513 li a0,1 + 80014c68: 001b7797 auipc a5,0x1b7 + 80014c6c: 1007a223 sw zero,260(a5) # 801cbd6c <_ZL10CycleCount> + 80014c70: 8e0ec06f j 80000d50 <_Z12X6502_IRQEndi> + 80014c74: 001b7797 auipc a5,0x1b7 + 80014c78: 10b78f23 sb a1,286(a5) # 801cbd92 <_ZL4preg+0x2> + 80014c7c: ba5ff06f j 80014820 <_ZL4Syncv> + 80014c80: 00008067 ret + 80014c84: 0035f593 andi a1,a1,3 + 80014c88: 001b7797 auipc a5,0x1b7 + 80014c8c: 10b78023 sb a1,256(a5) # 801cbd88 <_ZL4mirr> + 80014c90: b91ff06f j 80014820 <_ZL4Syncv> + 80014c94: 001b4797 auipc a5,0x1b4 + 80014c98: 0887a783 lw a5,136(a5) # 801c8d1c + 80014c9c: 00079463 bnez a5,80014ca4 <_ZL9VRC7Writejh+0x15c> + 80014ca0: 00008067 ret + 80014ca4: ff010113 addi sp,sp,-16 + 80014ca8: 00058613 mv a2,a1 + 80014cac: 001b7517 auipc a0,0x1b7 + 80014cb0: 0fc53503 ld a0,252(a0) # 801cbda8 <_ZL9VRC7Sound> + 80014cb4: 001b7597 auipc a1,0x1b7 + 80014cb8: 0e45c583 lbu a1,228(a1) # 801cbd98 <_ZL7vrc7idx> + 80014cbc: 00113423 sd ra,8(sp) + 80014cc0: 6301d0ef jal ra,800322f0 + 80014cc4: 00813083 ld ra,8(sp) + 80014cc8: 00043797 auipc a5,0x43 + 80014ccc: cc078793 addi a5,a5,-832 # 80057988 + 80014cd0: 00000717 auipc a4,0x0 + 80014cd4: aac70713 addi a4,a4,-1364 # 8001477c <_Z9UpdateOPLi> + 80014cd8: 00e7b023 sd a4,0(a5) + 80014cdc: 00000717 auipc a4,0x0 + 80014ce0: a8870713 addi a4,a4,-1400 # 80014764 <_Z12UpdateOPLNEOPii> + 80014ce4: 00e7b423 sd a4,8(a5) + 80014ce8: 01010113 addi sp,sp,16 + 80014cec: 00008067 ret + 80014cf0: 00008067 ret + 80014cf4: 00008067 ret + +0000000080014cf8 <_Z13Mapper85_InitP8CartInfo>: + 80014cf8: fe010113 addi sp,sp,-32 + 80014cfc: 00113c23 sd ra,24(sp) + 80014d00: 00813823 sd s0,16(sp) + 80014d04: 00913423 sd s1,8(sp) + 80014d08: 01213023 sd s2,0(sp) + 80014d0c: 00000797 auipc a5,0x0 + 80014d10: c3878793 addi a5,a5,-968 # 80014944 <_ZL9VRC7Powerv> + 80014d14: 00f53023 sd a5,0(a0) + 80014d18: 00000797 auipc a5,0x0 + 80014d1c: bf478793 addi a5,a5,-1036 # 8001490c <_ZL9VRC7Closev> + 80014d20: 00f53823 sd a5,16(a0) + 80014d24: 00000797 auipc a5,0x0 + 80014d28: d6078793 addi a5,a5,-672 # 80014a84 <_ZL11VRC7IRQHooki> + 80014d2c: 001b7497 auipc s1,0x1b7 + 80014d30: 07848493 addi s1,s1,120 # 801cbda4 <_ZL8WRAMSIZE> + 80014d34: 00050413 mv s0,a0 + 80014d38: 001b7717 auipc a4,0x1b7 + 80014d3c: e0f73423 sd a5,-504(a4) # 801cbb40 + 80014d40: 00002537 lui a0,0x2 + 80014d44: 000027b7 lui a5,0x2 + 80014d48: 00f4a023 sw a5,0(s1) + 80014d4c: f20fd0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80014d50: 0004a603 lw a2,0(s1) + 80014d54: 00050593 mv a1,a0 + 80014d58: 001b7917 auipc s2,0x1b7 + 80014d5c: 02090913 addi s2,s2,32 # 801cbd78 <_ZL4WRAM> + 80014d60: 00100693 li a3,1 + 80014d64: 01000513 li a0,16 + 80014d68: 00b93023 sd a1,0(s2) + 80014d6c: f88fb0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80014d70: 0004a583 lw a1,0(s1) + 80014d74: 00093503 ld a0,0(s2) + 80014d78: 00030697 auipc a3,0x30 + 80014d7c: 5b068693 addi a3,a3,1456 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80014d80: 00000613 li a2,0 + 80014d84: a8ceb0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80014d88: 04c42783 lw a5,76(s0) + 80014d8c: 00078a63 beqz a5,80014da0 <_Z13Mapper85_InitP8CartInfo+0xa8> + 80014d90: 00093703 ld a4,0(s2) + 80014d94: 0004a783 lw a5,0(s1) + 80014d98: 00e43c23 sd a4,24(s0) + 80014d9c: 02f42c23 sw a5,56(s0) + 80014da0: 00000797 auipc a5,0x0 + 80014da4: b6878793 addi a5,a5,-1176 # 80014908 <_ZL12StateRestorei> + 80014da8: 001b8717 auipc a4,0x1b8 + 80014dac: 8af73823 sd a5,-1872(a4) # 801cc658 + 80014db0: c11ff0ef jal ra,800149c0 <_ZL8VRC7_ESIv> + 80014db4: 01013403 ld s0,16(sp) + 80014db8: 01813083 ld ra,24(sp) + 80014dbc: 00813483 ld s1,8(sp) + 80014dc0: 00013903 ld s2,0(sp) + 80014dc4: 00000693 li a3,0 + 80014dc8: 00000613 li a2,0 + 80014dcc: fff00593 li a1,-1 + 80014dd0: 00035517 auipc a0,0x35 + 80014dd4: 69850513 addi a0,a0,1688 # 8004a468 <_ZL9StateRegs> + 80014dd8: 02010113 addi sp,sp,32 + 80014ddc: a34eb06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080014de0 <_ZL4Syncv>: + 80014de0: fe010113 addi sp,sp,-32 + 80014de4: 00913423 sd s1,8(sp) + 80014de8: 001b7497 auipc s1,0x1b7 + 80014dec: fd848493 addi s1,s1,-40 # 801cbdc0 <_ZL5pregs> + 80014df0: 0004c583 lbu a1,0(s1) + 80014df4: 00008537 lui a0,0x8 + 80014df8: 00113c23 sd ra,24(sp) + 80014dfc: 00813823 sd s0,16(sp) + 80014e00: c9dfb0ef jal ra,80010a9c <_Z7setprg8jj> + 80014e04: 0014c583 lbu a1,1(s1) + 80014e08: 0000a537 lui a0,0xa + 80014e0c: 001b7417 auipc s0,0x1b7 + 80014e10: fac40413 addi s0,s0,-84 # 801cbdb8 <_ZL5cregs> + 80014e14: c89fb0ef jal ra,80010a9c <_Z7setprg8jj> + 80014e18: ffe00593 li a1,-2 + 80014e1c: 0000c537 lui a0,0xc + 80014e20: c7dfb0ef jal ra,80010a9c <_Z7setprg8jj> + 80014e24: fff00593 li a1,-1 + 80014e28: 0000e537 lui a0,0xe + 80014e2c: c71fb0ef jal ra,80010a9c <_Z7setprg8jj> + 80014e30: 00044583 lbu a1,0(s0) + 80014e34: 00000513 li a0,0 + 80014e38: 88cfc0ef jal ra,80010ec4 <_Z7setchr2jj> + 80014e3c: 00144583 lbu a1,1(s0) + 80014e40: 00001537 lui a0,0x1 + 80014e44: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 80014e48: 87cfc0ef jal ra,80010ec4 <_Z7setchr2jj> + 80014e4c: 00244583 lbu a1,2(s0) + 80014e50: 00001537 lui a0,0x1 + 80014e54: 870fc0ef jal ra,80010ec4 <_Z7setchr2jj> + 80014e58: 00344583 lbu a1,3(s0) + 80014e5c: 01013403 ld s0,16(sp) + 80014e60: 01813083 ld ra,24(sp) + 80014e64: 00813483 ld s1,8(sp) + 80014e68: 00002537 lui a0,0x2 + 80014e6c: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80014e70: 02010113 addi sp,sp,32 + 80014e74: 850fc06f j 80010ec4 <_Z7setchr2jj> + +0000000080014e78 <_ZL12StateRestorei>: + 80014e78: f69ff06f j 80014de0 <_ZL4Syncv> + +0000000080014e7c <_ZL9M91Write0jh>: + 80014e7c: 00357793 andi a5,a0,3 + 80014e80: 001b7517 auipc a0,0x1b7 + 80014e84: f3850513 addi a0,a0,-200 # 801cbdb8 <_ZL5cregs> + 80014e88: 00f50533 add a0,a0,a5 + 80014e8c: 00b50023 sb a1,0(a0) + 80014e90: f51ff06f j 80014de0 <_ZL4Syncv> + +0000000080014e94 <_ZL10M91IRQHookv>: + 80014e94: 001b7717 auipc a4,0x1b7 + 80014e98: f2e70713 addi a4,a4,-210 # 801cbdc2 <_ZL8IRQCount> + 80014e9c: 00074783 lbu a5,0(a4) + 80014ea0: 00700693 li a3,7 + 80014ea4: 02f6e263 bltu a3,a5,80014ec8 <_ZL10M91IRQHookv+0x34> + 80014ea8: 001b7697 auipc a3,0x1b7 + 80014eac: f086c683 lbu a3,-248(a3) # 801cbdb0 <_ZL4IRQa> + 80014eb0: 00068c63 beqz a3,80014ec8 <_ZL10M91IRQHookv+0x34> + 80014eb4: 0017879b addiw a5,a5,1 + 80014eb8: 0ff7f793 andi a5,a5,255 + 80014ebc: 00f70023 sb a5,0(a4) + 80014ec0: 00800713 li a4,8 + 80014ec4: 00e78463 beq a5,a4,80014ecc <_ZL10M91IRQHookv+0x38> + 80014ec8: 00008067 ret + 80014ecc: 00100513 li a0,1 + 80014ed0: e69eb06f j 80000d38 <_Z14X6502_IRQBegini> + +0000000080014ed4 <_ZL8M91Powerv>: + 80014ed4: ff010113 addi sp,sp,-16 + 80014ed8: 00113423 sd ra,8(sp) + 80014edc: f05ff0ef jal ra,80014de0 <_ZL4Syncv> + 80014ee0: 000075b7 lui a1,0x7 + 80014ee4: 00000617 auipc a2,0x0 + 80014ee8: f9860613 addi a2,a2,-104 # 80014e7c <_ZL9M91Write0jh> + 80014eec: fff58593 addi a1,a1,-1 # 6fff <_entry_offset+0x6fff> + 80014ef0: 00006537 lui a0,0x6 + 80014ef4: 4351e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80014ef8: 000085b7 lui a1,0x8 + 80014efc: 00000617 auipc a2,0x0 + 80014f00: 03460613 addi a2,a2,52 # 80014f30 <_ZL9M91Write1jh> + 80014f04: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80014f08: 00007537 lui a0,0x7 + 80014f0c: 41d1e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80014f10: 00813083 ld ra,8(sp) + 80014f14: 000105b7 lui a1,0x10 + 80014f18: ffffb617 auipc a2,0xffffb + 80014f1c: 74860613 addi a2,a2,1864 # 80010660 <_Z6CartBRj> + 80014f20: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80014f24: 00008537 lui a0,0x8 + 80014f28: 01010113 addi sp,sp,16 + 80014f2c: 2f11e06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080014f30 <_ZL9M91Write1jh>: + 80014f30: 00357793 andi a5,a0,3 + 80014f34: 00200713 li a4,2 + 80014f38: 02e78263 beq a5,a4,80014f5c <_ZL9M91Write1jh+0x2c> + 80014f3c: 00300713 li a4,3 + 80014f40: 02e78a63 beq a5,a4,80014f74 <_ZL9M91Write1jh+0x44> + 80014f44: 00157513 andi a0,a0,1 + 80014f48: 001b7797 auipc a5,0x1b7 + 80014f4c: e7878793 addi a5,a5,-392 # 801cbdc0 <_ZL5pregs> + 80014f50: 00a78533 add a0,a5,a0 + 80014f54: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 80014f58: e89ff06f j 80014de0 <_ZL4Syncv> + 80014f5c: 00100513 li a0,1 + 80014f60: 001b7797 auipc a5,0x1b7 + 80014f64: e6078123 sb zero,-414(a5) # 801cbdc2 <_ZL8IRQCount> + 80014f68: 001b7797 auipc a5,0x1b7 + 80014f6c: e4078423 sb zero,-440(a5) # 801cbdb0 <_ZL4IRQa> + 80014f70: de1eb06f j 80000d50 <_Z12X6502_IRQEndi> + 80014f74: 00100793 li a5,1 + 80014f78: 00100513 li a0,1 + 80014f7c: 001b7717 auipc a4,0x1b7 + 80014f80: e2f70a23 sb a5,-460(a4) # 801cbdb0 <_ZL4IRQa> + 80014f84: dcdeb06f j 80000d50 <_Z12X6502_IRQEndi> + +0000000080014f88 <_Z13Mapper91_InitP8CartInfo>: + 80014f88: 00000797 auipc a5,0x0 + 80014f8c: f4c78793 addi a5,a5,-180 # 80014ed4 <_ZL8M91Powerv> + 80014f90: 00f53023 sd a5,0(a0) + 80014f94: 00000797 auipc a5,0x0 + 80014f98: f0078793 addi a5,a5,-256 # 80014e94 <_ZL10M91IRQHookv> + 80014f9c: 001b7717 auipc a4,0x1b7 + 80014fa0: 72f73223 sd a5,1828(a4) # 801cc6c0 + 80014fa4: 00000797 auipc a5,0x0 + 80014fa8: ed478793 addi a5,a5,-300 # 80014e78 <_ZL12StateRestorei> + 80014fac: 00000693 li a3,0 + 80014fb0: 00000613 li a2,0 + 80014fb4: fff00593 li a1,-1 + 80014fb8: 00035517 auipc a0,0x35 + 80014fbc: 5b850513 addi a0,a0,1464 # 8004a570 <_ZL9StateRegs> + 80014fc0: 001b7717 auipc a4,0x1b7 + 80014fc4: 68f73c23 sd a5,1688(a4) # 801cc658 + 80014fc8: 848eb06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080014fcc <_ZL4Syncv>: + 80014fcc: fe010113 addi sp,sp,-32 + 80014fd0: 00813823 sd s0,16(sp) + 80014fd4: 001b7417 auipc s0,0x1b7 + 80014fd8: def40413 addi s0,s0,-529 # 801cbdc3 <_ZL4reg0> + 80014fdc: 00913423 sd s1,8(sp) + 80014fe0: 00044783 lbu a5,0(s0) + 80014fe4: 001b7497 auipc s1,0x1b7 + 80014fe8: de048493 addi s1,s1,-544 # 801cbdc4 <_ZL4reg1> + 80014fec: 0004c583 lbu a1,0(s1) + 80014ff0: 0017979b slliw a5,a5,0x1 + 80014ff4: 01e7f793 andi a5,a5,30 + 80014ff8: 0015f593 andi a1,a1,1 + 80014ffc: 00008537 lui a0,0x8 + 80015000: 00f5e5b3 or a1,a1,a5 + 80015004: 00113c23 sd ra,24(sp) + 80015008: e0dfb0ef jal ra,80010e14 <_Z8setprg32jj> + 8001500c: 0004c503 lbu a0,0(s1) + 80015010: 00044783 lbu a5,0(s0) + 80015014: 01013403 ld s0,16(sp) + 80015018: 01813083 ld ra,24(sp) + 8001501c: 00813483 ld s1,8(sp) + 80015020: 4045551b sraiw a0,a0,0x4 + 80015024: 4017d79b sraiw a5,a5,0x1 + 80015028: 00757513 andi a0,a0,7 + 8001502c: 0787f793 andi a5,a5,120 + 80015030: 00f56533 or a0,a0,a5 + 80015034: 02010113 addi sp,sp,32 + 80015038: ecdfb06f j 80010f04 <_Z7setchr8j> + +000000008001503c <_ZL12StateRestorei>: + 8001503c: f91ff06f j 80014fcc <_ZL4Syncv> + +0000000080015040 <_ZL8M46Resetv>: + 80015040: 001b7797 auipc a5,0x1b7 + 80015044: d8078223 sb zero,-636(a5) # 801cbdc4 <_ZL4reg1> + 80015048: 001b7797 auipc a5,0x1b7 + 8001504c: d6078da3 sb zero,-645(a5) # 801cbdc3 <_ZL4reg0> + 80015050: f7dff06f j 80014fcc <_ZL4Syncv> + +0000000080015054 <_ZL9M46Write1jh>: + 80015054: 001b7797 auipc a5,0x1b7 + 80015058: d6b78823 sb a1,-656(a5) # 801cbdc4 <_ZL4reg1> + 8001505c: f71ff06f j 80014fcc <_ZL4Syncv> + +0000000080015060 <_ZL9M46Write0jh>: + 80015060: 001b7797 auipc a5,0x1b7 + 80015064: d6b781a3 sb a1,-669(a5) # 801cbdc3 <_ZL4reg0> + 80015068: f65ff06f j 80014fcc <_ZL4Syncv> + +000000008001506c <_ZL8M46Powerv>: + 8001506c: ff010113 addi sp,sp,-16 + 80015070: 00113423 sd ra,8(sp) + 80015074: 00813023 sd s0,0(sp) + 80015078: 001b7797 auipc a5,0x1b7 + 8001507c: d4078623 sb zero,-692(a5) # 801cbdc4 <_ZL4reg1> + 80015080: 00010437 lui s0,0x10 + 80015084: 001b7797 auipc a5,0x1b7 + 80015088: d2078fa3 sb zero,-705(a5) # 801cbdc3 <_ZL4reg0> + 8001508c: f41ff0ef jal ra,80014fcc <_ZL4Syncv> + 80015090: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80015094: ffffb617 auipc a2,0xffffb + 80015098: 5cc60613 addi a2,a2,1484 # 80010660 <_Z6CartBRj> + 8001509c: 00008537 lui a0,0x8 + 800150a0: 17d1e0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800150a4: 000085b7 lui a1,0x8 + 800150a8: 00000617 auipc a2,0x0 + 800150ac: fb860613 addi a2,a2,-72 # 80015060 <_ZL9M46Write0jh> + 800150b0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800150b4: 00006537 lui a0,0x6 + 800150b8: 2711e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800150bc: fff40593 addi a1,s0,-1 + 800150c0: 00013403 ld s0,0(sp) + 800150c4: 00813083 ld ra,8(sp) + 800150c8: 00000617 auipc a2,0x0 + 800150cc: f8c60613 addi a2,a2,-116 # 80015054 <_ZL9M46Write1jh> + 800150d0: 00008537 lui a0,0x8 + 800150d4: 01010113 addi sp,sp,16 + 800150d8: 2511e06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800150dc <_Z13Mapper46_InitP8CartInfo>: + 800150dc: 00000797 auipc a5,0x0 + 800150e0: f9078793 addi a5,a5,-112 # 8001506c <_ZL8M46Powerv> + 800150e4: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 800150e8: 00000797 auipc a5,0x0 + 800150ec: f5878793 addi a5,a5,-168 # 80015040 <_ZL8M46Resetv> + 800150f0: 00f53423 sd a5,8(a0) + 800150f4: 00000797 auipc a5,0x0 + 800150f8: f4878793 addi a5,a5,-184 # 8001503c <_ZL12StateRestorei> + 800150fc: 00000693 li a3,0 + 80015100: 00000613 li a2,0 + 80015104: fff00593 li a1,-1 + 80015108: 00035517 auipc a0,0x35 + 8001510c: 4e050513 addi a0,a0,1248 # 8004a5e8 <_ZL9StateRegs> + 80015110: 001b7717 auipc a4,0x1b7 + 80015114: 54f73423 sd a5,1352(a4) # 801cc658 + 80015118: ef9ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001511c <_ZL4Syncv>: + 8001511c: ff010113 addi sp,sp,-16 + 80015120: 00813023 sd s0,0(sp) + 80015124: 001b7417 auipc s0,0x1b7 + 80015128: ca440413 addi s0,s0,-860 # 801cbdc8 <_ZL4regs> + 8001512c: 00044583 lbu a1,0(s0) + 80015130: 00008537 lui a0,0x8 + 80015134: 00113423 sd ra,8(sp) + 80015138: 965fb0ef jal ra,80010a9c <_Z7setprg8jj> + 8001513c: 00244583 lbu a1,2(s0) + 80015140: 0000a537 lui a0,0xa + 80015144: 959fb0ef jal ra,80010a9c <_Z7setprg8jj> + 80015148: 00444583 lbu a1,4(s0) + 8001514c: 0000c537 lui a0,0xc + 80015150: 94dfb0ef jal ra,80010a9c <_Z7setprg8jj> + 80015154: fff00593 li a1,-1 + 80015158: 0000e537 lui a0,0xe + 8001515c: 941fb0ef jal ra,80010a9c <_Z7setprg8jj> + 80015160: 00644583 lbu a1,6(s0) + 80015164: 00000513 li a0,0 + 80015168: d7dfb0ef jal ra,80010ee4 <_Z7setchr4jj> + 8001516c: 00744583 lbu a1,7(s0) + 80015170: 00013403 ld s0,0(sp) + 80015174: 00813083 ld ra,8(sp) + 80015178: 00001537 lui a0,0x1 + 8001517c: 01010113 addi sp,sp,16 + 80015180: d65fb06f j 80010ee4 <_Z7setchr4jj> + +0000000080015184 <_ZL12StateRestorei>: + 80015184: f99ff06f j 8001511c <_ZL4Syncv> + +0000000080015188 <_ZL9M151Writejh>: + 80015188: 00c5551b srliw a0,a0,0xc + 8001518c: 00757513 andi a0,a0,7 + 80015190: 001b7797 auipc a5,0x1b7 + 80015194: c3878793 addi a5,a5,-968 # 801cbdc8 <_ZL4regs> + 80015198: 00a78533 add a0,a5,a0 + 8001519c: 00b50023 sb a1,0(a0) # 1000 <_entry_offset+0x1000> + 800151a0: f7dff06f j 8001511c <_ZL4Syncv> + +00000000800151a4 <_ZL9M151Powerv>: + 800151a4: ff010113 addi sp,sp,-16 + 800151a8: 00113423 sd ra,8(sp) + 800151ac: 00813023 sd s0,0(sp) + 800151b0: f6dff0ef jal ra,8001511c <_ZL4Syncv> + 800151b4: 00010437 lui s0,0x10 + 800151b8: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800151bc: ffffb617 auipc a2,0xffffb + 800151c0: 4a460613 addi a2,a2,1188 # 80010660 <_Z6CartBRj> + 800151c4: 00008537 lui a0,0x8 + 800151c8: 0551e0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800151cc: fff40593 addi a1,s0,-1 + 800151d0: 00013403 ld s0,0(sp) + 800151d4: 00813083 ld ra,8(sp) + 800151d8: 00000617 auipc a2,0x0 + 800151dc: fb060613 addi a2,a2,-80 # 80015188 <_ZL9M151Writejh> + 800151e0: 00008537 lui a0,0x8 + 800151e4: 01010113 addi sp,sp,16 + 800151e8: 1411e06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800151ec <_Z14Mapper151_InitP8CartInfo>: + 800151ec: 00000797 auipc a5,0x0 + 800151f0: fb878793 addi a5,a5,-72 # 800151a4 <_ZL9M151Powerv> + 800151f4: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 800151f8: 00000797 auipc a5,0x0 + 800151fc: f8c78793 addi a5,a5,-116 # 80015184 <_ZL12StateRestorei> + 80015200: 00000693 li a3,0 + 80015204: 00000613 li a2,0 + 80015208: fff00593 li a1,-1 + 8001520c: 00035517 auipc a0,0x35 + 80015210: 42450513 addi a0,a0,1060 # 8004a630 <_ZL9StateRegs> + 80015214: 001b7717 auipc a4,0x1b7 + 80015218: 44f73223 sd a5,1092(a4) # 801cc658 + 8001521c: df5ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080015220 <_ZL4Syncv>: + 80015220: fe010113 addi sp,sp,-32 + 80015224: 00113c23 sd ra,24(sp) + 80015228: 00813823 sd s0,16(sp) + 8001522c: 00913423 sd s1,8(sp) + 80015230: 001b7717 auipc a4,0x1b7 + 80015234: ba070713 addi a4,a4,-1120 # 801cbdd0 <_ZL4regs> + 80015238: 00174683 lbu a3,1(a4) + 8001523c: 00074403 lbu s0,0(a4) + 80015240: 00274783 lbu a5,2(a4) + 80015244: 00374703 lbu a4,3(a4) + 80015248: 0086c433 xor s0,a3,s0 + 8001524c: 0014141b slliw s0,s0,0x1 + 80015250: 00e7c7b3 xor a5,a5,a4 + 80015254: 02047413 andi s0,s0,32 + 80015258: 0086f713 andi a4,a3,8 + 8001525c: 0004041b sext.w s0,s0 + 80015260: 0ff7f793 andi a5,a5,255 + 80015264: 04070663 beqz a4,800152b0 <_ZL4Syncv+0x90> + 80015268: 01e7f793 andi a5,a5,30 + 8001526c: 008784bb addw s1,a5,s0 + 80015270: 001b7717 auipc a4,0x1b7 + 80015274: b6474703 lbu a4,-1180(a4) # 801cbdd4 <_ZL5is167> + 80015278: 0014841b addiw s0,s1,1 + 8001527c: 0a070863 beqz a4,8001532c <_ZL4Syncv+0x10c> + 80015280: 00040593 mv a1,s0 + 80015284: 00008537 lui a0,0x8 + 80015288: 9d1fb0ef jal ra,80010c58 <_Z8setprg16jj> + 8001528c: 00048593 mv a1,s1 + 80015290: 0000c537 lui a0,0xc + 80015294: 9c5fb0ef jal ra,80010c58 <_Z8setprg16jj> + 80015298: 01013403 ld s0,16(sp) + 8001529c: 01813083 ld ra,24(sp) + 800152a0: 00813483 ld s1,8(sp) + 800152a4: 00000513 li a0,0 + 800152a8: 02010113 addi sp,sp,32 + 800152ac: c59fb06f j 80010f04 <_Z7setchr8j> + 800152b0: 01f7f793 andi a5,a5,31 + 800152b4: 0046f693 andi a3,a3,4 + 800152b8: 0087843b addw s0,a5,s0 + 800152bc: 02068a63 beqz a3,800152f0 <_ZL4Syncv+0xd0> + 800152c0: 00008537 lui a0,0x8 + 800152c4: 01f00593 li a1,31 + 800152c8: 991fb0ef jal ra,80010c58 <_Z8setprg16jj> + 800152cc: 00040593 mv a1,s0 + 800152d0: 0000c537 lui a0,0xc + 800152d4: 985fb0ef jal ra,80010c58 <_Z8setprg16jj> + 800152d8: 01013403 ld s0,16(sp) + 800152dc: 01813083 ld ra,24(sp) + 800152e0: 00813483 ld s1,8(sp) + 800152e4: 00000513 li a0,0 + 800152e8: 02010113 addi sp,sp,32 + 800152ec: c19fb06f j 80010f04 <_Z7setchr8j> + 800152f0: 00040593 mv a1,s0 + 800152f4: 00008537 lui a0,0x8 + 800152f8: 961fb0ef jal ra,80010c58 <_Z8setprg16jj> + 800152fc: 001b7797 auipc a5,0x1b7 + 80015300: ad87c783 lbu a5,-1320(a5) # 801cbdd4 <_ZL5is167> + 80015304: 04078c63 beqz a5,8001535c <_ZL4Syncv+0x13c> + 80015308: 0000c537 lui a0,0xc + 8001530c: 02000593 li a1,32 + 80015310: 949fb0ef jal ra,80010c58 <_Z8setprg16jj> + 80015314: 01013403 ld s0,16(sp) + 80015318: 01813083 ld ra,24(sp) + 8001531c: 00813483 ld s1,8(sp) + 80015320: 00000513 li a0,0 + 80015324: 02010113 addi sp,sp,32 + 80015328: bddfb06f j 80010f04 <_Z7setchr8j> + 8001532c: 00048593 mv a1,s1 + 80015330: 00008537 lui a0,0x8 + 80015334: 925fb0ef jal ra,80010c58 <_Z8setprg16jj> + 80015338: 00040593 mv a1,s0 + 8001533c: 0000c537 lui a0,0xc + 80015340: 919fb0ef jal ra,80010c58 <_Z8setprg16jj> + 80015344: 01013403 ld s0,16(sp) + 80015348: 01813083 ld ra,24(sp) + 8001534c: 00813483 ld s1,8(sp) + 80015350: 00000513 li a0,0 + 80015354: 02010113 addi sp,sp,32 + 80015358: badfb06f j 80010f04 <_Z7setchr8j> + 8001535c: 0000c537 lui a0,0xc + 80015360: 00700593 li a1,7 + 80015364: 8f5fb0ef jal ra,80010c58 <_Z8setprg16jj> + 80015368: 01013403 ld s0,16(sp) + 8001536c: 01813083 ld ra,24(sp) + 80015370: 00813483 ld s1,8(sp) + 80015374: 00000513 li a0,0 + 80015378: 02010113 addi sp,sp,32 + 8001537c: b89fb06f j 80010f04 <_Z7setchr8j> + +0000000080015380 <_ZL12StateRestorei>: + 80015380: ea1ff06f j 80015220 <_ZL4Syncv> + +0000000080015384 <_ZL9M166Writejh>: + 80015384: 00d5551b srliw a0,a0,0xd + 80015388: 00357513 andi a0,a0,3 + 8001538c: 001b7797 auipc a5,0x1b7 + 80015390: a4478793 addi a5,a5,-1468 # 801cbdd0 <_ZL4regs> + 80015394: 00a78533 add a0,a5,a0 + 80015398: 00b50023 sb a1,0(a0) # c000 <_entry_offset+0xc000> + 8001539c: e85ff06f j 80015220 <_ZL4Syncv> + +00000000800153a0 <_ZL9M166Powerv>: + 800153a0: ff010113 addi sp,sp,-16 + 800153a4: 00113423 sd ra,8(sp) + 800153a8: 00813023 sd s0,0(sp) + 800153ac: 001b7797 auipc a5,0x1b7 + 800153b0: a207a223 sw zero,-1500(a5) # 801cbdd0 <_ZL4regs> + 800153b4: 00010437 lui s0,0x10 + 800153b8: e69ff0ef jal ra,80015220 <_ZL4Syncv> + 800153bc: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800153c0: ffffb617 auipc a2,0xffffb + 800153c4: 2a060613 addi a2,a2,672 # 80010660 <_Z6CartBRj> + 800153c8: 00008537 lui a0,0x8 + 800153cc: 6501e0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800153d0: fff40593 addi a1,s0,-1 + 800153d4: 00013403 ld s0,0(sp) + 800153d8: 00813083 ld ra,8(sp) + 800153dc: 00000617 auipc a2,0x0 + 800153e0: fa860613 addi a2,a2,-88 # 80015384 <_ZL9M166Writejh> + 800153e4: 00008537 lui a0,0x8 + 800153e8: 01010113 addi sp,sp,16 + 800153ec: 73c1e06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800153f0 <_Z14Mapper166_InitP8CartInfo>: + 800153f0: 00000797 auipc a5,0x0 + 800153f4: fb078793 addi a5,a5,-80 # 800153a0 <_ZL9M166Powerv> + 800153f8: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 800153fc: 001b7797 auipc a5,0x1b7 + 80015400: 9c078c23 sb zero,-1576(a5) # 801cbdd4 <_ZL5is167> + 80015404: 00000797 auipc a5,0x0 + 80015408: f7c78793 addi a5,a5,-132 # 80015380 <_ZL12StateRestorei> + 8001540c: 00000693 li a3,0 + 80015410: 00000613 li a2,0 + 80015414: fff00593 li a1,-1 + 80015418: 00035517 auipc a0,0x35 + 8001541c: 24850513 addi a0,a0,584 # 8004a660 <_ZL9StateRegs> + 80015420: 001b7717 auipc a4,0x1b7 + 80015424: 22f73c23 sd a5,568(a4) # 801cc658 + 80015428: be9ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001542c <_Z14Mapper167_InitP8CartInfo>: + 8001542c: 00000797 auipc a5,0x0 + 80015430: f7478793 addi a5,a5,-140 # 800153a0 <_ZL9M166Powerv> + 80015434: 00f53023 sd a5,0(a0) + 80015438: 00100793 li a5,1 + 8001543c: 001b7717 auipc a4,0x1b7 + 80015440: 98f70c23 sb a5,-1640(a4) # 801cbdd4 <_ZL5is167> + 80015444: 00000797 auipc a5,0x0 + 80015448: f3c78793 addi a5,a5,-196 # 80015380 <_ZL12StateRestorei> + 8001544c: 00000693 li a3,0 + 80015450: 00000613 li a2,0 + 80015454: fff00593 li a1,-1 + 80015458: 00035517 auipc a0,0x35 + 8001545c: 20850513 addi a0,a0,520 # 8004a660 <_ZL9StateRegs> + 80015460: 001b7717 auipc a4,0x1b7 + 80015464: 1ef73c23 sd a5,504(a4) # 801cc658 + 80015468: ba9ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001546c <_ZL4Syncv>: + 8001546c: fe010113 addi sp,sp,-32 + 80015470: 00813823 sd s0,16(sp) + 80015474: 001b7417 auipc s0,0x1b7 + 80015478: 96440413 addi s0,s0,-1692 # 801cbdd8 <_ZL3reg> + 8001547c: 00044583 lbu a1,0(s0) + 80015480: 00000513 li a0,0 + 80015484: 00113c23 sd ra,24(sp) + 80015488: 0015d593 srli a1,a1,0x1 + 8001548c: 00913423 sd s1,8(sp) + 80015490: 01213023 sd s2,0(sp) + 80015494: a31fb0ef jal ra,80010ec4 <_Z7setchr2jj> + 80015498: 00144583 lbu a1,1(s0) + 8001549c: 00001937 lui s2,0x1 + 800154a0: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 800154a4: 0015d593 srli a1,a1,0x1 + 800154a8: a1dfb0ef jal ra,80010ec4 <_Z7setchr2jj> + 800154ac: 00244583 lbu a1,2(s0) + 800154b0: 00001537 lui a0,0x1 + 800154b4: 000024b7 lui s1,0x2 + 800154b8: 0405e593 ori a1,a1,64 + 800154bc: 9e9fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 800154c0: 00344583 lbu a1,3(s0) + 800154c4: 40090513 addi a0,s2,1024 + 800154c8: 0405e593 ori a1,a1,64 + 800154cc: 9d9fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 800154d0: 00444583 lbu a1,4(s0) + 800154d4: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 800154d8: 0405e593 ori a1,a1,64 + 800154dc: 9c9fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 800154e0: 00544583 lbu a1,5(s0) + 800154e4: c0048513 addi a0,s1,-1024 + 800154e8: 0405e593 ori a1,a1,64 + 800154ec: 9b9fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 800154f0: 00644583 lbu a1,6(s0) + 800154f4: 00008537 lui a0,0x8 + 800154f8: da4fb0ef jal ra,80010a9c <_Z7setprg8jj> + 800154fc: 00744583 lbu a1,7(s0) + 80015500: 0000a537 lui a0,0xa + 80015504: d98fb0ef jal ra,80010a9c <_Z7setprg8jj> + 80015508: ffe00593 li a1,-2 + 8001550c: 0000c537 lui a0,0xc + 80015510: d8cfb0ef jal ra,80010a9c <_Z7setprg8jj> + 80015514: 01013403 ld s0,16(sp) + 80015518: 01813083 ld ra,24(sp) + 8001551c: 00813483 ld s1,8(sp) + 80015520: 00013903 ld s2,0(sp) + 80015524: fff00593 li a1,-1 + 80015528: 0000e537 lui a0,0xe + 8001552c: 02010113 addi sp,sp,32 + 80015530: d6cfb06f j 80010a9c <_Z7setprg8jj> + +0000000080015534 <_ZL5MSyncv.part.0>: + 80015534: 001b7517 auipc a0,0x1b7 + 80015538: 8ad54503 lbu a0,-1875(a0) # 801cbde1 <_ZL6mirror> + 8001553c: 00157513 andi a0,a0,1 + 80015540: 0025051b addiw a0,a0,2 + 80015544: b05fb06f j 80011048 <_Z9setmirrori> + +0000000080015548 <_ZL12StateRestorei>: + 80015548: ff010113 addi sp,sp,-16 + 8001554c: 00113423 sd ra,8(sp) + 80015550: f1dff0ef jal ra,8001546c <_ZL4Syncv> + 80015554: 001b7797 auipc a5,0x1b7 + 80015558: 88c7c783 lbu a5,-1908(a5) # 801cbde0 <_ZL5is154> + 8001555c: 00079863 bnez a5,8001556c <_ZL12StateRestorei+0x24> + 80015560: 00813083 ld ra,8(sp) + 80015564: 01010113 addi sp,sp,16 + 80015568: 00008067 ret + 8001556c: 00813083 ld ra,8(sp) + 80015570: 01010113 addi sp,sp,16 + 80015574: fc1ff06f j 80015534 <_ZL5MSyncv.part.0> + +0000000080015578 <_ZL8M88Powerv>: + 80015578: ff010113 addi sp,sp,-16 + 8001557c: 001b7797 auipc a5,0x1b7 + 80015580: 8407be23 sd zero,-1956(a5) # 801cbdd8 <_ZL3reg> + 80015584: 00113423 sd ra,8(sp) + 80015588: 00813023 sd s0,0(sp) + 8001558c: ee1ff0ef jal ra,8001546c <_ZL4Syncv> + 80015590: 001b7797 auipc a5,0x1b7 + 80015594: 8507c783 lbu a5,-1968(a5) # 801cbde0 <_ZL5is154> + 80015598: 02079e63 bnez a5,800155d4 <_ZL8M88Powerv+0x5c> + 8001559c: 00010437 lui s0,0x10 + 800155a0: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800155a4: ffffb617 auipc a2,0xffffb + 800155a8: 0bc60613 addi a2,a2,188 # 80010660 <_Z6CartBRj> + 800155ac: 00008537 lui a0,0x8 + 800155b0: 46c1e0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800155b4: fff40593 addi a1,s0,-1 + 800155b8: 00013403 ld s0,0(sp) + 800155bc: 00813083 ld ra,8(sp) + 800155c0: 00000617 auipc a2,0x0 + 800155c4: 01c60613 addi a2,a2,28 # 800155dc <_ZL8M88Writejh> + 800155c8: 00008537 lui a0,0x8 + 800155cc: 01010113 addi sp,sp,16 + 800155d0: 5581e06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800155d4: f61ff0ef jal ra,80015534 <_ZL5MSyncv.part.0> + 800155d8: fc5ff06f j 8001559c <_ZL8M88Powerv+0x24> + +00000000800155dc <_ZL8M88Writejh>: + 800155dc: 00008737 lui a4,0x8 + 800155e0: 00170793 addi a5,a4,1 # 8001 <_entry_offset+0x8001> + 800155e4: 00f57533 and a0,a0,a5 + 800155e8: 02e50463 beq a0,a4,80015610 <_ZL8M88Writejh+0x34> + 800155ec: 02f51063 bne a0,a5,8001560c <_ZL8M88Writejh+0x30> + 800155f0: 001b6717 auipc a4,0x1b6 + 800155f4: 7e574703 lbu a4,2021(a4) # 801cbdd5 <_ZL3cmd> + 800155f8: 001b6797 auipc a5,0x1b6 + 800155fc: 7e078793 addi a5,a5,2016 # 801cbdd8 <_ZL3reg> + 80015600: 00e787b3 add a5,a5,a4 + 80015604: 00b78023 sb a1,0(a5) + 80015608: e65ff06f j 8001546c <_ZL4Syncv> + 8001560c: 00008067 ret + 80015610: 0075f793 andi a5,a1,7 + 80015614: 4065d59b sraiw a1,a1,0x6 + 80015618: 001b6717 auipc a4,0x1b6 + 8001561c: 7af70ea3 sb a5,1981(a4) # 801cbdd5 <_ZL3cmd> + 80015620: 001b6797 auipc a5,0x1b6 + 80015624: 7cb780a3 sb a1,1985(a5) # 801cbde1 <_ZL6mirror> + 80015628: 001b6797 auipc a5,0x1b6 + 8001562c: 7b87c783 lbu a5,1976(a5) # 801cbde0 <_ZL5is154> + 80015630: 00079463 bnez a5,80015638 <_ZL8M88Writejh+0x5c> + 80015634: 00008067 ret + 80015638: efdff06f j 80015534 <_ZL5MSyncv.part.0> + +000000008001563c <_Z13Mapper88_InitP8CartInfo>: + 8001563c: 00000797 auipc a5,0x0 + 80015640: f3c78793 addi a5,a5,-196 # 80015578 <_ZL8M88Powerv> + 80015644: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80015648: 001b6797 auipc a5,0x1b6 + 8001564c: 78078c23 sb zero,1944(a5) # 801cbde0 <_ZL5is154> + 80015650: 00000797 auipc a5,0x0 + 80015654: ef878793 addi a5,a5,-264 # 80015548 <_ZL12StateRestorei> + 80015658: 00000693 li a3,0 + 8001565c: 00000613 li a2,0 + 80015660: fff00593 li a1,-1 + 80015664: 00035517 auipc a0,0x35 + 80015668: 02c50513 addi a0,a0,44 # 8004a690 <_ZL9StateRegs> + 8001566c: 001b7717 auipc a4,0x1b7 + 80015670: fef73623 sd a5,-20(a4) # 801cc658 + 80015674: 99dea06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080015678 <_Z14Mapper154_InitP8CartInfo>: + 80015678: 00000797 auipc a5,0x0 + 8001567c: f0078793 addi a5,a5,-256 # 80015578 <_ZL8M88Powerv> + 80015680: 00f53023 sd a5,0(a0) + 80015684: 00100793 li a5,1 + 80015688: 001b6717 auipc a4,0x1b6 + 8001568c: 74f70c23 sb a5,1880(a4) # 801cbde0 <_ZL5is154> + 80015690: 00000797 auipc a5,0x0 + 80015694: eb878793 addi a5,a5,-328 # 80015548 <_ZL12StateRestorei> + 80015698: 00000693 li a3,0 + 8001569c: 00000613 li a2,0 + 800156a0: fff00593 li a1,-1 + 800156a4: 00035517 auipc a0,0x35 + 800156a8: fec50513 addi a0,a0,-20 # 8004a690 <_ZL9StateRegs> + 800156ac: 001b7717 auipc a4,0x1b7 + 800156b0: faf73623 sd a5,-84(a4) # 801cc658 + 800156b4: 95dea06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800156b8 <_ZL4Syncv>: + 800156b8: ff010113 addi sp,sp,-16 + 800156bc: 00000613 li a2,0 + 800156c0: 000065b7 lui a1,0x6 + 800156c4: 01000513 li a0,16 + 800156c8: 00113423 sd ra,8(sp) + 800156cc: a1cfb0ef jal ra,800108e8 <_Z8setprg8rijj> + 800156d0: 001b6597 auipc a1,0x1b6 + 800156d4: 7205c583 lbu a1,1824(a1) # 801cbdf0 <_ZL4preg> + 800156d8: 00008537 lui a0,0x8 + 800156dc: d7cfb0ef jal ra,80010c58 <_Z8setprg16jj> + 800156e0: 0000c537 lui a0,0xc + 800156e4: fff00593 li a1,-1 + 800156e8: d70fb0ef jal ra,80010c58 <_Z8setprg16jj> + 800156ec: 00813083 ld ra,8(sp) + 800156f0: 00000513 li a0,0 + 800156f4: 01010113 addi sp,sp,16 + 800156f8: 80dfb06f j 80010f04 <_Z7setchr8j> + +00000000800156fc <_ZL12StateRestorei>: + 800156fc: fbdff06f j 800156b8 <_ZL4Syncv> + +0000000080015700 <_ZL8M73Closev>: + 80015700: 001b6517 auipc a0,0x1b6 + 80015704: 6e853503 ld a0,1768(a0) # 801cbde8 <_ZL4WRAM> + 80015708: 02050263 beqz a0,8001572c <_ZL8M73Closev+0x2c> + 8001570c: ff010113 addi sp,sp,-16 + 80015710: 00113423 sd ra,8(sp) + 80015714: e2dfc0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80015718: 00813083 ld ra,8(sp) + 8001571c: 001b6797 auipc a5,0x1b6 + 80015720: 6c07b623 sd zero,1740(a5) # 801cbde8 <_ZL4WRAM> + 80015724: 01010113 addi sp,sp,16 + 80015728: 00008067 ret + 8001572c: 001b6797 auipc a5,0x1b6 + 80015730: 6a07be23 sd zero,1724(a5) # 801cbde8 <_ZL4WRAM> + 80015734: 00008067 ret + +0000000080015738 <_ZL8M73Powerv>: + 80015738: ff010113 addi sp,sp,-16 + 8001573c: 00113423 sd ra,8(sp) + 80015740: 00813023 sd s0,0(sp) + 80015744: 001b6797 auipc a5,0x1b6 + 80015748: 6a078023 sb zero,1696(a5) # 801cbde4 <_ZL4IRQx> + 8001574c: 00010437 lui s0,0x10 + 80015750: 001b6797 auipc a5,0x1b6 + 80015754: 680789a3 sb zero,1683(a5) # 801cbde3 <_ZL4IRQm> + 80015758: 001b6797 auipc a5,0x1b6 + 8001575c: 6a079023 sh zero,1696(a5) # 801cbdf8 <_ZL9IRQReload> + 80015760: f59ff0ef jal ra,800156b8 <_ZL4Syncv> + 80015764: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80015768: ffffb617 auipc a2,0xffffb + 8001576c: ef860613 addi a2,a2,-264 # 80010660 <_Z6CartBRj> + 80015770: 00006537 lui a0,0x6 + 80015774: 2a81e0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80015778: 000085b7 lui a1,0x8 + 8001577c: ffffb617 auipc a2,0xffffb + 80015780: f1060613 addi a2,a2,-240 # 8001068c <_Z6CartBWjh> + 80015784: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80015788: 00006537 lui a0,0x6 + 8001578c: 39c1e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80015790: fff40593 addi a1,s0,-1 + 80015794: 00000617 auipc a2,0x0 + 80015798: 03860613 addi a2,a2,56 # 800157cc <_ZL8M73Writejh> + 8001579c: 00008537 lui a0,0x8 + 800157a0: 3881e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800157a4: 00013403 ld s0,0(sp) + 800157a8: 00813083 ld ra,8(sp) + 800157ac: 001b6517 auipc a0,0x1b6 + 800157b0: 64852503 lw a0,1608(a0) # 801cbdf4 <_ZL8WRAMSIZE> + 800157b4: 001b6617 auipc a2,0x1b6 + 800157b8: 63463603 ld a2,1588(a2) # 801cbde8 <_ZL4WRAM> + 800157bc: 000065b7 lui a1,0x6 + 800157c0: 00a5551b srliw a0,a0,0xa + 800157c4: 01010113 addi sp,sp,16 + 800157c8: 84dea06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +00000000800157cc <_ZL8M73Writejh>: + 800157cc: 0000f7b7 lui a5,0xf + 800157d0: 00f57533 and a0,a0,a5 + 800157d4: 0000b7b7 lui a5,0xb + 800157d8: 12f50063 beq a0,a5,800158f8 <_ZL8M73Writejh+0x12c> + 800157dc: 04a7e463 bltu a5,a0,80015824 <_ZL8M73Writejh+0x58> + 800157e0: 000097b7 lui a5,0x9 + 800157e4: 12f50c63 beq a0,a5,8001591c <_ZL8M73Writejh+0x150> + 800157e8: 0000a7b7 lui a5,0xa + 800157ec: 04f51a63 bne a0,a5,80015840 <_ZL8M73Writejh+0x74> + 800157f0: 001b6697 auipc a3,0x1b6 + 800157f4: 60868693 addi a3,a3,1544 # 801cbdf8 <_ZL9IRQReload> + 800157f8: 00001737 lui a4,0x1 + 800157fc: 0006d783 lhu a5,0(a3) + 80015800: f0070713 addi a4,a4,-256 # f00 <_entry_offset+0xf00> + 80015804: 00859593 slli a1,a1,0x8 + 80015808: 00e5f5b3 and a1,a1,a4 + 8001580c: fffff737 lui a4,0xfffff + 80015810: 0ff70713 addi a4,a4,255 # fffffffffffff0ff <_end+0xffffffff7fe2a0ff> + 80015814: 00e7f7b3 and a5,a5,a4 + 80015818: 00f5e5b3 or a1,a1,a5 + 8001581c: 00b69023 sh a1,0(a3) + 80015820: 00008067 ret + 80015824: 0000d7b7 lui a5,0xd + 80015828: 0af50263 beq a0,a5,800158cc <_ZL8M73Writejh+0x100> + 8001582c: 0000f7b7 lui a5,0xf + 80015830: 02f51c63 bne a0,a5,80015868 <_ZL8M73Writejh+0x9c> + 80015834: 001b6797 auipc a5,0x1b6 + 80015838: 5ab78e23 sb a1,1468(a5) # 801cbdf0 <_ZL4preg> + 8001583c: e7dff06f j 800156b8 <_ZL4Syncv> + 80015840: 000087b7 lui a5,0x8 + 80015844: 08f51263 bne a0,a5,800158c8 <_ZL8M73Writejh+0xfc> + 80015848: 001b6717 auipc a4,0x1b6 + 8001584c: 5b070713 addi a4,a4,1456 # 801cbdf8 <_ZL9IRQReload> + 80015850: 00075783 lhu a5,0(a4) + 80015854: 00f5f593 andi a1,a1,15 + 80015858: ff07f793 andi a5,a5,-16 + 8001585c: 00b7e5b3 or a1,a5,a1 + 80015860: 00b71023 sh a1,0(a4) + 80015864: 00008067 ret + 80015868: 0000c7b7 lui a5,0xc + 8001586c: 04f51c63 bne a0,a5,800158c4 <_ZL8M73Writejh+0xf8> + 80015870: 0015f713 andi a4,a1,1 + 80015874: 0045f793 andi a5,a1,4 + 80015878: 0025f593 andi a1,a1,2 + 8001587c: 001b6697 auipc a3,0x1b6 + 80015880: 56e68423 sb a4,1384(a3) # 801cbde4 <_ZL4IRQx> + 80015884: 001b6697 auipc a3,0x1b6 + 80015888: 54f68fa3 sb a5,1375(a3) # 801cbde3 <_ZL4IRQm> + 8001588c: 001b6717 auipc a4,0x1b6 + 80015890: 54b70b23 sb a1,1366(a4) # 801cbde2 <_ZL4IRQa> + 80015894: 02058463 beqz a1,800158bc <_ZL8M73Writejh+0xf0> + 80015898: 001b6717 auipc a4,0x1b6 + 8001589c: 56075703 lhu a4,1376(a4) # 801cbdf8 <_ZL9IRQReload> + 800158a0: 0a078063 beqz a5,80015940 <_ZL8M73Writejh+0x174> + 800158a4: 001b6797 auipc a5,0x1b6 + 800158a8: 54e78793 addi a5,a5,1358 # 801cbdf2 <_ZL8IRQCount> + 800158ac: 0007d683 lhu a3,0(a5) + 800158b0: 0ff77713 andi a4,a4,255 + 800158b4: 00d76733 or a4,a4,a3 + 800158b8: 00e79023 sh a4,0(a5) + 800158bc: 00100513 li a0,1 + 800158c0: c90eb06f j 80000d50 <_Z12X6502_IRQEndi> + 800158c4: 00008067 ret + 800158c8: 00008067 ret + 800158cc: ff010113 addi sp,sp,-16 + 800158d0: 00100513 li a0,1 + 800158d4: 00113423 sd ra,8(sp) + 800158d8: c78eb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 800158dc: 00813083 ld ra,8(sp) + 800158e0: 001b6797 auipc a5,0x1b6 + 800158e4: 5047c783 lbu a5,1284(a5) # 801cbde4 <_ZL4IRQx> + 800158e8: 001b6717 auipc a4,0x1b6 + 800158ec: 4ef70d23 sb a5,1274(a4) # 801cbde2 <_ZL4IRQa> + 800158f0: 01010113 addi sp,sp,16 + 800158f4: 00008067 ret + 800158f8: 001b6717 auipc a4,0x1b6 + 800158fc: 50070713 addi a4,a4,1280 # 801cbdf8 <_ZL9IRQReload> + 80015900: 00075783 lhu a5,0(a4) + 80015904: 00c59593 slli a1,a1,0xc + 80015908: 03479793 slli a5,a5,0x34 + 8001590c: 0347d793 srli a5,a5,0x34 + 80015910: 00b7e5b3 or a1,a5,a1 + 80015914: 00b71023 sh a1,0(a4) + 80015918: 00008067 ret + 8001591c: 001b6717 auipc a4,0x1b6 + 80015920: 4dc70713 addi a4,a4,1244 # 801cbdf8 <_ZL9IRQReload> + 80015924: 00075783 lhu a5,0(a4) + 80015928: 00459593 slli a1,a1,0x4 + 8001592c: 0ff5f593 andi a1,a1,255 + 80015930: f0f7f793 andi a5,a5,-241 + 80015934: 00f5e5b3 or a1,a1,a5 + 80015938: 00b71023 sh a1,0(a4) + 8001593c: 00008067 ret + 80015940: 001b6797 auipc a5,0x1b6 + 80015944: 4ae79923 sh a4,1202(a5) # 801cbdf2 <_ZL8IRQCount> + 80015948: f75ff06f j 800158bc <_ZL8M73Writejh+0xf0> + +000000008001594c <_ZL10M73IRQHooki>: + 8001594c: 001b6797 auipc a5,0x1b6 + 80015950: 4967c783 lbu a5,1174(a5) # 801cbde2 <_ZL4IRQa> + 80015954: 0e078063 beqz a5,80015a34 <_ZL10M73IRQHooki+0xe8> + 80015958: fc010113 addi sp,sp,-64 + 8001595c: 03213023 sd s2,32(sp) + 80015960: 02113c23 sd ra,56(sp) + 80015964: 02813823 sd s0,48(sp) + 80015968: 02913423 sd s1,40(sp) + 8001596c: 01313c23 sd s3,24(sp) + 80015970: 01413823 sd s4,16(sp) + 80015974: 01513423 sd s5,8(sp) + 80015978: 01613023 sd s6,0(sp) + 8001597c: 00050913 mv s2,a0 + 80015980: 06a05c63 blez a0,800159f8 <_ZL10M73IRQHooki+0xac> + 80015984: 00010a37 lui s4,0x10 + 80015988: 00000413 li s0,0 + 8001598c: 001b6497 auipc s1,0x1b6 + 80015990: 46648493 addi s1,s1,1126 # 801cbdf2 <_ZL8IRQCount> + 80015994: 001b6997 auipc s3,0x1b6 + 80015998: 44f98993 addi s3,s3,1103 # 801cbde3 <_ZL4IRQm> + 8001599c: fffa0a13 addi s4,s4,-1 # ffff <_entry_offset+0xffff> + 800159a0: 001b6b17 auipc s6,0x1b6 + 800159a4: 458b0b13 addi s6,s6,1112 # 801cbdf8 <_ZL9IRQReload> + 800159a8: 0ff00a93 li s5,255 + 800159ac: 02c0006f j 800159d8 <_ZL10M73IRQHooki+0x8c> + 800159b0: f007f713 andi a4,a5,-256 + 800159b4: 0ff7f693 andi a3,a5,255 + 800159b8: 03071713 slli a4,a4,0x30 + 800159bc: 0016879b addiw a5,a3,1 + 800159c0: 03075713 srli a4,a4,0x30 + 800159c4: 00f767b3 or a5,a4,a5 + 800159c8: 05568c63 beq a3,s5,80015a20 <_ZL10M73IRQHooki+0xd4> + 800159cc: 00f49023 sh a5,0(s1) + 800159d0: 0014041b addiw s0,s0,1 + 800159d4: 02890263 beq s2,s0,800159f8 <_ZL10M73IRQHooki+0xac> + 800159d8: 0009c703 lbu a4,0(s3) + 800159dc: 0004d783 lhu a5,0(s1) + 800159e0: fc0718e3 bnez a4,800159b0 <_ZL10M73IRQHooki+0x64> + 800159e4: 0017871b addiw a4,a5,1 + 800159e8: 03478c63 beq a5,s4,80015a20 <_ZL10M73IRQHooki+0xd4> + 800159ec: 00e49023 sh a4,0(s1) + 800159f0: 0014041b addiw s0,s0,1 + 800159f4: fe8912e3 bne s2,s0,800159d8 <_ZL10M73IRQHooki+0x8c> + 800159f8: 03813083 ld ra,56(sp) + 800159fc: 03013403 ld s0,48(sp) + 80015a00: 02813483 ld s1,40(sp) + 80015a04: 02013903 ld s2,32(sp) + 80015a08: 01813983 ld s3,24(sp) + 80015a0c: 01013a03 ld s4,16(sp) + 80015a10: 00813a83 ld s5,8(sp) + 80015a14: 00013b03 ld s6,0(sp) + 80015a18: 04010113 addi sp,sp,64 + 80015a1c: 00008067 ret + 80015a20: 000b5783 lhu a5,0(s6) + 80015a24: 00100513 li a0,1 + 80015a28: 00f49023 sh a5,0(s1) + 80015a2c: b0ceb0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80015a30: fa1ff06f j 800159d0 <_ZL10M73IRQHooki+0x84> + 80015a34: 00008067 ret + +0000000080015a38 <_Z13Mapper73_InitP8CartInfo>: + 80015a38: fe010113 addi sp,sp,-32 + 80015a3c: 00113c23 sd ra,24(sp) + 80015a40: 00813823 sd s0,16(sp) + 80015a44: 00913423 sd s1,8(sp) + 80015a48: 00000797 auipc a5,0x0 + 80015a4c: cf078793 addi a5,a5,-784 # 80015738 <_ZL8M73Powerv> + 80015a50: 00f53023 sd a5,0(a0) + 80015a54: 00000797 auipc a5,0x0 + 80015a58: cac78793 addi a5,a5,-852 # 80015700 <_ZL8M73Closev> + 80015a5c: 00f53823 sd a5,16(a0) + 80015a60: 00000797 auipc a5,0x0 + 80015a64: eec78793 addi a5,a5,-276 # 8001594c <_ZL10M73IRQHooki> + 80015a68: 001b6417 auipc s0,0x1b6 + 80015a6c: 38c40413 addi s0,s0,908 # 801cbdf4 <_ZL8WRAMSIZE> + 80015a70: 001b6717 auipc a4,0x1b6 + 80015a74: 0cf73823 sd a5,208(a4) # 801cbb40 + 80015a78: 00002537 lui a0,0x2 + 80015a7c: 000027b7 lui a5,0x2 + 80015a80: 00f42023 sw a5,0(s0) + 80015a84: 9e9fc0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80015a88: 00042603 lw a2,0(s0) + 80015a8c: 00050593 mv a1,a0 + 80015a90: 001b6497 auipc s1,0x1b6 + 80015a94: 35848493 addi s1,s1,856 # 801cbde8 <_ZL4WRAM> + 80015a98: 00100693 li a3,1 + 80015a9c: 01000513 li a0,16 + 80015aa0: 00b4b023 sd a1,0(s1) + 80015aa4: a51fa0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80015aa8: 00042583 lw a1,0(s0) + 80015aac: 0004b503 ld a0,0(s1) + 80015ab0: 00030697 auipc a3,0x30 + 80015ab4: 87868693 addi a3,a3,-1928 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80015ab8: 00000613 li a2,0 + 80015abc: d54ea0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80015ac0: 00000693 li a3,0 + 80015ac4: 00000613 li a2,0 + 80015ac8: fff00593 li a1,-1 + 80015acc: 00035517 auipc a0,0x35 + 80015ad0: c2450513 addi a0,a0,-988 # 8004a6f0 <_ZL9StateRegs> + 80015ad4: d3cea0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80015ad8: 01813083 ld ra,24(sp) + 80015adc: 01013403 ld s0,16(sp) + 80015ae0: 00000797 auipc a5,0x0 + 80015ae4: c1c78793 addi a5,a5,-996 # 800156fc <_ZL12StateRestorei> + 80015ae8: 001b7717 auipc a4,0x1b7 + 80015aec: b6f73823 sd a5,-1168(a4) # 801cc658 + 80015af0: 00813483 ld s1,8(sp) + 80015af4: 02010113 addi sp,sp,32 + 80015af8: 00008067 ret + +0000000080015afc <_ZL4Syncv>: + 80015afc: ff010113 addi sp,sp,-16 + 80015b00: 00813023 sd s0,0(sp) + 80015b04: 001b6417 auipc s0,0x1b6 + 80015b08: 2fc40413 addi s0,s0,764 # 801cbe00 <_ZL3reg> + 80015b0c: 00444583 lbu a1,4(s0) + 80015b10: 00006537 lui a0,0x6 + 80015b14: 00113423 sd ra,8(sp) + 80015b18: f85fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015b1c: 00144583 lbu a1,1(s0) + 80015b20: 00008537 lui a0,0x8 + 80015b24: f79fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015b28: 00244583 lbu a1,2(s0) + 80015b2c: 0000a537 lui a0,0xa + 80015b30: f6dfa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015b34: 00344583 lbu a1,3(s0) + 80015b38: 0000c537 lui a0,0xc + 80015b3c: f61fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015b40: 0000e537 lui a0,0xe + 80015b44: fff00593 li a1,-1 + 80015b48: f55fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015b4c: 00013403 ld s0,0(sp) + 80015b50: 00813083 ld ra,8(sp) + 80015b54: 00000513 li a0,0 + 80015b58: 01010113 addi sp,sp,16 + 80015b5c: ba8fb06f j 80010f04 <_Z7setchr8j> + +0000000080015b60 <_ZL12StateRestorei>: + 80015b60: f9dff06f j 80015afc <_ZL4Syncv> + +0000000080015b64 <_ZL14UNLKS7032Powerv>: + 80015b64: ff010113 addi sp,sp,-16 + 80015b68: 00113423 sd ra,8(sp) + 80015b6c: 00813023 sd s0,0(sp) + 80015b70: f8dff0ef jal ra,80015afc <_ZL4Syncv> + 80015b74: 000085b7 lui a1,0x8 + 80015b78: ffffb617 auipc a2,0xffffb + 80015b7c: ae860613 addi a2,a2,-1304 # 80010660 <_Z6CartBRj> + 80015b80: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80015b84: 00006537 lui a0,0x6 + 80015b88: 6951d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80015b8c: 00010437 lui s0,0x10 + 80015b90: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80015b94: ffffb617 auipc a2,0xffffb + 80015b98: acc60613 addi a2,a2,-1332 # 80010660 <_Z6CartBRj> + 80015b9c: 00008537 lui a0,0x8 + 80015ba0: 67d1d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80015ba4: fff40593 addi a1,s0,-1 + 80015ba8: 00013403 ld s0,0(sp) + 80015bac: 00813083 ld ra,8(sp) + 80015bb0: 00004537 lui a0,0x4 + 80015bb4: 00000617 auipc a2,0x0 + 80015bb8: 01460613 addi a2,a2,20 # 80015bc8 <_ZL14UNLKS7032Writejh> + 80015bbc: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 80015bc0: 01010113 addi sp,sp,16 + 80015bc4: 7651d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080015bc8 <_ZL14UNLKS7032Writejh>: + 80015bc8: fe010113 addi sp,sp,-32 + 80015bcc: 00913423 sd s1,8(sp) + 80015bd0: 0000f4b7 lui s1,0xf + 80015bd4: 00957533 and a0,a0,s1 + 80015bd8: 00813823 sd s0,16(sp) + 80015bdc: 00113c23 sd ra,24(sp) + 80015be0: 0005051b sext.w a0,a0 + 80015be4: 0000b7b7 lui a5,0xb + 80015be8: 00058413 mv s0,a1 + 80015bec: 10f50a63 beq a0,a5,80015d00 <_ZL14UNLKS7032Writejh+0x138> + 80015bf0: 0aa7e463 bltu a5,a0,80015c98 <_ZL14UNLKS7032Writejh+0xd0> + 80015bf4: 000097b7 lui a5,0x9 + 80015bf8: 14f50663 beq a0,a5,80015d44 <_ZL14UNLKS7032Writejh+0x17c> + 80015bfc: 0000a7b7 lui a5,0xa + 80015c00: 04f51863 bne a0,a5,80015c50 <_ZL14UNLKS7032Writejh+0x88> + 80015c04: 00100513 li a0,1 + 80015c08: 948eb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80015c0c: 001b6797 auipc a5,0x1b6 + 80015c10: 20078793 addi a5,a5,512 # 801cbe0c <_ZL8IRQCount> + 80015c14: 0007a703 lw a4,0(a5) + 80015c18: 0084159b slliw a1,s0,0x8 + 80015c1c: 00001437 lui s0,0x1 + 80015c20: 00e5e5b3 or a1,a1,a4 + 80015c24: f0040413 addi s0,s0,-256 # f00 <_entry_offset+0xf00> + 80015c28: 0085f5b3 and a1,a1,s0 + 80015c2c: 00b7a023 sw a1,0(a5) + 80015c30: 00100793 li a5,1 + 80015c34: 001b6717 auipc a4,0x1b6 + 80015c38: 1cf70e23 sb a5,476(a4) # 801cbe10 <_ZL9isirqused> + 80015c3c: 01813083 ld ra,24(sp) + 80015c40: 01013403 ld s0,16(sp) + 80015c44: 00813483 ld s1,8(sp) + 80015c48: 02010113 addi sp,sp,32 + 80015c4c: 00008067 ret + 80015c50: 000087b7 lui a5,0x8 + 80015c54: fef514e3 bne a0,a5,80015c3c <_ZL14UNLKS7032Writejh+0x74> + 80015c58: 00100513 li a0,1 + 80015c5c: 8f4eb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80015c60: 001b6797 auipc a5,0x1b6 + 80015c64: 1ac78793 addi a5,a5,428 # 801cbe0c <_ZL8IRQCount> + 80015c68: 0007a583 lw a1,0(a5) + 80015c6c: 01813083 ld ra,24(sp) + 80015c70: 00100713 li a4,1 + 80015c74: 00b465b3 or a1,s0,a1 + 80015c78: 01013403 ld s0,16(sp) + 80015c7c: 00f5f593 andi a1,a1,15 + 80015c80: 001b6697 auipc a3,0x1b6 + 80015c84: 18e68823 sb a4,400(a3) # 801cbe10 <_ZL9isirqused> + 80015c88: 00b7a023 sw a1,0(a5) + 80015c8c: 00813483 ld s1,8(sp) + 80015c90: 02010113 addi sp,sp,32 + 80015c94: 00008067 ret + 80015c98: 0000e7b7 lui a5,0xe + 80015c9c: 0ef50663 beq a0,a5,80015d88 <_ZL14UNLKS7032Writejh+0x1c0> + 80015ca0: 0000f7b7 lui a5,0xf + 80015ca4: 02f51863 bne a0,a5,80015cd4 <_ZL14UNLKS7032Writejh+0x10c> + 80015ca8: 001b6717 auipc a4,0x1b6 + 80015cac: 15274703 lbu a4,338(a4) # 801cbdfa <_ZL3cmd> + 80015cb0: 001b6797 auipc a5,0x1b6 + 80015cb4: 15078793 addi a5,a5,336 # 801cbe00 <_ZL3reg> + 80015cb8: 00e787b3 add a5,a5,a4 + 80015cbc: 00b78023 sb a1,0(a5) + 80015cc0: 01013403 ld s0,16(sp) + 80015cc4: 01813083 ld ra,24(sp) + 80015cc8: 00813483 ld s1,8(sp) + 80015ccc: 02010113 addi sp,sp,32 + 80015cd0: e2dff06f j 80015afc <_ZL4Syncv> + 80015cd4: 0000c7b7 lui a5,0xc + 80015cd8: f6f512e3 bne a0,a5,80015c3c <_ZL14UNLKS7032Writejh+0x74> + 80015cdc: 001b6797 auipc a5,0x1b6 + 80015ce0: 1347c783 lbu a5,308(a5) # 801cbe10 <_ZL9isirqused> + 80015ce4: f4078ce3 beqz a5,80015c3c <_ZL14UNLKS7032Writejh+0x74> + 80015ce8: 00100513 li a0,1 + 80015cec: 864eb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80015cf0: 00100793 li a5,1 + 80015cf4: 001b6717 auipc a4,0x1b6 + 80015cf8: 10f70a23 sb a5,276(a4) # 801cbe08 <_ZL4IRQa> + 80015cfc: f41ff06f j 80015c3c <_ZL14UNLKS7032Writejh+0x74> + 80015d00: 00100513 li a0,1 + 80015d04: 84ceb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80015d08: 001b6717 auipc a4,0x1b6 + 80015d0c: 10470713 addi a4,a4,260 # 801cbe0c <_ZL8IRQCount> + 80015d10: 00072783 lw a5,0(a4) + 80015d14: 01813083 ld ra,24(sp) + 80015d18: 00c4159b slliw a1,s0,0xc + 80015d1c: 0097f4b3 and s1,a5,s1 + 80015d20: 01013403 ld s0,16(sp) + 80015d24: 00b4e5b3 or a1,s1,a1 + 80015d28: 00100793 li a5,1 + 80015d2c: 00b72023 sw a1,0(a4) + 80015d30: 001b6717 auipc a4,0x1b6 + 80015d34: 0ef70023 sb a5,224(a4) # 801cbe10 <_ZL9isirqused> + 80015d38: 00813483 ld s1,8(sp) + 80015d3c: 02010113 addi sp,sp,32 + 80015d40: 00008067 ret + 80015d44: 00100513 li a0,1 + 80015d48: 808eb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80015d4c: 001b6797 auipc a5,0x1b6 + 80015d50: 0c078793 addi a5,a5,192 # 801cbe0c <_ZL8IRQCount> + 80015d54: 0007a703 lw a4,0(a5) + 80015d58: 0044159b slliw a1,s0,0x4 + 80015d5c: 01813083 ld ra,24(sp) + 80015d60: 00e5e5b3 or a1,a1,a4 + 80015d64: 01013403 ld s0,16(sp) + 80015d68: 0f05f593 andi a1,a1,240 + 80015d6c: 00b7a023 sw a1,0(a5) + 80015d70: 00100793 li a5,1 + 80015d74: 001b6717 auipc a4,0x1b6 + 80015d78: 08f70e23 sb a5,156(a4) # 801cbe10 <_ZL9isirqused> + 80015d7c: 00813483 ld s1,8(sp) + 80015d80: 02010113 addi sp,sp,32 + 80015d84: 00008067 ret + 80015d88: 01813083 ld ra,24(sp) + 80015d8c: 01013403 ld s0,16(sp) + 80015d90: 0075f593 andi a1,a1,7 + 80015d94: 001b6797 auipc a5,0x1b6 + 80015d98: 06b78323 sb a1,102(a5) # 801cbdfa <_ZL3cmd> + 80015d9c: 00813483 ld s1,8(sp) + 80015da0: 02010113 addi sp,sp,32 + 80015da4: 00008067 ret + +0000000080015da8 <_ZL15UNLSMB2JIRQHooki>: + 80015da8: 001b6797 auipc a5,0x1b6 + 80015dac: 0607c783 lbu a5,96(a5) # 801cbe08 <_ZL4IRQa> + 80015db0: 02078263 beqz a5,80015dd4 <_ZL15UNLSMB2JIRQHooki+0x2c> + 80015db4: 001b6697 auipc a3,0x1b6 + 80015db8: 05868693 addi a3,a3,88 # 801cbe0c <_ZL8IRQCount> + 80015dbc: 0006a783 lw a5,0(a3) + 80015dc0: 00010737 lui a4,0x10 + 80015dc4: ffe70713 addi a4,a4,-2 # fffe <_entry_offset+0xfffe> + 80015dc8: 00a787bb addw a5,a5,a0 + 80015dcc: 00f6a023 sw a5,0(a3) + 80015dd0: 00f74463 blt a4,a5,80015dd8 <_ZL15UNLSMB2JIRQHooki+0x30> + 80015dd4: 00008067 ret + 80015dd8: 00100513 li a0,1 + 80015ddc: 001b6797 auipc a5,0x1b6 + 80015de0: 02078623 sb zero,44(a5) # 801cbe08 <_ZL4IRQa> + 80015de4: 001b6797 auipc a5,0x1b6 + 80015de8: 0207a423 sw zero,40(a5) # 801cbe0c <_ZL8IRQCount> + 80015dec: f4dea06f j 80000d38 <_Z14X6502_IRQBegini> + +0000000080015df0 <_Z14UNLKS7032_InitP8CartInfo>: + 80015df0: 00000797 auipc a5,0x0 + 80015df4: d7478793 addi a5,a5,-652 # 80015b64 <_ZL14UNLKS7032Powerv> + 80015df8: 00f53023 sd a5,0(a0) + 80015dfc: 00000797 auipc a5,0x0 + 80015e00: fac78793 addi a5,a5,-84 # 80015da8 <_ZL15UNLSMB2JIRQHooki> + 80015e04: 001b6717 auipc a4,0x1b6 + 80015e08: d2f73e23 sd a5,-708(a4) # 801cbb40 + 80015e0c: 00000797 auipc a5,0x0 + 80015e10: d5478793 addi a5,a5,-684 # 80015b60 <_ZL12StateRestorei> + 80015e14: 00000693 li a3,0 + 80015e18: 00000613 li a2,0 + 80015e1c: fff00593 li a1,-1 + 80015e20: 00035517 auipc a0,0x35 + 80015e24: 97850513 addi a0,a0,-1672 # 8004a798 <_ZL9StateRegs> + 80015e28: 001b7717 auipc a4,0x1b7 + 80015e2c: 82f73823 sd a5,-2000(a4) # 801cc658 + 80015e30: 9e0ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080015e34 <_ZL4Syncv>: + 80015e34: fe010113 addi sp,sp,-32 + 80015e38: 00813823 sd s0,16(sp) + 80015e3c: 001b6417 auipc s0,0x1b6 + 80015e40: fdc40413 addi s0,s0,-36 # 801cbe18 <_ZL5DRegs> + 80015e44: 00044583 lbu a1,0(s0) + 80015e48: 00000513 li a0,0 + 80015e4c: 00113c23 sd ra,24(sp) + 80015e50: 00913423 sd s1,8(sp) + 80015e54: 01213023 sd s2,0(sp) + 80015e58: 86cfb0ef jal ra,80010ec4 <_Z7setchr2jj> + 80015e5c: 00144583 lbu a1,1(s0) + 80015e60: 00001937 lui s2,0x1 + 80015e64: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 80015e68: 85cfb0ef jal ra,80010ec4 <_Z7setchr2jj> + 80015e6c: 00244583 lbu a1,2(s0) + 80015e70: 00001537 lui a0,0x1 + 80015e74: 000024b7 lui s1,0x2 + 80015e78: 82cfb0ef jal ra,80010ea4 <_Z7setchr1jj> + 80015e7c: 00344583 lbu a1,3(s0) + 80015e80: 40090513 addi a0,s2,1024 + 80015e84: 820fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 80015e88: 00444583 lbu a1,4(s0) + 80015e8c: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 80015e90: 814fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 80015e94: 00544583 lbu a1,5(s0) + 80015e98: c0048513 addi a0,s1,-1024 + 80015e9c: 808fb0ef jal ra,80010ea4 <_Z7setchr1jj> + 80015ea0: 00644583 lbu a1,6(s0) + 80015ea4: 00008537 lui a0,0x8 + 80015ea8: bf5fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015eac: 00744583 lbu a1,7(s0) + 80015eb0: 0000a537 lui a0,0xa + 80015eb4: be9fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015eb8: ffe00593 li a1,-2 + 80015ebc: 0000c537 lui a0,0xc + 80015ec0: bddfa0ef jal ra,80010a9c <_Z7setprg8jj> + 80015ec4: 01013403 ld s0,16(sp) + 80015ec8: 01813083 ld ra,24(sp) + 80015ecc: 00813483 ld s1,8(sp) + 80015ed0: 00013903 ld s2,0(sp) + 80015ed4: fff00593 li a1,-1 + 80015ed8: 0000e537 lui a0,0xe + 80015edc: 02010113 addi sp,sp,32 + 80015ee0: bbdfa06f j 80010a9c <_Z7setprg8jj> + +0000000080015ee4 <_ZL12StateRestorei>: + 80015ee4: f51ff06f j 80015e34 <_ZL4Syncv> + +0000000080015ee8 <_ZL9M206Powerv>: + 80015ee8: 001b6797 auipc a5,0x1b6 + 80015eec: f20784a3 sb zero,-215(a5) # 801cbe11 <_ZL3cmd> + 80015ef0: ff010113 addi sp,sp,-16 + 80015ef4: 10000793 li a5,256 + 80015ef8: 00113423 sd ra,8(sp) + 80015efc: 00813023 sd s0,0(sp) + 80015f00: 001b6717 auipc a4,0x1b6 + 80015f04: f0f71f23 sh a5,-226(a4) # 801cbe1e <_ZL5DRegs+0x6> + 80015f08: 00010437 lui s0,0x10 + 80015f0c: f29ff0ef jal ra,80015e34 <_ZL4Syncv> + 80015f10: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80015f14: ffffa617 auipc a2,0xffffa + 80015f18: 74c60613 addi a2,a2,1868 # 80010660 <_Z6CartBRj> + 80015f1c: 00008537 lui a0,0x8 + 80015f20: 2fd1d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80015f24: fff40593 addi a1,s0,-1 + 80015f28: 00013403 ld s0,0(sp) + 80015f2c: 00813083 ld ra,8(sp) + 80015f30: 00000617 auipc a2,0x0 + 80015f34: 01460613 addi a2,a2,20 # 80015f44 <_ZL9M206Writejh> + 80015f38: 00008537 lui a0,0x8 + 80015f3c: 01010113 addi sp,sp,16 + 80015f40: 3e91d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080015f44 <_ZL9M206Writejh>: + 80015f44: 00008737 lui a4,0x8 + 80015f48: 00170793 addi a5,a4,1 # 8001 <_entry_offset+0x8001> + 80015f4c: 00f57533 and a0,a0,a5 + 80015f50: 04e50463 beq a0,a4,80015f98 <_ZL9M206Writejh+0x54> + 80015f54: 04f51063 bne a0,a5,80015f94 <_ZL9M206Writejh+0x50> + 80015f58: 001b6797 auipc a5,0x1b6 + 80015f5c: eb97c783 lbu a5,-327(a5) # 801cbe11 <_ZL3cmd> + 80015f60: 00500713 li a4,5 + 80015f64: 00f5f693 andi a3,a1,15 + 80015f68: 00f76a63 bltu a4,a5,80015f7c <_ZL9M206Writejh+0x38> + 80015f6c: 00100713 li a4,1 + 80015f70: 03f5f693 andi a3,a1,63 + 80015f74: 00f76463 bltu a4,a5,80015f7c <_ZL9M206Writejh+0x38> + 80015f78: 0016d693 srli a3,a3,0x1 + 80015f7c: 0077f793 andi a5,a5,7 + 80015f80: 001b6717 auipc a4,0x1b6 + 80015f84: e9870713 addi a4,a4,-360 # 801cbe18 <_ZL5DRegs> + 80015f88: 00f707b3 add a5,a4,a5 + 80015f8c: 00d78023 sb a3,0(a5) + 80015f90: ea5ff06f j 80015e34 <_ZL4Syncv> + 80015f94: 00008067 ret + 80015f98: 0075f593 andi a1,a1,7 + 80015f9c: 001b6797 auipc a5,0x1b6 + 80015fa0: e6b78aa3 sb a1,-395(a5) # 801cbe11 <_ZL3cmd> + 80015fa4: 00008067 ret + +0000000080015fa8 <_Z14Mapper206_InitP8CartInfo>: + 80015fa8: 00000797 auipc a5,0x0 + 80015fac: f4078793 addi a5,a5,-192 # 80015ee8 <_ZL9M206Powerv> + 80015fb0: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80015fb4: 00000797 auipc a5,0x0 + 80015fb8: f3078793 addi a5,a5,-208 # 80015ee4 <_ZL12StateRestorei> + 80015fbc: 00000693 li a3,0 + 80015fc0: 00000613 li a2,0 + 80015fc4: fff00593 li a1,-1 + 80015fc8: 00035517 auipc a0,0x35 + 80015fcc: 84850513 addi a0,a0,-1976 # 8004a810 <_ZL9StateRegs> + 80015fd0: 001b6717 auipc a4,0x1b6 + 80015fd4: 68f73423 sd a5,1672(a4) # 801cc658 + 80015fd8: 838ea06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080015fdc <_ZL4Syncv>: + 80015fdc: fe010113 addi sp,sp,-32 + 80015fe0: 00000613 li a2,0 + 80015fe4: 000065b7 lui a1,0x6 + 80015fe8: 01000513 li a0,16 + 80015fec: 00113c23 sd ra,24(sp) + 80015ff0: 00813823 sd s0,16(sp) + 80015ff4: 00913423 sd s1,8(sp) + 80015ff8: 01213023 sd s2,0(sp) + 80015ffc: 8edfa0ef jal ra,800108e8 <_Z8setprg8rijj> + 80016000: 001b6797 auipc a5,0x1b6 + 80016004: e457c783 lbu a5,-443(a5) # 801cbe45 <_ZL7ffemode> + 80016008: 0a078e63 beqz a5,800160c4 <_ZL4Syncv+0xe8> + 8001600c: 001b6497 auipc s1,0x1b6 + 80016010: e2448493 addi s1,s1,-476 # 801cbe30 <_ZL4creg> + 80016014: 00000413 li s0,0 + 80016018: 00002937 lui s2,0x2 + 8001601c: 0004c583 lbu a1,0(s1) + 80016020: 00040513 mv a0,s0 + 80016024: 4004041b addiw s0,s0,1024 + 80016028: e7dfa0ef jal ra,80010ea4 <_Z7setchr1jj> + 8001602c: 00148493 addi s1,s1,1 + 80016030: ff2416e3 bne s0,s2,8001601c <_ZL4Syncv+0x40> + 80016034: 001b6417 auipc s0,0x1b6 + 80016038: e0c40413 addi s0,s0,-500 # 801cbe40 <_ZL4preg> + 8001603c: 00044583 lbu a1,0(s0) + 80016040: 00008537 lui a0,0x8 + 80016044: a59fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80016048: 00144583 lbu a1,1(s0) + 8001604c: 0000a537 lui a0,0xa + 80016050: a4dfa0ef jal ra,80010a9c <_Z7setprg8jj> + 80016054: 00244583 lbu a1,2(s0) + 80016058: 0000c537 lui a0,0xc + 8001605c: a41fa0ef jal ra,80010a9c <_Z7setprg8jj> + 80016060: 00344583 lbu a1,3(s0) + 80016064: 0000e537 lui a0,0xe + 80016068: a35fa0ef jal ra,80010a9c <_Z7setprg8jj> + 8001606c: 001b6797 auipc a5,0x1b6 + 80016070: dcc7c783 lbu a5,-564(a5) # 801cbe38 <_ZL4mirr> + 80016074: 00200713 li a4,2 + 80016078: 08e78663 beq a5,a4,80016104 <_ZL4Syncv+0x128> + 8001607c: 02f76263 bltu a4,a5,800160a0 <_ZL4Syncv+0xc4> + 80016080: 00300513 li a0,3 + 80016084: 08078e63 beqz a5,80016120 <_ZL4Syncv+0x144> + 80016088: 01013403 ld s0,16(sp) + 8001608c: 01813083 ld ra,24(sp) + 80016090: 00813483 ld s1,8(sp) + 80016094: 00013903 ld s2,0(sp) + 80016098: 02010113 addi sp,sp,32 + 8001609c: fadfa06f j 80011048 <_Z9setmirrori> + 800160a0: 00300713 li a4,3 + 800160a4: 00000513 li a0,0 + 800160a8: fee780e3 beq a5,a4,80016088 <_ZL4Syncv+0xac> + 800160ac: 01813083 ld ra,24(sp) + 800160b0: 01013403 ld s0,16(sp) + 800160b4: 00813483 ld s1,8(sp) + 800160b8: 00013903 ld s2,0(sp) + 800160bc: 02010113 addi sp,sp,32 + 800160c0: 00008067 ret + 800160c4: 001b6417 auipc s0,0x1b6 + 800160c8: d8040413 addi s0,s0,-640 # 801cbe44 <_ZL5latch> + 800160cc: 00044503 lbu a0,0(s0) + 800160d0: 00357513 andi a0,a0,3 + 800160d4: e31fa0ef jal ra,80010f04 <_Z7setchr8j> + 800160d8: 00044583 lbu a1,0(s0) + 800160dc: 00008537 lui a0,0x8 + 800160e0: 0025d593 srli a1,a1,0x2 + 800160e4: b75fa0ef jal ra,80010c58 <_Z8setprg16jj> + 800160e8: 00700593 li a1,7 + 800160ec: 0000c537 lui a0,0xc + 800160f0: b69fa0ef jal ra,80010c58 <_Z8setprg16jj> + 800160f4: 001b6797 auipc a5,0x1b6 + 800160f8: d447c783 lbu a5,-700(a5) # 801cbe38 <_ZL4mirr> + 800160fc: 00200713 li a4,2 + 80016100: f6e79ee3 bne a5,a4,8001607c <_ZL4Syncv+0xa0> + 80016104: 01013403 ld s0,16(sp) + 80016108: 01813083 ld ra,24(sp) + 8001610c: 00813483 ld s1,8(sp) + 80016110: 00013903 ld s2,0(sp) + 80016114: 00100513 li a0,1 + 80016118: 02010113 addi sp,sp,32 + 8001611c: f2dfa06f j 80011048 <_Z9setmirrori> + 80016120: 01013403 ld s0,16(sp) + 80016124: 01813083 ld ra,24(sp) + 80016128: 00813483 ld s1,8(sp) + 8001612c: 00013903 ld s2,0(sp) + 80016130: 00200513 li a0,2 + 80016134: 02010113 addi sp,sp,32 + 80016138: f11fa06f j 80011048 <_Z9setmirrori> + +000000008001613c <_ZL12StateRestorei>: + 8001613c: ea1ff06f j 80015fdc <_ZL4Syncv> + +0000000080016140 <_ZL13FFEWriteLatchjh>: + 80016140: 001b6797 auipc a5,0x1b6 + 80016144: d0b78223 sb a1,-764(a5) # 801cbe44 <_ZL5latch> + 80016148: e95ff06f j 80015fdc <_ZL4Syncv> + +000000008001614c <_ZL11FFEWriteChrjh>: + 8001614c: 00757793 andi a5,a0,7 + 80016150: 001b6517 auipc a0,0x1b6 + 80016154: ce050513 addi a0,a0,-800 # 801cbe30 <_ZL4creg> + 80016158: 00f50533 add a0,a0,a5 + 8001615c: 00b50023 sb a1,0(a0) + 80016160: e7dff06f j 80015fdc <_ZL4Syncv> + +0000000080016164 <_ZL11FFEWritePrgjh>: + 80016164: 00357793 andi a5,a0,3 + 80016168: 001b6517 auipc a0,0x1b6 + 8001616c: cd850513 addi a0,a0,-808 # 801cbe40 <_ZL4preg> + 80016170: 00f50533 add a0,a0,a5 + 80016174: 00b50023 sb a1,0(a0) + 80016178: e65ff06f j 80015fdc <_ZL4Syncv> + +000000008001617c <_ZL12FFEWriteMirrjh>: + 8001617c: 00151513 slli a0,a0,0x1 + 80016180: 0045d593 srli a1,a1,0x4 + 80016184: 00257513 andi a0,a0,2 + 80016188: 0015f593 andi a1,a1,1 + 8001618c: 00b56533 or a0,a0,a1 + 80016190: 001b6797 auipc a5,0x1b6 + 80016194: caa78423 sb a0,-856(a5) # 801cbe38 <_ZL4mirr> + 80016198: e45ff06f j 80015fdc <_ZL4Syncv> + +000000008001619c <_ZL8FFEClosev>: + 8001619c: 001b6517 auipc a0,0x1b6 + 800161a0: c8c53503 ld a0,-884(a0) # 801cbe28 <_ZL4WRAM> + 800161a4: 02050263 beqz a0,800161c8 <_ZL8FFEClosev+0x2c> + 800161a8: ff010113 addi sp,sp,-16 + 800161ac: 00113423 sd ra,8(sp) + 800161b0: b90fc0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800161b4: 00813083 ld ra,8(sp) + 800161b8: 001b6797 auipc a5,0x1b6 + 800161bc: c607b823 sd zero,-912(a5) # 801cbe28 <_ZL4WRAM> + 800161c0: 01010113 addi sp,sp,16 + 800161c4: 00008067 ret + 800161c8: 001b6797 auipc a5,0x1b6 + 800161cc: c607b023 sd zero,-928(a5) # 801cbe28 <_ZL4WRAM> + 800161d0: 00008067 ret + +00000000800161d4 <_ZL8FFEPowerv>: + 800161d4: fe010113 addi sp,sp,-32 + 800161d8: fff00793 li a5,-1 + 800161dc: 00113c23 sd ra,24(sp) + 800161e0: 001b6717 auipc a4,0x1b6 + 800161e4: c6f701a3 sb a5,-925(a4) # 801cbe43 <_ZL4preg+0x3> + 800161e8: 00813823 sd s0,16(sp) + 800161ec: 00913423 sd s1,8(sp) + 800161f0: dedff0ef jal ra,80015fdc <_ZL4Syncv> + 800161f4: 000104b7 lui s1,0x10 + 800161f8: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 800161fc: ffffa617 auipc a2,0xffffa + 80016200: 46460613 addi a2,a2,1124 # 80010660 <_Z6CartBRj> + 80016204: 00008537 lui a0,0x8 + 80016208: 00004437 lui s0,0x4 + 8001620c: 0111d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016210: 2ff40593 addi a1,s0,767 # 42ff <_entry_offset+0x42ff> + 80016214: 2fe40513 addi a0,s0,766 + 80016218: 00000617 auipc a2,0x0 + 8001621c: f6460613 addi a2,a2,-156 # 8001617c <_ZL12FFEWriteMirrjh> + 80016220: 1091d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016224: 50340593 addi a1,s0,1283 + 80016228: 50040513 addi a0,s0,1280 + 8001622c: 00000617 auipc a2,0x0 + 80016230: 10c60613 addi a2,a2,268 # 80016338 <_ZL11FFEWriteIRQjh> + 80016234: 0f51d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016238: 50740593 addi a1,s0,1287 + 8001623c: 50440513 addi a0,s0,1284 + 80016240: 00000617 auipc a2,0x0 + 80016244: f2460613 addi a2,a2,-220 # 80016164 <_ZL11FFEWritePrgjh> + 80016248: 0e11d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001624c: 51740593 addi a1,s0,1303 + 80016250: 51040513 addi a0,s0,1296 + 80016254: 00000617 auipc a2,0x0 + 80016258: ef860613 addi a2,a2,-264 # 8001614c <_ZL11FFEWriteChrjh> + 8001625c: 0cd1d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016260: 51740593 addi a1,s0,1303 + 80016264: 51040513 addi a0,s0,1296 + 80016268: 00000617 auipc a2,0x0 + 8001626c: ee460613 addi a2,a2,-284 # 8001614c <_ZL11FFEWriteChrjh> + 80016270: 0b91d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016274: 00008437 lui s0,0x8 + 80016278: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8001627c: ffffa617 auipc a2,0xffffa + 80016280: 41060613 addi a2,a2,1040 # 8001068c <_Z6CartBWjh> + 80016284: 00006537 lui a0,0x6 + 80016288: 0a11d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001628c: fff40593 addi a1,s0,-1 + 80016290: ffffa617 auipc a2,0xffffa + 80016294: 3d060613 addi a2,a2,976 # 80010660 <_Z6CartBRj> + 80016298: 00006537 lui a0,0x6 + 8001629c: 7801d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800162a0: fff48593 addi a1,s1,-1 + 800162a4: 00000617 auipc a2,0x0 + 800162a8: e9c60613 addi a2,a2,-356 # 80016140 <_ZL13FFEWriteLatchjh> + 800162ac: 00008537 lui a0,0x8 + 800162b0: 0791d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800162b4: 01013403 ld s0,16(sp) + 800162b8: 01813083 ld ra,24(sp) + 800162bc: 00813483 ld s1,8(sp) + 800162c0: 001b6517 auipc a0,0x1b6 + 800162c4: b9052503 lw a0,-1136(a0) # 801cbe50 <_ZL8WRAMSIZE> + 800162c8: 001b6617 auipc a2,0x1b6 + 800162cc: b6063603 ld a2,-1184(a2) # 801cbe28 <_ZL4WRAM> + 800162d0: 000065b7 lui a1,0x6 + 800162d4: 00a5551b srliw a0,a0,0xa + 800162d8: 02010113 addi sp,sp,32 + 800162dc: d39e906f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +00000000800162e0 <_ZL10FFEIRQHooki>: + 800162e0: 001b6797 auipc a5,0x1b6 + 800162e4: b407c783 lbu a5,-1216(a5) # 801cbe20 <_ZL4IRQa> + 800162e8: 02078063 beqz a5,80016308 <_ZL10FFEIRQHooki+0x28> + 800162ec: 001b6717 auipc a4,0x1b6 + 800162f0: b5c70713 addi a4,a4,-1188 # 801cbe48 <_ZL8IRQCount> + 800162f4: 00072783 lw a5,0(a4) + 800162f8: 000106b7 lui a3,0x10 + 800162fc: 00a787bb addw a5,a5,a0 + 80016300: 00f72023 sw a5,0(a4) + 80016304: 00d7d463 bge a5,a3,8001630c <_ZL10FFEIRQHooki+0x2c> + 80016308: 00008067 ret + 8001630c: ff010113 addi sp,sp,-16 + 80016310: 00100513 li a0,1 + 80016314: 00113423 sd ra,8(sp) + 80016318: a21ea0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8001631c: 00813083 ld ra,8(sp) + 80016320: 001b6797 auipc a5,0x1b6 + 80016324: b0078023 sb zero,-1280(a5) # 801cbe20 <_ZL4IRQa> + 80016328: 001b6797 auipc a5,0x1b6 + 8001632c: b207a023 sw zero,-1248(a5) # 801cbe48 <_ZL8IRQCount> + 80016330: 01010113 addi sp,sp,16 + 80016334: 00008067 ret + +0000000080016338 <_ZL11FFEWriteIRQjh>: + 80016338: 000047b7 lui a5,0x4 + 8001633c: 50278713 addi a4,a5,1282 # 4502 <_entry_offset+0x4502> + 80016340: 00e50c63 beq a0,a4,80016358 <_ZL11FFEWriteIRQjh+0x20> + 80016344: 50378713 addi a4,a5,1283 + 80016348: 04e50463 beq a0,a4,80016390 <_ZL11FFEWriteIRQjh+0x58> + 8001634c: 50178793 addi a5,a5,1281 + 80016350: 02f50863 beq a0,a5,80016380 <_ZL11FFEWriteIRQjh+0x48> + 80016354: 00008067 ret + 80016358: 001b6697 auipc a3,0x1b6 + 8001635c: af068693 addi a3,a3,-1296 # 801cbe48 <_ZL8IRQCount> + 80016360: 0006a783 lw a5,0(a3) + 80016364: 00010737 lui a4,0x10 + 80016368: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8001636c: 00e7f7b3 and a5,a5,a4 + 80016370: 00b7e5b3 or a1,a5,a1 + 80016374: 00100513 li a0,1 + 80016378: 00b6a023 sw a1,0(a3) + 8001637c: 9d5ea06f j 80000d50 <_Z12X6502_IRQEndi> + 80016380: 00100513 li a0,1 + 80016384: 001b6797 auipc a5,0x1b6 + 80016388: a8078e23 sb zero,-1380(a5) # 801cbe20 <_ZL4IRQa> + 8001638c: 9c5ea06f j 80000d50 <_Z12X6502_IRQEndi> + 80016390: 001b6717 auipc a4,0x1b6 + 80016394: ab870713 addi a4,a4,-1352 # 801cbe48 <_ZL8IRQCount> + 80016398: 00074783 lbu a5,0(a4) + 8001639c: 0085959b slliw a1,a1,0x8 + 800163a0: 00100513 li a0,1 + 800163a4: 00b7e5b3 or a1,a5,a1 + 800163a8: 00100793 li a5,1 + 800163ac: 00b72023 sw a1,0(a4) + 800163b0: 001b6717 auipc a4,0x1b6 + 800163b4: a6f70823 sb a5,-1424(a4) # 801cbe20 <_ZL4IRQa> + 800163b8: 999ea06f j 80000d50 <_Z12X6502_IRQEndi> + +00000000800163bc <_Z12Mapper6_InitP8CartInfo>: + 800163bc: 04852783 lw a5,72(a0) + 800163c0: fe010113 addi sp,sp,-32 + 800163c4: 00113c23 sd ra,24(sp) + 800163c8: 0017f713 andi a4,a5,1 + 800163cc: 00300793 li a5,3 + 800163d0: 40e787bb subw a5,a5,a4 + 800163d4: 00813823 sd s0,16(sp) + 800163d8: 00913423 sd s1,8(sp) + 800163dc: 01213023 sd s2,0(sp) + 800163e0: 001b6717 auipc a4,0x1b6 + 800163e4: a4f70c23 sb a5,-1448(a4) # 801cbe38 <_ZL4mirr> + 800163e8: 00000797 auipc a5,0x0 + 800163ec: dec78793 addi a5,a5,-532 # 800161d4 <_ZL8FFEPowerv> + 800163f0: 00f53023 sd a5,0(a0) + 800163f4: 00000797 auipc a5,0x0 + 800163f8: da878793 addi a5,a5,-600 # 8001619c <_ZL8FFEClosev> + 800163fc: 00f53823 sd a5,16(a0) + 80016400: 001b6797 auipc a5,0x1b6 + 80016404: a40782a3 sb zero,-1467(a5) # 801cbe45 <_ZL7ffemode> + 80016408: 00000797 auipc a5,0x0 + 8001640c: ed878793 addi a5,a5,-296 # 800162e0 <_ZL10FFEIRQHooki> + 80016410: 001b5717 auipc a4,0x1b5 + 80016414: 72f73823 sd a5,1840(a4) # 801cbb40 + 80016418: 00000797 auipc a5,0x0 + 8001641c: d2478793 addi a5,a5,-732 # 8001613c <_ZL12StateRestorei> + 80016420: 001b6497 auipc s1,0x1b6 + 80016424: a3048493 addi s1,s1,-1488 # 801cbe50 <_ZL8WRAMSIZE> + 80016428: 00050413 mv s0,a0 + 8001642c: 001b6717 auipc a4,0x1b6 + 80016430: 22f73623 sd a5,556(a4) # 801cc658 + 80016434: 00002537 lui a0,0x2 + 80016438: 000027b7 lui a5,0x2 + 8001643c: 00f4a023 sw a5,0(s1) + 80016440: 82cfc0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80016444: 0004a603 lw a2,0(s1) + 80016448: 00050593 mv a1,a0 + 8001644c: 001b6917 auipc s2,0x1b6 + 80016450: 9dc90913 addi s2,s2,-1572 # 801cbe28 <_ZL4WRAM> + 80016454: 00100693 li a3,1 + 80016458: 01000513 li a0,16 + 8001645c: 00b93023 sd a1,0(s2) + 80016460: 894fa0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80016464: 0004a583 lw a1,0(s1) + 80016468: 00093503 ld a0,0(s2) + 8001646c: 0002f697 auipc a3,0x2f + 80016470: ebc68693 addi a3,a3,-324 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80016474: 00000613 li a2,0 + 80016478: b99e90ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001647c: 04c42783 lw a5,76(s0) + 80016480: 00078a63 beqz a5,80016494 <_Z12Mapper6_InitP8CartInfo+0xd8> + 80016484: 00093703 ld a4,0(s2) + 80016488: 0004a783 lw a5,0(s1) + 8001648c: 00e43c23 sd a4,24(s0) + 80016490: 02f42c23 sw a5,56(s0) + 80016494: 01013403 ld s0,16(sp) + 80016498: 01813083 ld ra,24(sp) + 8001649c: 00813483 ld s1,8(sp) + 800164a0: 00013903 ld s2,0(sp) + 800164a4: 00000693 li a3,0 + 800164a8: 00000613 li a2,0 + 800164ac: fff00593 li a1,-1 + 800164b0: 00034517 auipc a0,0x34 + 800164b4: 3a850513 addi a0,a0,936 # 8004a858 <_ZL9StateRegs> + 800164b8: 02010113 addi sp,sp,32 + 800164bc: b55e906f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800164c0 <_Z13Mapper17_InitP8CartInfo>: + 800164c0: 00100793 li a5,1 + 800164c4: 001b6717 auipc a4,0x1b6 + 800164c8: 98f700a3 sb a5,-1663(a4) # 801cbe45 <_ZL7ffemode> + 800164cc: ef1ff06f j 800163bc <_Z12Mapper6_InitP8CartInfo> + +00000000800164d0 <_ZL4Syncv>: + 800164d0: fe010113 addi sp,sp,-32 + 800164d4: 00813823 sd s0,16(sp) + 800164d8: 001b6417 auipc s0,0x1b6 + 800164dc: 97c40413 addi s0,s0,-1668 # 801cbe54 <_ZL4bank> + 800164e0: 00044583 lbu a1,0(s0) + 800164e4: 00113c23 sd ra,24(sp) + 800164e8: 00913423 sd s1,8(sp) + 800164ec: 0405f793 andi a5,a1,64 + 800164f0: 06078463 beqz a5,80016558 <_ZL4Syncv+0x88> + 800164f4: 001b6497 auipc s1,0x1b6 + 800164f8: 96148493 addi s1,s1,-1695 # 801cbe55 <_ZL4preg> + 800164fc: 0004c783 lbu a5,0(s1) + 80016500: 00e5f593 andi a1,a1,14 + 80016504: 00008537 lui a0,0x8 + 80016508: 0017f793 andi a5,a5,1 + 8001650c: 00b7e5b3 or a1,a5,a1 + 80016510: 905fa0ef jal ra,80010e14 <_Z8setprg32jj> + 80016514: 00044503 lbu a0,0(s0) + 80016518: 0004c783 lbu a5,0(s1) + 8001651c: 0025151b slliw a0,a0,0x2 + 80016520: 4047d79b sraiw a5,a5,0x4 + 80016524: 03857513 andi a0,a0,56 + 80016528: 0077f793 andi a5,a5,7 + 8001652c: 00f56533 or a0,a0,a5 + 80016530: 9d5fa0ef jal ra,80010f04 <_Z7setchr8j> + 80016534: 00044503 lbu a0,0(s0) + 80016538: 01013403 ld s0,16(sp) + 8001653c: 01813083 ld ra,24(sp) + 80016540: 00813483 ld s1,8(sp) + 80016544: 4075551b sraiw a0,a0,0x7 + 80016548: 00154513 xori a0,a0,1 + 8001654c: 0005051b sext.w a0,a0 + 80016550: 02010113 addi sp,sp,32 + 80016554: af5fa06f j 80011048 <_Z9setmirrori> + 80016558: 00008537 lui a0,0x8 + 8001655c: 00f5f593 andi a1,a1,15 + 80016560: 8b5fa0ef jal ra,80010e14 <_Z8setprg32jj> + 80016564: 00044503 lbu a0,0(s0) + 80016568: 001b6797 auipc a5,0x1b6 + 8001656c: 8ed7c783 lbu a5,-1811(a5) # 801cbe55 <_ZL4preg> + 80016570: 4047d79b sraiw a5,a5,0x4 + 80016574: 0025151b slliw a0,a0,0x2 + 80016578: 0037f793 andi a5,a5,3 + 8001657c: 03c57513 andi a0,a0,60 + 80016580: 00f56533 or a0,a0,a5 + 80016584: 981fa0ef jal ra,80010f04 <_Z7setchr8j> + 80016588: fadff06f j 80016534 <_ZL4Syncv+0x64> + +000000008001658c <_Z12M234ReadBankj>: + 8001658c: fe010113 addi sp,sp,-32 + 80016590: 00113c23 sd ra,24(sp) + 80016594: 8ccfa0ef jal ra,80010660 <_Z6CartBRj> + 80016598: 001b6797 auipc a5,0x1b6 + 8001659c: 8bc78793 addi a5,a5,-1860 # 801cbe54 <_ZL4bank> + 800165a0: 0007c703 lbu a4,0(a5) + 800165a4: 00070863 beqz a4,800165b4 <_Z12M234ReadBankj+0x28> + 800165a8: 01813083 ld ra,24(sp) + 800165ac: 02010113 addi sp,sp,32 + 800165b0: 00008067 ret + 800165b4: 00a13423 sd a0,8(sp) + 800165b8: 00a78023 sb a0,0(a5) + 800165bc: f15ff0ef jal ra,800164d0 <_ZL4Syncv> + 800165c0: 01813083 ld ra,24(sp) + 800165c4: 00813503 ld a0,8(sp) + 800165c8: 02010113 addi sp,sp,32 + 800165cc: 00008067 ret + +00000000800165d0 <_Z12M234ReadPregj>: + 800165d0: ff010113 addi sp,sp,-16 + 800165d4: 00113423 sd ra,8(sp) + 800165d8: 00813023 sd s0,0(sp) + 800165dc: 884fa0ef jal ra,80010660 <_Z6CartBRj> + 800165e0: 00050413 mv s0,a0 + 800165e4: 001b6797 auipc a5,0x1b6 + 800165e8: 86a788a3 sb a0,-1935(a5) # 801cbe55 <_ZL4preg> + 800165ec: ee5ff0ef jal ra,800164d0 <_ZL4Syncv> + 800165f0: 00813083 ld ra,8(sp) + 800165f4: 00040513 mv a0,s0 + 800165f8: 00013403 ld s0,0(sp) + 800165fc: 01010113 addi sp,sp,16 + 80016600: 00008067 ret + +0000000080016604 <_ZL12StateRestorei>: + 80016604: ecdff06f j 800164d0 <_ZL4Syncv> + +0000000080016608 <_ZL9M234Resetv>: + 80016608: 001b6797 auipc a5,0x1b6 + 8001660c: 840786a3 sb zero,-1971(a5) # 801cbe55 <_ZL4preg> + 80016610: 001b6797 auipc a5,0x1b6 + 80016614: 84078223 sb zero,-1980(a5) # 801cbe54 <_ZL4bank> + 80016618: eb9ff06f j 800164d0 <_ZL4Syncv> + +000000008001661c <_ZL9M234Powerv>: + 8001661c: ff010113 addi sp,sp,-16 + 80016620: 00113423 sd ra,8(sp) + 80016624: 00813023 sd s0,0(sp) + 80016628: 001b6797 auipc a5,0x1b6 + 8001662c: 820786a3 sb zero,-2003(a5) # 801cbe55 <_ZL4preg> + 80016630: 00010437 lui s0,0x10 + 80016634: 001b6797 auipc a5,0x1b6 + 80016638: 82078023 sb zero,-2016(a5) # 801cbe54 <_ZL4bank> + 8001663c: e95ff0ef jal ra,800164d0 <_ZL4Syncv> + 80016640: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80016644: ffffa617 auipc a2,0xffffa + 80016648: 01c60613 addi a2,a2,28 # 80010660 <_Z6CartBRj> + 8001664c: 00008537 lui a0,0x8 + 80016650: 3cc1d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016654: f9f40593 addi a1,s0,-97 + 80016658: f8040513 addi a0,s0,-128 + 8001665c: 00000617 auipc a2,0x0 + 80016660: f3060613 addi a2,a2,-208 # 8001658c <_Z12M234ReadBankj> + 80016664: 3b81d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016668: ff740593 addi a1,s0,-9 + 8001666c: fe840513 addi a0,s0,-24 + 80016670: 00013403 ld s0,0(sp) + 80016674: 00813083 ld ra,8(sp) + 80016678: 00000617 auipc a2,0x0 + 8001667c: f5860613 addi a2,a2,-168 # 800165d0 <_Z12M234ReadPregj> + 80016680: 01010113 addi sp,sp,16 + 80016684: 3981d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080016688 <_Z14Mapper234_InitP8CartInfo>: + 80016688: ff010113 addi sp,sp,-16 + 8001668c: 00113423 sd ra,8(sp) + 80016690: 00000797 auipc a5,0x0 + 80016694: f8c78793 addi a5,a5,-116 # 8001661c <_ZL9M234Powerv> + 80016698: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001669c: 00000797 auipc a5,0x0 + 800166a0: f6c78793 addi a5,a5,-148 # 80016608 <_ZL9M234Resetv> + 800166a4: 00f53423 sd a5,8(a0) + 800166a8: 00000693 li a3,0 + 800166ac: 00000613 li a2,0 + 800166b0: fff00593 li a1,-1 + 800166b4: 00034517 auipc a0,0x34 + 800166b8: 24c50513 addi a0,a0,588 # 8004a900 <_ZL9StateRegs> + 800166bc: 955e90ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800166c0: 00813083 ld ra,8(sp) + 800166c4: 00000797 auipc a5,0x0 + 800166c8: f4078793 addi a5,a5,-192 # 80016604 <_ZL12StateRestorei> + 800166cc: 001b6717 auipc a4,0x1b6 + 800166d0: f8f73623 sd a5,-116(a4) # 801cc658 + 800166d4: 01010113 addi sp,sp,16 + 800166d8: 00008067 ret + +00000000800166dc <_ZL11M225LoWritejh>: + 800166dc: 00008067 ret + +00000000800166e0 <_ZL10M225LoReadj>: + 800166e0: 00000513 li a0,0 + 800166e4: 00008067 ret + +00000000800166e8 <_ZL4Syncv>: + 800166e8: ff010113 addi sp,sp,-16 + 800166ec: 00813023 sd s0,0(sp) + 800166f0: 00113423 sd ra,8(sp) + 800166f4: 001b5417 auipc s0,0x1b5 + 800166f8: 76340413 addi s0,s0,1891 # 801cbe57 <_ZL3prg> + 800166fc: 001b5797 auipc a5,0x1b5 + 80016700: 75d7c783 lbu a5,1885(a5) # 801cbe59 <_ZL4mode> + 80016704: 00044583 lbu a1,0(s0) + 80016708: 04078063 beqz a5,80016748 <_ZL4Syncv+0x60> + 8001670c: 00008537 lui a0,0x8 + 80016710: d48fa0ef jal ra,80010c58 <_Z8setprg16jj> + 80016714: 00044583 lbu a1,0(s0) + 80016718: 0000c537 lui a0,0xc + 8001671c: d3cfa0ef jal ra,80010c58 <_Z8setprg16jj> + 80016720: 001b5517 auipc a0,0x1b5 + 80016724: 73654503 lbu a0,1846(a0) # 801cbe56 <_ZL3chr> + 80016728: fdcfa0ef jal ra,80010f04 <_Z7setchr8j> + 8001672c: 00013403 ld s0,0(sp) + 80016730: 00813083 ld ra,8(sp) + 80016734: 001b5517 auipc a0,0x1b5 + 80016738: 72454503 lbu a0,1828(a0) # 801cbe58 <_ZL4mirr> + 8001673c: 00154513 xori a0,a0,1 + 80016740: 01010113 addi sp,sp,16 + 80016744: 905fa06f j 80011048 <_Z9setmirrori> + 80016748: 0015d593 srli a1,a1,0x1 + 8001674c: 00008537 lui a0,0x8 + 80016750: ec4fa0ef jal ra,80010e14 <_Z8setprg32jj> + 80016754: fcdff06f j 80016720 <_ZL4Syncv+0x38> + +0000000080016758 <_ZL12StateRestorei>: + 80016758: f91ff06f j 800166e8 <_ZL4Syncv> + +000000008001675c <_ZL9M225Writejh>: + 8001675c: 00e5579b srliw a5,a0,0xe + 80016760: 0065561b srliw a2,a0,0x6 + 80016764: 0017f793 andi a5,a5,1 + 80016768: 00679793 slli a5,a5,0x6 + 8001676c: 00d5569b srliw a3,a0,0xd + 80016770: 00c5571b srliw a4,a0,0xc + 80016774: 03f67613 andi a2,a2,63 + 80016778: 03f57513 andi a0,a0,63 + 8001677c: 00177713 andi a4,a4,1 + 80016780: 0016f693 andi a3,a3,1 + 80016784: 00a7e533 or a0,a5,a0 + 80016788: 00c7e7b3 or a5,a5,a2 + 8001678c: 001b5617 auipc a2,0x1b5 + 80016790: 6cd60623 sb a3,1740(a2) # 801cbe58 <_ZL4mirr> + 80016794: 001b5697 auipc a3,0x1b5 + 80016798: 6ce682a3 sb a4,1733(a3) # 801cbe59 <_ZL4mode> + 8001679c: 001b5717 auipc a4,0x1b5 + 800167a0: 6aa70d23 sb a0,1722(a4) # 801cbe56 <_ZL3chr> + 800167a4: 001b5717 auipc a4,0x1b5 + 800167a8: 6af709a3 sb a5,1715(a4) # 801cbe57 <_ZL3prg> + 800167ac: f3dff06f j 800166e8 <_ZL4Syncv> + +00000000800167b0 <_ZL9M225Resetv>: + 800167b0: 001b5797 auipc a5,0x1b5 + 800167b4: 6a0783a3 sb zero,1703(a5) # 801cbe57 <_ZL3prg> + 800167b8: 001b5797 auipc a5,0x1b5 + 800167bc: 6a0780a3 sb zero,1697(a5) # 801cbe59 <_ZL4mode> + 800167c0: f29ff06f j 800166e8 <_ZL4Syncv> + +00000000800167c4 <_ZL9M225Powerv>: + 800167c4: ff010113 addi sp,sp,-16 + 800167c8: 00113423 sd ra,8(sp) + 800167cc: 00813023 sd s0,0(sp) + 800167d0: 001b5797 auipc a5,0x1b5 + 800167d4: 680783a3 sb zero,1671(a5) # 801cbe57 <_ZL3prg> + 800167d8: 00006437 lui s0,0x6 + 800167dc: 001b5797 auipc a5,0x1b5 + 800167e0: 66078ea3 sb zero,1661(a5) # 801cbe59 <_ZL4mode> + 800167e4: f05ff0ef jal ra,800166e8 <_ZL4Syncv> + 800167e8: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 800167ec: 00000617 auipc a2,0x0 + 800167f0: ef460613 addi a2,a2,-268 # 800166e0 <_ZL10M225LoReadj> + 800167f4: 00005537 lui a0,0x5 + 800167f8: 2241d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800167fc: fff40593 addi a1,s0,-1 + 80016800: 00000617 auipc a2,0x0 + 80016804: edc60613 addi a2,a2,-292 # 800166dc <_ZL11M225LoWritejh> + 80016808: 00005537 lui a0,0x5 + 8001680c: 31c1d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016810: 00010437 lui s0,0x10 + 80016814: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80016818: ffffa617 auipc a2,0xffffa + 8001681c: e4860613 addi a2,a2,-440 # 80010660 <_Z6CartBRj> + 80016820: 00008537 lui a0,0x8 + 80016824: 1f81d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016828: fff40593 addi a1,s0,-1 + 8001682c: 00013403 ld s0,0(sp) + 80016830: 00813083 ld ra,8(sp) + 80016834: 00000617 auipc a2,0x0 + 80016838: f2860613 addi a2,a2,-216 # 8001675c <_ZL9M225Writejh> + 8001683c: 00008537 lui a0,0x8 + 80016840: 01010113 addi sp,sp,16 + 80016844: 2e41d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080016848 <_Z14Mapper225_InitP8CartInfo>: + 80016848: 00000797 auipc a5,0x0 + 8001684c: f7c78793 addi a5,a5,-132 # 800167c4 <_ZL9M225Powerv> + 80016850: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80016854: 00000797 auipc a5,0x0 + 80016858: f5c78793 addi a5,a5,-164 # 800167b0 <_ZL9M225Resetv> + 8001685c: 00f53423 sd a5,8(a0) + 80016860: 00000797 auipc a5,0x0 + 80016864: ef878793 addi a5,a5,-264 # 80016758 <_ZL12StateRestorei> + 80016868: 00000693 li a3,0 + 8001686c: 00000613 li a2,0 + 80016870: fff00593 li a1,-1 + 80016874: 00034517 auipc a0,0x34 + 80016878: 0d450513 addi a0,a0,212 # 8004a948 <_ZL9StateRegs> + 8001687c: 001b6717 auipc a4,0x1b6 + 80016880: dcf73e23 sd a5,-548(a4) # 801cc658 + 80016884: f8ce906f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080016888 <_ZL8M187Readj>: + 80016888: 001b6797 auipc a5,0x1b6 + 8001688c: 9c97c783 lbu a5,-1591(a5) # 801cc251 + 80016890: 0037f713 andi a4,a5,3 + 80016894: 00030797 auipc a5,0x30 + 80016898: 13478793 addi a5,a5,308 # 800469c8 <_ZL9prot_data> + 8001689c: 00e787b3 add a5,a5,a4 + 800168a0: 0007c503 lbu a0,0(a5) + 800168a4: 00008067 ret + +00000000800168a8 <_ZL9M187Powerv>: + 800168a8: ff010113 addi sp,sp,-16 + 800168ac: 00113423 sd ra,8(sp) + 800168b0: 001b6797 auipc a5,0x1b6 + 800168b4: 9a078793 addi a5,a5,-1632 # 801cc250 + 800168b8: 000780a3 sb zero,1(a5) + 800168bc: 00078023 sb zero,0(a5) + 800168c0: 1010f0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800168c4: 000065b7 lui a1,0x6 + 800168c8: 00000617 auipc a2,0x0 + 800168cc: fc060613 addi a2,a2,-64 # 80016888 <_ZL8M187Readj> + 800168d0: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 800168d4: 00005537 lui a0,0x5 + 800168d8: 1441d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800168dc: 000075b7 lui a1,0x7 + 800168e0: 00000617 auipc a2,0x0 + 800168e4: 11060613 addi a2,a2,272 # 800169f0 <_ZL11M187WriteLojh> + 800168e8: fff58593 addi a1,a1,-1 # 6fff <_entry_offset+0x6fff> + 800168ec: 00005537 lui a0,0x5 + 800168f0: 2381d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800168f4: 00000617 auipc a2,0x0 + 800168f8: 04860613 addi a2,a2,72 # 8001693c <_ZL13M187Write8000jh> + 800168fc: 000085b7 lui a1,0x8 + 80016900: 00008537 lui a0,0x8 + 80016904: 2241d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016908: 00813083 ld ra,8(sp) + 8001690c: 000085b7 lui a1,0x8 + 80016910: 00158593 addi a1,a1,1 # 8001 <_entry_offset+0x8001> + 80016914: 00000617 auipc a2,0x0 + 80016918: 01460613 addi a2,a2,20 # 80016928 <_ZL13M187Write8001jh> + 8001691c: 00058513 mv a0,a1 + 80016920: 01010113 addi sp,sp,16 + 80016924: 2041d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080016928 <_ZL13M187Write8001jh>: + 80016928: 001b6797 auipc a5,0x1b6 + 8001692c: 9297c783 lbu a5,-1751(a5) # 801cc251 + 80016930: 00079463 bnez a5,80016938 <_ZL13M187Write8001jh+0x10> + 80016934: 00008067 ret + 80016938: 78d0f06f j 800268c4 <_Z13MMC3_CMDWritejh> + +000000008001693c <_ZL13M187Write8000jh>: + 8001693c: 00100793 li a5,1 + 80016940: 001b6717 auipc a4,0x1b6 + 80016944: 90f708a3 sb a5,-1775(a4) # 801cc251 + 80016948: 77d0f06f j 800268c4 <_Z13MMC3_CMDWritejh> + +000000008001694c <_ZL6M187CWjh>: + 8001694c: 001b6797 auipc a5,0x1b6 + 80016950: 9107c783 lbu a5,-1776(a5) # 801cc25c + 80016954: 0057979b slliw a5,a5,0x5 + 80016958: 00f547b3 xor a5,a0,a5 + 8001695c: 03379713 slli a4,a5,0x33 + 80016960: 00074663 bltz a4,8001696c <_ZL6M187CWjh+0x20> + 80016964: 1005e593 ori a1,a1,256 + 80016968: d3cfa06f j 80010ea4 <_Z7setchr1jj> + 8001696c: d38fa06f j 80010ea4 <_Z7setchr1jj> + +0000000080016970 <_ZL6M187PWjh>: + 80016970: 001b6797 auipc a5,0x1b6 + 80016974: 8e07c783 lbu a5,-1824(a5) # 801cc250 + 80016978: 0187971b slliw a4,a5,0x18 + 8001697c: 4187571b sraiw a4,a4,0x18 + 80016980: 02075463 bgez a4,800169a8 <_ZL6M187PWjh+0x38> + 80016984: 0207f713 andi a4,a5,32 + 80016988: 01f7f593 andi a1,a5,31 + 8001698c: 02070863 beqz a4,800169bc <_ZL6M187PWjh+0x4c> + 80016990: 0407f793 andi a5,a5,64 + 80016994: 0005859b sext.w a1,a1 + 80016998: 00078c63 beqz a5,800169b0 <_ZL6M187PWjh+0x40> + 8001699c: 0025d593 srli a1,a1,0x2 + 800169a0: 00008537 lui a0,0x8 + 800169a4: c70fa06f j 80010e14 <_Z8setprg32jj> + 800169a8: 03f5f593 andi a1,a1,63 + 800169ac: 8f0fa06f j 80010a9c <_Z7setprg8jj> + 800169b0: 0015d593 srli a1,a1,0x1 + 800169b4: 00008537 lui a0,0x8 + 800169b8: c5cfa06f j 80010e14 <_Z8setprg32jj> + 800169bc: ff010113 addi sp,sp,-16 + 800169c0: 00813023 sd s0,0(sp) + 800169c4: 0005841b sext.w s0,a1 + 800169c8: 00040593 mv a1,s0 + 800169cc: 00008537 lui a0,0x8 + 800169d0: 00113423 sd ra,8(sp) + 800169d4: a84fa0ef jal ra,80010c58 <_Z8setprg16jj> + 800169d8: 00040593 mv a1,s0 + 800169dc: 00013403 ld s0,0(sp) + 800169e0: 00813083 ld ra,8(sp) + 800169e4: 0000c537 lui a0,0xc + 800169e8: 01010113 addi sp,sp,16 + 800169ec: a6cfa06f j 80010c58 <_Z8setprg16jj> + +00000000800169f0 <_ZL11M187WriteLojh>: + 800169f0: ffffb7b7 lui a5,0xffffb + 800169f4: 00a7853b addw a0,a5,a0 + 800169f8: fffff7b7 lui a5,0xfffff + 800169fc: fff78793 addi a5,a5,-1 # ffffffffffffefff <_end+0xffffffff7fe29fff> + 80016a00: 00f57533 and a0,a0,a5 + 80016a04: 0005051b sext.w a0,a0 + 80016a08: 00050463 beqz a0,80016a10 <_ZL11M187WriteLojh+0x20> + 80016a0c: 00008067 ret + 80016a10: 001b6517 auipc a0,0x1b6 + 80016a14: 84c54503 lbu a0,-1972(a0) # 801cc25c + 80016a18: 001b6797 auipc a5,0x1b6 + 80016a1c: 82b78c23 sb a1,-1992(a5) # 801cc250 + 80016a20: 44c0f06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080016a24 <_Z14Mapper187_InitP8CartInfo>: + 80016a24: ff010113 addi sp,sp,-16 + 80016a28: 00000713 li a4,0 + 80016a2c: 00000693 li a3,0 + 80016a30: 10000613 li a2,256 + 80016a34: 10000593 li a1,256 + 80016a38: 00813023 sd s0,0(sp) + 80016a3c: 00113423 sd ra,8(sp) + 80016a40: 00050413 mv s0,a0 + 80016a44: 5d4100ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80016a48: 00000797 auipc a5,0x0 + 80016a4c: f2878793 addi a5,a5,-216 # 80016970 <_ZL6M187PWjh> + 80016a50: 001b6717 auipc a4,0x1b6 + 80016a54: 84f73423 sd a5,-1976(a4) # 801cc298 + 80016a58: 00000797 auipc a5,0x0 + 80016a5c: ef478793 addi a5,a5,-268 # 8001694c <_ZL6M187CWjh> + 80016a60: 001b6717 auipc a4,0x1b6 + 80016a64: 82f73023 sd a5,-2016(a4) # 801cc280 + 80016a68: 00000797 auipc a5,0x0 + 80016a6c: e4078793 addi a5,a5,-448 # 800168a8 <_ZL9M187Powerv> + 80016a70: 00f43023 sd a5,0(s0) + 80016a74: 00013403 ld s0,0(sp) + 80016a78: 00813083 ld ra,8(sp) + 80016a7c: 0002f697 auipc a3,0x2f + 80016a80: a7468693 addi a3,a3,-1420 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80016a84: 00000613 li a2,0 + 80016a88: 00300593 li a1,3 + 80016a8c: 001b5517 auipc a0,0x1b5 + 80016a90: 7c450513 addi a0,a0,1988 # 801cc250 + 80016a94: 01010113 addi sp,sp,16 + 80016a98: d78e906f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080016a9c <_ZL7SyncPrgv>: + 80016a9c: ff010113 addi sp,sp,-16 + 80016aa0: 00813023 sd s0,0(sp) + 80016aa4: 001b5417 auipc s0,0x1b5 + 80016aa8: 3cc40413 addi s0,s0,972 # 801cbe70 <_ZL3prg> + 80016aac: 00344583 lbu a1,3(s0) + 80016ab0: 00006537 lui a0,0x6 + 80016ab4: 00113423 sd ra,8(sp) + 80016ab8: fe5f90ef jal ra,80010a9c <_Z7setprg8jj> + 80016abc: 00044583 lbu a1,0(s0) + 80016ac0: 00008537 lui a0,0x8 + 80016ac4: fd9f90ef jal ra,80010a9c <_Z7setprg8jj> + 80016ac8: 00144583 lbu a1,1(s0) + 80016acc: 0000a537 lui a0,0xa + 80016ad0: fcdf90ef jal ra,80010a9c <_Z7setprg8jj> + 80016ad4: 00244583 lbu a1,2(s0) + 80016ad8: 0000c537 lui a0,0xc + 80016adc: fc1f90ef jal ra,80010a9c <_Z7setprg8jj> + 80016ae0: 00013403 ld s0,0(sp) + 80016ae4: 00813083 ld ra,8(sp) + 80016ae8: fff00593 li a1,-1 + 80016aec: 0000e537 lui a0,0xe + 80016af0: 01010113 addi sp,sp,16 + 80016af4: fa9f906f j 80010a9c <_Z7setprg8jj> + +0000000080016af8 <_ZL8SyncMirrv>: + 80016af8: 001b5797 auipc a5,0x1b5 + 80016afc: 37d7c783 lbu a5,893(a5) # 801cbe75 <_ZL4mirr> + 80016b00: 00200713 li a4,2 + 80016b04: 02e78863 beq a5,a4,80016b34 <_ZL8SyncMirrv+0x3c> + 80016b08: 00f76863 bltu a4,a5,80016b18 <_ZL8SyncMirrv+0x20> + 80016b0c: 02078063 beqz a5,80016b2c <_ZL8SyncMirrv+0x34> + 80016b10: 00000513 li a0,0 + 80016b14: d34fa06f j 80011048 <_Z9setmirrori> + 80016b18: 00300713 li a4,3 + 80016b1c: 00e79663 bne a5,a4,80016b28 <_ZL8SyncMirrv+0x30> + 80016b20: 00300513 li a0,3 + 80016b24: d24fa06f j 80011048 <_Z9setmirrori> + 80016b28: 00008067 ret + 80016b2c: 00100513 li a0,1 + 80016b30: d18fa06f j 80011048 <_Z9setmirrori> + 80016b34: 00200513 li a0,2 + 80016b38: d10fa06f j 80011048 <_Z9setmirrori> + +0000000080016b3c <_ZL12StateRestorei>: + 80016b3c: fe010113 addi sp,sp,-32 + 80016b40: 00813823 sd s0,16(sp) + 80016b44: 00913423 sd s1,8(sp) + 80016b48: 01213023 sd s2,0(sp) + 80016b4c: 00113c23 sd ra,24(sp) + 80016b50: 001b5497 auipc s1,0x1b5 + 80016b54: 31848493 addi s1,s1,792 # 801cbe68 <_ZL3chr> + 80016b58: f45ff0ef jal ra,80016a9c <_ZL7SyncPrgv> + 80016b5c: 00000413 li s0,0 + 80016b60: 00002937 lui s2,0x2 + 80016b64: 0004c583 lbu a1,0(s1) + 80016b68: 00040513 mv a0,s0 + 80016b6c: 4004041b addiw s0,s0,1024 + 80016b70: b34fa0ef jal ra,80010ea4 <_Z7setchr1jj> + 80016b74: 00148493 addi s1,s1,1 + 80016b78: ff2416e3 bne s0,s2,80016b64 <_ZL12StateRestorei+0x28> + 80016b7c: 01013403 ld s0,16(sp) + 80016b80: 01813083 ld ra,24(sp) + 80016b84: 00813483 ld s1,8(sp) + 80016b88: 00013903 ld s2,0(sp) + 80016b8c: 02010113 addi sp,sp,32 + 80016b90: f69ff06f j 80016af8 <_ZL8SyncMirrv> + +0000000080016b94 <_ZL9M183Powerv>: + 80016b94: fe010113 addi sp,sp,-32 + 80016b98: 00813823 sd s0,16(sp) + 80016b9c: 00010437 lui s0,0x10 + 80016ba0: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80016ba4: ffffa617 auipc a2,0xffffa + 80016ba8: abc60613 addi a2,a2,-1348 # 80010660 <_Z6CartBRj> + 80016bac: 00006537 lui a0,0x6 + 80016bb0: 00113c23 sd ra,24(sp) + 80016bb4: 00913423 sd s1,8(sp) + 80016bb8: 01213023 sd s2,0(sp) + 80016bbc: 001b5797 auipc a5,0x1b5 + 80016bc0: 2a078c23 sb zero,696(a5) # 801cbe74 <_ZL4IRQa> + 80016bc4: 001b5797 auipc a5,0x1b5 + 80016bc8: 2a0789a3 sb zero,691(a5) # 801cbe77 <_ZL8IRQCount> + 80016bcc: 001b5797 auipc a5,0x1b5 + 80016bd0: 2a078523 sb zero,682(a5) # 801cbe76 <_ZL6IRQPre> + 80016bd4: 6491c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016bd8: fff40593 addi a1,s0,-1 + 80016bdc: 00000617 auipc a2,0x0 + 80016be0: 05460613 addi a2,a2,84 # 80016c30 <_ZL9M183Writejh> + 80016be4: 00006537 lui a0,0x6 + 80016be8: 7411c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016bec: 001b5497 auipc s1,0x1b5 + 80016bf0: 27c48493 addi s1,s1,636 # 801cbe68 <_ZL3chr> + 80016bf4: ea9ff0ef jal ra,80016a9c <_ZL7SyncPrgv> + 80016bf8: 00000413 li s0,0 + 80016bfc: 00002937 lui s2,0x2 + 80016c00: 0004c583 lbu a1,0(s1) + 80016c04: 00040513 mv a0,s0 + 80016c08: 4004041b addiw s0,s0,1024 + 80016c0c: a98fa0ef jal ra,80010ea4 <_Z7setchr1jj> + 80016c10: 00148493 addi s1,s1,1 + 80016c14: ff2416e3 bne s0,s2,80016c00 <_ZL9M183Powerv+0x6c> + 80016c18: 01813083 ld ra,24(sp) + 80016c1c: 01013403 ld s0,16(sp) + 80016c20: 00813483 ld s1,8(sp) + 80016c24: 00013903 ld s2,0(sp) + 80016c28: 02010113 addi sp,sp,32 + 80016c2c: 00008067 ret + +0000000080016c30 <_ZL9M183Writejh>: + 80016c30: 000107b7 lui a5,0x10 + 80016c34: 80078713 addi a4,a5,-2048 # f800 <_entry_offset+0xf800> + 80016c38: 00e576b3 and a3,a0,a4 + 80016c3c: 00007737 lui a4,0x7 + 80016c40: 80070713 addi a4,a4,-2048 # 6800 <_entry_offset+0x6800> + 80016c44: 14e68663 beq a3,a4,80016d90 <_ZL9M183Writejh+0x160> + 80016c48: 80c78793 addi a5,a5,-2036 + 80016c4c: 00f577b3 and a5,a0,a5 + 80016c50: ffff56b7 lui a3,0xffff5 + 80016c54: 00003737 lui a4,0x3 + 80016c58: 00f686bb addw a3,a3,a5 + 80016c5c: 00c70713 addi a4,a4,12 # 300c <_entry_offset+0x300c> + 80016c60: 02d77a63 bgeu a4,a3,80016c94 <_ZL9M183Writejh+0x64> + 80016c64: 0000f737 lui a4,0xf + 80016c68: 16e78863 beq a5,a4,80016dd8 <_ZL9M183Writejh+0x1a8> + 80016c6c: 0cf76e63 bltu a4,a5,80016d48 <_ZL9M183Writejh+0x118> + 80016c70: 0000a737 lui a4,0xa + 80016c74: 12e78863 beq a5,a4,80016da4 <_ZL9M183Writejh+0x174> + 80016c78: 0af77663 bgeu a4,a5,80016d24 <_ZL9M183Writejh+0xf4> + 80016c7c: 0000b737 lui a4,0xb + 80016c80: 80070713 addi a4,a4,-2048 # a800 <_entry_offset+0xa800> + 80016c84: 10e79e63 bne a5,a4,80016da0 <_ZL9M183Writejh+0x170> + 80016c88: 001b5797 auipc a5,0x1b5 + 80016c8c: 1eb784a3 sb a1,489(a5) # 801cbe71 <_ZL3prg+0x1> + 80016c90: e0dff06f j 80016a9c <_ZL7SyncPrgv> + 80016c94: 00b5579b srliw a5,a0,0xb + 80016c98: 0035571b srliw a4,a0,0x3 + 80016c9c: ffa7879b addiw a5,a5,-6 + 80016ca0: fe010113 addi sp,sp,-32 + 80016ca4: 00e7e7b3 or a5,a5,a4 + 80016ca8: 00913423 sd s1,8(sp) + 80016cac: 0077f793 andi a5,a5,7 + 80016cb0: 001b5497 auipc s1,0x1b5 + 80016cb4: 1b848493 addi s1,s1,440 # 801cbe68 <_ZL3chr> + 80016cb8: 00813823 sd s0,16(sp) + 80016cbc: 01213023 sd s2,0(sp) + 80016cc0: 00113c23 sd ra,24(sp) + 80016cc4: 00f487b3 add a5,s1,a5 + 80016cc8: 0007c703 lbu a4,0(a5) + 80016ccc: 00457513 andi a0,a0,4 + 80016cd0: 0f000693 li a3,240 + 80016cd4: 40a6d6bb sraw a3,a3,a0 + 80016cd8: 00f5f593 andi a1,a1,15 + 80016cdc: 00d77733 and a4,a4,a3 + 80016ce0: 00a5953b sllw a0,a1,a0 + 80016ce4: 00a76533 or a0,a4,a0 + 80016ce8: 00a78023 sb a0,0(a5) + 80016cec: 00000413 li s0,0 + 80016cf0: 00002937 lui s2,0x2 + 80016cf4: 0004c583 lbu a1,0(s1) + 80016cf8: 00040513 mv a0,s0 + 80016cfc: 4004041b addiw s0,s0,1024 + 80016d00: 9a4fa0ef jal ra,80010ea4 <_Z7setchr1jj> + 80016d04: 00148493 addi s1,s1,1 + 80016d08: ff2416e3 bne s0,s2,80016cf4 <_ZL9M183Writejh+0xc4> + 80016d0c: 01813083 ld ra,24(sp) + 80016d10: 01013403 ld s0,16(sp) + 80016d14: 00813483 ld s1,8(sp) + 80016d18: 00013903 ld s2,0(sp) + 80016d1c: 02010113 addi sp,sp,32 + 80016d20: 00008067 ret + 80016d24: 000096b7 lui a3,0x9 + 80016d28: 80068693 addi a3,a3,-2048 # 8800 <_entry_offset+0x8800> + 80016d2c: 08d78263 beq a5,a3,80016db0 <_ZL9M183Writejh+0x180> + 80016d30: 80070713 addi a4,a4,-2048 + 80016d34: 0ce79263 bne a5,a4,80016df8 <_ZL9M183Writejh+0x1c8> + 80016d38: 0035f593 andi a1,a1,3 + 80016d3c: 001b5797 auipc a5,0x1b5 + 80016d40: 12b78ca3 sb a1,313(a5) # 801cbe75 <_ZL4mirr> + 80016d44: db5ff06f j 80016af8 <_ZL8SyncMirrv> + 80016d48: 00870693 addi a3,a4,8 + 80016d4c: 06d78863 beq a5,a3,80016dbc <_ZL9M183Writejh+0x18c> + 80016d50: 00c70693 addi a3,a4,12 + 80016d54: 00d79a63 bne a5,a3,80016d68 <_ZL9M183Writejh+0x138> + 80016d58: 01000793 li a5,16 + 80016d5c: 001b5717 auipc a4,0x1b5 + 80016d60: 10f70d23 sb a5,282(a4) # 801cbe76 <_ZL6IRQPre> + 80016d64: 00008067 ret + 80016d68: 00470713 addi a4,a4,4 + 80016d6c: 08e79863 bne a5,a4,80016dfc <_ZL9M183Writejh+0x1cc> + 80016d70: 001b5717 auipc a4,0x1b5 + 80016d74: 10770713 addi a4,a4,263 # 801cbe77 <_ZL8IRQCount> + 80016d78: 00074783 lbu a5,0(a4) + 80016d7c: 00459593 slli a1,a1,0x4 + 80016d80: 00f7f793 andi a5,a5,15 + 80016d84: 00b7e7b3 or a5,a5,a1 + 80016d88: 00f70023 sb a5,0(a4) + 80016d8c: 00008067 ret + 80016d90: 03f57513 andi a0,a0,63 + 80016d94: 001b5797 auipc a5,0x1b5 + 80016d98: 0ca78fa3 sb a0,223(a5) # 801cbe73 <_ZL3prg+0x3> + 80016d9c: d01ff06f j 80016a9c <_ZL7SyncPrgv> + 80016da0: 00008067 ret + 80016da4: 001b5797 auipc a5,0x1b5 + 80016da8: 0cb78723 sb a1,206(a5) # 801cbe72 <_ZL3prg+0x2> + 80016dac: cf1ff06f j 80016a9c <_ZL7SyncPrgv> + 80016db0: 001b5797 auipc a5,0x1b5 + 80016db4: 0cb78023 sb a1,192(a5) # 801cbe70 <_ZL3prg> + 80016db8: ce5ff06f j 80016a9c <_ZL7SyncPrgv> + 80016dbc: 001b5797 auipc a5,0x1b5 + 80016dc0: 0ab78c23 sb a1,184(a5) # 801cbe74 <_ZL4IRQa> + 80016dc4: 00059663 bnez a1,80016dd0 <_ZL9M183Writejh+0x1a0> + 80016dc8: 001b5797 auipc a5,0x1b5 + 80016dcc: 0a078723 sb zero,174(a5) # 801cbe76 <_ZL6IRQPre> + 80016dd0: 00100513 li a0,1 + 80016dd4: f7de906f j 80000d50 <_Z12X6502_IRQEndi> + 80016dd8: 001b5717 auipc a4,0x1b5 + 80016ddc: 09f70713 addi a4,a4,159 # 801cbe77 <_ZL8IRQCount> + 80016de0: 00074783 lbu a5,0(a4) + 80016de4: 00f5f593 andi a1,a1,15 + 80016de8: ff07f793 andi a5,a5,-16 + 80016dec: 00b7e7b3 or a5,a5,a1 + 80016df0: 00f70023 sb a5,0(a4) + 80016df4: 00008067 ret + 80016df8: 00008067 ret + 80016dfc: 00008067 ret + +0000000080016e00 <_ZL14M183IRQCounterv>: + 80016e00: 001b5797 auipc a5,0x1b5 + 80016e04: 0747c783 lbu a5,116(a5) # 801cbe74 <_ZL4IRQa> + 80016e08: 02078863 beqz a5,80016e38 <_ZL14M183IRQCounterv+0x38> + 80016e0c: 001b5717 auipc a4,0x1b5 + 80016e10: 06b70713 addi a4,a4,107 # 801cbe77 <_ZL8IRQCount> + 80016e14: 00074783 lbu a5,0(a4) + 80016e18: 001b5617 auipc a2,0x1b5 + 80016e1c: 05e64603 lbu a2,94(a2) # 801cbe76 <_ZL6IRQPre> + 80016e20: 0ee00693 li a3,238 + 80016e24: 0017879b addiw a5,a5,1 + 80016e28: 0ff7f793 andi a5,a5,255 + 80016e2c: 00f70023 sb a5,0(a4) + 80016e30: 40c787bb subw a5,a5,a2 + 80016e34: 00d78463 beq a5,a3,80016e3c <_ZL14M183IRQCounterv+0x3c> + 80016e38: 00008067 ret + 80016e3c: 00100513 li a0,1 + 80016e40: ef9e906f j 80000d38 <_Z14X6502_IRQBegini> + +0000000080016e44 <_Z14Mapper183_InitP8CartInfo>: + 80016e44: 00000797 auipc a5,0x0 + 80016e48: d5078793 addi a5,a5,-688 # 80016b94 <_ZL9M183Powerv> + 80016e4c: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 80016e50: 00000797 auipc a5,0x0 + 80016e54: fb078793 addi a5,a5,-80 # 80016e00 <_ZL14M183IRQCounterv> + 80016e58: 001b6717 auipc a4,0x1b6 + 80016e5c: 86f73423 sd a5,-1944(a4) # 801cc6c0 + 80016e60: 00000797 auipc a5,0x0 + 80016e64: cdc78793 addi a5,a5,-804 # 80016b3c <_ZL12StateRestorei> + 80016e68: 00000693 li a3,0 + 80016e6c: 00000613 li a2,0 + 80016e70: fff00593 li a1,-1 + 80016e74: 00034517 auipc a0,0x34 + 80016e78: b6450513 addi a0,a0,-1180 # 8004a9d8 <_ZL9StateRegs> + 80016e7c: 001b5717 auipc a4,0x1b5 + 80016e80: 7cf73e23 sd a5,2012(a4) # 801cc658 + 80016e84: 98ce906f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080016e88 <_ZL4Syncv>: + 80016e88: ff010113 addi sp,sp,-16 + 80016e8c: 00200513 li a0,2 + 80016e90: 00113423 sd ra,8(sp) + 80016e94: 00813023 sd s0,0(sp) + 80016e98: 9b0fa0ef jal ra,80011048 <_Z9setmirrori> + 80016e9c: 001b5417 auipc s0,0x1b5 + 80016ea0: fdc40413 addi s0,s0,-36 # 801cbe78 <_ZL3reg> + 80016ea4: 00044583 lbu a1,0(s0) + 80016ea8: 00008537 lui a0,0x8 + 80016eac: 0035f593 andi a1,a1,3 + 80016eb0: f65f90ef jal ra,80010e14 <_Z8setprg32jj> + 80016eb4: 00044783 lbu a5,0(s0) + 80016eb8: 001b5597 auipc a1,0x1b5 + 80016ebc: fc15c583 lbu a1,-63(a1) # 801cbe79 <_ZL8ppulatch> + 80016ec0: 00000513 li a0,0 + 80016ec4: 0047f793 andi a5,a5,4 + 80016ec8: 00b7e5b3 or a1,a5,a1 + 80016ecc: 818fa0ef jal ra,80010ee4 <_Z7setchr4jj> + 80016ed0: 00044583 lbu a1,0(s0) + 80016ed4: 00013403 ld s0,0(sp) + 80016ed8: 00813083 ld ra,8(sp) + 80016edc: 0045f593 andi a1,a1,4 + 80016ee0: 00001537 lui a0,0x1 + 80016ee4: 0035e593 ori a1,a1,3 + 80016ee8: 01010113 addi sp,sp,16 + 80016eec: ff9f906f j 80010ee4 <_Z7setchr4jj> + +0000000080016ef0 <_ZL12StateRestorei>: + 80016ef0: f99ff06f j 80016e88 <_ZL4Syncv> + +0000000080016ef4 <_ZL8M96Writejh>: + 80016ef4: 001b5797 auipc a5,0x1b5 + 80016ef8: f8b78223 sb a1,-124(a5) # 801cbe78 <_ZL3reg> + 80016efc: f8dff06f j 80016e88 <_ZL4Syncv> + +0000000080016f00 <_ZL8M96Powerv>: + 80016f00: ff010113 addi sp,sp,-16 + 80016f04: 00113423 sd ra,8(sp) + 80016f08: 00813023 sd s0,0(sp) + 80016f0c: 001b5797 auipc a5,0x1b5 + 80016f10: f60786a3 sb zero,-147(a5) # 801cbe79 <_ZL8ppulatch> + 80016f14: 00010437 lui s0,0x10 + 80016f18: 001b5797 auipc a5,0x1b5 + 80016f1c: f6078023 sb zero,-160(a5) # 801cbe78 <_ZL3reg> + 80016f20: f69ff0ef jal ra,80016e88 <_ZL4Syncv> + 80016f24: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80016f28: ffff9617 auipc a2,0xffff9 + 80016f2c: 73860613 addi a2,a2,1848 # 80010660 <_Z6CartBRj> + 80016f30: 00008537 lui a0,0x8 + 80016f34: 2e91c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80016f38: fff40593 addi a1,s0,-1 + 80016f3c: 00013403 ld s0,0(sp) + 80016f40: 00813083 ld ra,8(sp) + 80016f44: 00000617 auipc a2,0x0 + 80016f48: fb060613 addi a2,a2,-80 # 80016ef4 <_ZL8M96Writejh> + 80016f4c: 00008537 lui a0,0x8 + 80016f50: 01010113 addi sp,sp,16 + 80016f54: 3d51c06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080016f58 <_ZL7M96Hookj>: + 80016f58: 000037b7 lui a5,0x3 + 80016f5c: 00f577b3 and a5,a0,a5 + 80016f60: 00002737 lui a4,0x2 + 80016f64: 00e78463 beq a5,a4,80016f6c <_ZL7M96Hookj+0x14> + 80016f68: 00008067 ret + 80016f6c: 0085551b srliw a0,a0,0x8 + 80016f70: 00357513 andi a0,a0,3 + 80016f74: 001b5797 auipc a5,0x1b5 + 80016f78: f0a782a3 sb a0,-251(a5) # 801cbe79 <_ZL8ppulatch> + 80016f7c: f0dff06f j 80016e88 <_ZL4Syncv> + +0000000080016f80 <_Z13Mapper96_InitP8CartInfo>: + 80016f80: 00000797 auipc a5,0x0 + 80016f84: f8078793 addi a5,a5,-128 # 80016f00 <_ZL8M96Powerv> + 80016f88: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80016f8c: 00000797 auipc a5,0x0 + 80016f90: fcc78793 addi a5,a5,-52 # 80016f58 <_ZL7M96Hookj> + 80016f94: 001b5717 auipc a4,0x1b5 + 80016f98: 76f73a23 sd a5,1908(a4) # 801cc708 + 80016f9c: 00000797 auipc a5,0x0 + 80016fa0: f5478793 addi a5,a5,-172 # 80016ef0 <_ZL12StateRestorei> + 80016fa4: 00000693 li a3,0 + 80016fa8: 00000613 li a2,0 + 80016fac: fff00593 li a1,-1 + 80016fb0: 00034517 auipc a0,0x34 + 80016fb4: ad050513 addi a0,a0,-1328 # 8004aa80 <_ZL9StateRegs> + 80016fb8: 001b5717 auipc a4,0x1b5 + 80016fbc: 6af73023 sd a5,1696(a4) # 801cc658 + 80016fc0: 850e906f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080016fc4 <_ZL11BMCF15Powerv>: + 80016fc4: ff010113 addi sp,sp,-16 + 80016fc8: 00113423 sd ra,8(sp) + 80016fcc: 00813023 sd s0,0(sp) + 80016fd0: 1f00f0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80016fd4: 00008437 lui s0,0x8 + 80016fd8: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80016fdc: 00000617 auipc a2,0x0 + 80016fe0: 08860613 addi a2,a2,136 # 80017064 <_ZL11BMCF15Writejh> + 80016fe4: 00006537 lui a0,0x6 + 80016fe8: 3411c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80016fec: fff40593 addi a1,s0,-1 + 80016ff0: 00013403 ld s0,0(sp) + 80016ff4: 00813083 ld ra,8(sp) + 80016ff8: 00000617 auipc a2,0x0 + 80016ffc: 06c60613 addi a2,a2,108 # 80017064 <_ZL11BMCF15Writejh> + 80017000: 00006537 lui a0,0x6 + 80017004: 01010113 addi sp,sp,16 + 80017008: 3211c06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001700c <_ZL8BMCF15PWjh>: + 8001700c: fe010113 addi sp,sp,-32 + 80017010: 001b5597 auipc a1,0x1b5 + 80017014: 2405c583 lbu a1,576(a1) # 801cc250 + 80017018: 00813823 sd s0,16(sp) + 8001701c: 4035d41b sraiw s0,a1,0x3 + 80017020: 00147413 andi s0,s0,1 + 80017024: 00913423 sd s1,8(sp) + 80017028: 00f5f493 andi s1,a1,15 + 8001702c: fff44593 not a1,s0 + 80017030: 0095f5b3 and a1,a1,s1 + 80017034: 0005859b sext.w a1,a1 + 80017038: 00008537 lui a0,0x8 + 8001703c: 00113c23 sd ra,24(sp) + 80017040: c19f90ef jal ra,80010c58 <_Z8setprg16jj> + 80017044: 009465b3 or a1,s0,s1 + 80017048: 01013403 ld s0,16(sp) + 8001704c: 01813083 ld ra,24(sp) + 80017050: 00813483 ld s1,8(sp) + 80017054: 0005859b sext.w a1,a1 + 80017058: 0000c537 lui a0,0xc + 8001705c: 02010113 addi sp,sp,32 + 80017060: bf9f906f j 80010c58 <_Z8setprg16jj> + +0000000080017064 <_ZL11BMCF15Writejh>: + 80017064: 001b5797 auipc a5,0x1b5 + 80017068: 1cf78783 lb a5,463(a5) # 801cc233 + 8001706c: 0007c463 bltz a5,80017074 <_ZL11BMCF15Writejh+0x10> + 80017070: 00008067 ret + 80017074: 00f5f593 andi a1,a1,15 + 80017078: 001b5517 auipc a0,0x1b5 + 8001707c: 1e454503 lbu a0,484(a0) # 801cc25c + 80017080: 001b5797 auipc a5,0x1b5 + 80017084: 1cb78823 sb a1,464(a5) # 801cc250 + 80017088: 5e50e06f j 80025e6c <_Z10FixMMC3PRGi> + +000000008001708c <_Z11BMCF15_InitP8CartInfo>: + 8001708c: ff010113 addi sp,sp,-16 + 80017090: 00000693 li a3,0 + 80017094: 10000613 li a2,256 + 80017098: 10000593 li a1,256 + 8001709c: 00000713 li a4,0 + 800170a0: 00813023 sd s0,0(sp) + 800170a4: 00113423 sd ra,8(sp) + 800170a8: 00050413 mv s0,a0 + 800170ac: 76d0f0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800170b0: 00000797 auipc a5,0x0 + 800170b4: f5c78793 addi a5,a5,-164 # 8001700c <_ZL8BMCF15PWjh> + 800170b8: 001b5717 auipc a4,0x1b5 + 800170bc: 1ef73023 sd a5,480(a4) # 801cc298 + 800170c0: 00000797 auipc a5,0x0 + 800170c4: f0478793 addi a5,a5,-252 # 80016fc4 <_ZL11BMCF15Powerv> + 800170c8: 00f43023 sd a5,0(s0) + 800170cc: 00013403 ld s0,0(sp) + 800170d0: 00813083 ld ra,8(sp) + 800170d4: 0002e697 auipc a3,0x2e + 800170d8: 41c68693 addi a3,a3,1052 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 800170dc: 00000613 li a2,0 + 800170e0: 00100593 li a1,1 + 800170e4: 001b5517 auipc a0,0x1b5 + 800170e8: 16c50513 addi a0,a0,364 # 801cc250 + 800170ec: 01010113 addi sp,sp,16 + 800170f0: f21e806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800170f4 <_ZL4Syncv>: + 800170f4: ff010113 addi sp,sp,-16 + 800170f8: 00813023 sd s0,0(sp) + 800170fc: 001b5417 auipc s0,0x1b5 + 80017100: d8c40413 addi s0,s0,-628 # 801cbe88 <_ZL5latch> + 80017104: 00044503 lbu a0,0(s0) + 80017108: 00113423 sd ra,8(sp) + 8001710c: 4025551b sraiw a0,a0,0x2 + 80017110: 00157513 andi a0,a0,1 + 80017114: df1f90ef jal ra,80010f04 <_Z7setchr8j> + 80017118: 00000613 li a2,0 + 8001711c: 000065b7 lui a1,0x6 + 80017120: 01000513 li a0,16 + 80017124: fc4f90ef jal ra,800108e8 <_Z8setprg8rijj> + 80017128: 00000593 li a1,0 + 8001712c: 00008537 lui a0,0x8 + 80017130: ce5f90ef jal ra,80010e14 <_Z8setprg32jj> + 80017134: 00044583 lbu a1,0(s0) + 80017138: 00013403 ld s0,0(sp) + 8001713c: 00813083 ld ra,8(sp) + 80017140: 00008537 lui a0,0x8 + 80017144: 0045f593 andi a1,a1,4 + 80017148: 01010113 addi sp,sp,16 + 8001714c: 951f906f j 80010a9c <_Z7setprg8jj> + +0000000080017150 <_ZL12StateRestorei>: + 80017150: fa5ff06f j 800170f4 <_ZL4Syncv> + +0000000080017154 <_ZL8M99Writejh>: + 80017154: fe010113 addi sp,sp,-32 + 80017158: 00813823 sd s0,16(sp) + 8001715c: 00913423 sd s1,8(sp) + 80017160: 00113c23 sd ra,24(sp) + 80017164: 00058413 mv s0,a1 + 80017168: 00050493 mv s1,a0 + 8001716c: 001b5797 auipc a5,0x1b5 + 80017170: d0b78e23 sb a1,-740(a5) # 801cbe88 <_ZL5latch> + 80017174: f81ff0ef jal ra,800170f4 <_ZL4Syncv> + 80017178: 00040593 mv a1,s0 + 8001717c: 01013403 ld s0,16(sp) + 80017180: 01813083 ld ra,24(sp) + 80017184: 00048513 mv a0,s1 + 80017188: 00813483 ld s1,8(sp) + 8001718c: 001b5317 auipc t1,0x1b5 + 80017190: d0433303 ld t1,-764(t1) # 801cbe90 <_ZL7old4016> + 80017194: 02010113 addi sp,sp,32 + 80017198: 00030067 jr t1 + +000000008001719c <_ZL8M99Closev>: + 8001719c: 001b5517 auipc a0,0x1b5 + 800171a0: ce453503 ld a0,-796(a0) # 801cbe80 <_ZL4WRAM> + 800171a4: 02050263 beqz a0,800171c8 <_ZL8M99Closev+0x2c> + 800171a8: ff010113 addi sp,sp,-16 + 800171ac: 00113423 sd ra,8(sp) + 800171b0: b90fb0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800171b4: 00813083 ld ra,8(sp) + 800171b8: 001b5797 auipc a5,0x1b5 + 800171bc: cc07b423 sd zero,-824(a5) # 801cbe80 <_ZL4WRAM> + 800171c0: 01010113 addi sp,sp,16 + 800171c4: 00008067 ret + 800171c8: 001b5797 auipc a5,0x1b5 + 800171cc: ca07bc23 sd zero,-840(a5) # 801cbe80 <_ZL4WRAM> + 800171d0: 00008067 ret + +00000000800171d4 <_ZL8M99Powerv>: + 800171d4: ff010113 addi sp,sp,-16 + 800171d8: 00113423 sd ra,8(sp) + 800171dc: 00813023 sd s0,0(sp) + 800171e0: 001b5797 auipc a5,0x1b5 + 800171e4: ca078423 sb zero,-856(a5) # 801cbe88 <_ZL5latch> + 800171e8: 00004437 lui s0,0x4 + 800171ec: f09ff0ef jal ra,800170f4 <_ZL4Syncv> + 800171f0: 01640513 addi a0,s0,22 # 4016 <_entry_offset+0x4016> + 800171f4: 0e91c0ef jal ra,80033adc <_Z15GetWriteHandleri> + 800171f8: 01640593 addi a1,s0,22 + 800171fc: 00050793 mv a5,a0 + 80017200: 00000617 auipc a2,0x0 + 80017204: f5460613 addi a2,a2,-172 # 80017154 <_ZL8M99Writejh> + 80017208: 00058513 mv a0,a1 + 8001720c: 001b5717 auipc a4,0x1b5 + 80017210: c8f73223 sd a5,-892(a4) # 801cbe90 <_ZL7old4016> + 80017214: 1151c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80017218: 000105b7 lui a1,0x10 + 8001721c: ffff9617 auipc a2,0xffff9 + 80017220: 44460613 addi a2,a2,1092 # 80010660 <_Z6CartBRj> + 80017224: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80017228: 00006537 lui a0,0x6 + 8001722c: 7f01c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017230: 000085b7 lui a1,0x8 + 80017234: ffff9617 auipc a2,0xffff9 + 80017238: 45860613 addi a2,a2,1112 # 8001068c <_Z6CartBWjh> + 8001723c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80017240: 00006537 lui a0,0x6 + 80017244: 0e51c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80017248: 00013403 ld s0,0(sp) + 8001724c: 00813083 ld ra,8(sp) + 80017250: 001b5517 auipc a0,0x1b5 + 80017254: c4852503 lw a0,-952(a0) # 801cbe98 <_ZL8WRAMSIZE> + 80017258: 001b5617 auipc a2,0x1b5 + 8001725c: c2863603 ld a2,-984(a2) # 801cbe80 <_ZL4WRAM> + 80017260: 000065b7 lui a1,0x6 + 80017264: 00a5551b srliw a0,a0,0xa + 80017268: 01010113 addi sp,sp,16 + 8001726c: da9e806f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080017270 <_Z13Mapper99_InitP8CartInfo>: + 80017270: fe010113 addi sp,sp,-32 + 80017274: 00113c23 sd ra,24(sp) + 80017278: 00813823 sd s0,16(sp) + 8001727c: 00913423 sd s1,8(sp) + 80017280: 00000797 auipc a5,0x0 + 80017284: f5478793 addi a5,a5,-172 # 800171d4 <_ZL8M99Powerv> + 80017288: 00f53023 sd a5,0(a0) + 8001728c: 00000797 auipc a5,0x0 + 80017290: f1078793 addi a5,a5,-240 # 8001719c <_ZL8M99Closev> + 80017294: 001b5417 auipc s0,0x1b5 + 80017298: c0440413 addi s0,s0,-1020 # 801cbe98 <_ZL8WRAMSIZE> + 8001729c: 00f53823 sd a5,16(a0) + 800172a0: 000027b7 lui a5,0x2 + 800172a4: 00002537 lui a0,0x2 + 800172a8: 00f42023 sw a5,0(s0) + 800172ac: 9c0fb0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800172b0: 00042603 lw a2,0(s0) + 800172b4: 00050593 mv a1,a0 + 800172b8: 001b5497 auipc s1,0x1b5 + 800172bc: bc848493 addi s1,s1,-1080 # 801cbe80 <_ZL4WRAM> + 800172c0: 00100693 li a3,1 + 800172c4: 01000513 li a0,16 + 800172c8: 00b4b023 sd a1,0(s1) + 800172cc: a28f90ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800172d0: 00042583 lw a1,0(s0) + 800172d4: 0004b503 ld a0,0(s1) + 800172d8: 0002e697 auipc a3,0x2e + 800172dc: 05068693 addi a3,a3,80 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800172e0: 00000613 li a2,0 + 800172e4: d2de80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800172e8: 01013403 ld s0,16(sp) + 800172ec: 01813083 ld ra,24(sp) + 800172f0: 00813483 ld s1,8(sp) + 800172f4: 00000797 auipc a5,0x0 + 800172f8: e5c78793 addi a5,a5,-420 # 80017150 <_ZL12StateRestorei> + 800172fc: 001b5717 auipc a4,0x1b5 + 80017300: 34f73e23 sd a5,860(a4) # 801cc658 + 80017304: 00000693 li a3,0 + 80017308: 00000613 li a2,0 + 8001730c: fff00593 li a1,-1 + 80017310: 00033517 auipc a0,0x33 + 80017314: 7b850513 addi a0,a0,1976 # 8004aac8 <_ZL9StateRegs> + 80017318: 02010113 addi sp,sp,32 + 8001731c: cf5e806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080017320 <_ZL9SARestorei>: + 80017320: 001b5317 auipc t1,0x1b5 + 80017324: b8833303 ld t1,-1144(t1) # 801cbea8 <_ZL5WSync> + 80017328: 00030067 jr t1 + +000000008001732c <_ZL8SADWritejh>: + 8001732c: 001b5797 auipc a5,0x1b5 + 80017330: b8b78223 sb a1,-1148(a5) # 801cbeb0 <_ZL5latch> + 80017334: 001b5317 auipc t1,0x1b5 + 80017338: b7433303 ld t1,-1164(t1) # 801cbea8 <_ZL5WSync> + 8001733c: 00030067 jr t1 + +0000000080017340 <_ZL9TCU02Readj>: + 80017340: 001b5797 auipc a5,0x1b5 + 80017344: b707c783 lbu a5,-1168(a5) # 801cbeb0 <_ZL5latch> + 80017348: 00040517 auipc a0,0x40 + 8001734c: 52054503 lbu a0,1312(a0) # 80057868 + 80017350: 03f7f793 andi a5,a5,63 + 80017354: 0c057513 andi a0,a0,192 + 80017358: 00f56533 or a0,a0,a5 + 8001735c: 00008067 ret + +0000000080017360 <_ZL12SA0161MSyncov>: + 80017360: ff010113 addi sp,sp,-16 + 80017364: 00813023 sd s0,0(sp) + 80017368: 001b5417 auipc s0,0x1b5 + 8001736c: b4840413 addi s0,s0,-1208 # 801cbeb0 <_ZL5latch> + 80017370: 00044583 lbu a1,0(s0) + 80017374: 00008537 lui a0,0x8 + 80017378: 00113423 sd ra,8(sp) + 8001737c: 4035d59b sraiw a1,a1,0x3 + 80017380: 0015f593 andi a1,a1,1 + 80017384: a91f90ef jal ra,80010e14 <_Z8setprg32jj> + 80017388: 00044503 lbu a0,0(s0) + 8001738c: 00013403 ld s0,0(sp) + 80017390: 00813083 ld ra,8(sp) + 80017394: 00757513 andi a0,a0,7 + 80017398: 01010113 addi sp,sp,16 + 8001739c: b69f906f j 80010f04 <_Z7setchr8j> + +00000000800173a0 <_ZL12SA72007Syncov>: + 800173a0: ff010113 addi sp,sp,-16 + 800173a4: 00008537 lui a0,0x8 + 800173a8: 00000593 li a1,0 + 800173ac: 00113423 sd ra,8(sp) + 800173b0: a65f90ef jal ra,80010e14 <_Z8setprg32jj> + 800173b4: 00813083 ld ra,8(sp) + 800173b8: 001b5517 auipc a0,0x1b5 + 800173bc: af854503 lbu a0,-1288(a0) # 801cbeb0 <_ZL5latch> + 800173c0: 00755513 srli a0,a0,0x7 + 800173c4: 01010113 addi sp,sp,16 + 800173c8: b3df906f j 80010f04 <_Z7setchr8j> + +00000000800173cc <_ZL12SA72008Syncov>: + 800173cc: ff010113 addi sp,sp,-16 + 800173d0: 00813023 sd s0,0(sp) + 800173d4: 001b5417 auipc s0,0x1b5 + 800173d8: adc40413 addi s0,s0,-1316 # 801cbeb0 <_ZL5latch> + 800173dc: 00044583 lbu a1,0(s0) + 800173e0: 00008537 lui a0,0x8 + 800173e4: 00113423 sd ra,8(sp) + 800173e8: 4025d59b sraiw a1,a1,0x2 + 800173ec: 0015f593 andi a1,a1,1 + 800173f0: a25f90ef jal ra,80010e14 <_Z8setprg32jj> + 800173f4: 00044503 lbu a0,0(s0) + 800173f8: 00013403 ld s0,0(sp) + 800173fc: 00813083 ld ra,8(sp) + 80017400: 00357513 andi a0,a0,3 + 80017404: 01010113 addi sp,sp,16 + 80017408: afdf906f j 80010f04 <_Z7setchr8j> + +000000008001740c <_ZL10SA009Syncov>: + 8001740c: ff010113 addi sp,sp,-16 + 80017410: 00008537 lui a0,0x8 + 80017414: 00000593 li a1,0 + 80017418: 00113423 sd ra,8(sp) + 8001741c: 9f9f90ef jal ra,80010e14 <_Z8setprg32jj> + 80017420: 00813083 ld ra,8(sp) + 80017424: 001b5517 auipc a0,0x1b5 + 80017428: a8c54503 lbu a0,-1396(a0) # 801cbeb0 <_ZL5latch> + 8001742c: 00157513 andi a0,a0,1 + 80017430: 01010113 addi sp,sp,16 + 80017434: ad1f906f j 80010f04 <_Z7setchr8j> + +0000000080017438 <_ZL10TCU01Syncov>: + 80017438: ff010113 addi sp,sp,-16 + 8001743c: 00813023 sd s0,0(sp) + 80017440: 001b5417 auipc s0,0x1b5 + 80017444: a7040413 addi s0,s0,-1424 # 801cbeb0 <_ZL5latch> + 80017448: 00044783 lbu a5,0(s0) + 8001744c: 00008537 lui a0,0x8 + 80017450: 00113423 sd ra,8(sp) + 80017454: 4067d59b sraiw a1,a5,0x6 + 80017458: 4027d79b sraiw a5,a5,0x2 + 8001745c: 0017f793 andi a5,a5,1 + 80017460: 0025f593 andi a1,a1,2 + 80017464: 00f5e5b3 or a1,a1,a5 + 80017468: 9adf90ef jal ra,80010e14 <_Z8setprg32jj> + 8001746c: 00044503 lbu a0,0(s0) + 80017470: 00013403 ld s0,0(sp) + 80017474: 00813083 ld ra,8(sp) + 80017478: 4035551b sraiw a0,a0,0x3 + 8001747c: 00f57513 andi a0,a0,15 + 80017480: 01010113 addi sp,sp,16 + 80017484: a81f906f j 80010f04 <_Z7setchr8j> + +0000000080017488 <_ZL10TCU01Writejh>: + 80017488: 10357513 andi a0,a0,259 + 8001748c: 10200793 li a5,258 + 80017490: 00f50463 beq a0,a5,80017498 <_ZL10TCU01Writejh+0x10> + 80017494: 00008067 ret + 80017498: 001b5797 auipc a5,0x1b5 + 8001749c: a0b78c23 sb a1,-1512(a5) # 801cbeb0 <_ZL5latch> + 800174a0: f99ff06f j 80017438 <_ZL10TCU01Syncov> + +00000000800174a4 <_ZL12TCU01Restorei>: + 800174a4: f95ff06f j 80017438 <_ZL10TCU01Syncov> + +00000000800174a8 <_ZL10TCU02Syncov>: + 800174a8: ff010113 addi sp,sp,-16 + 800174ac: 00008537 lui a0,0x8 + 800174b0: 00000593 li a1,0 + 800174b4: 00113423 sd ra,8(sp) + 800174b8: 95df90ef jal ra,80010e14 <_Z8setprg32jj> + 800174bc: 00813083 ld ra,8(sp) + 800174c0: 001b5517 auipc a0,0x1b5 + 800174c4: 9f054503 lbu a0,-1552(a0) # 801cbeb0 <_ZL5latch> + 800174c8: 00357513 andi a0,a0,3 + 800174cc: 01010113 addi sp,sp,16 + 800174d0: a35f906f j 80010f04 <_Z7setchr8j> + +00000000800174d4 <_ZL10TCU02Writejh>: + 800174d4: 10357513 andi a0,a0,259 + 800174d8: 10200793 li a5,258 + 800174dc: 00f50463 beq a0,a5,800174e4 <_ZL10TCU02Writejh+0x10> + 800174e0: 00008067 ret + 800174e4: 0035859b addiw a1,a1,3 + 800174e8: 001b5797 auipc a5,0x1b5 + 800174ec: 9cb78423 sb a1,-1592(a5) # 801cbeb0 <_ZL5latch> + 800174f0: fb9ff06f j 800174a8 <_ZL10TCU02Syncov> + +00000000800174f4 <_ZL12TCU02Restorei>: + 800174f4: fb5ff06f j 800174a8 <_ZL10TCU02Syncov> + +00000000800174f8 <_ZL7SAPowerv>: + 800174f8: ff010113 addi sp,sp,-16 + 800174fc: 001b5797 auipc a5,0x1b5 + 80017500: 9a078a23 sb zero,-1612(a5) # 801cbeb0 <_ZL5latch> + 80017504: 00113423 sd ra,8(sp) + 80017508: 001b5797 auipc a5,0x1b5 + 8001750c: 9a07b783 ld a5,-1632(a5) # 801cbea8 <_ZL5WSync> + 80017510: 000780e7 jalr a5 + 80017514: 000105b7 lui a1,0x10 + 80017518: ffff9617 auipc a2,0xffff9 + 8001751c: 14860613 addi a2,a2,328 # 80010660 <_Z6CartBRj> + 80017520: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80017524: 00008537 lui a0,0x8 + 80017528: 4f41c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001752c: 00813083 ld ra,8(sp) + 80017530: 000065b7 lui a1,0x6 + 80017534: 00004537 lui a0,0x4 + 80017538: 00000617 auipc a2,0x0 + 8001753c: 1d460613 addi a2,a2,468 # 8001770c <_ZL7SAWritejh> + 80017540: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 80017544: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 80017548: 01010113 addi sp,sp,16 + 8001754c: 5dc1c06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080017550 <_ZL8SADPowerv>: + 80017550: ff010113 addi sp,sp,-16 + 80017554: 001b5797 auipc a5,0x1b5 + 80017558: 94078e23 sb zero,-1700(a5) # 801cbeb0 <_ZL5latch> + 8001755c: 00113423 sd ra,8(sp) + 80017560: 00813023 sd s0,0(sp) + 80017564: 001b5797 auipc a5,0x1b5 + 80017568: 9447b783 ld a5,-1724(a5) # 801cbea8 <_ZL5WSync> + 8001756c: 000780e7 jalr a5 + 80017570: 00010437 lui s0,0x10 + 80017574: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80017578: ffff9617 auipc a2,0xffff9 + 8001757c: 0e860613 addi a2,a2,232 # 80010660 <_Z6CartBRj> + 80017580: 00008537 lui a0,0x8 + 80017584: 4981c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017588: fff40593 addi a1,s0,-1 + 8001758c: 00013403 ld s0,0(sp) + 80017590: 00813083 ld ra,8(sp) + 80017594: 00000617 auipc a2,0x0 + 80017598: d9860613 addi a2,a2,-616 # 8001732c <_ZL8SADWritejh> + 8001759c: 00008537 lui a0,0x8 + 800175a0: 01010113 addi sp,sp,16 + 800175a4: 5841c06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800175a8 <_ZL10TCU01Powerv>: + 800175a8: ff010113 addi sp,sp,-16 + 800175ac: 00813023 sd s0,0(sp) + 800175b0: 00010437 lui s0,0x10 + 800175b4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800175b8: ffff9617 auipc a2,0xffff9 + 800175bc: 0a860613 addi a2,a2,168 # 80010660 <_Z6CartBRj> + 800175c0: 00008537 lui a0,0x8 + 800175c4: 00113423 sd ra,8(sp) + 800175c8: 001b5797 auipc a5,0x1b5 + 800175cc: 8e078423 sb zero,-1816(a5) # 801cbeb0 <_ZL5latch> + 800175d0: 44c1c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800175d4: 00004537 lui a0,0x4 + 800175d8: fff40593 addi a1,s0,-1 + 800175dc: 00000617 auipc a2,0x0 + 800175e0: eac60613 addi a2,a2,-340 # 80017488 <_ZL10TCU01Writejh> + 800175e4: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 800175e8: 5401c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800175ec: 00013403 ld s0,0(sp) + 800175f0: 00813083 ld ra,8(sp) + 800175f4: 01010113 addi sp,sp,16 + 800175f8: e41ff06f j 80017438 <_ZL10TCU01Syncov> + +00000000800175fc <_ZL10TCU02Powerv>: + 800175fc: fe010113 addi sp,sp,-32 + 80017600: 00913423 sd s1,8(sp) + 80017604: 000104b7 lui s1,0x10 + 80017608: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8001760c: ffff9617 auipc a2,0xffff9 + 80017610: 05460613 addi a2,a2,84 # 80010660 <_Z6CartBRj> + 80017614: 00008537 lui a0,0x8 + 80017618: 00113c23 sd ra,24(sp) + 8001761c: 00813823 sd s0,16(sp) + 80017620: 001b5797 auipc a5,0x1b5 + 80017624: 88078823 sb zero,-1904(a5) # 801cbeb0 <_ZL5latch> + 80017628: 00004437 lui s0,0x4 + 8001762c: 3f01c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017630: 10040593 addi a1,s0,256 # 4100 <_entry_offset+0x4100> + 80017634: 00058513 mv a0,a1 + 80017638: 00000617 auipc a2,0x0 + 8001763c: d0860613 addi a2,a2,-760 # 80017340 <_ZL9TCU02Readj> + 80017640: 3dc1c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017644: fff48593 addi a1,s1,-1 + 80017648: 10040513 addi a0,s0,256 + 8001764c: 00000617 auipc a2,0x0 + 80017650: e8860613 addi a2,a2,-376 # 800174d4 <_ZL10TCU02Writejh> + 80017654: 4d41c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80017658: 01013403 ld s0,16(sp) + 8001765c: 01813083 ld ra,24(sp) + 80017660: 00813483 ld s1,8(sp) + 80017664: 02010113 addi sp,sp,32 + 80017668: e41ff06f j 800174a8 <_ZL10TCU02Syncov> + +000000008001766c <_ZL10TCA01Powerv>: + 8001766c: ff010113 addi sp,sp,-16 + 80017670: 00000593 li a1,0 + 80017674: 00008537 lui a0,0x8 + 80017678: 00113423 sd ra,8(sp) + 8001767c: ddcf90ef jal ra,80010c58 <_Z8setprg16jj> + 80017680: 00100593 li a1,1 + 80017684: 0000c537 lui a0,0xc + 80017688: dd0f90ef jal ra,80010c58 <_Z8setprg16jj> + 8001768c: 00000513 li a0,0 + 80017690: 875f90ef jal ra,80010f04 <_Z7setchr8j> + 80017694: 000105b7 lui a1,0x10 + 80017698: ffff9617 auipc a2,0xffff9 + 8001769c: fc860613 addi a2,a2,-56 # 80010660 <_Z6CartBRj> + 800176a0: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800176a4: 00008537 lui a0,0x8 + 800176a8: 3741c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800176ac: 00813083 ld ra,8(sp) + 800176b0: 000065b7 lui a1,0x6 + 800176b4: 00004537 lui a0,0x4 + 800176b8: 00000617 auipc a2,0x0 + 800176bc: 07460613 addi a2,a2,116 # 8001772c <_ZL9TCA01Readj> + 800176c0: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 800176c4: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 800176c8: 01010113 addi sp,sp,16 + 800176cc: 3501c06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +00000000800176d0 <_ZL13S74LS374NReadj>: + 800176d0: 000047b7 lui a5,0x4 + 800176d4: 10078793 addi a5,a5,256 # 4100 <_entry_offset+0x4100> + 800176d8: 00f57533 and a0,a0,a5 + 800176dc: 00f50863 beq a0,a5,800176ec <_ZL13S74LS374NReadj+0x1c> + 800176e0: 00040517 auipc a0,0x40 + 800176e4: 18854503 lbu a0,392(a0) # 80057868 + 800176e8: 00008067 ret + 800176ec: 001b4517 auipc a0,0x1b4 + 800176f0: 7b054503 lbu a0,1968(a0) # 801cbe9c <_ZL3cmd> + 800176f4: fff54513 not a0,a0 + 800176f8: 001b4797 auipc a5,0x1b4 + 800176fc: 7a57c783 lbu a5,1957(a5) # 801cbe9d <_ZL3dip> + 80017700: 03f57513 andi a0,a0,63 + 80017704: 00f54533 xor a0,a0,a5 + 80017708: 00008067 ret + +000000008001770c <_ZL7SAWritejh>: + 8001770c: 10057513 andi a0,a0,256 + 80017710: 00050c63 beqz a0,80017728 <_ZL7SAWritejh+0x1c> + 80017714: 001b4797 auipc a5,0x1b4 + 80017718: 78b78e23 sb a1,1948(a5) # 801cbeb0 <_ZL5latch> + 8001771c: 001b4317 auipc t1,0x1b4 + 80017720: 78c33303 ld t1,1932(t1) # 801cbea8 <_ZL5WSync> + 80017724: 00030067 jr t1 + 80017728: 00008067 ret + +000000008001772c <_ZL9TCA01Readj>: + 8001772c: 00004737 lui a4,0x4 + 80017730: 10070713 addi a4,a4,256 # 4100 <_entry_offset+0x4100> + 80017734: 00e576b3 and a3,a0,a4 + 80017738: 00050793 mv a5,a0 + 8001773c: 00040517 auipc a0,0x40 + 80017740: 12c54503 lbu a0,300(a0) # 80057868 + 80017744: 00e68463 beq a3,a4,8001774c <_ZL9TCA01Readj+0x20> + 80017748: 00008067 ret + 8001774c: fff7c793 not a5,a5 + 80017750: 03f7f793 andi a5,a5,63 + 80017754: fc057513 andi a0,a0,-64 + 80017758: 00a7e533 or a0,a5,a0 + 8001775c: 00008067 ret + +0000000080017760 <_ZL13S74LS374MSynch>: + 80017760: 00357513 andi a0,a0,3 + 80017764: 00200793 li a5,2 + 80017768: 00f50e63 beq a0,a5,80017784 <_ZL13S74LS374MSynch+0x24> + 8001776c: 00300793 li a5,3 + 80017770: 02f50863 beq a0,a5,800177a0 <_ZL13S74LS374MSynch+0x40> + 80017774: 00100793 li a5,1 + 80017778: 02f50063 beq a0,a5,80017798 <_ZL13S74LS374MSynch+0x38> + 8001777c: 00100513 li a0,1 + 80017780: 8c9f906f j 80011048 <_Z9setmirrori> + 80017784: 00100693 li a3,1 + 80017788: 00100613 li a2,1 + 8001778c: 00100593 li a1,1 + 80017790: 00000513 li a0,0 + 80017794: 82df906f j 80010fc0 <_Z10setmirrorwiiii> + 80017798: 00000513 li a0,0 + 8001779c: 8adf906f j 80011048 <_Z9setmirrori> + 800177a0: 00200513 li a0,2 + 800177a4: 8a5f906f j 80011048 <_Z9setmirrori> + +00000000800177a8 <_ZL14S74LS374NSyncov>: + 800177a8: ff010113 addi sp,sp,-16 + 800177ac: 00813023 sd s0,0(sp) + 800177b0: 001b4417 auipc s0,0x1b4 + 800177b4: 70040413 addi s0,s0,1792 # 801cbeb0 <_ZL5latch> + 800177b8: 00044583 lbu a1,0(s0) + 800177bc: 00008537 lui a0,0x8 + 800177c0: 00113423 sd ra,8(sp) + 800177c4: e50f90ef jal ra,80010e14 <_Z8setprg32jj> + 800177c8: 00144503 lbu a0,1(s0) + 800177cc: 00344703 lbu a4,3(s0) + 800177d0: 00444783 lbu a5,4(s0) + 800177d4: 00e56533 or a0,a0,a4 + 800177d8: 00f56533 or a0,a0,a5 + 800177dc: 0ff57513 andi a0,a0,255 + 800177e0: f24f90ef jal ra,80010f04 <_Z7setchr8j> + 800177e4: 00244503 lbu a0,2(s0) + 800177e8: 00013403 ld s0,0(sp) + 800177ec: 00813083 ld ra,8(sp) + 800177f0: 01010113 addi sp,sp,16 + 800177f4: f6dff06f j 80017760 <_ZL13S74LS374MSynch> + +00000000800177f8 <_ZL16S74LS374NRestorei>: + 800177f8: fb1ff06f j 800177a8 <_ZL14S74LS374NSyncov> + +00000000800177fc <_ZL14S74LS374NResetv>: + 800177fc: 001b4697 auipc a3,0x1b4 + 80017800: 6a168693 addi a3,a3,1697 # 801cbe9d <_ZL3dip> + 80017804: 0006c783 lbu a5,0(a3) + 80017808: 001b4717 auipc a4,0x1b4 + 8001780c: 6a870713 addi a4,a4,1704 # 801cbeb0 <_ZL5latch> + 80017810: 00072023 sw zero,0(a4) + 80017814: 0017c793 xori a5,a5,1 + 80017818: 00f68023 sb a5,0(a3) + 8001781c: 00070223 sb zero,4(a4) + 80017820: f89ff06f j 800177a8 <_ZL14S74LS374NSyncov> + +0000000080017824 <_ZL14S74LS374NPowerv>: + 80017824: ff010113 addi sp,sp,-16 + 80017828: 00113423 sd ra,8(sp) + 8001782c: 00813023 sd s0,0(sp) + 80017830: 001b4797 auipc a5,0x1b4 + 80017834: 68078793 addi a5,a5,1664 # 801cbeb0 <_ZL5latch> + 80017838: 0007a023 sw zero,0(a5) + 8001783c: 00078223 sb zero,4(a5) + 80017840: 001b4717 auipc a4,0x1b4 + 80017844: 64070ea3 sb zero,1629(a4) # 801cbe9d <_ZL3dip> + 80017848: f61ff0ef jal ra,800177a8 <_ZL14S74LS374NSyncov> + 8001784c: 000105b7 lui a1,0x10 + 80017850: ffff9617 auipc a2,0xffff9 + 80017854: e1060613 addi a2,a2,-496 # 80010660 <_Z6CartBRj> + 80017858: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001785c: 00008537 lui a0,0x8 + 80017860: 1bc1c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017864: 00004437 lui s0,0x4 + 80017868: 000085b7 lui a1,0x8 + 8001786c: 10040513 addi a0,s0,256 # 4100 <_entry_offset+0x4100> + 80017870: 00000617 auipc a2,0x0 + 80017874: 34860613 addi a2,a2,840 # 80017bb8 <_ZL14S74LS374NWritejh> + 80017878: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8001787c: 2ac1c0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80017880: 10040513 addi a0,s0,256 + 80017884: 00013403 ld s0,0(sp) + 80017888: 00813083 ld ra,8(sp) + 8001788c: 000065b7 lui a1,0x6 + 80017890: 00000617 auipc a2,0x0 + 80017894: e4060613 addi a2,a2,-448 # 800176d0 <_ZL13S74LS374NReadj> + 80017898: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8001789c: 01010113 addi sp,sp,16 + 800178a0: 17c1c06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +00000000800178a4 <_ZL15S74LS374NASyncov>: + 800178a4: ff010113 addi sp,sp,-16 + 800178a8: 00813023 sd s0,0(sp) + 800178ac: 001b4417 auipc s0,0x1b4 + 800178b0: 60440413 addi s0,s0,1540 # 801cbeb0 <_ZL5latch> + 800178b4: 00044583 lbu a1,0(s0) + 800178b8: 00008537 lui a0,0x8 + 800178bc: 00113423 sd ra,8(sp) + 800178c0: d54f90ef jal ra,80010e14 <_Z8setprg32jj> + 800178c4: 00144503 lbu a0,1(s0) + 800178c8: e3cf90ef jal ra,80010f04 <_Z7setchr8j> + 800178cc: 00244503 lbu a0,2(s0) + 800178d0: 00013403 ld s0,0(sp) + 800178d4: 00813083 ld ra,8(sp) + 800178d8: 01010113 addi sp,sp,16 + 800178dc: e85ff06f j 80017760 <_ZL13S74LS374MSynch> + +00000000800178e0 <_ZL15S74LS374NAPowerv>: + 800178e0: ff010113 addi sp,sp,-16 + 800178e4: 00113423 sd ra,8(sp) + 800178e8: 001b4797 auipc a5,0x1b4 + 800178ec: 5c878793 addi a5,a5,1480 # 801cbeb0 <_ZL5latch> + 800178f0: 30000713 li a4,768 + 800178f4: 00e7a023 sw a4,0(a5) + 800178f8: 00078223 sb zero,4(a5) + 800178fc: fa9ff0ef jal ra,800178a4 <_ZL15S74LS374NASyncov> + 80017900: 000105b7 lui a1,0x10 + 80017904: ffff9617 auipc a2,0xffff9 + 80017908: d5c60613 addi a2,a2,-676 # 80010660 <_Z6CartBRj> + 8001790c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80017910: 00008537 lui a0,0x8 + 80017914: 1081c0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017918: 00813083 ld ra,8(sp) + 8001791c: 000085b7 lui a1,0x8 + 80017920: 00004537 lui a0,0x4 + 80017924: 00000617 auipc a2,0x0 + 80017928: 34c60613 addi a2,a2,844 # 80017c70 <_ZL15S74LS374NAWritejh> + 8001792c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80017930: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 80017934: 01010113 addi sp,sp,16 + 80017938: 1f01c06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001793c <_ZL10S8259Syncov>: + 8001793c: fb010113 addi sp,sp,-80 + 80017940: 04813023 sd s0,64(sp) + 80017944: 001b4417 auipc s0,0x1b4 + 80017948: 56c40413 addi s0,s0,1388 # 801cbeb0 <_ZL5latch> + 8001794c: 00544583 lbu a1,5(s0) + 80017950: 00008537 lui a0,0x8 + 80017954: 04113423 sd ra,72(sp) + 80017958: 0075f593 andi a1,a1,7 + 8001795c: 02913c23 sd s1,56(sp) + 80017960: 03213823 sd s2,48(sp) + 80017964: 03313423 sd s3,40(sp) + 80017968: 03413023 sd s4,32(sp) + 8001796c: 01513c23 sd s5,24(sp) + 80017970: 01613823 sd s6,16(sp) + 80017974: 01713423 sd s7,8(sp) + 80017978: c9cf90ef jal ra,80010e14 <_Z8setprg32jj> + 8001797c: 00744503 lbu a0,7(s0) + 80017980: 001b4797 auipc a5,0x1b4 + 80017984: 1607b783 ld a5,352(a5) # 801cbae0 + 80017988: 00157713 andi a4,a0,1 + 8001798c: 06078463 beqz a5,800179f4 <_ZL10S8259Syncov+0xb8> + 80017990: 02071a63 bnez a4,800179c4 <_ZL10S8259Syncov+0x88> + 80017994: 04013403 ld s0,64(sp) + 80017998: 04813083 ld ra,72(sp) + 8001799c: 03813483 ld s1,56(sp) + 800179a0: 03013903 ld s2,48(sp) + 800179a4: 02813983 ld s3,40(sp) + 800179a8: 02013a03 ld s4,32(sp) + 800179ac: 01813a83 ld s5,24(sp) + 800179b0: 01013b03 ld s6,16(sp) + 800179b4: 00813b83 ld s7,8(sp) + 800179b8: 00155513 srli a0,a0,0x1 + 800179bc: 05010113 addi sp,sp,80 + 800179c0: da1ff06f j 80017760 <_ZL13S74LS374MSynch> + 800179c4: 04013403 ld s0,64(sp) + 800179c8: 04813083 ld ra,72(sp) + 800179cc: 03813483 ld s1,56(sp) + 800179d0: 03013903 ld s2,48(sp) + 800179d4: 02813983 ld s3,40(sp) + 800179d8: 02013a03 ld s4,32(sp) + 800179dc: 01813a83 ld s5,24(sp) + 800179e0: 01013b03 ld s6,16(sp) + 800179e4: 00813b83 ld s7,8(sp) + 800179e8: 00100513 li a0,1 + 800179ec: 05010113 addi sp,sp,80 + 800179f0: e58f906f j 80011048 <_Z9setmirrori> + 800179f4: 00444603 lbu a2,4(s0) + 800179f8: 00157693 andi a3,a0,1 + 800179fc: 00040b13 mv s6,s0 + 80017a00: 0036181b slliw a6,a2,0x3 + 80017a04: 00000493 li s1,0 + 80017a08: 001b4a97 auipc s5,0x1b4 + 80017a0c: 498a8a93 addi s5,s5,1176 # 801cbea0 <_ZL4type> + 80017a10: 00200b93 li s7,2 + 80017a14: 00300993 li s3,3 + 80017a18: 00100913 li s2,1 + 80017a1c: 00400a13 li s4,4 + 80017a20: 0ff6f713 andi a4,a3,255 + 80017a24: 03887593 andi a1,a6,56 + 80017a28: 04068c63 beqz a3,80017a80 <_ZL10S8259Syncov+0x144> + 80017a2c: 00044783 lbu a5,0(s0) + 80017a30: 0077f793 andi a5,a5,7 + 80017a34: 00b7e5b3 or a1,a5,a1 + 80017a38: 000aa783 lw a5,0(s5) + 80017a3c: 05778c63 beq a5,s7,80017a94 <_ZL10S8259Syncov+0x158> + 80017a40: 06fbcc63 blt s7,a5,80017ab8 <_ZL10S8259Syncov+0x17c> + 80017a44: 0a078863 beqz a5,80017af4 <_ZL10S8259Syncov+0x1b8> + 80017a48: 01279c63 bne a5,s2,80017a60 <_ZL10S8259Syncov+0x124> + 80017a4c: 00b4951b slliw a0,s1,0xb + 80017a50: c74f90ef jal ra,80010ec4 <_Z7setchr2jj> + 80017a54: 00744503 lbu a0,7(s0) + 80017a58: 00157693 andi a3,a0,1 + 80017a5c: 00068713 mv a4,a3 + 80017a60: 0014849b addiw s1,s1,1 + 80017a64: 001b0b13 addi s6,s6,1 + 80017a68: f34484e3 beq s1,s4,80017990 <_ZL10S8259Syncov+0x54> + 80017a6c: 00444603 lbu a2,4(s0) + 80017a70: 0ff6f713 andi a4,a3,255 + 80017a74: 0036181b slliw a6,a2,0x3 + 80017a78: 03887593 andi a1,a6,56 + 80017a7c: fa0698e3 bnez a3,80017a2c <_ZL10S8259Syncov+0xf0> + 80017a80: 000b4783 lbu a5,0(s6) + 80017a84: 0077f793 andi a5,a5,7 + 80017a88: 00b7e5b3 or a1,a5,a1 + 80017a8c: 000aa783 lw a5,0(s5) + 80017a90: fb7798e3 bne a5,s7,80017a40 <_ZL10S8259Syncov+0x104> + 80017a94: 00259593 slli a1,a1,0x2 + 80017a98: 0095e5b3 or a1,a1,s1 + 80017a9c: 00b4951b slliw a0,s1,0xb + 80017aa0: 0005859b sext.w a1,a1 + 80017aa4: c20f90ef jal ra,80010ec4 <_Z7setchr2jj> + 80017aa8: 00744503 lbu a0,7(s0) + 80017aac: 00157693 andi a3,a0,1 + 80017ab0: 00068713 mv a4,a3 + 80017ab4: fadff06f j 80017a60 <_ZL10S8259Syncov+0x124> + 80017ab8: fb3794e3 bne a5,s3,80017a60 <_ZL10S8259Syncov+0x124> + 80017abc: 000b4583 lbu a1,0(s6) + 80017ac0: 0075f593 andi a1,a1,7 + 80017ac4: 09748263 beq s1,s7,80017b48 <_ZL10S8259Syncov+0x20c> + 80017ac8: 07348063 beq s1,s3,80017b28 <_ZL10S8259Syncov+0x1ec> + 80017acc: 05248663 beq s1,s2,80017b18 <_ZL10S8259Syncov+0x1dc> + 80017ad0: 00a4951b slliw a0,s1,0xa + 80017ad4: bd0f90ef jal ra,80010ea4 <_Z7setchr1jj> + 80017ad8: 00001537 lui a0,0x1 + 80017adc: fff00593 li a1,-1 + 80017ae0: c04f90ef jal ra,80010ee4 <_Z7setchr4jj> + 80017ae4: 00744503 lbu a0,7(s0) + 80017ae8: 00157693 andi a3,a0,1 + 80017aec: 00068713 mv a4,a3 + 80017af0: f71ff06f j 80017a60 <_ZL10S8259Syncov+0x124> + 80017af4: 0014f793 andi a5,s1,1 + 80017af8: 00159593 slli a1,a1,0x1 + 80017afc: 00b4951b slliw a0,s1,0xb + 80017b00: 00f5e5b3 or a1,a1,a5 + 80017b04: bc0f90ef jal ra,80010ec4 <_Z7setchr2jj> + 80017b08: 00744503 lbu a0,7(s0) + 80017b0c: 00157693 andi a3,a0,1 + 80017b10: 00068713 mv a4,a3 + 80017b14: f4dff06f j 80017a60 <_ZL10S8259Syncov+0x124> + 80017b18: 0046161b slliw a2,a2,0x4 + 80017b1c: 01067613 andi a2,a2,16 + 80017b20: 00c5e5b3 or a1,a1,a2 + 80017b24: fadff06f j 80017ad0 <_ZL10S8259Syncov+0x194> + 80017b28: 00644783 lbu a5,6(s0) + 80017b2c: 0026161b slliw a2,a2,0x2 + 80017b30: 01067613 andi a2,a2,16 + 80017b34: 0037979b slliw a5,a5,0x3 + 80017b38: 0087f793 andi a5,a5,8 + 80017b3c: 00c7e633 or a2,a5,a2 + 80017b40: 00c5e5b3 or a1,a1,a2 + 80017b44: f8dff06f j 80017ad0 <_ZL10S8259Syncov+0x194> + 80017b48: 01087813 andi a6,a6,16 + 80017b4c: 0105e5b3 or a1,a1,a6 + 80017b50: f81ff06f j 80017ad0 <_ZL10S8259Syncov+0x194> + +0000000080017b54 <_ZL12S8259Restorei>: + 80017b54: de9ff06f j 8001793c <_ZL10S8259Syncov> + +0000000080017b58 <_ZL10S8259Resetv>: + 80017b58: ff010113 addi sp,sp,-16 + 80017b5c: 00000513 li a0,0 + 80017b60: 00113423 sd ra,8(sp) + 80017b64: 001b4797 auipc a5,0x1b4 + 80017b68: 32078c23 sb zero,824(a5) # 801cbe9c <_ZL3cmd> + 80017b6c: 001b4797 auipc a5,0x1b4 + 80017b70: 3407b223 sd zero,836(a5) # 801cbeb0 <_ZL5latch> + 80017b74: b90f90ef jal ra,80010f04 <_Z7setchr8j> + 80017b78: dc5ff0ef jal ra,8001793c <_ZL10S8259Syncov> + 80017b7c: 000105b7 lui a1,0x10 + 80017b80: ffff9617 auipc a2,0xffff9 + 80017b84: ae060613 addi a2,a2,-1312 # 80010660 <_Z6CartBRj> + 80017b88: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80017b8c: 00008537 lui a0,0x8 + 80017b90: 68d1b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80017b94: 00813083 ld ra,8(sp) + 80017b98: 000085b7 lui a1,0x8 + 80017b9c: 00004537 lui a0,0x4 + 80017ba0: 00000617 auipc a2,0x0 + 80017ba4: 1b460613 addi a2,a2,436 # 80017d54 <_ZL10S8259Writejh> + 80017ba8: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80017bac: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 80017bb0: 01010113 addi sp,sp,16 + 80017bb4: 7751b06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080017bb8 <_ZL14S74LS374NWritejh>: + 80017bb8: 000047b7 lui a5,0x4 + 80017bbc: 10178713 addi a4,a5,257 # 4101 <_entry_offset+0x4101> + 80017bc0: 00e57533 and a0,a0,a4 + 80017bc4: 10078793 addi a5,a5,256 + 80017bc8: 04f50263 beq a0,a5,80017c0c <_ZL14S74LS374NWritejh+0x54> + 80017bcc: 001b4797 auipc a5,0x1b4 + 80017bd0: 2d078793 addi a5,a5,720 # 801cbe9c <_ZL3cmd> + 80017bd4: 0007c683 lbu a3,0(a5) + 80017bd8: 00700713 li a4,7 + 80017bdc: 02d76663 bltu a4,a3,80017c08 <_ZL14S74LS374NWritejh+0x50> + 80017be0: 0002e717 auipc a4,0x2e + 80017be4: 92870713 addi a4,a4,-1752 # 80045508 <_ZZL8SetInputvE3moo+0x6b8> + 80017be8: 00269793 slli a5,a3,0x2 + 80017bec: 00e787b3 add a5,a5,a4 + 80017bf0: 0007a783 lw a5,0(a5) + 80017bf4: 00e787b3 add a5,a5,a4 + 80017bf8: 00078067 jr a5 + 80017bfc: 4015d59b sraiw a1,a1,0x1 + 80017c00: 001b4797 auipc a5,0x1b4 + 80017c04: 2ab78923 sb a1,690(a5) # 801cbeb2 <_ZL5latch+0x2> + 80017c08: ba1ff06f j 800177a8 <_ZL14S74LS374NSyncov> + 80017c0c: 0075f593 andi a1,a1,7 + 80017c10: 001b4797 auipc a5,0x1b4 + 80017c14: 28b78623 sb a1,652(a5) # 801cbe9c <_ZL3cmd> + 80017c18: 00008067 ret + 80017c1c: 00359793 slli a5,a1,0x3 + 80017c20: 001b4717 auipc a4,0x1b4 + 80017c24: 29070713 addi a4,a4,656 # 801cbeb0 <_ZL5latch> + 80017c28: 0015f593 andi a1,a1,1 + 80017c2c: 0087f793 andi a5,a5,8 + 80017c30: 00b70023 sb a1,0(a4) + 80017c34: 00f701a3 sb a5,3(a4) + 80017c38: b71ff06f j 800177a8 <_ZL14S74LS374NSyncov> + 80017c3c: 00259593 slli a1,a1,0x2 + 80017c40: 0045f593 andi a1,a1,4 + 80017c44: 001b4797 auipc a5,0x1b4 + 80017c48: 26b78823 sb a1,624(a5) # 801cbeb4 <_ZL5latch+0x4> + 80017c4c: b5dff06f j 800177a8 <_ZL14S74LS374NSyncov> + 80017c50: 0075f593 andi a1,a1,7 + 80017c54: 001b4797 auipc a5,0x1b4 + 80017c58: 24b78e23 sb a1,604(a5) # 801cbeb0 <_ZL5latch> + 80017c5c: b4dff06f j 800177a8 <_ZL14S74LS374NSyncov> + 80017c60: 0035f593 andi a1,a1,3 + 80017c64: 001b4797 auipc a5,0x1b4 + 80017c68: 24b786a3 sb a1,589(a5) # 801cbeb1 <_ZL5latch+0x1> + 80017c6c: b3dff06f j 800177a8 <_ZL14S74LS374NSyncov> + +0000000080017c70 <_ZL15S74LS374NAWritejh>: + 80017c70: 000047b7 lui a5,0x4 + 80017c74: 10178713 addi a4,a5,257 # 4101 <_entry_offset+0x4101> + 80017c78: 00e57533 and a0,a0,a4 + 80017c7c: 10078793 addi a5,a5,256 + 80017c80: 04f50263 beq a0,a5,80017cc4 <_ZL15S74LS374NAWritejh+0x54> + 80017c84: 001b4797 auipc a5,0x1b4 + 80017c88: 21878793 addi a5,a5,536 # 801cbe9c <_ZL3cmd> + 80017c8c: 0007c683 lbu a3,0(a5) + 80017c90: 00700713 li a4,7 + 80017c94: 02d76663 bltu a4,a3,80017cc0 <_ZL15S74LS374NAWritejh+0x50> + 80017c98: 0002e717 auipc a4,0x2e + 80017c9c: 89070713 addi a4,a4,-1904 # 80045528 <_ZZL8SetInputvE3moo+0x6d8> + 80017ca0: 00269793 slli a5,a3,0x2 + 80017ca4: 00e787b3 add a5,a5,a4 + 80017ca8: 0007a783 lw a5,0(a5) + 80017cac: 00e787b3 add a5,a5,a4 + 80017cb0: 00078067 jr a5 + 80017cb4: 0015f593 andi a1,a1,1 + 80017cb8: 001b4797 auipc a5,0x1b4 + 80017cbc: 1eb78d23 sb a1,506(a5) # 801cbeb2 <_ZL5latch+0x2> + 80017cc0: be5ff06f j 800178a4 <_ZL15S74LS374NASyncov> + 80017cc4: 0075f593 andi a1,a1,7 + 80017cc8: 001b4797 auipc a5,0x1b4 + 80017ccc: 1cb78a23 sb a1,468(a5) # 801cbe9c <_ZL3cmd> + 80017cd0: 00008067 ret + 80017cd4: 30000793 li a5,768 + 80017cd8: 001b4717 auipc a4,0x1b4 + 80017cdc: 1cf71c23 sh a5,472(a4) # 801cbeb0 <_ZL5latch> + 80017ce0: fe1ff06f j 80017cc0 <_ZL15S74LS374NAWritejh+0x50> + 80017ce4: 00359593 slli a1,a1,0x3 + 80017ce8: 0085f593 andi a1,a1,8 + 80017cec: 001b4797 auipc a5,0x1b4 + 80017cf0: 1cb783a3 sb a1,455(a5) # 801cbeb3 <_ZL5latch+0x3> + 80017cf4: fcdff06f j 80017cc0 <_ZL15S74LS374NAWritejh+0x50> + 80017cf8: 001b4717 auipc a4,0x1b4 + 80017cfc: 1b870713 addi a4,a4,440 # 801cbeb0 <_ZL5latch> + 80017d00: 00174783 lbu a5,1(a4) + 80017d04: 0035f593 andi a1,a1,3 + 80017d08: 0067f793 andi a5,a5,6 + 80017d0c: 00b7e5b3 or a1,a5,a1 + 80017d10: 00b700a3 sb a1,1(a4) + 80017d14: fadff06f j 80017cc0 <_ZL15S74LS374NAWritejh+0x50> + 80017d18: 0015f593 andi a1,a1,1 + 80017d1c: 001b4797 auipc a5,0x1b4 + 80017d20: 18b78a23 sb a1,404(a5) # 801cbeb0 <_ZL5latch> + 80017d24: f9dff06f j 80017cc0 <_ZL15S74LS374NAWritejh+0x50> + 80017d28: 001b4717 auipc a4,0x1b4 + 80017d2c: 18870713 addi a4,a4,392 # 801cbeb0 <_ZL5latch> + 80017d30: 00174783 lbu a5,1(a4) + 80017d34: 00374683 lbu a3,3(a4) + 80017d38: 00159593 slli a1,a1,0x1 + 80017d3c: 0017f793 andi a5,a5,1 + 80017d40: 00d7e7b3 or a5,a5,a3 + 80017d44: 0065f593 andi a1,a1,6 + 80017d48: 00b7e5b3 or a1,a5,a1 + 80017d4c: 00b700a3 sb a1,1(a4) + 80017d50: f71ff06f j 80017cc0 <_ZL15S74LS374NAWritejh+0x50> + +0000000080017d54 <_ZL10S8259Writejh>: + 80017d54: 000047b7 lui a5,0x4 + 80017d58: 10178713 addi a4,a5,257 # 4101 <_entry_offset+0x4101> + 80017d5c: 00e57533 and a0,a0,a4 + 80017d60: 10078793 addi a5,a5,256 + 80017d64: 00f51863 bne a0,a5,80017d74 <_ZL10S8259Writejh+0x20> + 80017d68: 001b4797 auipc a5,0x1b4 + 80017d6c: 12b78a23 sb a1,308(a5) # 801cbe9c <_ZL3cmd> + 80017d70: 00008067 ret + 80017d74: 001b4797 auipc a5,0x1b4 + 80017d78: 1287c783 lbu a5,296(a5) # 801cbe9c <_ZL3cmd> + 80017d7c: 0077f713 andi a4,a5,7 + 80017d80: 001b4797 auipc a5,0x1b4 + 80017d84: 13078793 addi a5,a5,304 # 801cbeb0 <_ZL5latch> + 80017d88: 00e787b3 add a5,a5,a4 + 80017d8c: 00b78023 sb a1,0(a5) + 80017d90: badff06f j 8001793c <_ZL10S8259Syncov> + +0000000080017d94 <_Z14S74LS374N_InitP8CartInfo>: + 80017d94: ff010113 addi sp,sp,-16 + 80017d98: 00113423 sd ra,8(sp) + 80017d9c: 00000717 auipc a4,0x0 + 80017da0: a8870713 addi a4,a4,-1400 # 80017824 <_ZL14S74LS374NPowerv> + 80017da4: 00e53023 sd a4,0(a0) + 80017da8: 00050793 mv a5,a0 + 80017dac: 00000717 auipc a4,0x0 + 80017db0: a5070713 addi a4,a4,-1456 # 800177fc <_ZL14S74LS374NResetv> + 80017db4: 00e7b423 sd a4,8(a5) + 80017db8: 0002d697 auipc a3,0x2d + 80017dbc: 5b068693 addi a3,a3,1456 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017dc0: 00000797 auipc a5,0x0 + 80017dc4: a3878793 addi a5,a5,-1480 # 800177f8 <_ZL16S74LS374NRestorei> + 80017dc8: 00000613 li a2,0 + 80017dcc: 00500593 li a1,5 + 80017dd0: 001b4517 auipc a0,0x1b4 + 80017dd4: 0e050513 addi a0,a0,224 # 801cbeb0 <_ZL5latch> + 80017dd8: 001b5717 auipc a4,0x1b5 + 80017ddc: 88f73023 sd a5,-1920(a4) # 801cc658 + 80017de0: a30e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017de4: 0002d697 auipc a3,0x2d + 80017de8: 6d468693 addi a3,a3,1748 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80017dec: 00000613 li a2,0 + 80017df0: 00100593 li a1,1 + 80017df4: 001b4517 auipc a0,0x1b4 + 80017df8: 0a850513 addi a0,a0,168 # 801cbe9c <_ZL3cmd> + 80017dfc: a14e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017e00: 00813083 ld ra,8(sp) + 80017e04: 0002d697 auipc a3,0x2d + 80017e08: 74468693 addi a3,a3,1860 # 80045548 <_ZZL8SetInputvE3moo+0x6f8> + 80017e0c: 00000613 li a2,0 + 80017e10: 00100593 li a1,1 + 80017e14: 001b4517 auipc a0,0x1b4 + 80017e18: 08950513 addi a0,a0,137 # 801cbe9d <_ZL3dip> + 80017e1c: 01010113 addi sp,sp,16 + 80017e20: 9f0e806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080017e24 <_Z15S74LS374NA_InitP8CartInfo>: + 80017e24: ff010113 addi sp,sp,-16 + 80017e28: 00113423 sd ra,8(sp) + 80017e2c: 00000797 auipc a5,0x0 + 80017e30: ab478793 addi a5,a5,-1356 # 800178e0 <_ZL15S74LS374NAPowerv> + 80017e34: 00f53023 sd a5,0(a0) + 80017e38: 0002d697 auipc a3,0x2d + 80017e3c: 53068693 addi a3,a3,1328 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017e40: 00000613 li a2,0 + 80017e44: 00500593 li a1,5 + 80017e48: 001b4517 auipc a0,0x1b4 + 80017e4c: 06850513 addi a0,a0,104 # 801cbeb0 <_ZL5latch> + 80017e50: 00000797 auipc a5,0x0 + 80017e54: 9a878793 addi a5,a5,-1624 # 800177f8 <_ZL16S74LS374NRestorei> + 80017e58: 001b5717 auipc a4,0x1b5 + 80017e5c: 80f73023 sd a5,-2048(a4) # 801cc658 + 80017e60: 9b0e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017e64: 00813083 ld ra,8(sp) + 80017e68: 0002d697 auipc a3,0x2d + 80017e6c: 65068693 addi a3,a3,1616 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80017e70: 00000613 li a2,0 + 80017e74: 00100593 li a1,1 + 80017e78: 001b4517 auipc a0,0x1b4 + 80017e7c: 02450513 addi a0,a0,36 # 801cbe9c <_ZL3cmd> + 80017e80: 01010113 addi sp,sp,16 + 80017e84: 98ce806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080017e88 <_Z11S8259A_InitP8CartInfo>: + 80017e88: ff010113 addi sp,sp,-16 + 80017e8c: 00113423 sd ra,8(sp) + 80017e90: 00000797 auipc a5,0x0 + 80017e94: cc878793 addi a5,a5,-824 # 80017b58 <_ZL10S8259Resetv> + 80017e98: 00f53023 sd a5,0(a0) + 80017e9c: 0002d697 auipc a3,0x2d + 80017ea0: 4cc68693 addi a3,a3,1228 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017ea4: 00000797 auipc a5,0x0 + 80017ea8: cb078793 addi a5,a5,-848 # 80017b54 <_ZL12S8259Restorei> + 80017eac: 00000613 li a2,0 + 80017eb0: 00800593 li a1,8 + 80017eb4: 001b4517 auipc a0,0x1b4 + 80017eb8: ffc50513 addi a0,a0,-4 # 801cbeb0 <_ZL5latch> + 80017ebc: 001b4717 auipc a4,0x1b4 + 80017ec0: 78f73e23 sd a5,1948(a4) # 801cc658 + 80017ec4: 94ce80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017ec8: 0002d697 auipc a3,0x2d + 80017ecc: 5f068693 addi a3,a3,1520 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80017ed0: 00000613 li a2,0 + 80017ed4: 00100593 li a1,1 + 80017ed8: 001b4517 auipc a0,0x1b4 + 80017edc: fc450513 addi a0,a0,-60 # 801cbe9c <_ZL3cmd> + 80017ee0: 930e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017ee4: 00813083 ld ra,8(sp) + 80017ee8: 001b4797 auipc a5,0x1b4 + 80017eec: fa07ac23 sw zero,-72(a5) # 801cbea0 <_ZL4type> + 80017ef0: 01010113 addi sp,sp,16 + 80017ef4: 00008067 ret + +0000000080017ef8 <_Z11S8259B_InitP8CartInfo>: + 80017ef8: ff010113 addi sp,sp,-16 + 80017efc: 00113423 sd ra,8(sp) + 80017f00: 00000797 auipc a5,0x0 + 80017f04: c5878793 addi a5,a5,-936 # 80017b58 <_ZL10S8259Resetv> + 80017f08: 00f53023 sd a5,0(a0) + 80017f0c: 0002d697 auipc a3,0x2d + 80017f10: 45c68693 addi a3,a3,1116 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017f14: 00000797 auipc a5,0x0 + 80017f18: c4078793 addi a5,a5,-960 # 80017b54 <_ZL12S8259Restorei> + 80017f1c: 00000613 li a2,0 + 80017f20: 00800593 li a1,8 + 80017f24: 001b4517 auipc a0,0x1b4 + 80017f28: f8c50513 addi a0,a0,-116 # 801cbeb0 <_ZL5latch> + 80017f2c: 001b4717 auipc a4,0x1b4 + 80017f30: 72f73623 sd a5,1836(a4) # 801cc658 + 80017f34: 8dce80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017f38: 0002d697 auipc a3,0x2d + 80017f3c: 58068693 addi a3,a3,1408 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80017f40: 00000613 li a2,0 + 80017f44: 00100593 li a1,1 + 80017f48: 001b4517 auipc a0,0x1b4 + 80017f4c: f5450513 addi a0,a0,-172 # 801cbe9c <_ZL3cmd> + 80017f50: 8c0e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017f54: 00813083 ld ra,8(sp) + 80017f58: 00100793 li a5,1 + 80017f5c: 001b4717 auipc a4,0x1b4 + 80017f60: f4f72223 sw a5,-188(a4) # 801cbea0 <_ZL4type> + 80017f64: 01010113 addi sp,sp,16 + 80017f68: 00008067 ret + +0000000080017f6c <_Z11S8259C_InitP8CartInfo>: + 80017f6c: ff010113 addi sp,sp,-16 + 80017f70: 00113423 sd ra,8(sp) + 80017f74: 00000797 auipc a5,0x0 + 80017f78: be478793 addi a5,a5,-1052 # 80017b58 <_ZL10S8259Resetv> + 80017f7c: 00f53023 sd a5,0(a0) + 80017f80: 0002d697 auipc a3,0x2d + 80017f84: 3e868693 addi a3,a3,1000 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017f88: 00000797 auipc a5,0x0 + 80017f8c: bcc78793 addi a5,a5,-1076 # 80017b54 <_ZL12S8259Restorei> + 80017f90: 00000613 li a2,0 + 80017f94: 00800593 li a1,8 + 80017f98: 001b4517 auipc a0,0x1b4 + 80017f9c: f1850513 addi a0,a0,-232 # 801cbeb0 <_ZL5latch> + 80017fa0: 001b4717 auipc a4,0x1b4 + 80017fa4: 6af73c23 sd a5,1720(a4) # 801cc658 + 80017fa8: 868e80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017fac: 0002d697 auipc a3,0x2d + 80017fb0: 50c68693 addi a3,a3,1292 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80017fb4: 00000613 li a2,0 + 80017fb8: 00100593 li a1,1 + 80017fbc: 001b4517 auipc a0,0x1b4 + 80017fc0: ee050513 addi a0,a0,-288 # 801cbe9c <_ZL3cmd> + 80017fc4: 84ce80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80017fc8: 00813083 ld ra,8(sp) + 80017fcc: 00200793 li a5,2 + 80017fd0: 001b4717 auipc a4,0x1b4 + 80017fd4: ecf72823 sw a5,-304(a4) # 801cbea0 <_ZL4type> + 80017fd8: 01010113 addi sp,sp,16 + 80017fdc: 00008067 ret + +0000000080017fe0 <_Z11S8259D_InitP8CartInfo>: + 80017fe0: ff010113 addi sp,sp,-16 + 80017fe4: 00113423 sd ra,8(sp) + 80017fe8: 00000797 auipc a5,0x0 + 80017fec: b7078793 addi a5,a5,-1168 # 80017b58 <_ZL10S8259Resetv> + 80017ff0: 00f53023 sd a5,0(a0) + 80017ff4: 0002d697 auipc a3,0x2d + 80017ff8: 37468693 addi a3,a3,884 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80017ffc: 00000797 auipc a5,0x0 + 80018000: b5878793 addi a5,a5,-1192 # 80017b54 <_ZL12S8259Restorei> + 80018004: 00000613 li a2,0 + 80018008: 00800593 li a1,8 + 8001800c: 001b4517 auipc a0,0x1b4 + 80018010: ea450513 addi a0,a0,-348 # 801cbeb0 <_ZL5latch> + 80018014: 001b4717 auipc a4,0x1b4 + 80018018: 64f73223 sd a5,1604(a4) # 801cc658 + 8001801c: ff5e70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80018020: 0002d697 auipc a3,0x2d + 80018024: 49868693 addi a3,a3,1176 # 800454b8 <_ZZL8SetInputvE3moo+0x668> + 80018028: 00000613 li a2,0 + 8001802c: 00100593 li a1,1 + 80018030: 001b4517 auipc a0,0x1b4 + 80018034: e6c50513 addi a0,a0,-404 # 801cbe9c <_ZL3cmd> + 80018038: fd9e70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001803c: 00813083 ld ra,8(sp) + 80018040: 00300793 li a5,3 + 80018044: 001b4717 auipc a4,0x1b4 + 80018048: e4f72e23 sw a5,-420(a4) # 801cbea0 <_ZL4type> + 8001804c: 01010113 addi sp,sp,16 + 80018050: 00008067 ret + +0000000080018054 <_Z12SA0161M_InitP8CartInfo>: + 80018054: fffff797 auipc a5,0xfffff + 80018058: 2cc78793 addi a5,a5,716 # 80017320 <_ZL9SARestorei> + 8001805c: 001b4717 auipc a4,0x1b4 + 80018060: 5ef73e23 sd a5,1532(a4) # 801cc658 + 80018064: fffff797 auipc a5,0xfffff + 80018068: 49478793 addi a5,a5,1172 # 800174f8 <_ZL7SAPowerv> + 8001806c: 00f53023 sd a5,0(a0) + 80018070: fffff797 auipc a5,0xfffff + 80018074: 2f078793 addi a5,a5,752 # 80017360 <_ZL12SA0161MSyncov> + 80018078: 0002d697 auipc a3,0x2d + 8001807c: 2f068693 addi a3,a3,752 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018080: 00000613 li a2,0 + 80018084: 00100593 li a1,1 + 80018088: 001b4517 auipc a0,0x1b4 + 8001808c: e2850513 addi a0,a0,-472 # 801cbeb0 <_ZL5latch> + 80018090: 001b4717 auipc a4,0x1b4 + 80018094: e0f73c23 sd a5,-488(a4) # 801cbea8 <_ZL5WSync> + 80018098: f79e706f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001809c <_Z12SA72007_InitP8CartInfo>: + 8001809c: fffff797 auipc a5,0xfffff + 800180a0: 28478793 addi a5,a5,644 # 80017320 <_ZL9SARestorei> + 800180a4: 001b4717 auipc a4,0x1b4 + 800180a8: 5af73a23 sd a5,1460(a4) # 801cc658 + 800180ac: fffff797 auipc a5,0xfffff + 800180b0: 44c78793 addi a5,a5,1100 # 800174f8 <_ZL7SAPowerv> + 800180b4: 00f53023 sd a5,0(a0) + 800180b8: fffff797 auipc a5,0xfffff + 800180bc: 2e878793 addi a5,a5,744 # 800173a0 <_ZL12SA72007Syncov> + 800180c0: 0002d697 auipc a3,0x2d + 800180c4: 2a868693 addi a3,a3,680 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 800180c8: 00000613 li a2,0 + 800180cc: 00100593 li a1,1 + 800180d0: 001b4517 auipc a0,0x1b4 + 800180d4: de050513 addi a0,a0,-544 # 801cbeb0 <_ZL5latch> + 800180d8: 001b4717 auipc a4,0x1b4 + 800180dc: dcf73823 sd a5,-560(a4) # 801cbea8 <_ZL5WSync> + 800180e0: f31e706f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800180e4 <_Z12SA72008_InitP8CartInfo>: + 800180e4: fffff797 auipc a5,0xfffff + 800180e8: 23c78793 addi a5,a5,572 # 80017320 <_ZL9SARestorei> + 800180ec: 001b4717 auipc a4,0x1b4 + 800180f0: 56f73623 sd a5,1388(a4) # 801cc658 + 800180f4: fffff797 auipc a5,0xfffff + 800180f8: 40478793 addi a5,a5,1028 # 800174f8 <_ZL7SAPowerv> + 800180fc: 00f53023 sd a5,0(a0) + 80018100: fffff797 auipc a5,0xfffff + 80018104: 2cc78793 addi a5,a5,716 # 800173cc <_ZL12SA72008Syncov> + 80018108: 0002d697 auipc a3,0x2d + 8001810c: 26068693 addi a3,a3,608 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018110: 00000613 li a2,0 + 80018114: 00100593 li a1,1 + 80018118: 001b4517 auipc a0,0x1b4 + 8001811c: d9850513 addi a0,a0,-616 # 801cbeb0 <_ZL5latch> + 80018120: 001b4717 auipc a4,0x1b4 + 80018124: d8f73423 sd a5,-632(a4) # 801cbea8 <_ZL5WSync> + 80018128: ee9e706f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001812c <_Z10SA009_InitP8CartInfo>: + 8001812c: fffff797 auipc a5,0xfffff + 80018130: 1f478793 addi a5,a5,500 # 80017320 <_ZL9SARestorei> + 80018134: 001b4717 auipc a4,0x1b4 + 80018138: 52f73223 sd a5,1316(a4) # 801cc658 + 8001813c: fffff797 auipc a5,0xfffff + 80018140: 3bc78793 addi a5,a5,956 # 800174f8 <_ZL7SAPowerv> + 80018144: 00f53023 sd a5,0(a0) + 80018148: fffff797 auipc a5,0xfffff + 8001814c: 2c478793 addi a5,a5,708 # 8001740c <_ZL10SA009Syncov> + 80018150: 0002d697 auipc a3,0x2d + 80018154: 21868693 addi a3,a3,536 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018158: 00000613 li a2,0 + 8001815c: 00100593 li a1,1 + 80018160: 001b4517 auipc a0,0x1b4 + 80018164: d5050513 addi a0,a0,-688 # 801cbeb0 <_ZL5latch> + 80018168: 001b4717 auipc a4,0x1b4 + 8001816c: d4f73023 sd a5,-704(a4) # 801cbea8 <_ZL5WSync> + 80018170: ea1e706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018174 <_Z11SA0036_InitP8CartInfo>: + 80018174: fffff797 auipc a5,0xfffff + 80018178: 1ac78793 addi a5,a5,428 # 80017320 <_ZL9SARestorei> + 8001817c: 001b4717 auipc a4,0x1b4 + 80018180: 4cf73e23 sd a5,1244(a4) # 801cc658 + 80018184: fffff797 auipc a5,0xfffff + 80018188: 3cc78793 addi a5,a5,972 # 80017550 <_ZL8SADPowerv> + 8001818c: 00f53023 sd a5,0(a0) + 80018190: fffff797 auipc a5,0xfffff + 80018194: 21078793 addi a5,a5,528 # 800173a0 <_ZL12SA72007Syncov> + 80018198: 0002d697 auipc a3,0x2d + 8001819c: 1d068693 addi a3,a3,464 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 800181a0: 00000613 li a2,0 + 800181a4: 00100593 li a1,1 + 800181a8: 001b4517 auipc a0,0x1b4 + 800181ac: d0850513 addi a0,a0,-760 # 801cbeb0 <_ZL5latch> + 800181b0: 001b4717 auipc a4,0x1b4 + 800181b4: cef73c23 sd a5,-776(a4) # 801cbea8 <_ZL5WSync> + 800181b8: e59e706f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800181bc <_Z11SA0037_InitP8CartInfo>: + 800181bc: fffff797 auipc a5,0xfffff + 800181c0: 16478793 addi a5,a5,356 # 80017320 <_ZL9SARestorei> + 800181c4: 001b4717 auipc a4,0x1b4 + 800181c8: 48f73a23 sd a5,1172(a4) # 801cc658 + 800181cc: fffff797 auipc a5,0xfffff + 800181d0: 38478793 addi a5,a5,900 # 80017550 <_ZL8SADPowerv> + 800181d4: 00f53023 sd a5,0(a0) + 800181d8: fffff797 auipc a5,0xfffff + 800181dc: 18878793 addi a5,a5,392 # 80017360 <_ZL12SA0161MSyncov> + 800181e0: 0002d697 auipc a3,0x2d + 800181e4: 18868693 addi a3,a3,392 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 800181e8: 00000613 li a2,0 + 800181ec: 00100593 li a1,1 + 800181f0: 001b4517 auipc a0,0x1b4 + 800181f4: cc050513 addi a0,a0,-832 # 801cbeb0 <_ZL5latch> + 800181f8: 001b4717 auipc a4,0x1b4 + 800181fc: caf73823 sd a5,-848(a4) # 801cbea8 <_ZL5WSync> + 80018200: e11e706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018204 <_Z10TCU01_InitP8CartInfo>: + 80018204: fffff797 auipc a5,0xfffff + 80018208: 2a078793 addi a5,a5,672 # 800174a4 <_ZL12TCU01Restorei> + 8001820c: 001b4717 auipc a4,0x1b4 + 80018210: 44f73623 sd a5,1100(a4) # 801cc658 + 80018214: fffff797 auipc a5,0xfffff + 80018218: 39478793 addi a5,a5,916 # 800175a8 <_ZL10TCU01Powerv> + 8001821c: 00f53023 sd a5,0(a0) + 80018220: 0002d697 auipc a3,0x2d + 80018224: 14868693 addi a3,a3,328 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018228: 00000613 li a2,0 + 8001822c: 00100593 li a1,1 + 80018230: 001b4517 auipc a0,0x1b4 + 80018234: c8050513 addi a0,a0,-896 # 801cbeb0 <_ZL5latch> + 80018238: dd9e706f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001823c <_Z10TCU02_InitP8CartInfo>: + 8001823c: fffff797 auipc a5,0xfffff + 80018240: 2b878793 addi a5,a5,696 # 800174f4 <_ZL12TCU02Restorei> + 80018244: 001b4717 auipc a4,0x1b4 + 80018248: 40f73a23 sd a5,1044(a4) # 801cc658 + 8001824c: fffff797 auipc a5,0xfffff + 80018250: 3b078793 addi a5,a5,944 # 800175fc <_ZL10TCU02Powerv> + 80018254: 00f53023 sd a5,0(a0) + 80018258: 0002d697 auipc a3,0x2d + 8001825c: 11068693 addi a3,a3,272 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018260: 00000613 li a2,0 + 80018264: 00100593 li a1,1 + 80018268: 001b4517 auipc a0,0x1b4 + 8001826c: c4850513 addi a0,a0,-952 # 801cbeb0 <_ZL5latch> + 80018270: da1e706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018274 <_Z10TCA01_InitP8CartInfo>: + 80018274: fffff797 auipc a5,0xfffff + 80018278: 3f878793 addi a5,a5,1016 # 8001766c <_ZL10TCA01Powerv> + 8001827c: 00f53023 sd a5,0(a0) + 80018280: 00008067 ret + +0000000080018284 <_ZL4Syncv>: + 80018284: ff010113 addi sp,sp,-16 + 80018288: 00813023 sd s0,0(sp) + 8001828c: 001b4417 auipc s0,0x1b4 + 80018290: c2c40413 addi s0,s0,-980 # 801cbeb8 <_ZL3reg> + 80018294: 00044503 lbu a0,0(s0) + 80018298: 00113423 sd ra,8(sp) + 8001829c: c69f80ef jal ra,80010f04 <_Z7setchr8j> + 800182a0: 001b4797 auipc a5,0x1b4 + 800182a4: c1a7c783 lbu a5,-998(a5) # 801cbeba <_ZL5delay> + 800182a8: 02078e63 beqz a5,800182e4 <_ZL4Syncv+0x60> + 800182ac: 00044583 lbu a1,0(s0) + 800182b0: 0000e537 lui a0,0xe + 800182b4: 0015959b slliw a1,a1,0x1 + 800182b8: 0015859b addiw a1,a1,1 + 800182bc: fe0f80ef jal ra,80010a9c <_Z7setprg8jj> + 800182c0: 001b4517 auipc a0,0x1b4 + 800182c4: bf954503 lbu a0,-1031(a0) # 801cbeb9 <_ZL4mirr> + 800182c8: 00013403 ld s0,0(sp) + 800182cc: 00813083 ld ra,8(sp) + 800182d0: 00255513 srli a0,a0,0x2 + 800182d4: 00154513 xori a0,a0,1 + 800182d8: 00157513 andi a0,a0,1 + 800182dc: 01010113 addi sp,sp,16 + 800182e0: d69f806f j 80011048 <_Z9setmirrori> + 800182e4: 00044583 lbu a1,0(s0) + 800182e8: 00008537 lui a0,0x8 + 800182ec: 96df80ef jal ra,80010c58 <_Z8setprg16jj> + 800182f0: 00044583 lbu a1,0(s0) + 800182f4: 0000c537 lui a0,0xc + 800182f8: 0015959b slliw a1,a1,0x1 + 800182fc: fa0f80ef jal ra,80010a9c <_Z7setprg8jj> + 80018300: fadff06f j 800182ac <_ZL4Syncv+0x28> + +0000000080018304 <_ZL12StateRestorei>: + 80018304: f81ff06f j 80018284 <_ZL4Syncv> + +0000000080018308 <_ZL10M175Write2jh>: + 80018308: 00f5f593 andi a1,a1,15 + 8001830c: 001b4797 auipc a5,0x1b4 + 80018310: bab78623 sb a1,-1108(a5) # 801cbeb8 <_ZL3reg> + 80018314: 00100793 li a5,1 + 80018318: 001b4717 auipc a4,0x1b4 + 8001831c: baf70123 sb a5,-1118(a4) # 801cbeba <_ZL5delay> + 80018320: f65ff06f j 80018284 <_ZL4Syncv> + +0000000080018324 <_ZL10M175Write1jh>: + 80018324: 001b4797 auipc a5,0x1b4 + 80018328: b8b78aa3 sb a1,-1131(a5) # 801cbeb9 <_ZL4mirr> + 8001832c: 00100793 li a5,1 + 80018330: 001b4717 auipc a4,0x1b4 + 80018334: b8f70523 sb a5,-1142(a4) # 801cbeba <_ZL5delay> + 80018338: f4dff06f j 80018284 <_ZL4Syncv> + +000000008001833c <_ZL9M175Powerv>: + 8001833c: 000105b7 lui a1,0x10 + 80018340: ff010113 addi sp,sp,-16 + 80018344: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80018348: 00000617 auipc a2,0x0 + 8001834c: 06060613 addi a2,a2,96 # 800183a8 <_ZL8M175Readj> + 80018350: 00008537 lui a0,0x8 + 80018354: 00113423 sd ra,8(sp) + 80018358: 001b4797 auipc a5,0x1b4 + 8001835c: b6078123 sb zero,-1182(a5) # 801cbeba <_ZL5delay> + 80018360: 001b4797 auipc a5,0x1b4 + 80018364: b4078ca3 sb zero,-1191(a5) # 801cbeb9 <_ZL4mirr> + 80018368: 001b4797 auipc a5,0x1b4 + 8001836c: b4078823 sb zero,-1200(a5) # 801cbeb8 <_ZL3reg> + 80018370: 6ac1b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018374: 00000617 auipc a2,0x0 + 80018378: fb060613 addi a2,a2,-80 # 80018324 <_ZL10M175Write1jh> + 8001837c: 000085b7 lui a1,0x8 + 80018380: 00008537 lui a0,0x8 + 80018384: 7a41b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80018388: 00000617 auipc a2,0x0 + 8001838c: f8060613 addi a2,a2,-128 # 80018308 <_ZL10M175Write2jh> + 80018390: 0000a5b7 lui a1,0xa + 80018394: 0000a537 lui a0,0xa + 80018398: 7901b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001839c: 00813083 ld ra,8(sp) + 800183a0: 01010113 addi sp,sp,16 + 800183a4: ee1ff06f j 80018284 <_ZL4Syncv> + +00000000800183a8 <_ZL8M175Readj>: + 800183a8: 000107b7 lui a5,0x10 + 800183ac: ffc78793 addi a5,a5,-4 # fffc <_entry_offset+0xfffc> + 800183b0: 00f50463 beq a0,a5,800183b8 <_ZL8M175Readj+0x10> + 800183b4: aacf806f j 80010660 <_Z6CartBRj> + 800183b8: fe010113 addi sp,sp,-32 + 800183bc: 00113c23 sd ra,24(sp) + 800183c0: 00a13423 sd a0,8(sp) + 800183c4: 001b4797 auipc a5,0x1b4 + 800183c8: ae078b23 sb zero,-1290(a5) # 801cbeba <_ZL5delay> + 800183cc: eb9ff0ef jal ra,80018284 <_ZL4Syncv> + 800183d0: 00813503 ld a0,8(sp) + 800183d4: 01813083 ld ra,24(sp) + 800183d8: 02010113 addi sp,sp,32 + 800183dc: a84f806f j 80010660 <_Z6CartBRj> + +00000000800183e0 <_Z14Mapper175_InitP8CartInfo>: + 800183e0: 00000797 auipc a5,0x0 + 800183e4: f5c78793 addi a5,a5,-164 # 8001833c <_ZL9M175Powerv> + 800183e8: 00f53023 sd a5,0(a0) # a000 <_entry_offset+0xa000> + 800183ec: 00000797 auipc a5,0x0 + 800183f0: f1878793 addi a5,a5,-232 # 80018304 <_ZL12StateRestorei> + 800183f4: 00000693 li a3,0 + 800183f8: 00000613 li a2,0 + 800183fc: fff00593 li a1,-1 + 80018400: 00032517 auipc a0,0x32 + 80018404: 6f850513 addi a0,a0,1784 # 8004aaf8 <_ZL9StateRegs> + 80018408: 001b4717 auipc a4,0x1b4 + 8001840c: 24f73823 sd a5,592(a4) # 801cc658 + 80018410: c01e706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018414 <_ZL4Syncv>: + 80018414: fe010113 addi sp,sp,-32 + 80018418: 00913423 sd s1,8(sp) + 8001841c: 001b4497 auipc s1,0x1b4 + 80018420: aa848493 addi s1,s1,-1368 # 801cbec4 <_ZL4mirr> + 80018424: 0004c503 lbu a0,0(s1) + 80018428: 00113c23 sd ra,24(sp) + 8001842c: 00813823 sd s0,16(sp) + 80018430: c19f80ef jal ra,80011048 <_Z9setmirrori> + 80018434: 001b4597 auipc a1,0x1b4 + 80018438: a915c583 lbu a1,-1391(a1) # 801cbec5 <_ZL4preg> + 8001843c: 00008537 lui a0,0x8 + 80018440: 819f80ef jal ra,80010c58 <_Z8setprg16jj> + 80018444: fff00593 li a1,-1 + 80018448: 0000c537 lui a0,0xc + 8001844c: 80df80ef jal ra,80010c58 <_Z8setprg16jj> + 80018450: 001b4417 auipc s0,0x1b4 + 80018454: a7040413 addi s0,s0,-1424 # 801cbec0 <_ZL4creg> + 80018458: 00044583 lbu a1,0(s0) + 8001845c: 00000513 li a0,0 + 80018460: a65f80ef jal ra,80010ec4 <_Z7setchr2jj> + 80018464: 00144583 lbu a1,1(s0) + 80018468: 00001537 lui a0,0x1 + 8001846c: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 80018470: a55f80ef jal ra,80010ec4 <_Z7setchr2jj> + 80018474: 00244583 lbu a1,2(s0) + 80018478: 00001537 lui a0,0x1 + 8001847c: a49f80ef jal ra,80010ec4 <_Z7setchr2jj> + 80018480: 00344583 lbu a1,3(s0) + 80018484: 00002537 lui a0,0x2 + 80018488: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8001848c: a39f80ef jal ra,80010ec4 <_Z7setchr2jj> + 80018490: 0004c783 lbu a5,0(s1) + 80018494: 00200713 li a4,2 + 80018498: 04e78e63 beq a5,a4,800184f4 <_ZL4Syncv+0xe0> + 8001849c: 02f76063 bltu a4,a5,800184bc <_ZL4Syncv+0xa8> + 800184a0: 00000513 li a0,0 + 800184a4: 02078c63 beqz a5,800184dc <_ZL4Syncv+0xc8> + 800184a8: 01013403 ld s0,16(sp) + 800184ac: 01813083 ld ra,24(sp) + 800184b0: 00813483 ld s1,8(sp) + 800184b4: 02010113 addi sp,sp,32 + 800184b8: b91f806f j 80011048 <_Z9setmirrori> + 800184bc: 00300713 li a4,3 + 800184c0: 00300513 li a0,3 + 800184c4: fee782e3 beq a5,a4,800184a8 <_ZL4Syncv+0x94> + 800184c8: 01813083 ld ra,24(sp) + 800184cc: 01013403 ld s0,16(sp) + 800184d0: 00813483 ld s1,8(sp) + 800184d4: 02010113 addi sp,sp,32 + 800184d8: 00008067 ret + 800184dc: 01013403 ld s0,16(sp) + 800184e0: 01813083 ld ra,24(sp) + 800184e4: 00813483 ld s1,8(sp) + 800184e8: 00100513 li a0,1 + 800184ec: 02010113 addi sp,sp,32 + 800184f0: b59f806f j 80011048 <_Z9setmirrori> + 800184f4: 01013403 ld s0,16(sp) + 800184f8: 01813083 ld ra,24(sp) + 800184fc: 00813483 ld s1,8(sp) + 80018500: 00200513 li a0,2 + 80018504: 02010113 addi sp,sp,32 + 80018508: b41f806f j 80011048 <_Z9setmirrori> + +000000008001850c <_ZL12StateRestorei>: + 8001850c: f09ff06f j 80018414 <_ZL4Syncv> + +0000000080018510 <_ZL8M67Powerv>: + 80018510: ff010113 addi sp,sp,-16 + 80018514: 00113423 sd ra,8(sp) + 80018518: 00813023 sd s0,0(sp) + 8001851c: 001b4797 auipc a5,0x1b4 + 80018520: 9a078723 sb zero,-1618(a5) # 801cbeca <_ZL9suntoggle> + 80018524: 00010437 lui s0,0x10 + 80018528: eedff0ef jal ra,80018414 <_ZL4Syncv> + 8001852c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80018530: ffff8617 auipc a2,0xffff8 + 80018534: 13060613 addi a2,a2,304 # 80010660 <_Z6CartBRj> + 80018538: 00008537 lui a0,0x8 + 8001853c: 4e01b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018540: fff40593 addi a1,s0,-1 + 80018544: 00013403 ld s0,0(sp) + 80018548: 00813083 ld ra,8(sp) + 8001854c: 00000617 auipc a2,0x0 + 80018550: 01460613 addi a2,a2,20 # 80018560 <_ZL8M67Writejh> + 80018554: 00008537 lui a0,0x8 + 80018558: 01010113 addi sp,sp,16 + 8001855c: 5cc1b06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080018560 <_ZL8M67Writejh>: + 80018560: 00010737 lui a4,0x10 + 80018564: 80070713 addi a4,a4,-2048 # f800 <_entry_offset+0xf800> + 80018568: 00e57533 and a0,a0,a4 + 8001856c: 0000c7b7 lui a5,0xc + 80018570: 0af50663 beq a0,a5,8001861c <_ZL8M67Writejh+0xbc> + 80018574: 02a7e463 bltu a5,a0,8001859c <_ZL8M67Writejh+0x3c> + 80018578: 0000b737 lui a4,0xb + 8001857c: 80070713 addi a4,a4,-2048 # a800 <_entry_offset+0xa800> + 80018580: 0ee50e63 beq a0,a4,8001867c <_ZL8M67Writejh+0x11c> + 80018584: 02a77c63 bgeu a4,a0,800185bc <_ZL8M67Writejh+0x5c> + 80018588: 80078793 addi a5,a5,-2048 # b800 <_entry_offset+0xb800> + 8001858c: 08f51463 bne a0,a5,80018614 <_ZL8M67Writejh+0xb4> + 80018590: 001b4797 auipc a5,0x1b4 + 80018594: 92b789a3 sb a1,-1741(a5) # 801cbec3 <_ZL4creg+0x3> + 80018598: e7dff06f j 80018414 <_ZL4Syncv> + 8001859c: 0000f7b7 lui a5,0xf + 800185a0: 80078793 addi a5,a5,-2048 # e800 <_entry_offset+0xe800> + 800185a4: 0cf50463 beq a0,a5,8001866c <_ZL8M67Writejh+0x10c> + 800185a8: 02a7fc63 bgeu a5,a0,800185e0 <_ZL8M67Writejh+0x80> + 800185ac: 06e51663 bne a0,a4,80018618 <_ZL8M67Writejh+0xb8> + 800185b0: 001b4797 auipc a5,0x1b4 + 800185b4: 90b78aa3 sb a1,-1771(a5) # 801cbec5 <_ZL4preg> + 800185b8: e5dff06f j 80018414 <_ZL4Syncv> + 800185bc: 000097b7 lui a5,0x9 + 800185c0: 80078793 addi a5,a5,-2048 # 8800 <_entry_offset+0x8800> + 800185c4: 08f50e63 beq a0,a5,80018660 <_ZL8M67Writejh+0x100> + 800185c8: 0000a7b7 lui a5,0xa + 800185cc: 80078793 addi a5,a5,-2048 # 9800 <_entry_offset+0x9800> + 800185d0: 0af51c63 bne a0,a5,80018688 <_ZL8M67Writejh+0x128> + 800185d4: 001b4797 auipc a5,0x1b4 + 800185d8: 8eb786a3 sb a1,-1811(a5) # 801cbec1 <_ZL4creg+0x1> + 800185dc: e39ff06f j 80018414 <_ZL4Syncv> + 800185e0: 0000d7b7 lui a5,0xd + 800185e4: 80078793 addi a5,a5,-2048 # c800 <_entry_offset+0xc800> + 800185e8: 02f50a63 beq a0,a5,8001861c <_ZL8M67Writejh+0xbc> + 800185ec: 0000e7b7 lui a5,0xe + 800185f0: 80078793 addi a5,a5,-2048 # d800 <_entry_offset+0xd800> + 800185f4: 08f51c63 bne a0,a5,8001868c <_ZL8M67Writejh+0x12c> + 800185f8: 0105f593 andi a1,a1,16 + 800185fc: 00100513 li a0,1 + 80018600: 001b4797 auipc a5,0x1b4 + 80018604: 8c078523 sb zero,-1846(a5) # 801cbeca <_ZL9suntoggle> + 80018608: 001b4797 auipc a5,0x1b4 + 8001860c: 8ab789a3 sb a1,-1869(a5) # 801cbebb <_ZL4IRQa> + 80018610: f40e806f j 80000d50 <_Z12X6502_IRQEndi> + 80018614: 00008067 ret + 80018618: 00008067 ret + 8001861c: 001b4697 auipc a3,0x1b4 + 80018620: 8ae68693 addi a3,a3,-1874 # 801cbeca <_ZL9suntoggle> + 80018624: 0006c783 lbu a5,0(a3) + 80018628: 001b4517 auipc a0,0x1b4 + 8001862c: 89e50513 addi a0,a0,-1890 # 801cbec6 <_ZL8IRQCount> + 80018630: 00055803 lhu a6,0(a0) + 80018634: 0017c613 xori a2,a5,1 + 80018638: 0037971b slliw a4,a5,0x3 + 8001863c: 0ff00793 li a5,255 + 80018640: 00e797bb sllw a5,a5,a4 + 80018644: 0036171b slliw a4,a2,0x3 + 80018648: 0107f7b3 and a5,a5,a6 + 8001864c: 00e595bb sllw a1,a1,a4 + 80018650: 00b7e5b3 or a1,a5,a1 + 80018654: 00b51023 sh a1,0(a0) + 80018658: 00c68023 sb a2,0(a3) + 8001865c: 00008067 ret + 80018660: 001b4797 auipc a5,0x1b4 + 80018664: 86b78023 sb a1,-1952(a5) # 801cbec0 <_ZL4creg> + 80018668: dadff06f j 80018414 <_ZL4Syncv> + 8001866c: 0035f593 andi a1,a1,3 + 80018670: 001b4797 auipc a5,0x1b4 + 80018674: 84b78a23 sb a1,-1964(a5) # 801cbec4 <_ZL4mirr> + 80018678: d9dff06f j 80018414 <_ZL4Syncv> + 8001867c: 001b4797 auipc a5,0x1b4 + 80018680: 84b78323 sb a1,-1978(a5) # 801cbec2 <_ZL4creg+0x2> + 80018684: d91ff06f j 80018414 <_ZL4Syncv> + 80018688: 00008067 ret + 8001868c: 00008067 ret + +0000000080018690 <_Z6M67IRQi>: + 80018690: 001b4797 auipc a5,0x1b4 + 80018694: 82b7c783 lbu a5,-2005(a5) # 801cbebb <_ZL4IRQa> + 80018698: 04078063 beqz a5,800186d8 <_Z6M67IRQi+0x48> + 8001869c: ff010113 addi sp,sp,-16 + 800186a0: 00813023 sd s0,0(sp) + 800186a4: 001b4417 auipc s0,0x1b4 + 800186a8: 82240413 addi s0,s0,-2014 # 801cbec6 <_ZL8IRQCount> + 800186ac: 00045783 lhu a5,0(s0) + 800186b0: 00113423 sd ra,8(sp) + 800186b4: 40a7853b subw a0,a5,a0 + 800186b8: 0105151b slliw a0,a0,0x10 + 800186bc: 4105551b sraiw a0,a0,0x10 + 800186c0: 00a41023 sh a0,0(s0) + 800186c4: 00a05c63 blez a0,800186dc <_Z6M67IRQi+0x4c> + 800186c8: 00813083 ld ra,8(sp) + 800186cc: 00013403 ld s0,0(sp) + 800186d0: 01010113 addi sp,sp,16 + 800186d4: 00008067 ret + 800186d8: 00008067 ret + 800186dc: 00100513 li a0,1 + 800186e0: e58e80ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 800186e4: 001b3797 auipc a5,0x1b3 + 800186e8: 7c078ba3 sb zero,2007(a5) # 801cbebb <_ZL4IRQa> + 800186ec: fff00793 li a5,-1 + 800186f0: 00813083 ld ra,8(sp) + 800186f4: 00f41023 sh a5,0(s0) + 800186f8: 00013403 ld s0,0(sp) + 800186fc: 01010113 addi sp,sp,16 + 80018700: 00008067 ret + +0000000080018704 <_Z13Mapper67_InitP8CartInfo>: + 80018704: 00000797 auipc a5,0x0 + 80018708: e0c78793 addi a5,a5,-500 # 80018510 <_ZL8M67Powerv> + 8001870c: 00f53023 sd a5,0(a0) + 80018710: 00000797 auipc a5,0x0 + 80018714: f8078793 addi a5,a5,-128 # 80018690 <_Z6M67IRQi> + 80018718: 001b3717 auipc a4,0x1b3 + 8001871c: 42f73423 sd a5,1064(a4) # 801cbb40 + 80018720: 00000797 auipc a5,0x0 + 80018724: dec78793 addi a5,a5,-532 # 8001850c <_ZL12StateRestorei> + 80018728: 00000693 li a3,0 + 8001872c: 00000613 li a2,0 + 80018730: fff00593 li a1,-1 + 80018734: 00032517 auipc a0,0x32 + 80018738: 40c50513 addi a0,a0,1036 # 8004ab40 <_ZL9StateRegs> + 8001873c: 001b4717 auipc a4,0x1b4 + 80018740: f0f73e23 sd a5,-228(a4) # 801cc658 + 80018744: 8cde706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018748 <_ZL4Syncv>: + 80018748: 000075b7 lui a1,0x7 + 8001874c: ff010113 addi sp,sp,-16 + 80018750: 00000613 li a2,0 + 80018754: 80058593 addi a1,a1,-2048 # 6800 <_entry_offset+0x6800> + 80018758: 01000513 li a0,16 + 8001875c: 00113423 sd ra,8(sp) + 80018760: 00813023 sd s0,0(sp) + 80018764: fa9f70ef jal ra,8001070c <_Z8setprg2rijj> + 80018768: 001b3417 auipc s0,0x1b3 + 8001876c: 77040413 addi s0,s0,1904 # 801cbed8 <_ZL4regs> + 80018770: 00044583 lbu a1,0(s0) + 80018774: 00008537 lui a0,0x8 + 80018778: b24f80ef jal ra,80010a9c <_Z7setprg8jj> + 8001877c: 00144583 lbu a1,1(s0) + 80018780: 0000a537 lui a0,0xa + 80018784: b18f80ef jal ra,80010a9c <_Z7setprg8jj> + 80018788: 00244583 lbu a1,2(s0) + 8001878c: 0000c537 lui a0,0xc + 80018790: b0cf80ef jal ra,80010a9c <_Z7setprg8jj> + 80018794: 00344583 lbu a1,3(s0) + 80018798: 0000e537 lui a0,0xe + 8001879c: b00f80ef jal ra,80010a9c <_Z7setprg8jj> + 800187a0: 00444583 lbu a1,4(s0) + 800187a4: 00000513 li a0,0 + 800187a8: f1cf80ef jal ra,80010ec4 <_Z7setchr2jj> + 800187ac: 00544583 lbu a1,5(s0) + 800187b0: 00001537 lui a0,0x1 + 800187b4: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 800187b8: f0cf80ef jal ra,80010ec4 <_Z7setchr2jj> + 800187bc: 00644583 lbu a1,6(s0) + 800187c0: 00001537 lui a0,0x1 + 800187c4: f00f80ef jal ra,80010ec4 <_Z7setchr2jj> + 800187c8: 00744583 lbu a1,7(s0) + 800187cc: 00013403 ld s0,0(sp) + 800187d0: 00813083 ld ra,8(sp) + 800187d4: 00002537 lui a0,0x2 + 800187d8: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 800187dc: 01010113 addi sp,sp,16 + 800187e0: ee4f806f j 80010ec4 <_Z7setchr2jj> + +00000000800187e4 <_ZL12StateRestorei>: + 800187e4: f65ff06f j 80018748 <_ZL4Syncv> + +00000000800187e8 <_ZL9M246Writejh>: + 800187e8: 00757793 andi a5,a0,7 + 800187ec: 001b3517 auipc a0,0x1b3 + 800187f0: 6ec50513 addi a0,a0,1772 # 801cbed8 <_ZL4regs> + 800187f4: 00f50533 add a0,a0,a5 + 800187f8: 00b50023 sb a1,0(a0) + 800187fc: f4dff06f j 80018748 <_ZL4Syncv> + +0000000080018800 <_ZL9M246Closev>: + 80018800: 001b3517 auipc a0,0x1b3 + 80018804: 6d053503 ld a0,1744(a0) # 801cbed0 <_ZL4WRAM> + 80018808: 02050263 beqz a0,8001882c <_ZL9M246Closev+0x2c> + 8001880c: ff010113 addi sp,sp,-16 + 80018810: 00113423 sd ra,8(sp) + 80018814: d2df90ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80018818: 00813083 ld ra,8(sp) + 8001881c: 001b3797 auipc a5,0x1b3 + 80018820: 6a07ba23 sd zero,1716(a5) # 801cbed0 <_ZL4WRAM> + 80018824: 01010113 addi sp,sp,16 + 80018828: 00008067 ret + 8001882c: 001b3797 auipc a5,0x1b3 + 80018830: 6a07b223 sd zero,1700(a5) # 801cbed0 <_ZL4WRAM> + 80018834: 00008067 ret + +0000000080018838 <_ZL9M246Powerv>: + 80018838: ff010113 addi sp,sp,-16 + 8001883c: fff00793 li a5,-1 + 80018840: 00113423 sd ra,8(sp) + 80018844: 00813023 sd s0,0(sp) + 80018848: 001b3717 auipc a4,0x1b3 + 8001884c: 68f72823 sw a5,1680(a4) # 801cbed8 <_ZL4regs> + 80018850: ef9ff0ef jal ra,80018748 <_ZL4Syncv> + 80018854: 000065b7 lui a1,0x6 + 80018858: 00000617 auipc a2,0x0 + 8001885c: f9060613 addi a2,a2,-112 # 800187e8 <_ZL9M246Writejh> + 80018860: 7ff58593 addi a1,a1,2047 # 67ff <_entry_offset+0x67ff> + 80018864: 00006537 lui a0,0x6 + 80018868: 2c01b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001886c: 00007437 lui s0,0x7 + 80018870: fff40593 addi a1,s0,-1 # 6fff <_entry_offset+0x6fff> + 80018874: 80040513 addi a0,s0,-2048 + 80018878: ffff8617 auipc a2,0xffff8 + 8001887c: de860613 addi a2,a2,-536 # 80010660 <_Z6CartBRj> + 80018880: 19c1b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018884: fff40593 addi a1,s0,-1 + 80018888: 80040513 addi a0,s0,-2048 + 8001888c: ffff8617 auipc a2,0xffff8 + 80018890: e0060613 addi a2,a2,-512 # 8001068c <_Z6CartBWjh> + 80018894: 2941b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80018898: 000105b7 lui a1,0x10 + 8001889c: ffff8617 auipc a2,0xffff8 + 800188a0: dc460613 addi a2,a2,-572 # 80010660 <_Z6CartBRj> + 800188a4: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800188a8: 00008537 lui a0,0x8 + 800188ac: 1701b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800188b0: 00013403 ld s0,0(sp) + 800188b4: 00813083 ld ra,8(sp) + 800188b8: 001b3517 auipc a0,0x1b3 + 800188bc: 62852503 lw a0,1576(a0) # 801cbee0 <_ZL8WRAMSIZE> + 800188c0: 001b3617 auipc a2,0x1b3 + 800188c4: 61063603 ld a2,1552(a2) # 801cbed0 <_ZL4WRAM> + 800188c8: 000065b7 lui a1,0x6 + 800188cc: 00a5551b srliw a0,a0,0xa + 800188d0: 01010113 addi sp,sp,16 + 800188d4: f40e706f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +00000000800188d8 <_Z14Mapper246_InitP8CartInfo>: + 800188d8: fe010113 addi sp,sp,-32 + 800188dc: 00113c23 sd ra,24(sp) + 800188e0: 00813823 sd s0,16(sp) + 800188e4: 00913423 sd s1,8(sp) + 800188e8: 01213023 sd s2,0(sp) + 800188ec: 00000797 auipc a5,0x0 + 800188f0: f4c78793 addi a5,a5,-180 # 80018838 <_ZL9M246Powerv> + 800188f4: 00f53023 sd a5,0(a0) + 800188f8: 00000797 auipc a5,0x0 + 800188fc: f0878793 addi a5,a5,-248 # 80018800 <_ZL9M246Closev> + 80018900: 00f53823 sd a5,16(a0) + 80018904: 00000797 auipc a5,0x0 + 80018908: ee078793 addi a5,a5,-288 # 800187e4 <_ZL12StateRestorei> + 8001890c: 00050413 mv s0,a0 + 80018910: 001b4717 auipc a4,0x1b4 + 80018914: d4f73423 sd a5,-696(a4) # 801cc658 + 80018918: 00001537 lui a0,0x1 + 8001891c: 000017b7 lui a5,0x1 + 80018920: 8007879b addiw a5,a5,-2048 + 80018924: 001b3497 auipc s1,0x1b3 + 80018928: 5bc48493 addi s1,s1,1468 # 801cbee0 <_ZL8WRAMSIZE> + 8001892c: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 80018930: 00f4a023 sw a5,0(s1) + 80018934: b39f90ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80018938: 0004a603 lw a2,0(s1) + 8001893c: 00050593 mv a1,a0 + 80018940: 001b3917 auipc s2,0x1b3 + 80018944: 59090913 addi s2,s2,1424 # 801cbed0 <_ZL4WRAM> + 80018948: 00100693 li a3,1 + 8001894c: 01000513 li a0,16 + 80018950: 00b93023 sd a1,0(s2) + 80018954: ba1f70ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80018958: 0004a583 lw a1,0(s1) + 8001895c: 00093503 ld a0,0(s2) + 80018960: 0002d697 auipc a3,0x2d + 80018964: 9c868693 addi a3,a3,-1592 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80018968: 00000613 li a2,0 + 8001896c: ea4e70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80018970: 04c42783 lw a5,76(s0) + 80018974: 00078a63 beqz a5,80018988 <_Z14Mapper246_InitP8CartInfo+0xb0> + 80018978: 00093703 ld a4,0(s2) + 8001897c: 0004a783 lw a5,0(s1) + 80018980: 00e43c23 sd a4,24(s0) + 80018984: 02f42c23 sw a5,56(s0) + 80018988: 01013403 ld s0,16(sp) + 8001898c: 01813083 ld ra,24(sp) + 80018990: 00813483 ld s1,8(sp) + 80018994: 00013903 ld s2,0(sp) + 80018998: 00000693 li a3,0 + 8001899c: 00000613 li a2,0 + 800189a0: fff00593 li a1,-1 + 800189a4: 00032517 auipc a0,0x32 + 800189a8: 25c50513 addi a0,a0,604 # 8004ac00 <_ZL9StateRegs> + 800189ac: 02010113 addi sp,sp,32 + 800189b0: e60e706f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800189b4 <_ZL4Syncv>: + 800189b4: fd010113 addi sp,sp,-48 + 800189b8: 01313423 sd s3,8(sp) + 800189bc: 001b3997 auipc s3,0x1b3 + 800189c0: 53498993 addi s3,s3,1332 # 801cbef0 <_ZL4mirr> + 800189c4: 0009c503 lbu a0,0(s3) + 800189c8: 02113423 sd ra,40(sp) + 800189cc: 02813023 sd s0,32(sp) + 800189d0: 00913c23 sd s1,24(sp) + 800189d4: 01213823 sd s2,16(sp) + 800189d8: 01413023 sd s4,0(sp) + 800189dc: 001b3917 auipc s2,0x1b3 + 800189e0: 51c90913 addi s2,s2,1308 # 801cbef8 <_ZL4preg> + 800189e4: e64f80ef jal ra,80011048 <_Z9setmirrori> + 800189e8: 00094583 lbu a1,0(s2) + 800189ec: 00008537 lui a0,0x8 + 800189f0: 001b3417 auipc s0,0x1b3 + 800189f4: 4f840413 addi s0,s0,1272 # 801cbee8 <_ZL4creg> + 800189f8: 8a4f80ef jal ra,80010a9c <_Z7setprg8jj> + 800189fc: 00194583 lbu a1,1(s2) + 80018a00: 0000a537 lui a0,0xa + 80018a04: 000014b7 lui s1,0x1 + 80018a08: 894f80ef jal ra,80010a9c <_Z7setprg8jj> + 80018a0c: 00294583 lbu a1,2(s2) + 80018a10: 0000c537 lui a0,0xc + 80018a14: 00002a37 lui s4,0x2 + 80018a18: 884f80ef jal ra,80010a9c <_Z7setprg8jj> + 80018a1c: fff00593 li a1,-1 + 80018a20: 0000e537 lui a0,0xe + 80018a24: 878f80ef jal ra,80010a9c <_Z7setprg8jj> + 80018a28: 00044583 lbu a1,0(s0) + 80018a2c: 00000513 li a0,0 + 80018a30: c74f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a34: 00144583 lbu a1,1(s0) + 80018a38: 40000513 li a0,1024 + 80018a3c: c68f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a40: 00244583 lbu a1,2(s0) + 80018a44: 80048513 addi a0,s1,-2048 # 800 <_entry_offset+0x800> + 80018a48: c5cf80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a4c: 00344583 lbu a1,3(s0) + 80018a50: c0048513 addi a0,s1,-1024 + 80018a54: c50f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a58: 00444583 lbu a1,4(s0) + 80018a5c: 00001537 lui a0,0x1 + 80018a60: c44f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a64: 00544583 lbu a1,5(s0) + 80018a68: 40048513 addi a0,s1,1024 + 80018a6c: c38f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a70: 00644583 lbu a1,6(s0) + 80018a74: 800a0513 addi a0,s4,-2048 # 1800 <_entry_offset+0x1800> + 80018a78: c2cf80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a7c: 00744583 lbu a1,7(s0) + 80018a80: c00a0513 addi a0,s4,-1024 + 80018a84: c20f80ef jal ra,80010ea4 <_Z7setchr1jj> + 80018a88: 02013403 ld s0,32(sp) + 80018a8c: 0009c503 lbu a0,0(s3) + 80018a90: 02813083 ld ra,40(sp) + 80018a94: 01813483 ld s1,24(sp) + 80018a98: 01013903 ld s2,16(sp) + 80018a9c: 00813983 ld s3,8(sp) + 80018aa0: 00013a03 ld s4,0(sp) + 80018aa4: 03010113 addi sp,sp,48 + 80018aa8: da0f806f j 80011048 <_Z9setmirrori> + +0000000080018aac <_ZL12StateRestorei>: + 80018aac: f09ff06f j 800189b4 <_ZL4Syncv> + +0000000080018ab0 <_ZL8M65Powerv>: + 80018ab0: ff010113 addi sp,sp,-16 + 80018ab4: ffe00793 li a5,-2 + 80018ab8: 00113423 sd ra,8(sp) + 80018abc: 00813023 sd s0,0(sp) + 80018ac0: 001b3717 auipc a4,0x1b3 + 80018ac4: 42f70d23 sb a5,1082(a4) # 801cbefa <_ZL4preg+0x2> + 80018ac8: 00010437 lui s0,0x10 + 80018acc: ee9ff0ef jal ra,800189b4 <_ZL4Syncv> + 80018ad0: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80018ad4: ffff8617 auipc a2,0xffff8 + 80018ad8: b8c60613 addi a2,a2,-1140 # 80010660 <_Z6CartBRj> + 80018adc: 00008537 lui a0,0x8 + 80018ae0: 73d1a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018ae4: fff40593 addi a1,s0,-1 + 80018ae8: 00013403 ld s0,0(sp) + 80018aec: 00813083 ld ra,8(sp) + 80018af0: 00000617 auipc a2,0x0 + 80018af4: 01460613 addi a2,a2,20 # 80018b04 <_ZL8M65Writejh> + 80018af8: 00008537 lui a0,0x8 + 80018afc: 01010113 addi sp,sp,16 + 80018b00: 0281b06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080018b04 <_ZL8M65Writejh>: + 80018b04: 0000b7b7 lui a5,0xb + 80018b08: 00778713 addi a4,a5,7 # b007 <_entry_offset+0xb007> + 80018b0c: 04a76a63 bltu a4,a0,80018b60 <_ZL8M65Writejh+0x5c> + 80018b10: 08f57663 bgeu a0,a5,80018b9c <_ZL8M65Writejh+0x98> + 80018b14: 000097b7 lui a5,0x9 + 80018b18: 00678713 addi a4,a5,6 # 9006 <_entry_offset+0x9006> + 80018b1c: 06a76663 bltu a4,a0,80018b88 <_ZL8M65Writejh+0x84> + 80018b20: 04a7fa63 bgeu a5,a0,80018b74 <_ZL8M65Writejh+0x70> + 80018b24: ffff77b7 lui a5,0xffff7 + 80018b28: fff7879b addiw a5,a5,-1 + 80018b2c: 00f5053b addw a0,a0,a5 + 80018b30: 0005071b sext.w a4,a0 + 80018b34: 00500793 li a5,5 + 80018b38: 0ae7e063 bltu a5,a4,80018bd8 <_ZL8M65Writejh+0xd4> + 80018b3c: 02051513 slli a0,a0,0x20 + 80018b40: 02055513 srli a0,a0,0x20 + 80018b44: 0002d717 auipc a4,0x2d + 80018b48: a1470713 addi a4,a4,-1516 # 80045558 <_ZZL8SetInputvE3moo+0x708> + 80018b4c: 00251513 slli a0,a0,0x2 + 80018b50: 00e50533 add a0,a0,a4 + 80018b54: 00052783 lw a5,0(a0) # 8000 <_entry_offset+0x8000> + 80018b58: 00e787b3 add a5,a5,a4 + 80018b5c: 00078067 jr a5 # ffffffffffff7000 <_end+0xffffffff7fe22000> + 80018b60: 0000c7b7 lui a5,0xc + 80018b64: 06f51c63 bne a0,a5,80018bdc <_ZL8M65Writejh+0xd8> + 80018b68: 001b3797 auipc a5,0x1b3 + 80018b6c: 38b78923 sb a1,914(a5) # 801cbefa <_ZL4preg+0x2> + 80018b70: e45ff06f j 800189b4 <_ZL4Syncv> + 80018b74: 000087b7 lui a5,0x8 + 80018b78: 06f51663 bne a0,a5,80018be4 <_ZL8M65Writejh+0xe0> + 80018b7c: 001b3797 auipc a5,0x1b3 + 80018b80: 36b78e23 sb a1,892(a5) # 801cbef8 <_ZL4preg> + 80018b84: e31ff06f j 800189b4 <_ZL4Syncv> + 80018b88: 0000a7b7 lui a5,0xa + 80018b8c: 04f51a63 bne a0,a5,80018be0 <_ZL8M65Writejh+0xdc> + 80018b90: 001b3797 auipc a5,0x1b3 + 80018b94: 36b784a3 sb a1,873(a5) # 801cbef9 <_ZL4preg+0x1> + 80018b98: e1dff06f j 800189b4 <_ZL4Syncv> + 80018b9c: ffff57b7 lui a5,0xffff5 + 80018ba0: fff7879b addiw a5,a5,-1 + 80018ba4: 00f5053b addw a0,a0,a5 + 80018ba8: 0005071b sext.w a4,a0 + 80018bac: 00600793 li a5,6 + 80018bb0: 0ee7e863 bltu a5,a4,80018ca0 <_ZL8M65Writejh+0x19c> + 80018bb4: 02051513 slli a0,a0,0x20 + 80018bb8: 02055513 srli a0,a0,0x20 + 80018bbc: 0002d717 auipc a4,0x2d + 80018bc0: 9b470713 addi a4,a4,-1612 # 80045570 <_ZZL8SetInputvE3moo+0x720> + 80018bc4: 00251513 slli a0,a0,0x2 + 80018bc8: 00e50533 add a0,a0,a4 + 80018bcc: 00052783 lw a5,0(a0) + 80018bd0: 00e787b3 add a5,a5,a4 + 80018bd4: 00078067 jr a5 # ffffffffffff5000 <_end+0xffffffff7fe20000> + 80018bd8: 00008067 ret + 80018bdc: 00008067 ret + 80018be0: 00008067 ret + 80018be4: 00008067 ret + 80018be8: 001b3797 auipc a5,0x1b3 + 80018bec: 30b782a3 sb a1,773(a5) # 801cbeed <_ZL4creg+0x5> + 80018bf0: dc5ff06f j 800189b4 <_ZL4Syncv> + 80018bf4: 0075d59b srliw a1,a1,0x7 + 80018bf8: 0015c593 xori a1,a1,1 + 80018bfc: 001b3797 auipc a5,0x1b3 + 80018c00: 2eb78a23 sb a1,756(a5) # 801cbef0 <_ZL4mirr> + 80018c04: db1ff06f j 800189b4 <_ZL4Syncv> + 80018c08: f805f593 andi a1,a1,-128 + 80018c0c: 00100513 li a0,1 + 80018c10: 001b3797 auipc a5,0x1b3 + 80018c14: 2cb78a23 sb a1,724(a5) # 801cbee4 <_ZL4IRQa> + 80018c18: 938e806f j 80000d50 <_Z12X6502_IRQEndi> + 80018c1c: 001b3797 auipc a5,0x1b3 + 80018c20: 2e27d783 lhu a5,738(a5) # 801cbefe <_ZL8IRQLatch> + 80018c24: 001b3717 auipc a4,0x1b3 + 80018c28: 2cf71c23 sh a5,728(a4) # 801cbefc <_ZL8IRQCount> + 80018c2c: 00008067 ret + 80018c30: 001b3717 auipc a4,0x1b3 + 80018c34: 2ce70713 addi a4,a4,718 # 801cbefe <_ZL8IRQLatch> + 80018c38: 00074783 lbu a5,0(a4) + 80018c3c: 00859593 slli a1,a1,0x8 + 80018c40: 00b7e5b3 or a1,a5,a1 + 80018c44: 00b71023 sh a1,0(a4) + 80018c48: 00008067 ret + 80018c4c: 001b3797 auipc a5,0x1b3 + 80018c50: 2ab78923 sb a1,690(a5) # 801cbefe <_ZL8IRQLatch> + 80018c54: 00008067 ret + 80018c58: 001b3797 auipc a5,0x1b3 + 80018c5c: 28b78ba3 sb a1,663(a5) # 801cbeef <_ZL4creg+0x7> + 80018c60: d55ff06f j 800189b4 <_ZL4Syncv> + 80018c64: 001b3797 auipc a5,0x1b3 + 80018c68: 28b78523 sb a1,650(a5) # 801cbeee <_ZL4creg+0x6> + 80018c6c: d49ff06f j 800189b4 <_ZL4Syncv> + 80018c70: 001b3797 auipc a5,0x1b3 + 80018c74: 26b78ca3 sb a1,633(a5) # 801cbee9 <_ZL4creg+0x1> + 80018c78: d3dff06f j 800189b4 <_ZL4Syncv> + 80018c7c: 001b3797 auipc a5,0x1b3 + 80018c80: 26b78723 sb a1,622(a5) # 801cbeea <_ZL4creg+0x2> + 80018c84: d31ff06f j 800189b4 <_ZL4Syncv> + 80018c88: 001b3797 auipc a5,0x1b3 + 80018c8c: 26b781a3 sb a1,611(a5) # 801cbeeb <_ZL4creg+0x3> + 80018c90: d25ff06f j 800189b4 <_ZL4Syncv> + 80018c94: 001b3797 auipc a5,0x1b3 + 80018c98: 24b78c23 sb a1,600(a5) # 801cbeec <_ZL4creg+0x4> + 80018c9c: d19ff06f j 800189b4 <_ZL4Syncv> + 80018ca0: 001b3797 auipc a5,0x1b3 + 80018ca4: 24b78423 sb a1,584(a5) # 801cbee8 <_ZL4creg> + 80018ca8: d0dff06f j 800189b4 <_ZL4Syncv> + +0000000080018cac <_Z6M65IRQi>: + 80018cac: 001b3797 auipc a5,0x1b3 + 80018cb0: 2387c783 lbu a5,568(a5) # 801cbee4 <_ZL4IRQa> + 80018cb4: 04078263 beqz a5,80018cf8 <_Z6M65IRQi+0x4c> + 80018cb8: ff010113 addi sp,sp,-16 + 80018cbc: 00813023 sd s0,0(sp) + 80018cc0: 001b3417 auipc s0,0x1b3 + 80018cc4: 23c40413 addi s0,s0,572 # 801cbefc <_ZL8IRQCount> + 80018cc8: 00045783 lhu a5,0(s0) + 80018ccc: 00113423 sd ra,8(sp) + 80018cd0: ffc00713 li a4,-4 + 80018cd4: 40a7853b subw a0,a5,a0 + 80018cd8: 0105151b slliw a0,a0,0x10 + 80018cdc: 4105551b sraiw a0,a0,0x10 + 80018ce0: 00a41023 sh a0,0(s0) + 80018ce4: 00e54c63 blt a0,a4,80018cfc <_Z6M65IRQi+0x50> + 80018ce8: 00813083 ld ra,8(sp) + 80018cec: 00013403 ld s0,0(sp) + 80018cf0: 01010113 addi sp,sp,16 + 80018cf4: 00008067 ret + 80018cf8: 00008067 ret + 80018cfc: 00100513 li a0,1 + 80018d00: 838e80ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80018d04: 001b3797 auipc a5,0x1b3 + 80018d08: 1e078023 sb zero,480(a5) # 801cbee4 <_ZL4IRQa> + 80018d0c: fff00793 li a5,-1 + 80018d10: 00813083 ld ra,8(sp) + 80018d14: 00f41023 sh a5,0(s0) + 80018d18: 00013403 ld s0,0(sp) + 80018d1c: 01010113 addi sp,sp,16 + 80018d20: 00008067 ret + +0000000080018d24 <_Z13Mapper65_InitP8CartInfo>: + 80018d24: 00000797 auipc a5,0x0 + 80018d28: d8c78793 addi a5,a5,-628 # 80018ab0 <_ZL8M65Powerv> + 80018d2c: 00f53023 sd a5,0(a0) + 80018d30: 00000797 auipc a5,0x0 + 80018d34: f7c78793 addi a5,a5,-132 # 80018cac <_Z6M65IRQi> + 80018d38: 001b3717 auipc a4,0x1b3 + 80018d3c: e0f73423 sd a5,-504(a4) # 801cbb40 + 80018d40: 00000797 auipc a5,0x0 + 80018d44: d6c78793 addi a5,a5,-660 # 80018aac <_ZL12StateRestorei> + 80018d48: 00000693 li a3,0 + 80018d4c: 00000613 li a2,0 + 80018d50: fff00593 li a1,-1 + 80018d54: 00032517 auipc a0,0x32 + 80018d58: edc50513 addi a0,a0,-292 # 8004ac30 <_ZL9StateRegs> + 80018d5c: 001b4717 auipc a4,0x1b4 + 80018d60: 8ef73e23 sd a5,-1796(a4) # 801cc658 + 80018d64: aace706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018d68 <_ZL4Syncv>: + 80018d68: ff010113 addi sp,sp,-16 + 80018d6c: 001b3797 auipc a5,0x1b3 + 80018d70: 1947c783 lbu a5,404(a5) # 801cbf00 <_ZL4bank> + 80018d74: 00813023 sd s0,0(sp) + 80018d78: 4027d41b sraiw s0,a5,0x2 + 80018d7c: 001b3597 auipc a1,0x1b3 + 80018d80: 1855c583 lbu a1,389(a1) # 801cbf01 <_ZL4preg> + 80018d84: 0087f793 andi a5,a5,8 + 80018d88: 00447413 andi s0,s0,4 + 80018d8c: 00f46433 or s0,s0,a5 + 80018d90: 0035f593 andi a1,a1,3 + 80018d94: 0085e5b3 or a1,a1,s0 + 80018d98: 00008537 lui a0,0x8 + 80018d9c: 00113423 sd ra,8(sp) + 80018da0: eb9f70ef jal ra,80010c58 <_Z8setprg16jj> + 80018da4: 0000c537 lui a0,0xc + 80018da8: 00346593 ori a1,s0,3 + 80018dac: eadf70ef jal ra,80010c58 <_Z8setprg16jj> + 80018db0: 00013403 ld s0,0(sp) + 80018db4: 00813083 ld ra,8(sp) + 80018db8: 00000513 li a0,0 + 80018dbc: 01010113 addi sp,sp,16 + 80018dc0: 944f806f j 80010f04 <_Z7setchr8j> + +0000000080018dc4 <_ZL12StateRestorei>: + 80018dc4: fa5ff06f j 80018d68 <_ZL4Syncv> + +0000000080018dc8 <_ZL13M232WritePregjh>: + 80018dc8: 001b3797 auipc a5,0x1b3 + 80018dcc: 12b78ca3 sb a1,313(a5) # 801cbf01 <_ZL4preg> + 80018dd0: f99ff06f j 80018d68 <_ZL4Syncv> + +0000000080018dd4 <_ZL13M232WriteBankjh>: + 80018dd4: 001b3797 auipc a5,0x1b3 + 80018dd8: 12b78623 sb a1,300(a5) # 801cbf00 <_ZL4bank> + 80018ddc: f8dff06f j 80018d68 <_ZL4Syncv> + +0000000080018de0 <_ZL9M232Powerv>: + 80018de0: ff010113 addi sp,sp,-16 + 80018de4: 00113423 sd ra,8(sp) + 80018de8: 00813023 sd s0,0(sp) + 80018dec: 001b3797 auipc a5,0x1b3 + 80018df0: 10078aa3 sb zero,277(a5) # 801cbf01 <_ZL4preg> + 80018df4: 001b3797 auipc a5,0x1b3 + 80018df8: 10078623 sb zero,268(a5) # 801cbf00 <_ZL4bank> + 80018dfc: f6dff0ef jal ra,80018d68 <_ZL4Syncv> + 80018e00: 0000c5b7 lui a1,0xc + 80018e04: 00000617 auipc a2,0x0 + 80018e08: fd060613 addi a2,a2,-48 # 80018dd4 <_ZL13M232WriteBankjh> + 80018e0c: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80018e10: 00008537 lui a0,0x8 + 80018e14: 5151a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80018e18: 00010437 lui s0,0x10 + 80018e1c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80018e20: 00000617 auipc a2,0x0 + 80018e24: fa860613 addi a2,a2,-88 # 80018dc8 <_ZL13M232WritePregjh> + 80018e28: 0000c537 lui a0,0xc + 80018e2c: 4fd1a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80018e30: fff40593 addi a1,s0,-1 + 80018e34: 00013403 ld s0,0(sp) + 80018e38: 00813083 ld ra,8(sp) + 80018e3c: ffff8617 auipc a2,0xffff8 + 80018e40: 82460613 addi a2,a2,-2012 # 80010660 <_Z6CartBRj> + 80018e44: 00008537 lui a0,0x8 + 80018e48: 01010113 addi sp,sp,16 + 80018e4c: 3d11a06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080018e50 <_Z14Mapper232_InitP8CartInfo>: + 80018e50: ff010113 addi sp,sp,-16 + 80018e54: 00113423 sd ra,8(sp) + 80018e58: 00000797 auipc a5,0x0 + 80018e5c: f8878793 addi a5,a5,-120 # 80018de0 <_ZL9M232Powerv> + 80018e60: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80018e64: 00000693 li a3,0 + 80018e68: 00000613 li a2,0 + 80018e6c: fff00593 li a1,-1 + 80018e70: 00032517 auipc a0,0x32 + 80018e74: e6850513 addi a0,a0,-408 # 8004acd8 <_ZL9StateRegs> + 80018e78: 998e70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80018e7c: 00813083 ld ra,8(sp) + 80018e80: 00000797 auipc a5,0x0 + 80018e84: f4478793 addi a5,a5,-188 # 80018dc4 <_ZL12StateRestorei> + 80018e88: 001b3717 auipc a4,0x1b3 + 80018e8c: 7cf73823 sd a5,2000(a4) # 801cc658 + 80018e90: 01010113 addi sp,sp,16 + 80018e94: 00008067 ret + +0000000080018e98 <_ZL6ExtDevj>: + 80018e98: 00300513 li a0,3 + 80018e9c: 00008067 ret + +0000000080018ea0 <_ZL4Syncv>: + 80018ea0: 001b3597 auipc a1,0x1b3 + 80018ea4: 0625c583 lbu a1,98(a1) # 801cbf02 <_ZL6latche> + 80018ea8: 02058263 beqz a1,80018ecc <_ZL4Syncv+0x2c> + 80018eac: 0105f793 andi a5,a1,16 + 80018eb0: 0075f593 andi a1,a1,7 + 80018eb4: 00078663 beqz a5,80018ec0 <_ZL4Syncv+0x20> + 80018eb8: 00008537 lui a0,0x8 + 80018ebc: d9df706f j 80010c58 <_Z8setprg16jj> + 80018ec0: 0085e593 ori a1,a1,8 + 80018ec4: 00008537 lui a0,0x8 + 80018ec8: d91f706f j 80010c58 <_Z8setprg16jj> + 80018ecc: 001b3597 auipc a1,0x1b3 + 80018ed0: d945a583 lw a1,-620(a1) # 801cbc60 + 80018ed4: 0045d59b srliw a1,a1,0x4 + 80018ed8: 0075859b addiw a1,a1,7 + 80018edc: 00008537 lui a0,0x8 + 80018ee0: d79f706f j 80010c58 <_Z8setprg16jj> + +0000000080018ee4 <_ZL12StateRestorei>: + 80018ee4: fbdff06f j 80018ea0 <_ZL4Syncv> + +0000000080018ee8 <_ZL9M188Writejh>: + 80018ee8: 001b3797 auipc a5,0x1b3 + 80018eec: 00b78d23 sb a1,26(a5) # 801cbf02 <_ZL6latche> + 80018ef0: fb1ff06f j 80018ea0 <_ZL4Syncv> + +0000000080018ef4 <_ZL5Powerv>: + 80018ef4: ff010113 addi sp,sp,-16 + 80018ef8: 00113423 sd ra,8(sp) + 80018efc: 00813023 sd s0,0(sp) + 80018f00: 001b3797 auipc a5,0x1b3 + 80018f04: 00078123 sb zero,2(a5) # 801cbf02 <_ZL6latche> + 80018f08: f99ff0ef jal ra,80018ea0 <_ZL4Syncv> + 80018f0c: 00000513 li a0,0 + 80018f10: ff5f70ef jal ra,80010f04 <_Z7setchr8j> + 80018f14: 00700593 li a1,7 + 80018f18: 0000c537 lui a0,0xc + 80018f1c: d3df70ef jal ra,80010c58 <_Z8setprg16jj> + 80018f20: 000085b7 lui a1,0x8 + 80018f24: 00000617 auipc a2,0x0 + 80018f28: f7460613 addi a2,a2,-140 # 80018e98 <_ZL6ExtDevj> + 80018f2c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80018f30: 00006537 lui a0,0x6 + 80018f34: 2e91a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018f38: 00010437 lui s0,0x10 + 80018f3c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80018f40: ffff7617 auipc a2,0xffff7 + 80018f44: 72060613 addi a2,a2,1824 # 80010660 <_Z6CartBRj> + 80018f48: 00008537 lui a0,0x8 + 80018f4c: 2d11a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80018f50: fff40593 addi a1,s0,-1 + 80018f54: 00013403 ld s0,0(sp) + 80018f58: 00813083 ld ra,8(sp) + 80018f5c: 00000617 auipc a2,0x0 + 80018f60: f8c60613 addi a2,a2,-116 # 80018ee8 <_ZL9M188Writejh> + 80018f64: 00008537 lui a0,0x8 + 80018f68: 01010113 addi sp,sp,16 + 80018f6c: 3bd1a06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080018f70 <_Z14Mapper188_InitP8CartInfo>: + 80018f70: 00000797 auipc a5,0x0 + 80018f74: f8478793 addi a5,a5,-124 # 80018ef4 <_ZL5Powerv> + 80018f78: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80018f7c: 00000797 auipc a5,0x0 + 80018f80: f6878793 addi a5,a5,-152 # 80018ee4 <_ZL12StateRestorei> + 80018f84: 0002c697 auipc a3,0x2c + 80018f88: 3e468693 addi a3,a3,996 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80018f8c: 00000613 li a2,0 + 80018f90: 00100593 li a1,1 + 80018f94: 001b3517 auipc a0,0x1b3 + 80018f98: f6e50513 addi a0,a0,-146 # 801cbf02 <_ZL6latche> + 80018f9c: 001b3717 auipc a4,0x1b3 + 80018fa0: 6af73e23 sd a5,1724(a4) # 801cc658 + 80018fa4: 86ce706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080018fa8 <_ZL5AWRAMj>: + 80018fa8: ffffa7b7 lui a5,0xffffa + 80018fac: 00a787bb addw a5,a5,a0 + 80018fb0: 02079793 slli a5,a5,0x20 + 80018fb4: 000ac517 auipc a0,0xac + 80018fb8: 0bc50513 addi a0,a0,188 # 800c5070 <_ZL4WRAM> + 80018fbc: 0207d793 srli a5,a5,0x20 + 80018fc0: 00f507b3 add a5,a0,a5 + 80018fc4: 0007c503 lbu a0,0(a5) # ffffffffffffa000 <_end+0xffffffff7fe25000> + 80018fc8: 00008067 ret + +0000000080018fcc <_ZL5BWRAMjh>: + 80018fcc: ffffa7b7 lui a5,0xffffa + 80018fd0: 00a7853b addw a0,a5,a0 + 80018fd4: 02051513 slli a0,a0,0x20 + 80018fd8: 02055513 srli a0,a0,0x20 + 80018fdc: 000ac797 auipc a5,0xac + 80018fe0: 09478793 addi a5,a5,148 # 800c5070 <_ZL4WRAM> + 80018fe4: 00a78533 add a0,a5,a0 + 80018fe8: 00b50023 sb a1,0(a0) + 80018fec: 00008067 ret + +0000000080018ff0 <_ZL14Namco_Read4800j>: + 80018ff0: 001b3697 auipc a3,0x1b3 + 80018ff4: f2968693 addi a3,a3,-215 # 801cbf19 <_ZL5dopol> + 80018ff8: 0006c783 lbu a5,0(a3) + 80018ffc: 000ac717 auipc a4,0xac + 80019000: ff470713 addi a4,a4,-12 # 800c4ff0 <_ZL4IRAM> + 80019004: 07f7f613 andi a2,a5,127 + 80019008: 00c70733 add a4,a4,a2 + 8001900c: 0187961b slliw a2,a5,0x18 + 80019010: 4186561b sraiw a2,a2,0x18 + 80019014: 00074503 lbu a0,0(a4) + 80019018: 00064463 bltz a2,80019020 <_ZL14Namco_Read4800j+0x30> + 8001901c: 00008067 ret + 80019020: 0017879b addiw a5,a5,1 + 80019024: f807e793 ori a5,a5,-128 + 80019028: 00f68023 sb a5,0(a3) + 8001902c: 00008067 ret + +0000000080019030 <_ZL14Namco_Read5000j>: + 80019030: 001b3517 auipc a0,0x1b3 + 80019034: f0854503 lbu a0,-248(a0) # 801cbf38 <_ZL8IRQCount> + 80019038: 00008067 ret + +000000008001903c <_ZL14Namco_Read5800j>: + 8001903c: 001b3517 auipc a0,0x1b3 + 80019040: efc55503 lhu a0,-260(a0) # 801cbf38 <_ZL8IRQCount> + 80019044: 00855513 srli a0,a0,0x8 + 80019048: 00008067 ret + +000000008001904c <_ZL8FixCacheii>: + 8001904c: 4035579b sraiw a5,a0,0x3 + 80019050: 00400713 li a4,4 + 80019054: 00757513 andi a0,a0,7 + 80019058: 0077f793 andi a5,a5,7 + 8001905c: 0ce50263 beq a0,a4,80019120 <_ZL8FixCacheii+0xd4> + 80019060: 04a74063 blt a4,a0,800190a0 <_ZL8FixCacheii+0x54> + 80019064: 08050c63 beqz a0,800190fc <_ZL8FixCacheii+0xb0> + 80019068: 00200713 li a4,2 + 8001906c: 10e51863 bne a0,a4,8001917c <_ZL8FixCacheii+0x130> + 80019070: 000ae717 auipc a4,0xae + 80019074: 04070713 addi a4,a4,64 # 800c70b0 <_ZL9FreqCache> + 80019078: 00279793 slli a5,a5,0x2 + 8001907c: 00f707b3 add a5,a4,a5 + 80019080: 0007a703 lw a4,0(a5) + 80019084: ffff06b7 lui a3,0xffff0 + 80019088: 0ff68693 addi a3,a3,255 # ffffffffffff00ff <_end+0xffffffff7fe1b0ff> + 8001908c: 00d77733 and a4,a4,a3 + 80019090: 0085959b slliw a1,a1,0x8 + 80019094: 00b765b3 or a1,a4,a1 + 80019098: 00b7a023 sw a1,0(a5) + 8001909c: 00008067 ret + 800190a0: 00700713 li a4,7 + 800190a4: 04e51a63 bne a0,a4,800190f8 <_ZL8FixCacheii+0xac> + 800190a8: 00f5f593 andi a1,a1,15 + 800190ac: 0025971b slliw a4,a1,0x2 + 800190b0: 00b706bb addw a3,a4,a1 + 800190b4: 0046971b slliw a4,a3,0x4 + 800190b8: 40d7073b subw a4,a4,a3 + 800190bc: 0037171b slliw a4,a4,0x3 + 800190c0: 00b7073b addw a4,a4,a1 + 800190c4: 0027171b slliw a4,a4,0x2 + 800190c8: 40b7073b subw a4,a4,a1 + 800190cc: 0047169b slliw a3,a4,0x4 + 800190d0: 40e6873b subw a4,a3,a4 + 800190d4: 0027171b slliw a4,a4,0x2 + 800190d8: 40b705bb subw a1,a4,a1 + 800190dc: 00279793 slli a5,a5,0x2 + 800190e0: 000ae717 auipc a4,0xae + 800190e4: fb070713 addi a4,a4,-80 # 800c7090 <_ZL8EnvCache> + 800190e8: 00f707b3 add a5,a4,a5 + 800190ec: 0025959b slliw a1,a1,0x2 + 800190f0: 00b7a023 sw a1,0(a5) + 800190f4: 00008067 ret + 800190f8: 00008067 ret + 800190fc: 000ae717 auipc a4,0xae + 80019100: fb470713 addi a4,a4,-76 # 800c70b0 <_ZL9FreqCache> + 80019104: 00279793 slli a5,a5,0x2 + 80019108: 00f707b3 add a5,a4,a5 + 8001910c: 0007a703 lw a4,0(a5) + 80019110: f0077713 andi a4,a4,-256 + 80019114: 00b765b3 or a1,a4,a1 + 80019118: 00b7a023 sw a1,0(a5) + 8001911c: 00008067 ret + 80019120: 00279793 slli a5,a5,0x2 + 80019124: 000ae617 auipc a2,0xae + 80019128: f8c60613 addi a2,a2,-116 # 800c70b0 <_ZL9FreqCache> + 8001912c: 00f60633 add a2,a2,a5 + 80019130: 4025d71b sraiw a4,a1,0x2 + 80019134: 00062683 lw a3,0(a2) + 80019138: 00777513 andi a0,a4,7 + 8001913c: fffd0737 lui a4,0xfffd0 + 80019140: fff70713 addi a4,a4,-1 # fffffffffffcffff <_end+0xffffffff7fdfafff> + 80019144: 00e6f6b3 and a3,a3,a4 + 80019148: 0105959b slliw a1,a1,0x10 + 8001914c: 00030737 lui a4,0x30 + 80019150: 00e5f5b3 and a1,a1,a4 + 80019154: 00800713 li a4,8 + 80019158: 00b6e5b3 or a1,a3,a1 + 8001915c: 40a7073b subw a4,a4,a0 + 80019160: 000ac697 auipc a3,0xac + 80019164: e7068693 addi a3,a3,-400 # 800c4fd0 <_ZL11LengthCache> + 80019168: 00f687b3 add a5,a3,a5 + 8001916c: 0027171b slliw a4,a4,0x2 + 80019170: 00b62023 sw a1,0(a2) + 80019174: 00e7a023 sw a4,0(a5) + 80019178: 00008067 ret + 8001917c: 00008067 ret + +0000000080019180 <_ZL6SyncHQi>: + 80019180: 001b3797 auipc a5,0x1b3 + 80019184: d8a7aa23 sw a0,-620(a5) # 801cbf14 <_ZL4CVBC> + 80019188: 00008067 ret + +000000008001918c <_ZL14DoNamcoSoundHQv>: + 8001918c: f5010113 addi sp,sp,-176 + 80019190: 0a813023 sd s0,160(sp) + 80019194: 000ac417 auipc s0,0xac + 80019198: e5c40413 addi s0,s0,-420 # 800c4ff0 <_ZL4IRAM> + 8001919c: 07f44e03 lbu t3,127(s0) + 800191a0: 001b3797 auipc a5,0x1b3 + 800191a4: d7478793 addi a5,a5,-652 # 801cbf14 <_ZL4CVBC> + 800191a8: 09213823 sd s2,144(sp) + 800191ac: 404e5e1b sraiw t3,t3,0x4 + 800191b0: 007e7913 andi s2,t3,7 + 800191b4: 09313423 sd s3,136(sp) + 800191b8: 0007a983 lw s3,0(a5) + 800191bc: 0019079b addiw a5,s2,1 + 800191c0: 0047991b slliw s2,a5,0x4 + 800191c4: 40f907bb subw a5,s2,a5 + 800191c8: 09413023 sd s4,128(sp) + 800191cc: 001b3717 auipc a4,0x1b3 + 800191d0: a0472703 lw a4,-1532(a4) # 801cbbd0 + 800191d4: 001b3a17 auipc s4,0x1b3 + 800191d8: 974a2a03 lw s4,-1676(s4) # 801cbb48 + 800191dc: 00ea073b addw a4,s4,a4 + 800191e0: fffe4e13 not t3,t3 + 800191e4: 00f13c23 sd a5,24(sp) + 800191e8: 0019979b slliw a5,s3,0x1 + 800191ec: 07813023 sd s8,96(sp) + 800191f0: 05a13823 sd s10,80(sp) + 800191f4: 05b13423 sd s11,72(sp) + 800191f8: 0a113423 sd ra,168(sp) + 800191fc: 08913c23 sd s1,152(sp) + 80019200: 07513c23 sd s5,120(sp) + 80019204: 07613823 sd s6,112(sp) + 80019208: 07713423 sd s7,104(sp) + 8001920c: 05913c23 sd s9,88(sp) + 80019210: 02e12e23 sw a4,60(sp) + 80019214: 007e7913 andi s2,t3,7 + 80019218: 02f13823 sd a5,48(sp) + 8001921c: 00171c1b slliw s8,a4,0x1 + 80019220: 00040993 mv s3,s0 + 80019224: 000aea17 auipc s4,0xae + 80019228: eaca0a13 addi s4,s4,-340 # 800c70d0 <_ZL9PlayIndex> + 8001922c: 00700d93 li s11,7 + 80019230: 00043d17 auipc s10,0x43 + 80019234: 798d0d13 addi s10,s10,1944 # 8005c9c8 + 80019238: 0180006f j 80019250 <_ZL14DoNamcoSoundHQv+0xc4> + 8001923c: fffd8d93 addi s11,s11,-1 + 80019240: 000d879b sext.w a5,s11 + 80019244: ff898993 addi s3,s3,-8 + 80019248: ffca0a13 addi s4,s4,-4 + 8001924c: 1b27ca63 blt a5,s2,80019400 <_ZL14DoNamcoSoundHQv+0x274> + 80019250: 07c9c783 lbu a5,124(s3) + 80019254: 0e07f793 andi a5,a5,224 + 80019258: fe0782e3 beqz a5,8001923c <_ZL14DoNamcoSoundHQv+0xb0> + 8001925c: 07f9c783 lbu a5,127(s3) + 80019260: 00f7f793 andi a5,a5,15 + 80019264: fc078ce3 beqz a5,8001923c <_ZL14DoNamcoSoundHQv+0xb0> + 80019268: 020d9b93 slli s7,s11,0x20 + 8001926c: 020bdb93 srli s7,s7,0x20 + 80019270: 000ae717 auipc a4,0xae + 80019274: e6070713 addi a4,a4,-416 # 800c70d0 <_ZL9PlayIndex> + 80019278: 002b9793 slli a5,s7,0x2 + 8001927c: 00f707b3 add a5,a4,a5 + 80019280: 0007a783 lw a5,0(a5) + 80019284: 07e9c483 lbu s1,126(s3) + 80019288: 002d9713 slli a4,s11,0x2 + 8001928c: 0117d79b srliw a5,a5,0x11 + 80019290: 009787bb addw a5,a5,s1 + 80019294: 0017d59b srliw a1,a5,0x1 + 80019298: 000ae617 auipc a2,0xae + 8001929c: e1860613 addi a2,a2,-488 # 800c70b0 <_ZL9FreqCache> + 800192a0: 07f5f593 andi a1,a1,127 + 800192a4: 000ae697 auipc a3,0xae + 800192a8: dcc68693 addi a3,a3,-564 # 800c7070 <_ZL6vcount> + 800192ac: 00e686b3 add a3,a3,a4 + 800192b0: 00e60333 add t1,a2,a4 + 800192b4: 00b405b3 add a1,s0,a1 + 800192b8: 000ae617 auipc a2,0xae + 800192bc: dd860613 addi a2,a2,-552 # 800c7090 <_ZL8EnvCache> + 800192c0: 00e60833 add a6,a2,a4 + 800192c4: 0005c503 lbu a0,0(a1) + 800192c8: 0006a283 lw t0,0(a3) + 800192cc: 02d13023 sd a3,32(sp) + 800192d0: 000ac617 auipc a2,0xac + 800192d4: d0060613 addi a2,a2,-768 # 800c4fd0 <_ZL11LengthCache> + 800192d8: 00032683 lw a3,0(t1) + 800192dc: 00e60733 add a4,a2,a4 + 800192e0: 00072b03 lw s6,0(a4) + 800192e4: 0004871b sext.w a4,s1 + 800192e8: 0005059b sext.w a1,a0 + 800192ec: 0017f793 andi a5,a5,1 + 800192f0: 00d13423 sd a3,8(sp) + 800192f4: 00e13823 sd a4,16(sp) + 800192f8: 00082a83 lw s5,0(a6) + 800192fc: 0045d593 srli a1,a1,0x4 + 80019300: 00079463 bnez a5,80019308 <_ZL14DoNamcoSoundHQv+0x17c> + 80019304: 00f57593 andi a1,a0,15 + 80019308: 000a8513 mv a0,s5 + 8001930c: 02513423 sd t0,40(sp) + 80019310: 2ad200ef jal ra,80039dbc <__muldi3> + 80019314: 03013483 ld s1,48(sp) + 80019318: 02813283 ld t0,40(sp) + 8001931c: 0105551b srliw a0,a0,0x10 + 80019320: 0d84d263 bge s1,s8,800193e4 <_ZL14DoNamcoSoundHQv+0x258> + 80019324: 002b9f93 slli t6,s7,0x2 + 80019328: 000ae797 auipc a5,0xae + 8001932c: da878793 addi a5,a5,-600 # 800c70d0 <_ZL9PlayIndex> + 80019330: 011b1c9b slliw s9,s6,0x11 + 80019334: 01f78bb3 add s7,a5,t6 + 80019338: 0100006f j 80019348 <_ZL14DoNamcoSoundHQv+0x1bc> + 8001933c: 0014849b addiw s1,s1,1 + 80019340: fff2829b addiw t0,t0,-1 + 80019344: 0b848063 beq s1,s8,800193e4 <_ZL14DoNamcoSoundHQv+0x258> + 80019348: 4014d793 srai a5,s1,0x1 + 8001934c: 00279793 slli a5,a5,0x2 + 80019350: 00fd07b3 add a5,s10,a5 + 80019354: 0007a583 lw a1,0(a5) + 80019358: 00a585bb addw a1,a1,a0 + 8001935c: 00b7a023 sw a1,0(a5) + 80019360: fc029ee3 bnez t0,8001933c <_ZL14DoNamcoSoundHQv+0x1b0> + 80019364: 01ca2783 lw a5,28(s4) + 80019368: 00813703 ld a4,8(sp) + 8001936c: 00e787bb addw a5,a5,a4 + 80019370: 00fa2e23 sw a5,28(s4) + 80019374: 0117d59b srliw a1,a5,0x11 + 80019378: 0165ee63 bltu a1,s6,80019394 <_ZL14DoNamcoSoundHQv+0x208> + 8001937c: 419787bb subw a5,a5,s9 + 80019380: 0117d59b srliw a1,a5,0x11 + 80019384: 0007851b sext.w a0,a5 + 80019388: 419787bb subw a5,a5,s9 + 8001938c: ff65fae3 bgeu a1,s6,80019380 <_ZL14DoNamcoSoundHQv+0x1f4> + 80019390: 00aa2e23 sw a0,28(s4) + 80019394: 000ba783 lw a5,0(s7) + 80019398: 01013703 ld a4,16(sp) + 8001939c: 0117d79b srliw a5,a5,0x11 + 800193a0: 00e787bb addw a5,a5,a4 + 800193a4: 0017d59b srliw a1,a5,0x1 + 800193a8: 07f5f593 andi a1,a1,127 + 800193ac: 00b405b3 add a1,s0,a1 + 800193b0: 0005c503 lbu a0,0(a1) + 800193b4: 0017f793 andi a5,a5,1 + 800193b8: 0005059b sext.w a1,a0 + 800193bc: 0045d593 srli a1,a1,0x4 + 800193c0: 00079463 bnez a5,800193c8 <_ZL14DoNamcoSoundHQv+0x23c> + 800193c4: 00f57593 andi a1,a0,15 + 800193c8: 000a8513 mv a0,s5 + 800193cc: 1f1200ef jal ra,80039dbc <__muldi3> + 800193d0: 01813283 ld t0,24(sp) + 800193d4: 0014849b addiw s1,s1,1 + 800193d8: 0105551b srliw a0,a0,0x10 + 800193dc: fff2829b addiw t0,t0,-1 + 800193e0: f78494e3 bne s1,s8,80019348 <_ZL14DoNamcoSoundHQv+0x1bc> + 800193e4: 02013783 ld a5,32(sp) + 800193e8: fffd8d93 addi s11,s11,-1 + 800193ec: ff898993 addi s3,s3,-8 + 800193f0: 0057a023 sw t0,0(a5) + 800193f4: 000d879b sext.w a5,s11 + 800193f8: ffca0a13 addi s4,s4,-4 + 800193fc: e527dae3 bge a5,s2,80019250 <_ZL14DoNamcoSoundHQv+0xc4> + 80019400: 03c12703 lw a4,60(sp) + 80019404: 0a813083 ld ra,168(sp) + 80019408: 0a013403 ld s0,160(sp) + 8001940c: 001b3797 auipc a5,0x1b3 + 80019410: b0878793 addi a5,a5,-1272 # 801cbf14 <_ZL4CVBC> + 80019414: 00e7a023 sw a4,0(a5) + 80019418: 09813483 ld s1,152(sp) + 8001941c: 09013903 ld s2,144(sp) + 80019420: 08813983 ld s3,136(sp) + 80019424: 08013a03 ld s4,128(sp) + 80019428: 07813a83 ld s5,120(sp) + 8001942c: 07013b03 ld s6,112(sp) + 80019430: 06813b83 ld s7,104(sp) + 80019434: 06013c03 ld s8,96(sp) + 80019438: 05813c83 ld s9,88(sp) + 8001943c: 05013d03 ld s10,80(sp) + 80019440: 04813d83 ld s11,72(sp) + 80019444: 0b010113 addi sp,sp,176 + 80019448: 00008067 ret + +000000008001944c <_ZL11DoCHRRAMROMih>: + 8001944c: 4025571b sraiw a4,a0,0x2 + 80019450: 0067071b addiw a4,a4,6 + 80019454: 001b3797 auipc a5,0x1b3 + 80019458: ad47c783 lbu a5,-1324(a5) # 801cbf28 <_ZL6gorfus> + 8001945c: 40e7d7bb sraw a5,a5,a4 + 80019460: 001b3717 auipc a4,0x1b3 + 80019464: aa870713 addi a4,a4,-1368 # 801cbf08 <_ZL3CHR> + 80019468: 00a70733 add a4,a4,a0 + 8001946c: 0017f793 andi a5,a5,1 + 80019470: 001b3697 auipc a3,0x1b3 + 80019474: ab46a683 lw a3,-1356(a3) # 801cbf24 <_ZL5is210> + 80019478: 00b70023 sb a1,0(a4) + 8001947c: 00d7e7b3 or a5,a5,a3 + 80019480: 00079863 bnez a5,80019490 <_ZL11DoCHRRAMROMih+0x44> + 80019484: 0df00793 li a5,223 + 80019488: 00b7f463 bgeu a5,a1,80019490 <_ZL11DoCHRRAMROMih+0x44> + 8001948c: 00008067 ret + 80019490: 00a5151b slliw a0,a0,0xa + 80019494: a11f706f j 80010ea4 <_Z7setchr1jj> + +0000000080019498 <_ZL10SyncMirrorv>: + 80019498: 001b3797 auipc a5,0x1b3 + 8001949c: a887c783 lbu a5,-1400(a5) # 801cbf20 <_ZL5gorko> + 800194a0: 00200713 li a4,2 + 800194a4: 02e78463 beq a5,a4,800194cc <_ZL10SyncMirrorv+0x34> + 800194a8: 00f76863 bltu a4,a5,800194b8 <_ZL10SyncMirrorv+0x20> + 800194ac: 00078a63 beqz a5,800194c0 <_ZL10SyncMirrorv+0x28> + 800194b0: 00100513 li a0,1 + 800194b4: b95f706f j 80011048 <_Z9setmirrori> + 800194b8: 00300713 li a4,3 + 800194bc: 00e79663 bne a5,a4,800194c8 <_ZL10SyncMirrorv+0x30> + 800194c0: 00200513 li a0,2 + 800194c4: b85f706f j 80011048 <_Z9setmirrori> + 800194c8: 00008067 ret + 800194cc: 00000513 li a0,0 + 800194d0: b79f706f j 80011048 <_Z9setmirrori> + +00000000800194d4 <_ZL7SyncPRGv>: + 800194d4: ff010113 addi sp,sp,-16 + 800194d8: 00813023 sd s0,0(sp) + 800194dc: 001b3417 auipc s0,0x1b3 + 800194e0: a3440413 addi s0,s0,-1484 # 801cbf10 <_ZL3PRG> + 800194e4: 00044583 lbu a1,0(s0) + 800194e8: 00008537 lui a0,0x8 + 800194ec: 00113423 sd ra,8(sp) + 800194f0: dacf70ef jal ra,80010a9c <_Z7setprg8jj> + 800194f4: 00144583 lbu a1,1(s0) + 800194f8: 0000a537 lui a0,0xa + 800194fc: da0f70ef jal ra,80010a9c <_Z7setprg8jj> + 80019500: 00244583 lbu a1,2(s0) + 80019504: 0000c537 lui a0,0xc + 80019508: d94f70ef jal ra,80010a9c <_Z7setprg8jj> + 8001950c: 00013403 ld s0,0(sp) + 80019510: 00813083 ld ra,8(sp) + 80019514: 03f00593 li a1,63 + 80019518: 0000e537 lui a0,0xe + 8001951c: 01010113 addi sp,sp,16 + 80019520: d7cf706f j 80010a9c <_Z7setprg8jj> + +0000000080019524 <_ZL22Mapper210_StateRestorei>: + 80019524: fe010113 addi sp,sp,-32 + 80019528: 00813823 sd s0,16(sp) + 8001952c: 00913423 sd s1,8(sp) + 80019530: 01213023 sd s2,0(sp) + 80019534: 00113c23 sd ra,24(sp) + 80019538: 001b3497 auipc s1,0x1b3 + 8001953c: 9d048493 addi s1,s1,-1584 # 801cbf08 <_ZL3CHR> + 80019540: f95ff0ef jal ra,800194d4 <_ZL7SyncPRGv> + 80019544: 00000413 li s0,0 + 80019548: 00800913 li s2,8 + 8001954c: 0004c583 lbu a1,0(s1) + 80019550: 00040513 mv a0,s0 + 80019554: 0014041b addiw s0,s0,1 + 80019558: ef5ff0ef jal ra,8001944c <_ZL11DoCHRRAMROMih> + 8001955c: 00148493 addi s1,s1,1 + 80019560: ff2416e3 bne s0,s2,8001954c <_ZL22Mapper210_StateRestorei+0x28> + 80019564: 01813083 ld ra,24(sp) + 80019568: 01013403 ld s0,16(sp) + 8001956c: 00813483 ld s1,8(sp) + 80019570: 00013903 ld s2,0(sp) + 80019574: 02010113 addi sp,sp,32 + 80019578: 00008067 ret + +000000008001957c <_ZL12DoNamcoSoundPii.isra.0>: + 8001957c: ff010113 addi sp,sp,-16 + 80019580: 12e00613 li a2,302 + 80019584: 0002c597 auipc a1,0x2c + 80019588: 00c58593 addi a1,a1,12 # 80045590 <_ZZL8SetInputvE3moo+0x740> + 8001958c: 00023517 auipc a0,0x23 + 80019590: 78450513 addi a0,a0,1924 # 8003cd10 <_etext+0x2c> + 80019594: 00113423 sd ra,8(sp) + 80019598: 7b1210ef jal ra,8003b548 + 8001959c: 00100513 li a0,1 + 800195a0: 7d4200ef jal ra,80039d74 + +00000000800195a4 <_ZL10NamcoSoundi>: + 800195a4: 001b2797 auipc a5,0x1b2 + 800195a8: 62c7a783 lw a5,1580(a5) # 801cbbd0 + 800195ac: 001b2517 auipc a0,0x1b2 + 800195b0: 59c52503 lw a0,1436(a0) # 801cbb48 + 800195b4: 00f5053b addw a0,a0,a5 + 800195b8: 0105151b slliw a0,a0,0x10 + 800195bc: 02051513 slli a0,a0,0x20 + 800195c0: ff010113 addi sp,sp,-16 + 800195c4: 001b2597 auipc a1,0x1b2 + 800195c8: 6085e583 lwu a1,1544(a1) # 801cbbcc + 800195cc: 02055513 srli a0,a0,0x20 + 800195d0: 00113423 sd ra,8(sp) + 800195d4: 055200ef jal ra,80039e28 <__udivdi3> + 800195d8: 001b3797 auipc a5,0x1b3 + 800195dc: 9447a783 lw a5,-1724(a5) # 801cbf1c <_ZL5dwave> + 800195e0: 0045551b srliw a0,a0,0x4 + 800195e4: 00a79c63 bne a5,a0,800195fc <_ZL10NamcoSoundi+0x58> + 800195e8: 00813083 ld ra,8(sp) + 800195ec: 001b3797 auipc a5,0x1b3 + 800195f0: 9207a823 sw zero,-1744(a5) # 801cbf1c <_ZL5dwave> + 800195f4: 01010113 addi sp,sp,16 + 800195f8: 00008067 ret + 800195fc: f81ff0ef jal ra,8001957c <_ZL12DoNamcoSoundPii.isra.0> + +0000000080019600 <_ZL14Mapper19_writejh>: + 80019600: 000107b7 lui a5,0x10 + 80019604: 80078793 addi a5,a5,-2048 # f800 <_entry_offset+0xf800> + 80019608: 00f57533 and a0,a0,a5 + 8001960c: ffff8737 lui a4,0xffff8 + 80019610: 00a7073b addw a4,a4,a0 + 80019614: 000046b7 lui a3,0x4 + 80019618: 0007061b sext.w a2,a4 + 8001961c: 80068693 addi a3,a3,-2048 # 3800 <_entry_offset+0x3800> + 80019620: 16c6f063 bgeu a3,a2,80019780 <_ZL14Mapper19_writejh+0x180> + 80019624: fd010113 addi sp,sp,-48 + 80019628: 01213823 sd s2,16(sp) + 8001962c: 02113423 sd ra,40(sp) + 80019630: 02813023 sd s0,32(sp) + 80019634: 00913c23 sd s1,24(sp) + 80019638: 01313423 sd s3,8(sp) + 8001963c: 0000e737 lui a4,0xe + 80019640: 00058913 mv s2,a1 + 80019644: 18e50a63 beq a0,a4,800197d8 <_ZL14Mapper19_writejh+0x1d8> + 80019648: 04a76863 bltu a4,a0,80019698 <_ZL14Mapper19_writejh+0x98> + 8001964c: 000057b7 lui a5,0x5 + 80019650: 12f50c63 beq a0,a5,80019788 <_ZL14Mapper19_writejh+0x188> + 80019654: 00006737 lui a4,0x6 + 80019658: 80070713 addi a4,a4,-2048 # 5800 <_entry_offset+0x5800> + 8001965c: 0ae51c63 bne a0,a4,80019714 <_ZL14Mapper19_writejh+0x114> + 80019660: 001b3697 auipc a3,0x1b3 + 80019664: 8d868693 addi a3,a3,-1832 # 801cbf38 <_ZL8IRQCount> + 80019668: 0006c603 lbu a2,0(a3) + 8001966c: 00008737 lui a4,0x8 + 80019670: 00859793 slli a5,a1,0x8 + 80019674: f0070713 addi a4,a4,-256 # 7f00 <_entry_offset+0x7f00> + 80019678: 00e7f7b3 and a5,a5,a4 + 8001967c: 00c7e7b3 or a5,a5,a2 + 80019680: f805f593 andi a1,a1,-128 + 80019684: 00f69023 sh a5,0(a3) + 80019688: 00100513 li a0,1 + 8001968c: 001b3797 auipc a5,0x1b3 + 80019690: 88b78623 sb a1,-1908(a5) # 801cbf18 <_ZL4IRQa> + 80019694: 1000006f j 80019794 <_ZL14Mapper19_writejh+0x194> + 80019698: 0000f737 lui a4,0xf + 8001969c: 10e50a63 beq a0,a4,800197b0 <_ZL14Mapper19_writejh+0x1b0> + 800196a0: 02f51463 bne a0,a5,800196c8 <_ZL14Mapper19_writejh+0xc8> + 800196a4: 001b3797 auipc a5,0x1b3 + 800196a8: 86b78aa3 sb a1,-1931(a5) # 801cbf19 <_ZL5dopol> + 800196ac: 02813083 ld ra,40(sp) + 800196b0: 02013403 ld s0,32(sp) + 800196b4: 01813483 ld s1,24(sp) + 800196b8: 01013903 ld s2,16(sp) + 800196bc: 00813983 ld s3,8(sp) + 800196c0: 03010113 addi sp,sp,48 + 800196c4: 00008067 ret + 800196c8: 80070713 addi a4,a4,-2048 # e800 <_entry_offset+0xe800> + 800196cc: fee510e3 bne a0,a4,800196ac <_ZL14Mapper19_writejh+0xac> + 800196d0: fc05f793 andi a5,a1,-64 + 800196d4: 001b3717 auipc a4,0x1b3 + 800196d8: 84f70a23 sb a5,-1964(a4) # 801cbf28 <_ZL6gorfus> + 800196dc: 001b3497 auipc s1,0x1b3 + 800196e0: 82c48493 addi s1,s1,-2004 # 801cbf08 <_ZL3CHR> + 800196e4: 00000413 li s0,0 + 800196e8: 00800993 li s3,8 + 800196ec: 0004c583 lbu a1,0(s1) + 800196f0: 00040513 mv a0,s0 + 800196f4: 0014041b addiw s0,s0,1 + 800196f8: d55ff0ef jal ra,8001944c <_ZL11DoCHRRAMROMih> + 800196fc: 00148493 addi s1,s1,1 + 80019700: ff3416e3 bne s0,s3,800196ec <_ZL14Mapper19_writejh+0xec> + 80019704: 03f97593 andi a1,s2,63 + 80019708: 001b3797 auipc a5,0x1b3 + 8001970c: 80b784a3 sb a1,-2039(a5) # 801cbf11 <_ZL3PRG+0x1> + 80019710: 0ac0006f j 800197bc <_ZL14Mapper19_writejh+0x1bc> + 80019714: 80078793 addi a5,a5,-2048 + 80019718: f8f51ae3 bne a0,a5,800196ac <_ZL14Mapper19_writejh+0xac> + 8001971c: 001b2497 auipc s1,0x1b2 + 80019720: 7fd48493 addi s1,s1,2045 # 801cbf19 <_ZL5dopol> + 80019724: 0004c403 lbu s0,0(s1) + 80019728: 04047793 andi a5,s0,64 + 8001972c: 02078263 beqz a5,80019750 <_ZL14Mapper19_writejh+0x150> + 80019730: 001af797 auipc a5,0x1af + 80019734: 5b078793 addi a5,a5,1456 # 801c8ce0 + 80019738: 03c7a703 lw a4,60(a5) + 8001973c: 0c071463 bnez a4,80019804 <_ZL14Mapper19_writejh+0x204> + 80019740: 00040513 mv a0,s0 + 80019744: 00090593 mv a1,s2 + 80019748: 905ff0ef jal ra,8001904c <_ZL8FixCacheii> + 8001974c: 0004c403 lbu s0,0(s1) + 80019750: 07f47713 andi a4,s0,127 + 80019754: 000ac797 auipc a5,0xac + 80019758: 89c78793 addi a5,a5,-1892 # 800c4ff0 <_ZL4IRAM> + 8001975c: 00e787b3 add a5,a5,a4 + 80019760: 01278023 sb s2,0(a5) + 80019764: 0184179b slliw a5,s0,0x18 + 80019768: 4187d79b sraiw a5,a5,0x18 + 8001976c: f407d0e3 bgez a5,800196ac <_ZL14Mapper19_writejh+0xac> + 80019770: 0014041b addiw s0,s0,1 + 80019774: f8046413 ori s0,s0,-128 + 80019778: 00848023 sb s0,0(s1) + 8001977c: f31ff06f j 800196ac <_ZL14Mapper19_writejh+0xac> + 80019780: 00b7551b srliw a0,a4,0xb + 80019784: cc9ff06f j 8001944c <_ZL11DoCHRRAMROMih> + 80019788: 00100513 li a0,1 + 8001978c: 001b2797 auipc a5,0x1b2 + 80019790: 7ab78623 sb a1,1964(a5) # 801cbf38 <_ZL8IRQCount> + 80019794: 02013403 ld s0,32(sp) + 80019798: 02813083 ld ra,40(sp) + 8001979c: 01813483 ld s1,24(sp) + 800197a0: 01013903 ld s2,16(sp) + 800197a4: 00813983 ld s3,8(sp) + 800197a8: 03010113 addi sp,sp,48 + 800197ac: da4e706f j 80000d50 <_Z12X6502_IRQEndi> + 800197b0: 03f5f593 andi a1,a1,63 + 800197b4: 001b2797 auipc a5,0x1b2 + 800197b8: 74b78f23 sb a1,1886(a5) # 801cbf12 <_ZL3PRG+0x2> + 800197bc: 02013403 ld s0,32(sp) + 800197c0: 02813083 ld ra,40(sp) + 800197c4: 01813483 ld s1,24(sp) + 800197c8: 01013903 ld s2,16(sp) + 800197cc: 00813983 ld s3,8(sp) + 800197d0: 03010113 addi sp,sp,48 + 800197d4: d01ff06f j 800194d4 <_ZL7SyncPRGv> + 800197d8: 03f5f793 andi a5,a1,63 + 800197dc: 001b2717 auipc a4,0x1b2 + 800197e0: 72f70a23 sb a5,1844(a4) # 801cbf10 <_ZL3PRG> + 800197e4: 001b2797 auipc a5,0x1b2 + 800197e8: 7407a783 lw a5,1856(a5) # 801cbf24 <_ZL5is210> + 800197ec: fc0788e3 beqz a5,800197bc <_ZL14Mapper19_writejh+0x1bc> + 800197f0: 4065d59b sraiw a1,a1,0x6 + 800197f4: 001b2797 auipc a5,0x1b2 + 800197f8: 72b78623 sb a1,1836(a5) # 801cbf20 <_ZL5gorko> + 800197fc: c9dff0ef jal ra,80019498 <_ZL10SyncMirrorv> + 80019800: fbdff06f j 800197bc <_ZL14Mapper19_writejh+0x1bc> + 80019804: 0407a783 lw a5,64(a5) + 80019808: 06f04863 bgtz a5,80019878 <_ZL14Mapper19_writejh+0x278> + 8001980c: 001b2797 auipc a5,0x1b2 + 80019810: 3c47a783 lw a5,964(a5) # 801cbbd0 + 80019814: 001b2517 auipc a0,0x1b2 + 80019818: 33452503 lw a0,820(a0) # 801cbb48 + 8001981c: 00f5053b addw a0,a0,a5 + 80019820: 0105151b slliw a0,a0,0x10 + 80019824: 02051513 slli a0,a0,0x20 + 80019828: 001b2597 auipc a1,0x1b2 + 8001982c: 3a45e583 lwu a1,932(a1) # 801cbbcc + 80019830: 02055513 srli a0,a0,0x20 + 80019834: 5f4200ef jal ra,80039e28 <__udivdi3> + 80019838: 0045579b srliw a5,a0,0x4 + 8001983c: 001b2717 auipc a4,0x1b2 + 80019840: 6e072703 lw a4,1760(a4) # 801cbf1c <_ZL5dwave> + 80019844: 04e79063 bne a5,a4,80019884 <_ZL14Mapper19_writejh+0x284> + 80019848: 0003e797 auipc a5,0x3e + 8001984c: 14078793 addi a5,a5,320 # 80057988 + 80019850: 00000717 auipc a4,0x0 + 80019854: d5470713 addi a4,a4,-684 # 800195a4 <_ZL10NamcoSoundi> + 80019858: 00e7b023 sd a4,0(a5) + 8001985c: 00000717 auipc a4,0x0 + 80019860: 93070713 addi a4,a4,-1744 # 8001918c <_ZL14DoNamcoSoundHQv> + 80019864: 00e7b823 sd a4,16(a5) + 80019868: 00000717 auipc a4,0x0 + 8001986c: 91870713 addi a4,a4,-1768 # 80019180 <_ZL6SyncHQi> + 80019870: 00e7bc23 sd a4,24(a5) + 80019874: ecdff06f j 80019740 <_ZL14Mapper19_writejh+0x140> + 80019878: 915ff0ef jal ra,8001918c <_ZL14DoNamcoSoundHQv> + 8001987c: 0004c403 lbu s0,0(s1) + 80019880: fc9ff06f j 80019848 <_ZL14Mapper19_writejh+0x248> + 80019884: cf9ff0ef jal ra,8001957c <_ZL12DoNamcoSoundPii.isra.0> + +0000000080019888 <_ZL11DoNTARAMROMih>: + 80019888: 001b2797 auipc a5,0x1b2 + 8001988c: 6a878793 addi a5,a5,1704 # 801cbf30 <_ZL7NTAPage> + 80019890: 00a787b3 add a5,a5,a0 + 80019894: 00b78023 sb a1,0(a5) + 80019898: 0df00793 li a5,223 + 8001989c: 0005061b sext.w a2,a0 + 800198a0: 02b7f063 bgeu a5,a1,800198c0 <_ZL11DoNTARAMROMih+0x38> + 800198a4: 00a5959b slliw a1,a1,0xa + 800198a8: 4005f793 andi a5,a1,1024 + 800198ac: 001b0517 auipc a0,0x1b0 + 800198b0: c7c50513 addi a0,a0,-900 # 801c9528 + 800198b4: 00100593 li a1,1 + 800198b8: 00f50533 add a0,a0,a5 + 800198bc: e64f706f j 80010f20 <_Z9setntamemPhij> + 800198c0: 000a9797 auipc a5,0xa9 + 800198c4: b407c783 lbu a5,-1216(a5) # 800c2400 + 800198c8: 00f5f5b3 and a1,a1,a5 + 800198cc: 00a5979b slliw a5,a1,0xa + 800198d0: 000a9517 auipc a0,0xa9 + 800198d4: d3053503 ld a0,-720(a0) # 800c2600 + 800198d8: 00000593 li a1,0 + 800198dc: 00f50533 add a0,a0,a5 + 800198e0: e40f706f j 80010f20 <_Z9setntamemPhij> + +00000000800198e4 <_ZL21Mapper19_StateRestorei>: + 800198e4: fe010113 addi sp,sp,-32 + 800198e8: 00813823 sd s0,16(sp) + 800198ec: 00913423 sd s1,8(sp) + 800198f0: 01213023 sd s2,0(sp) + 800198f4: 00113c23 sd ra,24(sp) + 800198f8: 001b2497 auipc s1,0x1b2 + 800198fc: 63848493 addi s1,s1,1592 # 801cbf30 <_ZL7NTAPage> + 80019900: bd5ff0ef jal ra,800194d4 <_ZL7SyncPRGv> + 80019904: 00000413 li s0,0 + 80019908: 00400913 li s2,4 + 8001990c: 0004c583 lbu a1,0(s1) + 80019910: 00040513 mv a0,s0 + 80019914: 0014041b addiw s0,s0,1 + 80019918: f71ff0ef jal ra,80019888 <_ZL11DoNTARAMROMih> + 8001991c: 00148493 addi s1,s1,1 + 80019920: ff2416e3 bne s0,s2,8001990c <_ZL21Mapper19_StateRestorei+0x28> + 80019924: 001b2497 auipc s1,0x1b2 + 80019928: 5e448493 addi s1,s1,1508 # 801cbf08 <_ZL3CHR> + 8001992c: 00000413 li s0,0 + 80019930: 00800913 li s2,8 + 80019934: 0004c583 lbu a1,0(s1) + 80019938: 00040513 mv a0,s0 + 8001993c: 0014041b addiw s0,s0,1 + 80019940: b0dff0ef jal ra,8001944c <_ZL11DoCHRRAMROMih> + 80019944: 00148493 addi s1,s1,1 + 80019948: ff2416e3 bne s0,s2,80019934 <_ZL21Mapper19_StateRestorei+0x50> + 8001994c: 000ab417 auipc s0,0xab + 80019950: 6e440413 addi s0,s0,1764 # 800c5030 <_ZL4IRAM+0x40> + 80019954: 04000493 li s1,64 + 80019958: b41ff0ef jal ra,80019498 <_ZL10SyncMirrorv> + 8001995c: 000ab917 auipc s2,0xab + 80019960: 71490913 addi s2,s2,1812 # 800c5070 <_ZL4WRAM> + 80019964: 408484bb subw s1,s1,s0 + 80019968: 00044583 lbu a1,0(s0) + 8001996c: 0084853b addw a0,s1,s0 + 80019970: 00140413 addi s0,s0,1 + 80019974: ed8ff0ef jal ra,8001904c <_ZL8FixCacheii> + 80019978: ff2418e3 bne s0,s2,80019968 <_ZL21Mapper19_StateRestorei+0x84> + 8001997c: 01813083 ld ra,24(sp) + 80019980: 01013403 ld s0,16(sp) + 80019984: 00813483 ld s1,8(sp) + 80019988: 00013903 ld s2,0(sp) + 8001998c: 02010113 addi sp,sp,32 + 80019990: 00008067 ret + +0000000080019994 <_ZL18Mapper19C0D8_writejh>: + 80019994: ffff47b7 lui a5,0xffff4 + 80019998: 00a7853b addw a0,a5,a0 + 8001999c: 00b5551b srliw a0,a0,0xb + 800199a0: ee9ff06f j 80019888 <_ZL11DoNTARAMROMih> + +00000000800199a4 <_ZL10N106_Powerv>: + 800199a4: fe010113 addi sp,sp,-32 + 800199a8: 00813823 sd s0,16(sp) + 800199ac: 00010437 lui s0,0x10 + 800199b0: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800199b4: ffff7617 auipc a2,0xffff7 + 800199b8: cac60613 addi a2,a2,-852 # 80010660 <_Z6CartBRj> + 800199bc: 00008537 lui a0,0x8 + 800199c0: 00113c23 sd ra,24(sp) + 800199c4: 00913423 sd s1,8(sp) + 800199c8: 01213023 sd s2,0(sp) + 800199cc: 0501a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800199d0: fff40593 addi a1,s0,-1 + 800199d4: 00000617 auipc a2,0x0 + 800199d8: c2c60613 addi a2,a2,-980 # 80019600 <_ZL14Mapper19_writejh> + 800199dc: 00008537 lui a0,0x8 + 800199e0: 1481a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800199e4: 000064b7 lui s1,0x6 + 800199e8: 00004537 lui a0,0x4 + 800199ec: 00000617 auipc a2,0x0 + 800199f0: c1460613 addi a2,a2,-1004 # 80019600 <_ZL14Mapper19_writejh> + 800199f4: fff48593 addi a1,s1,-1 # 5fff <_entry_offset+0x5fff> + 800199f8: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 800199fc: 12c1a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80019a00: 001b2417 auipc s0,0x1b2 + 80019a04: 52442403 lw s0,1316(s0) # 801cbf24 <_ZL5is210> + 80019a08: 0c040663 beqz s0,80019ad4 <_ZL10N106_Powerv+0x130> + 80019a0c: 00008437 lui s0,0x8 + 80019a10: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80019a14: fffff617 auipc a2,0xfffff + 80019a18: 59460613 addi a2,a2,1428 # 80018fa8 <_ZL5AWRAMj> + 80019a1c: 00006537 lui a0,0x6 + 80019a20: 7fd190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80019a24: fff40593 addi a1,s0,-1 + 80019a28: fffff617 auipc a2,0xfffff + 80019a2c: 5a460613 addi a2,a2,1444 # 80018fcc <_ZL5BWRAMjh> + 80019a30: 00006537 lui a0,0x6 + 80019a34: 0f41a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80019a38: 000ab617 auipc a2,0xab + 80019a3c: 63860613 addi a2,a2,1592 # 800c5070 <_ZL4WRAM> + 80019a40: 000065b7 lui a1,0x6 + 80019a44: 00800513 li a0,8 + 80019a48: dcce60ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80019a4c: fff00793 li a5,-1 + 80019a50: 001b2717 auipc a4,0x1b2 + 80019a54: 4cf70c23 sb a5,1240(a4) # 801cbf28 <_ZL6gorfus> + 80019a58: 001b2497 auipc s1,0x1b2 + 80019a5c: 4b048493 addi s1,s1,1200 # 801cbf08 <_ZL3CHR> + 80019a60: a75ff0ef jal ra,800194d4 <_ZL7SyncPRGv> + 80019a64: 00000413 li s0,0 + 80019a68: 00800913 li s2,8 + 80019a6c: 0004c583 lbu a1,0(s1) + 80019a70: 00040513 mv a0,s0 + 80019a74: 0014041b addiw s0,s0,1 + 80019a78: 9d5ff0ef jal ra,8001944c <_ZL11DoCHRRAMROMih> + 80019a7c: 00148493 addi s1,s1,1 + 80019a80: ff2416e3 bne s0,s2,80019a6c <_ZL10N106_Powerv+0xc8> + 80019a84: 001b2797 auipc a5,0x1b2 + 80019a88: 4b07a783 lw a5,1200(a5) # 801cbf34 <_ZL7battery> + 80019a8c: 0e078463 beqz a5,80019b74 <_ZL10N106_Powerv+0x1d0> + 80019a90: 000ab417 auipc s0,0xab + 80019a94: 5a040413 addi s0,s0,1440 # 800c5030 <_ZL4IRAM+0x40> + 80019a98: 04000493 li s1,64 + 80019a9c: 000ab917 auipc s2,0xab + 80019aa0: 5d490913 addi s2,s2,1492 # 800c5070 <_ZL4WRAM> + 80019aa4: 408484bb subw s1,s1,s0 + 80019aa8: 00044583 lbu a1,0(s0) + 80019aac: 0084853b addw a0,s1,s0 + 80019ab0: 00140413 addi s0,s0,1 + 80019ab4: d98ff0ef jal ra,8001904c <_ZL8FixCacheii> + 80019ab8: ff2418e3 bne s0,s2,80019aa8 <_ZL10N106_Powerv+0x104> + 80019abc: 01813083 ld ra,24(sp) + 80019ac0: 01013403 ld s0,16(sp) + 80019ac4: 00813483 ld s1,8(sp) + 80019ac8: 00013903 ld s2,0(sp) + 80019acc: 02010113 addi sp,sp,32 + 80019ad0: 00008067 ret + 80019ad4: 0000e5b7 lui a1,0xe + 80019ad8: 00000617 auipc a2,0x0 + 80019adc: ebc60613 addi a2,a2,-324 # 80019994 <_ZL18Mapper19C0D8_writejh> + 80019ae0: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 80019ae4: 0000c537 lui a0,0xc + 80019ae8: 0401a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80019aec: 00005937 lui s2,0x5 + 80019af0: fff90593 addi a1,s2,-1 # 4fff <_entry_offset+0x4fff> + 80019af4: 80090513 addi a0,s2,-2048 + 80019af8: fffff617 auipc a2,0xfffff + 80019afc: 4f860613 addi a2,a2,1272 # 80018ff0 <_ZL14Namco_Read4800j> + 80019b00: 71d190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80019b04: 7ff90593 addi a1,s2,2047 + 80019b08: fffff617 auipc a2,0xfffff + 80019b0c: 52860613 addi a2,a2,1320 # 80019030 <_ZL14Namco_Read5000j> + 80019b10: 00005537 lui a0,0x5 + 80019b14: 709190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80019b18: fff48593 addi a1,s1,-1 + 80019b1c: 80048513 addi a0,s1,-2048 + 80019b20: fffff617 auipc a2,0xfffff + 80019b24: 51c60613 addi a2,a2,1308 # 8001903c <_ZL14Namco_Read5800j> + 80019b28: 6f5190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80019b2c: 001b2497 auipc s1,0x1b2 + 80019b30: 40448493 addi s1,s1,1028 # 801cbf30 <_ZL7NTAPage> + 80019b34: fff00793 li a5,-1 + 80019b38: 00f4a023 sw a5,0(s1) + 80019b3c: 00040513 mv a0,s0 + 80019b40: 0ff00593 li a1,255 + 80019b44: 00400913 li s2,4 + 80019b48: 0014041b addiw s0,s0,1 + 80019b4c: d3dff0ef jal ra,80019888 <_ZL11DoNTARAMROMih> + 80019b50: 00148493 addi s1,s1,1 + 80019b54: eb240ce3 beq s0,s2,80019a0c <_ZL10N106_Powerv+0x68> + 80019b58: 0004c583 lbu a1,0(s1) + 80019b5c: 00040513 mv a0,s0 + 80019b60: 0014041b addiw s0,s0,1 + 80019b64: d25ff0ef jal ra,80019888 <_ZL11DoNTARAMROMih> + 80019b68: 00148493 addi s1,s1,1 + 80019b6c: ff2416e3 bne s0,s2,80019b58 <_ZL10N106_Powerv+0x1b4> + 80019b70: e9dff06f j 80019a0c <_ZL10N106_Powerv+0x68> + 80019b74: 00100613 li a2,1 + 80019b78: 000025b7 lui a1,0x2 + 80019b7c: 000ab517 auipc a0,0xab + 80019b80: 4f450513 addi a0,a0,1268 # 800c5070 <_ZL4WRAM> + 80019b84: 3d01a0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80019b88: 00100613 li a2,1 + 80019b8c: 08000593 li a1,128 + 80019b90: 000ab517 auipc a0,0xab + 80019b94: 46050513 addi a0,a0,1120 # 800c4ff0 <_ZL4IRAM> + 80019b98: 3bc1a0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80019b9c: ef5ff06f j 80019a90 <_ZL10N106_Powerv+0xec> + +0000000080019ba0 <_ZL12NamcoIRQHooki>: + 80019ba0: 001b2797 auipc a5,0x1b2 + 80019ba4: 3787c783 lbu a5,888(a5) # 801cbf18 <_ZL4IRQa> + 80019ba8: 04078463 beqz a5,80019bf0 <_ZL12NamcoIRQHooki+0x50> + 80019bac: ff010113 addi sp,sp,-16 + 80019bb0: 00813023 sd s0,0(sp) + 80019bb4: 001b2417 auipc s0,0x1b2 + 80019bb8: 38440413 addi s0,s0,900 # 801cbf38 <_ZL8IRQCount> + 80019bbc: 00045783 lhu a5,0(s0) + 80019bc0: 00008737 lui a4,0x8 + 80019bc4: 00113423 sd ra,8(sp) + 80019bc8: 00a7853b addw a0,a5,a0 + 80019bcc: 03051513 slli a0,a0,0x30 + 80019bd0: 03055513 srli a0,a0,0x30 + 80019bd4: ffe70713 addi a4,a4,-2 # 7ffe <_entry_offset+0x7ffe> + 80019bd8: 00a41023 sh a0,0(s0) + 80019bdc: 00a76c63 bltu a4,a0,80019bf4 <_ZL12NamcoIRQHooki+0x54> + 80019be0: 00813083 ld ra,8(sp) + 80019be4: 00013403 ld s0,0(sp) + 80019be8: 01010113 addi sp,sp,16 + 80019bec: 00008067 ret + 80019bf0: 00008067 ret + 80019bf4: 00100513 li a0,1 + 80019bf8: 940e70ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80019bfc: 001b2797 auipc a5,0x1b2 + 80019c00: 30078e23 sb zero,796(a5) # 801cbf18 <_ZL4IRQa> + 80019c04: ffff87b7 lui a5,0xffff8 + 80019c08: fff7c793 not a5,a5 + 80019c0c: 00813083 ld ra,8(sp) + 80019c10: 00f41023 sh a5,0(s0) + 80019c14: 00013403 ld s0,0(sp) + 80019c18: 01010113 addi sp,sp,16 + 80019c1c: 00008067 ret + +0000000080019c20 <_Z12Mapper19_ESIv>: + 80019c20: ff010113 addi sp,sp,-16 + 80019c24: 00000797 auipc a5,0x0 + 80019c28: 05078793 addi a5,a5,80 # 80019c74 <_ZL5M19SCv> + 80019c2c: 02000613 li a2,32 + 80019c30: 00000593 li a1,0 + 80019c34: 000ad517 auipc a0,0xad + 80019c38: 43c50513 addi a0,a0,1084 # 800c7070 <_ZL6vcount> + 80019c3c: 00113423 sd ra,8(sp) + 80019c40: 0003e717 auipc a4,0x3e + 80019c44: d6f73423 sd a5,-664(a4) # 800579a8 + 80019c48: 5e1210ef jal ra,8003ba28 + 80019c4c: 02000613 li a2,32 + 80019c50: 00000593 li a1,0 + 80019c54: 000ad517 auipc a0,0xad + 80019c58: 47c50513 addi a0,a0,1148 # 800c70d0 <_ZL9PlayIndex> + 80019c5c: 5cd210ef jal ra,8003ba28 + 80019c60: 00813083 ld ra,8(sp) + 80019c64: 001b2797 auipc a5,0x1b2 + 80019c68: 2a07a823 sw zero,688(a5) # 801cbf14 <_ZL4CVBC> + 80019c6c: 01010113 addi sp,sp,16 + 80019c70: 00008067 ret + +0000000080019c74 <_ZL5M19SCv>: + 80019c74: 001af797 auipc a5,0x1af + 80019c78: 0a87a783 lw a5,168(a5) # 801c8d1c + 80019c7c: 00079463 bnez a5,80019c84 <_ZL5M19SCv+0x10> + 80019c80: 00008067 ret + 80019c84: f9dff06f j 80019c20 <_Z12Mapper19_ESIv> + +0000000080019c88 <_Z13Mapper19_InitP8CartInfo>: + 80019c88: 04c52783 lw a5,76(a0) + 80019c8c: fe010113 addi sp,sp,-32 + 80019c90: 00000717 auipc a4,0x0 + 80019c94: d1470713 addi a4,a4,-748 # 800199a4 <_ZL10N106_Powerv> + 80019c98: 00813823 sd s0,16(sp) + 80019c9c: 00113c23 sd ra,24(sp) + 80019ca0: 00913423 sd s1,8(sp) + 80019ca4: 00e53023 sd a4,0(a0) + 80019ca8: 001b2717 auipc a4,0x1b2 + 80019cac: 28f72623 sw a5,652(a4) # 801cbf34 <_ZL7battery> + 80019cb0: 00000797 auipc a5,0x0 + 80019cb4: ef078793 addi a5,a5,-272 # 80019ba0 <_ZL12NamcoIRQHooki> + 80019cb8: 001b2717 auipc a4,0x1b2 + 80019cbc: e8f73423 sd a5,-376(a4) # 801cbb40 + 80019cc0: 00000797 auipc a5,0x0 + 80019cc4: c2478793 addi a5,a5,-988 # 800198e4 <_ZL21Mapper19_StateRestorei> + 80019cc8: 001b3717 auipc a4,0x1b3 + 80019ccc: 98f73823 sd a5,-1648(a4) # 801cc658 + 80019cd0: 00000797 auipc a5,0x0 + 80019cd4: fa478793 addi a5,a5,-92 # 80019c74 <_ZL5M19SCv> + 80019cd8: 0003e717 auipc a4,0x3e + 80019cdc: ccf73823 sd a5,-816(a4) # 800579a8 + 80019ce0: 001b2717 auipc a4,0x1b2 + 80019ce4: 24072223 sw zero,580(a4) # 801cbf24 <_ZL5is210> + 80019ce8: 001af797 auipc a5,0x1af + 80019cec: 0347a783 lw a5,52(a5) # 801c8d1c + 80019cf0: 00050413 mv s0,a0 + 80019cf4: 0a079c63 bnez a5,80019dac <_Z13Mapper19_InitP8CartInfo+0x124> + 80019cf8: 00100613 li a2,1 + 80019cfc: 000025b7 lui a1,0x2 + 80019d00: 000ab517 auipc a0,0xab + 80019d04: 37050513 addi a0,a0,880 # 800c5070 <_ZL4WRAM> + 80019d08: 24c1a0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80019d0c: 000ab497 auipc s1,0xab + 80019d10: 2e448493 addi s1,s1,740 # 800c4ff0 <_ZL4IRAM> + 80019d14: 00100613 li a2,1 + 80019d18: 08000593 li a1,128 + 80019d1c: 00048513 mv a0,s1 + 80019d20: 2341a0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80019d24: 0002b697 auipc a3,0x2b + 80019d28: 60468693 addi a3,a3,1540 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80019d2c: 00000613 li a2,0 + 80019d30: 000025b7 lui a1,0x2 + 80019d34: 000ab517 auipc a0,0xab + 80019d38: 33c50513 addi a0,a0,828 # 800c5070 <_ZL4WRAM> + 80019d3c: ad4e60ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80019d40: 0002c697 auipc a3,0x2c + 80019d44: 88068693 addi a3,a3,-1920 # 800455c0 <_ZZL8SetInputvE3moo+0x770> + 80019d48: 00000613 li a2,0 + 80019d4c: 08000593 li a1,128 + 80019d50: 00048513 mv a0,s1 + 80019d54: abce60ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80019d58: 00000693 li a3,0 + 80019d5c: 00000613 li a2,0 + 80019d60: fff00593 li a1,-1 + 80019d64: 00033517 auipc a0,0x33 + 80019d68: a1450513 addi a0,a0,-1516 # 8004c778 <_ZL14N106_StateRegs> + 80019d6c: aa4e60ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80019d70: 04c42783 lw a5,76(s0) + 80019d74: 02078263 beqz a5,80019d98 <_Z13Mapper19_InitP8CartInfo+0x110> + 80019d78: 040007b7 lui a5,0x4000 + 80019d7c: 00178793 addi a5,a5,1 # 4000001 <_entry_offset+0x4000001> + 80019d80: 000ab717 auipc a4,0xab + 80019d84: 2f070713 addi a4,a4,752 # 800c5070 <_ZL4WRAM> + 80019d88: 00d79793 slli a5,a5,0xd + 80019d8c: 00e43c23 sd a4,24(s0) + 80019d90: 02943023 sd s1,32(s0) + 80019d94: 02f43c23 sd a5,56(s0) + 80019d98: 01813083 ld ra,24(sp) + 80019d9c: 01013403 ld s0,16(sp) + 80019da0: 00813483 ld s1,8(sp) + 80019da4: 02010113 addi sp,sp,32 + 80019da8: 00008067 ret + 80019dac: e75ff0ef jal ra,80019c20 <_Z12Mapper19_ESIv> + 80019db0: f49ff06f j 80019cf8 <_Z13Mapper19_InitP8CartInfo+0x70> + +0000000080019db4 <_Z14Mapper210_InitP8CartInfo>: + 80019db4: ff010113 addi sp,sp,-16 + 80019db8: fffff717 auipc a4,0xfffff + 80019dbc: 76c70713 addi a4,a4,1900 # 80019524 <_ZL22Mapper210_StateRestorei> + 80019dc0: 00113423 sd ra,8(sp) + 80019dc4: 001b3697 auipc a3,0x1b3 + 80019dc8: 88e6ba23 sd a4,-1900(a3) # 801cc658 + 80019dcc: 00050793 mv a5,a0 + 80019dd0: 00000717 auipc a4,0x0 + 80019dd4: bd470713 addi a4,a4,-1068 # 800199a4 <_ZL10N106_Powerv> + 80019dd8: 00e7b023 sd a4,0(a5) + 80019ddc: 00100613 li a2,1 + 80019de0: 00100793 li a5,1 + 80019de4: 000025b7 lui a1,0x2 + 80019de8: 000ab517 auipc a0,0xab + 80019dec: 28850513 addi a0,a0,648 # 800c5070 <_ZL4WRAM> + 80019df0: 001b2717 auipc a4,0x1b2 + 80019df4: 12f72a23 sw a5,308(a4) # 801cbf24 <_ZL5is210> + 80019df8: 15c1a0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80019dfc: 0002b697 auipc a3,0x2b + 80019e00: 52c68693 addi a3,a3,1324 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80019e04: 00000613 li a2,0 + 80019e08: 000025b7 lui a1,0x2 + 80019e0c: 000ab517 auipc a0,0xab + 80019e10: 26450513 addi a0,a0,612 # 800c5070 <_ZL4WRAM> + 80019e14: 9fce60ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80019e18: 00813083 ld ra,8(sp) + 80019e1c: 00000693 li a3,0 + 80019e20: 00000613 li a2,0 + 80019e24: fff00593 li a1,-1 + 80019e28: 00033517 auipc a0,0x33 + 80019e2c: 95050513 addi a0,a0,-1712 # 8004c778 <_ZL14N106_StateRegs> + 80019e30: 01010113 addi sp,sp,16 + 80019e34: 9dce606f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080019e38 <_ZL4Syncv>: + 80019e38: fe010113 addi sp,sp,-32 + 80019e3c: 00913423 sd s1,8(sp) + 80019e40: 001b2497 auipc s1,0x1b2 + 80019e44: 10848493 addi s1,s1,264 # 801cbf48 <_ZL4preg> + 80019e48: 0004c583 lbu a1,0(s1) + 80019e4c: 00008537 lui a0,0x8 + 80019e50: 00113c23 sd ra,24(sp) + 80019e54: 00813823 sd s0,16(sp) + 80019e58: 01213023 sd s2,0(sp) + 80019e5c: c41f60ef jal ra,80010a9c <_Z7setprg8jj> + 80019e60: 0014c583 lbu a1,1(s1) + 80019e64: 0000a537 lui a0,0xa + 80019e68: 001b2417 auipc s0,0x1b2 + 80019e6c: 0da40413 addi s0,s0,218 # 801cbf42 <_ZL4mode> + 80019e70: c2df60ef jal ra,80010a9c <_Z7setprg8jj> + 80019e74: 0024c583 lbu a1,2(s1) + 80019e78: 0000c537 lui a0,0xc + 80019e7c: 001b2917 auipc s2,0x1b2 + 80019e80: 0c490913 addi s2,s2,196 # 801cbf40 <_ZL4creg> + 80019e84: c19f60ef jal ra,80010a9c <_Z7setprg8jj> + 80019e88: fff00593 li a1,-1 + 80019e8c: 0000e537 lui a0,0xe + 80019e90: c0df60ef jal ra,80010a9c <_Z7setprg8jj> + 80019e94: 00044783 lbu a5,0(s0) + 80019e98: 00094583 lbu a1,0(s2) + 80019e9c: 00000513 li a0,0 + 80019ea0: 0037979b slliw a5,a5,0x3 + 80019ea4: 0107f793 andi a5,a5,16 + 80019ea8: 00b7e5b3 or a1,a5,a1 + 80019eac: 838f70ef jal ra,80010ee4 <_Z7setchr4jj> + 80019eb0: 00044783 lbu a5,0(s0) + 80019eb4: 00194583 lbu a1,1(s2) + 80019eb8: 00001537 lui a0,0x1 + 80019ebc: 0027979b slliw a5,a5,0x2 + 80019ec0: 0107f793 andi a5,a5,16 + 80019ec4: 00b7e5b3 or a1,a5,a1 + 80019ec8: 81cf70ef jal ra,80010ee4 <_Z7setchr4jj> + 80019ecc: 00044503 lbu a0,0(s0) + 80019ed0: 01013403 ld s0,16(sp) + 80019ed4: 01813083 ld ra,24(sp) + 80019ed8: 00813483 ld s1,8(sp) + 80019edc: 00013903 ld s2,0(sp) + 80019ee0: fff54513 not a0,a0 + 80019ee4: 00157513 andi a0,a0,1 + 80019ee8: 02010113 addi sp,sp,32 + 80019eec: 95cf706f j 80011048 <_Z9setmirrori> + +0000000080019ef0 <_ZL12StateRestorei>: + 80019ef0: f49ff06f j 80019e38 <_ZL4Syncv> + +0000000080019ef4 <_ZL8M75Writejh>: + 80019ef4: 0000f7b7 lui a5,0xf + 80019ef8: 00f57533 and a0,a0,a5 + 80019efc: 0000c7b7 lui a5,0xc + 80019f00: 06f50663 beq a0,a5,80019f6c <_ZL8M75Writejh+0x78> + 80019f04: 02a7e063 bltu a5,a0,80019f24 <_ZL8M75Writejh+0x30> + 80019f08: 000097b7 lui a5,0x9 + 80019f0c: 06f50663 beq a0,a5,80019f78 <_ZL8M75Writejh+0x84> + 80019f10: 0000a7b7 lui a5,0xa + 80019f14: 02f51863 bne a0,a5,80019f44 <_ZL8M75Writejh+0x50> + 80019f18: 001b2797 auipc a5,0x1b2 + 80019f1c: 02b788a3 sb a1,49(a5) # 801cbf49 <_ZL4preg+0x1> + 80019f20: f19ff06f j 80019e38 <_ZL4Syncv> + 80019f24: 0000e7b7 lui a5,0xe + 80019f28: 02f50a63 beq a0,a5,80019f5c <_ZL8M75Writejh+0x68> + 80019f2c: 0000f7b7 lui a5,0xf + 80019f30: 04f51a63 bne a0,a5,80019f84 <_ZL8M75Writejh+0x90> + 80019f34: 00f5f593 andi a1,a1,15 + 80019f38: 001b2797 auipc a5,0x1b2 + 80019f3c: 00b784a3 sb a1,9(a5) # 801cbf41 <_ZL4creg+0x1> + 80019f40: ef9ff06f j 80019e38 <_ZL4Syncv> + 80019f44: 000087b7 lui a5,0x8 + 80019f48: 00f51863 bne a0,a5,80019f58 <_ZL8M75Writejh+0x64> + 80019f4c: 001b2797 auipc a5,0x1b2 + 80019f50: feb78e23 sb a1,-4(a5) # 801cbf48 <_ZL4preg> + 80019f54: ee5ff06f j 80019e38 <_ZL4Syncv> + 80019f58: 00008067 ret + 80019f5c: 00f5f593 andi a1,a1,15 + 80019f60: 001b2797 auipc a5,0x1b2 + 80019f64: feb78023 sb a1,-32(a5) # 801cbf40 <_ZL4creg> + 80019f68: ed1ff06f j 80019e38 <_ZL4Syncv> + 80019f6c: 001b2797 auipc a5,0x1b2 + 80019f70: fcb78f23 sb a1,-34(a5) # 801cbf4a <_ZL4preg+0x2> + 80019f74: ec5ff06f j 80019e38 <_ZL4Syncv> + 80019f78: 001b2797 auipc a5,0x1b2 + 80019f7c: fcb78523 sb a1,-54(a5) # 801cbf42 <_ZL4mode> + 80019f80: eb9ff06f j 80019e38 <_ZL4Syncv> + 80019f84: 00008067 ret + +0000000080019f88 <_ZL8M75Powerv>: + 80019f88: ff010113 addi sp,sp,-16 + 80019f8c: 00113423 sd ra,8(sp) + 80019f90: 00813023 sd s0,0(sp) + 80019f94: ea5ff0ef jal ra,80019e38 <_ZL4Syncv> + 80019f98: 00010437 lui s0,0x10 + 80019f9c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80019fa0: 00000617 auipc a2,0x0 + 80019fa4: f5460613 addi a2,a2,-172 # 80019ef4 <_ZL8M75Writejh> + 80019fa8: 00008537 lui a0,0x8 + 80019fac: 37d190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80019fb0: fff40593 addi a1,s0,-1 + 80019fb4: 00013403 ld s0,0(sp) + 80019fb8: 00813083 ld ra,8(sp) + 80019fbc: ffff6617 auipc a2,0xffff6 + 80019fc0: 6a460613 addi a2,a2,1700 # 80010660 <_Z6CartBRj> + 80019fc4: 00008537 lui a0,0x8 + 80019fc8: 01010113 addi sp,sp,16 + 80019fcc: 2511906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080019fd0 <_Z13Mapper75_InitP8CartInfo>: + 80019fd0: ff010113 addi sp,sp,-16 + 80019fd4: 00113423 sd ra,8(sp) + 80019fd8: 00000797 auipc a5,0x0 + 80019fdc: fb078793 addi a5,a5,-80 # 80019f88 <_ZL8M75Powerv> + 80019fe0: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80019fe4: 00000693 li a3,0 + 80019fe8: 00000613 li a2,0 + 80019fec: fff00593 li a1,-1 + 80019ff0: 00031517 auipc a0,0x31 + 80019ff4: d3050513 addi a0,a0,-720 # 8004ad20 <_ZL9StateRegs> + 80019ff8: 818e60ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80019ffc: 00813083 ld ra,8(sp) + 8001a000: 00000797 auipc a5,0x0 + 8001a004: ef078793 addi a5,a5,-272 # 80019ef0 <_ZL12StateRestorei> + 8001a008: 001b2717 auipc a4,0x1b2 + 8001a00c: 64f73823 sd a5,1616(a4) # 801cc658 + 8001a010: 01010113 addi sp,sp,16 + 8001a014: 00008067 ret + +000000008001a018 <_ZL13M216Write5000jh>: + 8001a018: 00008067 ret + +000000008001a01c <_ZL12M216Read5000j>: + 8001a01c: 00000513 li a0,0 + 8001a020: 00008067 ret + +000000008001a024 <_ZL4Syncv>: + 8001a024: ff010113 addi sp,sp,-16 + 8001a028: 00008537 lui a0,0x8 + 8001a02c: 001b2597 auipc a1,0x1b2 + 8001a030: f205c583 lbu a1,-224(a1) # 801cbf4c <_ZL7prg_reg> + 8001a034: 00113423 sd ra,8(sp) + 8001a038: dddf60ef jal ra,80010e14 <_Z8setprg32jj> + 8001a03c: 00813083 ld ra,8(sp) + 8001a040: 001b2517 auipc a0,0x1b2 + 8001a044: f0b54503 lbu a0,-245(a0) # 801cbf4b <_ZL7chr_reg> + 8001a048: 01010113 addi sp,sp,16 + 8001a04c: eb9f606f j 80010f04 <_Z7setchr8j> + +000000008001a050 <_ZL12StateRestorei>: + 8001a050: fd5ff06f j 8001a024 <_ZL4Syncv> + +000000008001a054 <_ZL11M216WriteHijh>: + 8001a054: 0015579b srliw a5,a0,0x1 + 8001a058: 0077f793 andi a5,a5,7 + 8001a05c: 00157513 andi a0,a0,1 + 8001a060: 001b2717 auipc a4,0x1b2 + 8001a064: eea70623 sb a0,-276(a4) # 801cbf4c <_ZL7prg_reg> + 8001a068: 001b2717 auipc a4,0x1b2 + 8001a06c: eef701a3 sb a5,-285(a4) # 801cbf4b <_ZL7chr_reg> + 8001a070: fb5ff06f j 8001a024 <_ZL4Syncv> + +000000008001a074 <_ZL5Powerv>: + 8001a074: ff010113 addi sp,sp,-16 + 8001a078: 00113423 sd ra,8(sp) + 8001a07c: 00813023 sd s0,0(sp) + 8001a080: 001b2797 auipc a5,0x1b2 + 8001a084: ec078623 sb zero,-308(a5) # 801cbf4c <_ZL7prg_reg> + 8001a088: 00010437 lui s0,0x10 + 8001a08c: 001b2797 auipc a5,0x1b2 + 8001a090: ea078fa3 sb zero,-321(a5) # 801cbf4b <_ZL7chr_reg> + 8001a094: f91ff0ef jal ra,8001a024 <_ZL4Syncv> + 8001a098: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001a09c: ffff6617 auipc a2,0xffff6 + 8001a0a0: 5c460613 addi a2,a2,1476 # 80010660 <_Z6CartBRj> + 8001a0a4: 00008537 lui a0,0x8 + 8001a0a8: 175190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001a0ac: fff40593 addi a1,s0,-1 + 8001a0b0: 00000617 auipc a2,0x0 + 8001a0b4: fa460613 addi a2,a2,-92 # 8001a054 <_ZL11M216WriteHijh> + 8001a0b8: 00008537 lui a0,0x8 + 8001a0bc: 26d190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001a0c0: 00000617 auipc a2,0x0 + 8001a0c4: f5860613 addi a2,a2,-168 # 8001a018 <_ZL13M216Write5000jh> + 8001a0c8: 000055b7 lui a1,0x5 + 8001a0cc: 00005537 lui a0,0x5 + 8001a0d0: 259190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001a0d4: 00013403 ld s0,0(sp) + 8001a0d8: 00813083 ld ra,8(sp) + 8001a0dc: 00000617 auipc a2,0x0 + 8001a0e0: f4060613 addi a2,a2,-192 # 8001a01c <_ZL12M216Read5000j> + 8001a0e4: 000055b7 lui a1,0x5 + 8001a0e8: 00005537 lui a0,0x5 + 8001a0ec: 01010113 addi sp,sp,16 + 8001a0f0: 12d1906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008001a0f4 <_Z14Mapper216_InitP8CartInfo>: + 8001a0f4: 00000797 auipc a5,0x0 + 8001a0f8: f8078793 addi a5,a5,-128 # 8001a074 <_ZL5Powerv> + 8001a0fc: 00f53023 sd a5,0(a0) # 5000 <_entry_offset+0x5000> + 8001a100: 00000797 auipc a5,0x0 + 8001a104: f5078793 addi a5,a5,-176 # 8001a050 <_ZL12StateRestorei> + 8001a108: 00000693 li a3,0 + 8001a10c: 00000613 li a2,0 + 8001a110: fff00593 li a1,-1 + 8001a114: 00031517 auipc a0,0x31 + 8001a118: c6c50513 addi a0,a0,-916 # 8004ad80 <_ZL9StateRegs> + 8001a11c: 001b2717 auipc a4,0x1b2 + 8001a120: 52f73e23 sd a5,1340(a4) # 801cc658 + 8001a124: eede506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001a128 <_ZL4Syncv>: + 8001a128: 001b2717 auipc a4,0x1b2 + 8001a12c: 12870713 addi a4,a4,296 # 801cc250 + 8001a130: 00574783 lbu a5,5(a4) + 8001a134: 01f00693 li a3,31 + 8001a138: 03f7f793 andi a5,a5,63 + 8001a13c: fe07879b addiw a5,a5,-32 + 8001a140: 0ff7f793 andi a5,a5,255 + 8001a144: 02f6e063 bltu a3,a5,8001a164 <_ZL4Syncv+0x3c> + 8001a148: 0002b697 auipc a3,0x2b + 8001a14c: 4a068693 addi a3,a3,1184 # 800455e8 <_ZZL8SetInputvE3moo+0x798> + 8001a150: 00279793 slli a5,a5,0x2 + 8001a154: 00d787b3 add a5,a5,a3 + 8001a158: 0007a783 lw a5,0(a5) + 8001a15c: 00d787b3 add a5,a5,a3 + 8001a160: 00078067 jr a5 + 8001a164: 001b2797 auipc a5,0x1b2 + 8001a168: 0e0788a3 sb zero,241(a5) # 801cc255 + 8001a16c: 00008067 ret + 8001a170: 00674783 lbu a5,6(a4) + 8001a174: 00100693 li a3,1 + 8001a178: 00d703a3 sb a3,7(a4) + 8001a17c: 00f70023 sb a5,0(a4) + 8001a180: 00008067 ret + 8001a184: 00674783 lbu a5,6(a4) + 8001a188: 001b2697 auipc a3,0x1b2 + 8001a18c: 0c0687a3 sb zero,207(a3) # 801cc257 + 8001a190: 00f700a3 sb a5,1(a4) + 8001a194: 00008067 ret + 8001a198: 00674783 lbu a5,6(a4) + 8001a19c: 001b2697 auipc a3,0x1b2 + 8001a1a0: 0a068da3 sb zero,187(a3) # 801cc257 + 8001a1a4: 00f70123 sb a5,2(a4) + 8001a1a8: 00008067 ret + 8001a1ac: 00674783 lbu a5,6(a4) + 8001a1b0: 001b2697 auipc a3,0x1b2 + 8001a1b4: 0a0683a3 sb zero,167(a3) # 801cc257 + 8001a1b8: 00f70023 sb a5,0(a4) + 8001a1bc: 00008067 ret + 8001a1c0: 00674783 lbu a5,6(a4) + 8001a1c4: 00100693 li a3,1 + 8001a1c8: 00d703a3 sb a3,7(a4) + 8001a1cc: fa0780e3 beqz a5,8001a16c <_ZL4Syncv+0x44> + 8001a1d0: 00f70023 sb a5,0(a4) + 8001a1d4: 00008067 ret + +000000008001a1d8 <_ZL8M121Readj>: + 8001a1d8: 001b2517 auipc a0,0x1b2 + 8001a1dc: 07c54503 lbu a0,124(a0) # 801cc254 + 8001a1e0: 00008067 ret + +000000008001a1e4 <_ZL9M121Powerv>: + 8001a1e4: ff010113 addi sp,sp,-16 + 8001a1e8: 00113423 sd ra,8(sp) + 8001a1ec: 00813023 sd s0,0(sp) + 8001a1f0: 001b2797 auipc a5,0x1b2 + 8001a1f4: 06078793 addi a5,a5,96 # 801cc250 + 8001a1f8: f8000713 li a4,-128 + 8001a1fc: 00006437 lui s0,0x6 + 8001a200: 00e781a3 sb a4,3(a5) + 8001a204: 000782a3 sb zero,5(a5) + 8001a208: 7b90b0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8001a20c: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 8001a210: 00000617 auipc a2,0x0 + 8001a214: fc860613 addi a2,a2,-56 # 8001a1d8 <_ZL8M121Readj> + 8001a218: 00005537 lui a0,0x5 + 8001a21c: 001190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001a220: fff40593 addi a1,s0,-1 + 8001a224: 00000617 auipc a2,0x0 + 8001a228: 12460613 addi a2,a2,292 # 8001a348 <_ZL11M121LoWritejh> + 8001a22c: 00005537 lui a0,0x5 + 8001a230: 0f9190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001a234: 00013403 ld s0,0(sp) + 8001a238: 00813083 ld ra,8(sp) + 8001a23c: 0000a5b7 lui a1,0xa + 8001a240: 00000617 auipc a2,0x0 + 8001a244: 01860613 addi a2,a2,24 # 8001a258 <_ZL9M121Writejh> + 8001a248: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 8001a24c: 00008537 lui a0,0x8 + 8001a250: 01010113 addi sp,sp,16 + 8001a254: 0d51906f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001a258 <_ZL9M121Writejh>: + 8001a258: 0000e7b7 lui a5,0xe + 8001a25c: fe010113 addi sp,sp,-32 + 8001a260: 00008737 lui a4,0x8 + 8001a264: 00378793 addi a5,a5,3 # e003 <_entry_offset+0xe003> + 8001a268: 00813823 sd s0,16(sp) + 8001a26c: 00113c23 sd ra,24(sp) + 8001a270: 00913423 sd s1,8(sp) + 8001a274: 00f577b3 and a5,a0,a5 + 8001a278: 00170693 addi a3,a4,1 # 8001 <_entry_offset+0x8001> + 8001a27c: 00058413 mv s0,a1 + 8001a280: 04d78c63 beq a5,a3,8001a2d8 <_ZL9M121Writejh+0x80> + 8001a284: 00370693 addi a3,a4,3 + 8001a288: 00d78e63 beq a5,a3,8001a2a4 <_ZL9M121Writejh+0x4c> + 8001a28c: 02e78663 beq a5,a4,8001a2b8 <_ZL9M121Writejh+0x60> + 8001a290: 01813083 ld ra,24(sp) + 8001a294: 01013403 ld s0,16(sp) + 8001a298: 00813483 ld s1,8(sp) + 8001a29c: 02010113 addi sp,sp,32 + 8001a2a0: 00008067 ret + 8001a2a4: 001b2797 auipc a5,0x1b2 + 8001a2a8: fab788a3 sb a1,-79(a5) # 801cc255 + 8001a2ac: e7dff0ef jal ra,8001a128 <_ZL4Syncv> + 8001a2b0: 00040593 mv a1,s0 + 8001a2b4: 00008537 lui a0,0x8 + 8001a2b8: 60c0c0ef jal ra,800268c4 <_Z13MMC3_CMDWritejh> + 8001a2bc: 01013403 ld s0,16(sp) + 8001a2c0: 01813083 ld ra,24(sp) + 8001a2c4: 00813483 ld s1,8(sp) + 8001a2c8: 001b2517 auipc a0,0x1b2 + 8001a2cc: f9454503 lbu a0,-108(a0) # 801cc25c + 8001a2d0: 02010113 addi sp,sp,32 + 8001a2d4: 3990b06f j 80025e6c <_Z10FixMMC3PRGi> + 8001a2d8: 00559793 slli a5,a1,0x5 + 8001a2dc: 00359713 slli a4,a1,0x3 + 8001a2e0: 01077713 andi a4,a4,16 + 8001a2e4: 0207f793 andi a5,a5,32 + 8001a2e8: 00159693 slli a3,a1,0x1 + 8001a2ec: 00e7e7b3 or a5,a5,a4 + 8001a2f0: 0086f693 andi a3,a3,8 + 8001a2f4: 0015d713 srli a4,a1,0x1 + 8001a2f8: 00d7e7b3 or a5,a5,a3 + 8001a2fc: 00477713 andi a4,a4,4 + 8001a300: 0035d693 srli a3,a1,0x3 + 8001a304: 001b2617 auipc a2,0x1b2 + 8001a308: f4c60613 addi a2,a2,-180 # 801cc250 + 8001a30c: 00e7e7b3 or a5,a5,a4 + 8001a310: 0026f693 andi a3,a3,2 + 8001a314: 0055d713 srli a4,a1,0x5 + 8001a318: 00d7e7b3 or a5,a5,a3 + 8001a31c: 00177713 andi a4,a4,1 + 8001a320: 00764683 lbu a3,7(a2) + 8001a324: 00e7e7b3 or a5,a5,a4 + 8001a328: 00f60323 sb a5,6(a2) + 8001a32c: 00050493 mv s1,a0 + 8001a330: 00068863 beqz a3,8001a340 <_ZL9M121Writejh+0xe8> + 8001a334: 00048513 mv a0,s1 + 8001a338: 00040593 mv a1,s0 + 8001a33c: f7dff06f j 8001a2b8 <_ZL9M121Writejh+0x60> + 8001a340: de9ff0ef jal ra,8001a128 <_ZL4Syncv> + 8001a344: ff1ff06f j 8001a334 <_ZL9M121Writejh+0xdc> + +000000008001a348 <_ZL11M121LoWritejh>: + 8001a348: 0035f713 andi a4,a1,3 + 8001a34c: 0002b797 auipc a5,0x2b + 8001a350: 31c78793 addi a5,a5,796 # 80045668 <_ZL10prot_array> + 8001a354: 00e787b3 add a5,a5,a4 + 8001a358: 0007c683 lbu a3,0(a5) + 8001a35c: 000057b7 lui a5,0x5 + 8001a360: 001b2717 auipc a4,0x1b2 + 8001a364: ef070713 addi a4,a4,-272 # 801cc250 + 8001a368: 18078793 addi a5,a5,384 # 5180 <_entry_offset+0x5180> + 8001a36c: 00d70223 sb a3,4(a4) + 8001a370: 00f57533 and a0,a0,a5 + 8001a374: 00f50463 beq a0,a5,8001a37c <_ZL11M121LoWritejh+0x34> + 8001a378: 00008067 ret + 8001a37c: ff010113 addi sp,sp,-16 + 8001a380: 00813023 sd s0,0(sp) + 8001a384: 001b2417 auipc s0,0x1b2 + 8001a388: ed840413 addi s0,s0,-296 # 801cc25c + 8001a38c: 00044503 lbu a0,0(s0) + 8001a390: 00113423 sd ra,8(sp) + 8001a394: 00b701a3 sb a1,3(a4) + 8001a398: 2d50b0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001a39c: 00044503 lbu a0,0(s0) + 8001a3a0: 00013403 ld s0,0(sp) + 8001a3a4: 00813083 ld ra,8(sp) + 8001a3a8: 01010113 addi sp,sp,16 + 8001a3ac: 47d0b06f j 80026028 <_Z10FixMMC3CHRi> + +000000008001a3b0 <_ZL6M121CWjh>: + 8001a3b0: 000a9697 auipc a3,0xa9 + 8001a3b4: 8106a683 lw a3,-2032(a3) # 800c2bc0 + 8001a3b8: 000a8717 auipc a4,0xa8 + 8001a3bc: 36872703 lw a4,872(a4) # 800c2720 + 8001a3c0: 02e68463 beq a3,a4,8001a3e8 <_ZL6M121CWjh+0x38> + 8001a3c4: 001b2717 auipc a4,0x1b2 + 8001a3c8: e9874703 lbu a4,-360(a4) # 801cc25c + 8001a3cc: 0057171b slliw a4,a4,0x5 + 8001a3d0: 00e547b3 xor a5,a0,a4 + 8001a3d4: 03379713 slli a4,a5,0x33 + 8001a3d8: 00074663 bltz a4,8001a3e4 <_ZL6M121CWjh+0x34> + 8001a3dc: 1005e593 ori a1,a1,256 + 8001a3e0: ac5f606f j 80010ea4 <_Z7setchr1jj> + 8001a3e4: ac1f606f j 80010ea4 <_Z7setchr1jj> + 8001a3e8: 001b2797 auipc a5,0x1b2 + 8001a3ec: e6b7c783 lbu a5,-405(a5) # 801cc253 + 8001a3f0: 0017979b slliw a5,a5,0x1 + 8001a3f4: 1007f793 andi a5,a5,256 + 8001a3f8: 00b7e5b3 or a1,a5,a1 + 8001a3fc: aa9f606f j 80010ea4 <_Z7setchr1jj> + +000000008001a400 <_ZL6M121PWjh>: + 8001a400: ff010113 addi sp,sp,-16 + 8001a404: 00813023 sd s0,0(sp) + 8001a408: 001b2417 auipc s0,0x1b2 + 8001a40c: e4840413 addi s0,s0,-440 # 801cc250 + 8001a410: 00344783 lbu a5,3(s0) + 8001a414: 00544703 lbu a4,5(s0) + 8001a418: 01f5f593 andi a1,a1,31 + 8001a41c: 4027d79b sraiw a5,a5,0x2 + 8001a420: 0207f793 andi a5,a5,32 + 8001a424: 00113423 sd ra,8(sp) + 8001a428: 03f77713 andi a4,a4,63 + 8001a42c: 00f5e5b3 or a1,a1,a5 + 8001a430: 04070c63 beqz a4,8001a488 <_ZL6M121PWjh+0x88> + 8001a434: e68f60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a438: 00344783 lbu a5,3(s0) + 8001a43c: 00044583 lbu a1,0(s0) + 8001a440: 0000e537 lui a0,0xe + 8001a444: 4027d79b sraiw a5,a5,0x2 + 8001a448: 0207f793 andi a5,a5,32 + 8001a44c: 00b7e5b3 or a1,a5,a1 + 8001a450: e4cf60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a454: 00344783 lbu a5,3(s0) + 8001a458: 00144583 lbu a1,1(s0) + 8001a45c: 0000c537 lui a0,0xc + 8001a460: 4027d79b sraiw a5,a5,0x2 + 8001a464: 0207f793 andi a5,a5,32 + 8001a468: 00b7e5b3 or a1,a5,a1 + 8001a46c: e30f60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a470: 00344783 lbu a5,3(s0) + 8001a474: 00244583 lbu a1,2(s0) + 8001a478: 0000a537 lui a0,0xa + 8001a47c: 4027d79b sraiw a5,a5,0x2 + 8001a480: 0207f793 andi a5,a5,32 + 8001a484: 00b7e5b3 or a1,a5,a1 + 8001a488: 00013403 ld s0,0(sp) + 8001a48c: 00813083 ld ra,8(sp) + 8001a490: 01010113 addi sp,sp,16 + 8001a494: e08f606f j 80010a9c <_Z7setprg8jj> + +000000008001a498 <_Z14Mapper121_InitP8CartInfo>: + 8001a498: ff010113 addi sp,sp,-16 + 8001a49c: 00000713 li a4,0 + 8001a4a0: 00800693 li a3,8 + 8001a4a4: 10000613 li a2,256 + 8001a4a8: 08000593 li a1,128 + 8001a4ac: 00813023 sd s0,0(sp) + 8001a4b0: 00113423 sd ra,8(sp) + 8001a4b4: 00050413 mv s0,a0 + 8001a4b8: 3610c0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8001a4bc: 00000797 auipc a5,0x0 + 8001a4c0: f4478793 addi a5,a5,-188 # 8001a400 <_ZL6M121PWjh> + 8001a4c4: 001b2717 auipc a4,0x1b2 + 8001a4c8: dcf73a23 sd a5,-556(a4) # 801cc298 + 8001a4cc: 00000797 auipc a5,0x0 + 8001a4d0: ee478793 addi a5,a5,-284 # 8001a3b0 <_ZL6M121CWjh> + 8001a4d4: 001b2717 auipc a4,0x1b2 + 8001a4d8: daf73623 sd a5,-596(a4) # 801cc280 + 8001a4dc: 00000797 auipc a5,0x0 + 8001a4e0: d0878793 addi a5,a5,-760 # 8001a1e4 <_ZL9M121Powerv> + 8001a4e4: 00f43023 sd a5,0(s0) + 8001a4e8: 00013403 ld s0,0(sp) + 8001a4ec: 00813083 ld ra,8(sp) + 8001a4f0: 0002b697 auipc a3,0x2b + 8001a4f4: 00068693 mv a3,a3 + 8001a4f8: 00000613 li a2,0 + 8001a4fc: 00800593 li a1,8 + 8001a500: 001b2517 auipc a0,0x1b2 + 8001a504: d5050513 addi a0,a0,-688 # 801cc250 + 8001a508: 01010113 addi sp,sp,16 + 8001a50c: b05e506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001a510 <_ZL8QTAiReadj>: + 8001a510: 000ad617 auipc a2,0xad + 8001a514: be060613 addi a2,a2,-1056 # 800c70f0 <_ZL4regs> + 8001a518: 00c64803 lbu a6,12(a2) + 8001a51c: 00d64583 lbu a1,13(a2) + 8001a520: 00b64603 lbu a2,11(a2) + 8001a524: 4058579b sraiw a5,a6,0x5 + 8001a528: 0037f793 andi a5,a5,3 + 8001a52c: 00379713 slli a4,a5,0x3 + 8001a530: 4045d69b sraiw a3,a1,0x4 + 8001a534: 0002b797 auipc a5,0x2b + 8001a538: 15478793 addi a5,a5,340 # 80045688 <_ZL8conv_tbl> + 8001a53c: 0076f693 andi a3,a3,7 + 8001a540: 00e787b3 add a5,a5,a4 + 8001a544: 00d787b3 add a5,a5,a3 + 8001a548: 0007c683 lbu a3,0(a5) + 8001a54c: 00561713 slli a4,a2,0x5 + 8001a550: 4015d79b sraiw a5,a1,0x1 + 8001a554: f8077713 andi a4,a4,-128 + 8001a558: 0077f793 andi a5,a5,7 + 8001a55c: 00e7e7b3 or a5,a5,a4 + 8001a560: 03f6f713 andi a4,a3,63 + 8001a564: 00e7e7b3 or a5,a5,a4 + 8001a568: 0406f893 andi a7,a3,64 + 8001a56c: 00050713 mv a4,a0 + 8001a570: 0ff7f793 andi a5,a5,255 + 8001a574: 02088463 beqz a7,8001a59c <_ZL8QTAiReadj+0x8c> + 8001a578: ffb7f793 andi a5,a5,-5 + 8001a57c: 0407e513 ori a0,a5,64 + 8001a580: 0000e6b7 lui a3,0xe + 8001a584: d0068793 addi a5,a3,-768 # dd00 <_entry_offset+0xdd00> + 8001a588: 00f70863 beq a4,a5,8001a598 <_ZL8QTAiReadj+0x88> + 8001a58c: c0068693 addi a3,a3,-1024 + 8001a590: 00000513 li a0,0 + 8001a594: 02d70063 beq a4,a3,8001a5b4 <_ZL8QTAiReadj+0xa4> + 8001a598: 00008067 ret + 8001a59c: 0186969b slliw a3,a3,0x18 + 8001a5a0: 4186d69b sraiw a3,a3,0x18 + 8001a5a4: 0447e513 ori a0,a5,68 + 8001a5a8: fc06cce3 bltz a3,8001a580 <_ZL8QTAiReadj+0x70> + 8001a5ac: 0407e513 ori a0,a5,64 + 8001a5b0: fd1ff06f j 8001a580 <_ZL8QTAiReadj+0x70> + 8001a5b4: 00367793 andi a5,a2,3 + 8001a5b8: 0075959b slliw a1,a1,0x7 + 8001a5bc: 0028181b slliw a6,a6,0x2 + 8001a5c0: 07c87513 andi a0,a6,124 + 8001a5c4: 00b7e7b3 or a5,a5,a1 + 8001a5c8: 00a7e7b3 or a5,a5,a0 + 8001a5cc: 0ff7f513 andi a0,a5,255 + 8001a5d0: 00008067 ret + +000000008001a5d4 <_ZL4Syncv>: + 8001a5d4: ff010113 addi sp,sp,-16 + 8001a5d8: 00813023 sd s0,0(sp) + 8001a5dc: 000ad417 auipc s0,0xad + 8001a5e0: b1440413 addi s0,s0,-1260 # 800c70f0 <_ZL4regs> + 8001a5e4: 00544603 lbu a2,5(s0) + 8001a5e8: 00000593 li a1,0 + 8001a5ec: 01000513 li a0,16 + 8001a5f0: 00167613 andi a2,a2,1 + 8001a5f4: 00113423 sd ra,8(sp) + 8001a5f8: 86df60ef jal ra,80010e64 <_Z8setchr4rijj> + 8001a5fc: 00100613 li a2,1 + 8001a600: 000015b7 lui a1,0x1 + 8001a604: 01000513 li a0,16 + 8001a608: 85df60ef jal ra,80010e64 <_Z8setchr4rijj> + 8001a60c: 00044783 lbu a5,0(s0) + 8001a610: 000065b7 lui a1,0x6 + 8001a614: 01000513 li a0,16 + 8001a618: 0017f613 andi a2,a5,1 + 8001a61c: 4027d79b sraiw a5,a5,0x2 + 8001a620: 00f66633 or a2,a2,a5 + 8001a624: 0006061b sext.w a2,a2 + 8001a628: 9b4f60ef jal ra,800107dc <_Z8setprg4rijj> + 8001a62c: 00144783 lbu a5,1(s0) + 8001a630: 000075b7 lui a1,0x7 + 8001a634: 01000513 li a0,16 + 8001a638: 0017f613 andi a2,a5,1 + 8001a63c: 4027d79b sraiw a5,a5,0x2 + 8001a640: 00f66633 or a2,a2,a5 + 8001a644: 0006061b sext.w a2,a2 + 8001a648: 994f60ef jal ra,800107dc <_Z8setprg4rijj> + 8001a64c: 000a8797 auipc a5,0xa8 + 8001a650: 45c7b783 ld a5,1116(a5) # 800c2aa8 + 8001a654: 06078e63 beqz a5,8001a6d0 <_ZL4Syncv+0xfc> + 8001a658: 00244603 lbu a2,2(s0) + 8001a65c: 000085b7 lui a1,0x8 + 8001a660: 4066551b sraiw a0,a2,0x6 + 8001a664: 00157513 andi a0,a0,1 + 8001a668: 03f67613 andi a2,a2,63 + 8001a66c: a7cf60ef jal ra,800108e8 <_Z8setprg8rijj> + 8001a670: 00344603 lbu a2,3(s0) + 8001a674: 0000a5b7 lui a1,0xa + 8001a678: 4066551b sraiw a0,a2,0x6 + 8001a67c: 00157513 andi a0,a0,1 + 8001a680: 03f67613 andi a2,a2,63 + 8001a684: a64f60ef jal ra,800108e8 <_Z8setprg8rijj> + 8001a688: 00444603 lbu a2,4(s0) + 8001a68c: 0000c5b7 lui a1,0xc + 8001a690: 4066551b sraiw a0,a2,0x6 + 8001a694: 00157513 andi a0,a0,1 + 8001a698: 03f67613 andi a2,a2,63 + 8001a69c: a4cf60ef jal ra,800108e8 <_Z8setprg8rijj> + 8001a6a0: fff00613 li a2,-1 + 8001a6a4: 0000e5b7 lui a1,0xe + 8001a6a8: 00100513 li a0,1 + 8001a6ac: a3cf60ef jal ra,800108e8 <_Z8setprg8rijj> + 8001a6b0: 00a44503 lbu a0,10(s0) + 8001a6b4: 00013403 ld s0,0(sp) + 8001a6b8: 00813083 ld ra,8(sp) + 8001a6bc: 00155513 srli a0,a0,0x1 + 8001a6c0: 00154513 xori a0,a0,1 + 8001a6c4: 00157513 andi a0,a0,1 + 8001a6c8: 01010113 addi sp,sp,16 + 8001a6cc: 97df606f j 80011048 <_Z9setmirrori> + 8001a6d0: 00244783 lbu a5,2(s0) + 8001a6d4: 00008537 lui a0,0x8 + 8001a6d8: 4027d59b sraiw a1,a5,0x2 + 8001a6dc: 0105f593 andi a1,a1,16 + 8001a6e0: 03f7f793 andi a5,a5,63 + 8001a6e4: 00b785bb addw a1,a5,a1 + 8001a6e8: bb4f60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a6ec: 00344783 lbu a5,3(s0) + 8001a6f0: 0000a537 lui a0,0xa + 8001a6f4: 4027d59b sraiw a1,a5,0x2 + 8001a6f8: 0105f593 andi a1,a1,16 + 8001a6fc: 03f7f793 andi a5,a5,63 + 8001a700: 00b785bb addw a1,a5,a1 + 8001a704: b98f60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a708: 00444783 lbu a5,4(s0) + 8001a70c: 0000c537 lui a0,0xc + 8001a710: 4027d59b sraiw a1,a5,0x2 + 8001a714: 0105f593 andi a1,a1,16 + 8001a718: 03f7f793 andi a5,a5,63 + 8001a71c: 00b785bb addw a1,a5,a1 + 8001a720: b7cf60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a724: 04f00593 li a1,79 + 8001a728: 0000e537 lui a0,0xe + 8001a72c: b70f60ef jal ra,80010a9c <_Z7setprg8jj> + 8001a730: f81ff06f j 8001a6b0 <_ZL4Syncv+0xdc> + +000000008001a734 <_ZL12StateRestorei>: + 8001a734: ea1ff06f j 8001a5d4 <_ZL4Syncv> + +000000008001a738 <_ZL9QTAiClosev>: + 8001a738: ff010113 addi sp,sp,-16 + 8001a73c: 00113423 sd ra,8(sp) + 8001a740: 001b2517 auipc a0,0x1b2 + 8001a744: 82053503 ld a0,-2016(a0) # 801cbf60 <_ZL6CHRRAM> + 8001a748: 00050463 beqz a0,8001a750 <_ZL9QTAiClosev+0x18> + 8001a74c: df5f70ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8001a750: 001b2797 auipc a5,0x1b2 + 8001a754: 8007b823 sd zero,-2032(a5) # 801cbf60 <_ZL6CHRRAM> + 8001a758: 001b1517 auipc a0,0x1b1 + 8001a75c: 7f853503 ld a0,2040(a0) # 801cbf50 <_ZL4WRAM> + 8001a760: 00050463 beqz a0,8001a768 <_ZL9QTAiClosev+0x30> + 8001a764: dddf70ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8001a768: 00813083 ld ra,8(sp) + 8001a76c: 001b1797 auipc a5,0x1b1 + 8001a770: 7e07b223 sd zero,2020(a5) # 801cbf50 <_ZL4WRAM> + 8001a774: 01010113 addi sp,sp,16 + 8001a778: 00008067 ret + +000000008001a77c <_ZL9QTAiPowerv>: + 8001a77c: ff010113 addi sp,sp,-16 + 8001a780: 00813023 sd s0,0(sp) + 8001a784: 00010437 lui s0,0x10 + 8001a788: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001a78c: ffff6617 auipc a2,0xffff6 + 8001a790: ed460613 addi a2,a2,-300 # 80010660 <_Z6CartBRj> + 8001a794: 00006537 lui a0,0x6 + 8001a798: 00113423 sd ra,8(sp) + 8001a79c: 280190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001a7a0: 000085b7 lui a1,0x8 + 8001a7a4: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8001a7a8: ffff6617 auipc a2,0xffff6 + 8001a7ac: ee460613 addi a2,a2,-284 # 8001068c <_Z6CartBWjh> + 8001a7b0: 00006537 lui a0,0x6 + 8001a7b4: 374190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001a7b8: fff40593 addi a1,s0,-1 + 8001a7bc: 00000617 auipc a2,0x0 + 8001a7c0: 06060613 addi a2,a2,96 # 8001a81c <_ZL9QTAiWritejh> + 8001a7c4: 00008537 lui a0,0x8 + 8001a7c8: 360190ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001a7cc: 0000e437 lui s0,0xe + 8001a7d0: c0040593 addi a1,s0,-1024 # dc00 <_entry_offset+0xdc00> + 8001a7d4: 00058513 mv a0,a1 + 8001a7d8: 00000617 auipc a2,0x0 + 8001a7dc: d3860613 addi a2,a2,-712 # 8001a510 <_ZL8QTAiReadj> + 8001a7e0: 23c190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001a7e4: d0040593 addi a1,s0,-768 + 8001a7e8: 00058513 mv a0,a1 + 8001a7ec: 00000617 auipc a2,0x0 + 8001a7f0: d2460613 addi a2,a2,-732 # 8001a510 <_ZL8QTAiReadj> + 8001a7f4: 228190ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001a7f8: 001b1617 auipc a2,0x1b1 + 8001a7fc: 75863603 ld a2,1880(a2) # 801cbf50 <_ZL4WRAM> + 8001a800: 000065b7 lui a1,0x6 + 8001a804: 01000513 li a0,16 + 8001a808: 80de50ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 8001a80c: 00013403 ld s0,0(sp) + 8001a810: 00813083 ld ra,8(sp) + 8001a814: 01010113 addi sp,sp,16 + 8001a818: dbdff06f j 8001a5d4 <_ZL4Syncv> + +000000008001a81c <_ZL9QTAiWritejh>: + 8001a81c: 0085579b srliw a5,a0,0x8 + 8001a820: 00f7f793 andi a5,a5,15 + 8001a824: ff010113 addi sp,sp,-16 + 8001a828: 000ad697 auipc a3,0xad + 8001a82c: 8c868693 addi a3,a3,-1848 # 800c70f0 <_ZL4regs> + 8001a830: 00f68733 add a4,a3,a5 + 8001a834: 00113423 sd ra,8(sp) + 8001a838: 0000e7b7 lui a5,0xe + 8001a83c: 00b70023 sb a1,0(a4) + 8001a840: 80078713 addi a4,a5,-2048 # d800 <_entry_offset+0xd800> + 8001a844: 06e50663 beq a0,a4,8001a8b0 <_ZL9QTAiWritejh+0x94> + 8001a848: 02a77863 bgeu a4,a0,8001a878 <_ZL9QTAiWritejh+0x5c> + 8001a84c: 90078713 addi a4,a5,-1792 + 8001a850: 08e50263 beq a0,a4,8001a8d4 <_ZL9QTAiWritejh+0xb8> + 8001a854: a0078793 addi a5,a5,-1536 + 8001a858: 00f51a63 bne a0,a5,8001a86c <_ZL9QTAiWritejh+0x50> + 8001a85c: 00a6c783 lbu a5,10(a3) + 8001a860: 0037f793 andi a5,a5,3 + 8001a864: 001b2717 auipc a4,0x1b2 + 8001a868: f2f70c23 sb a5,-200(a4) # 801cc79c + 8001a86c: 00813083 ld ra,8(sp) + 8001a870: 01010113 addi sp,sp,16 + 8001a874: d61ff06f j 8001a5d4 <_ZL4Syncv> + 8001a878: 0000d7b7 lui a5,0xd + 8001a87c: 60078713 addi a4,a5,1536 # d600 <_entry_offset+0xd600> + 8001a880: 08e50863 beq a0,a4,8001a910 <_ZL9QTAiWritejh+0xf4> + 8001a884: 70078793 addi a5,a5,1792 + 8001a888: fef512e3 bne a0,a5,8001a86c <_ZL9QTAiWritejh+0x50> + 8001a88c: 001b1717 auipc a4,0x1b1 + 8001a890: 6e070713 addi a4,a4,1760 # 801cbf6c <_ZL8IRQLatch> + 8001a894: 00074783 lbu a5,0(a4) + 8001a898: 0085959b slliw a1,a1,0x8 + 8001a89c: 00813083 ld ra,8(sp) + 8001a8a0: 00b7e5b3 or a1,a5,a1 + 8001a8a4: 00b72023 sw a1,0(a4) + 8001a8a8: 01010113 addi sp,sp,16 + 8001a8ac: d29ff06f j 8001a5d4 <_ZL4Syncv> + 8001a8b0: 001b1797 auipc a5,0x1b1 + 8001a8b4: 6a87c783 lbu a5,1704(a5) # 801cbf58 <_ZL5K4IRQ> + 8001a8b8: 00100513 li a0,1 + 8001a8bc: 001b1717 auipc a4,0x1b1 + 8001a8c0: 68f708a3 sb a5,1681(a4) # 801cbf4d <_ZL4IRQa> + 8001a8c4: c8ce60ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001a8c8: 00813083 ld ra,8(sp) + 8001a8cc: 01010113 addi sp,sp,16 + 8001a8d0: d05ff06f j 8001a5d4 <_ZL4Syncv> + 8001a8d4: 0025f793 andi a5,a1,2 + 8001a8d8: 001b1717 auipc a4,0x1b1 + 8001a8dc: 69472703 lw a4,1684(a4) # 801cbf6c <_ZL8IRQLatch> + 8001a8e0: 0015f593 andi a1,a1,1 + 8001a8e4: 00100513 li a0,1 + 8001a8e8: 001b1697 auipc a3,0x1b1 + 8001a8ec: 68e6a023 sw a4,1664(a3) # 801cbf68 <_ZL8IRQCount> + 8001a8f0: 001b1717 auipc a4,0x1b1 + 8001a8f4: 64f70ea3 sb a5,1629(a4) # 801cbf4d <_ZL4IRQa> + 8001a8f8: 001b1797 auipc a5,0x1b1 + 8001a8fc: 66b78023 sb a1,1632(a5) # 801cbf58 <_ZL5K4IRQ> + 8001a900: c50e60ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001a904: 00813083 ld ra,8(sp) + 8001a908: 01010113 addi sp,sp,16 + 8001a90c: cc9ff06f j 8001a5d4 <_ZL4Syncv> + 8001a910: 001b1697 auipc a3,0x1b1 + 8001a914: 65c68693 addi a3,a3,1628 # 801cbf6c <_ZL8IRQLatch> + 8001a918: 0006a783 lw a5,0(a3) + 8001a91c: 00010737 lui a4,0x10 + 8001a920: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8001a924: 00e7f7b3 and a5,a5,a4 + 8001a928: 00813083 ld ra,8(sp) + 8001a92c: 00b7e5b3 or a1,a5,a1 + 8001a930: 00b6a023 sw a1,0(a3) + 8001a934: 01010113 addi sp,sp,16 + 8001a938: c9dff06f j 8001a5d4 <_ZL4Syncv> + +000000008001a93c <_ZL7VRC5IRQi>: + 8001a93c: 001b1797 auipc a5,0x1b1 + 8001a940: 6117c783 lbu a5,1553(a5) # 801cbf4d <_ZL4IRQa> + 8001a944: 02078e63 beqz a5,8001a980 <_ZL7VRC5IRQi+0x44> + 8001a948: ff010113 addi sp,sp,-16 + 8001a94c: 00813023 sd s0,0(sp) + 8001a950: 001b1417 auipc s0,0x1b1 + 8001a954: 61840413 addi s0,s0,1560 # 801cbf68 <_ZL8IRQCount> + 8001a958: 00042783 lw a5,0(s0) + 8001a95c: 00113423 sd ra,8(sp) + 8001a960: 00a7853b addw a0,a5,a0 + 8001a964: 00a42023 sw a0,0(s0) + 8001a968: 02f51793 slli a5,a0,0x2f + 8001a96c: 0007cc63 bltz a5,8001a984 <_ZL7VRC5IRQi+0x48> + 8001a970: 00813083 ld ra,8(sp) + 8001a974: 00013403 ld s0,0(sp) + 8001a978: 01010113 addi sp,sp,16 + 8001a97c: 00008067 ret + 8001a980: 00008067 ret + 8001a984: 00100513 li a0,1 + 8001a988: bb0e60ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8001a98c: 001b1797 auipc a5,0x1b1 + 8001a990: 5e07a783 lw a5,1504(a5) # 801cbf6c <_ZL8IRQLatch> + 8001a994: 00813083 ld ra,8(sp) + 8001a998: 00f42023 sw a5,0(s0) + 8001a99c: 00013403 ld s0,0(sp) + 8001a9a0: 01010113 addi sp,sp,16 + 8001a9a4: 00008067 ret + +000000008001a9a8 <_Z9QTAi_InitP8CartInfo>: + 8001a9a8: fe010113 addi sp,sp,-32 + 8001a9ac: 00100793 li a5,1 + 8001a9b0: 00113c23 sd ra,24(sp) + 8001a9b4: 00813823 sd s0,16(sp) + 8001a9b8: 00913423 sd s1,8(sp) + 8001a9bc: 001b2717 auipc a4,0x1b2 + 8001a9c0: d4f72a23 sw a5,-684(a4) # 801cc710 + 8001a9c4: 00000797 auipc a5,0x0 + 8001a9c8: db878793 addi a5,a5,-584 # 8001a77c <_ZL9QTAiPowerv> + 8001a9cc: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001a9d0: 00000797 auipc a5,0x0 + 8001a9d4: d6878793 addi a5,a5,-664 # 8001a738 <_ZL9QTAiClosev> + 8001a9d8: 00f53823 sd a5,16(a0) + 8001a9dc: 00000797 auipc a5,0x0 + 8001a9e0: d5878793 addi a5,a5,-680 # 8001a734 <_ZL12StateRestorei> + 8001a9e4: 00050413 mv s0,a0 + 8001a9e8: 001b2717 auipc a4,0x1b2 + 8001a9ec: c6f73823 sd a5,-912(a4) # 801cc658 + 8001a9f0: 00002537 lui a0,0x2 + 8001a9f4: 00000797 auipc a5,0x0 + 8001a9f8: f4878793 addi a5,a5,-184 # 8001a93c <_ZL7VRC5IRQi> + 8001a9fc: 001b1717 auipc a4,0x1b1 + 8001aa00: 14f73223 sd a5,324(a4) # 801cbb40 + 8001aa04: a69f70ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001aa08: 00050593 mv a1,a0 + 8001aa0c: 001b1497 auipc s1,0x1b1 + 8001aa10: 55448493 addi s1,s1,1364 # 801cbf60 <_ZL6CHRRAM> + 8001aa14: 00100693 li a3,1 + 8001aa18: 00002637 lui a2,0x2 + 8001aa1c: 01000513 li a0,16 + 8001aa20: 00b4b023 sd a1,0(s1) + 8001aa24: ba1f50ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8001aa28: 0004b503 ld a0,0(s1) + 8001aa2c: 0002b697 auipc a3,0x2b + 8001aa30: c4c68693 addi a3,a3,-948 # 80045678 <_ZL10prot_array+0x10> + 8001aa34: 00000613 li a2,0 + 8001aa38: 000025b7 lui a1,0x2 + 8001aa3c: dd4e50ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001aa40: 00004537 lui a0,0x4 + 8001aa44: a29f70ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001aa48: 00050593 mv a1,a0 + 8001aa4c: 001b1497 auipc s1,0x1b1 + 8001aa50: 50448493 addi s1,s1,1284 # 801cbf50 <_ZL4WRAM> + 8001aa54: 00100693 li a3,1 + 8001aa58: 00004637 lui a2,0x4 + 8001aa5c: 01000513 li a0,16 + 8001aa60: 00b4b023 sd a1,0(s1) + 8001aa64: a91f50ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8001aa68: 0004b503 ld a0,0(s1) + 8001aa6c: 0002b697 auipc a3,0x2b + 8001aa70: 8bc68693 addi a3,a3,-1860 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8001aa74: 00000613 li a2,0 + 8001aa78: 000045b7 lui a1,0x4 + 8001aa7c: d94e50ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001aa80: 04c42783 lw a5,76(s0) + 8001aa84: 00078a63 beqz a5,8001aa98 <_Z9QTAi_InitP8CartInfo+0xf0> + 8001aa88: 0004b783 ld a5,0(s1) + 8001aa8c: 00004737 lui a4,0x4 + 8001aa90: 02e42c23 sw a4,56(s0) + 8001aa94: 00f43c23 sd a5,24(s0) + 8001aa98: 01013403 ld s0,16(sp) + 8001aa9c: 01813083 ld ra,24(sp) + 8001aaa0: 00813483 ld s1,8(sp) + 8001aaa4: 00000693 li a3,0 + 8001aaa8: 00000613 li a2,0 + 8001aaac: fff00593 li a1,-1 + 8001aab0: 00030517 auipc a0,0x30 + 8001aab4: 31850513 addi a0,a0,792 # 8004adc8 <_ZL9StateRegs> + 8001aab8: 02010113 addi sp,sp,32 + 8001aabc: d54e506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001aac0 <_ZL8M40Resetv>: + 8001aac0: 00008067 ret + +000000008001aac4 <_ZL4Syncv>: + 8001aac4: ff010113 addi sp,sp,-16 + 8001aac8: ffe00593 li a1,-2 + 8001aacc: 00006537 lui a0,0x6 + 8001aad0: 00113423 sd ra,8(sp) + 8001aad4: fc9f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001aad8: ffc00593 li a1,-4 + 8001aadc: 00008537 lui a0,0x8 + 8001aae0: fbdf50ef jal ra,80010a9c <_Z7setprg8jj> + 8001aae4: ffd00593 li a1,-3 + 8001aae8: 0000a537 lui a0,0xa + 8001aaec: fb1f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001aaf0: 001b1597 auipc a1,0x1b1 + 8001aaf4: 4805c583 lbu a1,1152(a1) # 801cbf70 <_ZL3reg> + 8001aaf8: 0000c537 lui a0,0xc + 8001aafc: fa1f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001ab00: 0000e537 lui a0,0xe + 8001ab04: fff00593 li a1,-1 + 8001ab08: f95f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001ab0c: 00813083 ld ra,8(sp) + 8001ab10: 00000513 li a0,0 + 8001ab14: 01010113 addi sp,sp,16 + 8001ab18: becf606f j 80010f04 <_Z7setchr8j> + +000000008001ab1c <_ZL12StateRestorei>: + 8001ab1c: fa9ff06f j 8001aac4 <_ZL4Syncv> + +000000008001ab20 <_ZL8M40Powerv>: + 8001ab20: ff010113 addi sp,sp,-16 + 8001ab24: 00113423 sd ra,8(sp) + 8001ab28: 00813023 sd s0,0(sp) + 8001ab2c: 001b1797 auipc a5,0x1b1 + 8001ab30: 44078223 sb zero,1092(a5) # 801cbf70 <_ZL3reg> + 8001ab34: 00010437 lui s0,0x10 + 8001ab38: f8dff0ef jal ra,8001aac4 <_ZL4Syncv> + 8001ab3c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001ab40: ffff6617 auipc a2,0xffff6 + 8001ab44: b2060613 addi a2,a2,-1248 # 80010660 <_Z6CartBRj> + 8001ab48: 00006537 lui a0,0x6 + 8001ab4c: 6d1180ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ab50: fff40593 addi a1,s0,-1 + 8001ab54: 00013403 ld s0,0(sp) + 8001ab58: 00813083 ld ra,8(sp) + 8001ab5c: 00000617 auipc a2,0x0 + 8001ab60: 05460613 addi a2,a2,84 # 8001abb0 <_ZL8M40Writejh> + 8001ab64: 00008537 lui a0,0x8 + 8001ab68: 01010113 addi sp,sp,16 + 8001ab6c: 7bd1806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001ab70 <_ZL10M40IRQHooki>: + 8001ab70: 001b1797 auipc a5,0x1b1 + 8001ab74: 4047a783 lw a5,1028(a5) # 801cbf74 <_ZL4IRQa> + 8001ab78: 02078263 beqz a5,8001ab9c <_ZL10M40IRQHooki+0x2c> + 8001ab7c: 001b1797 auipc a5,0x1b1 + 8001ab80: 3fc78793 addi a5,a5,1020 # 801cbf78 <_ZL8IRQCount> + 8001ab84: 0007a703 lw a4,0(a5) + 8001ab88: 000016b7 lui a3,0x1 + 8001ab8c: 00d77a63 bgeu a4,a3,8001aba0 <_ZL10M40IRQHooki+0x30> + 8001ab90: 00e5053b addw a0,a0,a4 + 8001ab94: 00a7a023 sw a0,0(a5) + 8001ab98: 00008067 ret + 8001ab9c: 00008067 ret + 8001aba0: 00100513 li a0,1 + 8001aba4: 001b1797 auipc a5,0x1b1 + 8001aba8: 3c07a823 sw zero,976(a5) # 801cbf74 <_ZL4IRQa> + 8001abac: 98ce606f j 80000d38 <_Z14X6502_IRQBegini> + +000000008001abb0 <_ZL8M40Writejh>: + 8001abb0: 0000e7b7 lui a5,0xe + 8001abb4: 00f57533 and a0,a0,a5 + 8001abb8: 0000a7b7 lui a5,0xa + 8001abbc: 00f50c63 beq a0,a5,8001abd4 <_ZL8M40Writejh+0x24> + 8001abc0: 0000e7b7 lui a5,0xe + 8001abc4: 02f50c63 beq a0,a5,8001abfc <_ZL8M40Writejh+0x4c> + 8001abc8: 000087b7 lui a5,0x8 + 8001abcc: 00f50c63 beq a0,a5,8001abe4 <_ZL8M40Writejh+0x34> + 8001abd0: 00008067 ret + 8001abd4: 00100793 li a5,1 + 8001abd8: 001b1717 auipc a4,0x1b1 + 8001abdc: 38f72e23 sw a5,924(a4) # 801cbf74 <_ZL4IRQa> + 8001abe0: 00008067 ret + 8001abe4: 00100513 li a0,1 + 8001abe8: 001b1797 auipc a5,0x1b1 + 8001abec: 3807a623 sw zero,908(a5) # 801cbf74 <_ZL4IRQa> + 8001abf0: 001b1797 auipc a5,0x1b1 + 8001abf4: 3807a423 sw zero,904(a5) # 801cbf78 <_ZL8IRQCount> + 8001abf8: 958e606f j 80000d50 <_Z12X6502_IRQEndi> + 8001abfc: 0075f593 andi a1,a1,7 + 8001ac00: 001b1797 auipc a5,0x1b1 + 8001ac04: 36b78823 sb a1,880(a5) # 801cbf70 <_ZL3reg> + 8001ac08: ebdff06f j 8001aac4 <_ZL4Syncv> + +000000008001ac0c <_Z13Mapper40_InitP8CartInfo>: + 8001ac0c: 00000797 auipc a5,0x0 + 8001ac10: f1478793 addi a5,a5,-236 # 8001ab20 <_ZL8M40Powerv> + 8001ac14: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001ac18: 00000797 auipc a5,0x0 + 8001ac1c: ea878793 addi a5,a5,-344 # 8001aac0 <_ZL8M40Resetv> + 8001ac20: 00f53423 sd a5,8(a0) + 8001ac24: 00000797 auipc a5,0x0 + 8001ac28: f4c78793 addi a5,a5,-180 # 8001ab70 <_ZL10M40IRQHooki> + 8001ac2c: 001b1717 auipc a4,0x1b1 + 8001ac30: f0f73a23 sd a5,-236(a4) # 801cbb40 + 8001ac34: 00000797 auipc a5,0x0 + 8001ac38: ee878793 addi a5,a5,-280 # 8001ab1c <_ZL12StateRestorei> + 8001ac3c: 00000693 li a3,0 + 8001ac40: 00000613 li a2,0 + 8001ac44: fff00593 li a1,-1 + 8001ac48: 00030517 auipc a0,0x30 + 8001ac4c: 21050513 addi a0,a0,528 # 8004ae58 <_ZL9StateRegs> + 8001ac50: 001b2717 auipc a4,0x1b2 + 8001ac54: a0f73423 sd a5,-1528(a4) # 801cc658 + 8001ac58: bb8e506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001ac5c <_ZL4Syncv>: + 8001ac5c: ff010113 addi sp,sp,-16 + 8001ac60: 00000593 li a1,0 + 8001ac64: 00008537 lui a0,0x8 + 8001ac68: 00813023 sd s0,0(sp) + 8001ac6c: 00113423 sd ra,8(sp) + 8001ac70: 9a4f60ef jal ra,80010e14 <_Z8setprg32jj> + 8001ac74: 000a8717 auipc a4,0xa8 + 8001ac78: aac72703 lw a4,-1364(a4) # 800c2720 + 8001ac7c: 000027b7 lui a5,0x2 + 8001ac80: 001b1417 auipc s0,0x1b1 + 8001ac84: 2fc40413 addi s0,s0,764 # 801cbf7c <_ZL6latche> + 8001ac88: 02f70663 beq a4,a5,8001acb4 <_ZL4Syncv+0x58> + 8001ac8c: 00045503 lhu a0,0(s0) + 8001ac90: 00157513 andi a0,a0,1 + 8001ac94: a70f60ef jal ra,80010f04 <_Z7setchr8j> + 8001ac98: 00045503 lhu a0,0(s0) + 8001ac9c: 00013403 ld s0,0(sp) + 8001aca0: 00813083 ld ra,8(sp) + 8001aca4: 00157513 andi a0,a0,1 + 8001aca8: 0025051b addiw a0,a0,2 + 8001acac: 01010113 addi sp,sp,16 + 8001acb0: b98f606f j 80011048 <_Z9setmirrori> + 8001acb4: 00045583 lhu a1,0(s0) + 8001acb8: 00000513 li a0,0 + 8001acbc: 0015f593 andi a1,a1,1 + 8001acc0: a24f60ef jal ra,80010ee4 <_Z7setchr4jj> + 8001acc4: 00045583 lhu a1,0(s0) + 8001acc8: 00001537 lui a0,0x1 + 8001accc: 0015f593 andi a1,a1,1 + 8001acd0: a14f60ef jal ra,80010ee4 <_Z7setchr4jj> + 8001acd4: 00045503 lhu a0,0(s0) + 8001acd8: 00013403 ld s0,0(sp) + 8001acdc: 00813083 ld ra,8(sp) + 8001ace0: 00157513 andi a0,a0,1 + 8001ace4: 0025051b addiw a0,a0,2 + 8001ace8: 01010113 addi sp,sp,16 + 8001acec: b5cf606f j 80011048 <_Z9setmirrori> + +000000008001acf0 <_ZL12StateRestorei>: + 8001acf0: f6dff06f j 8001ac5c <_ZL4Syncv> + +000000008001acf4 <_ZL13UNLCC21Write2jh>: + 8001acf4: 001b1797 auipc a5,0x1b1 + 8001acf8: 28b79423 sh a1,648(a5) # 801cbf7c <_ZL6latche> + 8001acfc: f61ff06f j 8001ac5c <_ZL4Syncv> + +000000008001ad00 <_ZL13UNLCC21Write1jh>: + 8001ad00: 001b1797 auipc a5,0x1b1 + 8001ad04: 26a79e23 sh a0,636(a5) # 801cbf7c <_ZL6latche> + 8001ad08: f55ff06f j 8001ac5c <_ZL4Syncv> + +000000008001ad0c <_ZL12UNLCC21Powerv>: + 8001ad0c: ff010113 addi sp,sp,-16 + 8001ad10: 00113423 sd ra,8(sp) + 8001ad14: 00813023 sd s0,0(sp) + 8001ad18: 001b1797 auipc a5,0x1b1 + 8001ad1c: 26079223 sh zero,612(a5) # 801cbf7c <_ZL6latche> + 8001ad20: 00010437 lui s0,0x10 + 8001ad24: f39ff0ef jal ra,8001ac5c <_ZL4Syncv> + 8001ad28: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001ad2c: ffff6617 auipc a2,0xffff6 + 8001ad30: 93460613 addi a2,a2,-1740 # 80010660 <_Z6CartBRj> + 8001ad34: 00008537 lui a0,0x8 + 8001ad38: 4e5180ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ad3c: 00008537 lui a0,0x8 + 8001ad40: fff40593 addi a1,s0,-1 + 8001ad44: 00000617 auipc a2,0x0 + 8001ad48: fbc60613 addi a2,a2,-68 # 8001ad00 <_ZL13UNLCC21Write1jh> + 8001ad4c: 00150513 addi a0,a0,1 # 8001 <_entry_offset+0x8001> + 8001ad50: 5d9180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ad54: 00013403 ld s0,0(sp) + 8001ad58: 00813083 ld ra,8(sp) + 8001ad5c: 00000617 auipc a2,0x0 + 8001ad60: f9860613 addi a2,a2,-104 # 8001acf4 <_ZL13UNLCC21Write2jh> + 8001ad64: 000085b7 lui a1,0x8 + 8001ad68: 00008537 lui a0,0x8 + 8001ad6c: 01010113 addi sp,sp,16 + 8001ad70: 5b91806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001ad74 <_Z12UNLCC21_InitP8CartInfo>: + 8001ad74: 00000797 auipc a5,0x0 + 8001ad78: f9878793 addi a5,a5,-104 # 8001ad0c <_ZL12UNLCC21Powerv> + 8001ad7c: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001ad80: 00000797 auipc a5,0x0 + 8001ad84: f7078793 addi a5,a5,-144 # 8001acf0 <_ZL12StateRestorei> + 8001ad88: 00000693 li a3,0 + 8001ad8c: 00000613 li a2,0 + 8001ad90: fff00593 li a1,-1 + 8001ad94: 00030517 auipc a0,0x30 + 8001ad98: 12450513 addi a0,a0,292 # 8004aeb8 <_ZL9StateRegs> + 8001ad9c: 001b2717 auipc a4,0x1b2 + 8001ada0: 8af73e23 sd a5,-1860(a4) # 801cc658 + 8001ada4: a6ce506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001ada8 <_ZL9M199Closev>: + 8001ada8: 001b1517 auipc a0,0x1b1 + 8001adac: 1e053503 ld a0,480(a0) # 801cbf88 <_ZL6CHRRAM> + 8001adb0: 02050263 beqz a0,8001add4 <_ZL9M199Closev+0x2c> + 8001adb4: ff010113 addi sp,sp,-16 + 8001adb8: 00113423 sd ra,8(sp) + 8001adbc: f84f70ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8001adc0: 00813083 ld ra,8(sp) + 8001adc4: 001b1797 auipc a5,0x1b1 + 8001adc8: 1c07b223 sd zero,452(a5) # 801cbf88 <_ZL6CHRRAM> + 8001adcc: 01010113 addi sp,sp,16 + 8001add0: 00008067 ret + 8001add4: 001b1797 auipc a5,0x1b1 + 8001add8: 1a07ba23 sd zero,436(a5) # 801cbf88 <_ZL6CHRRAM> + 8001addc: 00008067 ret + +000000008001ade0 <_ZL9M199Powerv>: + 8001ade0: ff010113 addi sp,sp,-16 + 8001ade4: 00113423 sd ra,8(sp) + 8001ade8: 001b1797 auipc a5,0x1b1 + 8001adec: 46878793 addi a5,a5,1128 # 801cc250 + 8001adf0: ffe00713 li a4,-2 + 8001adf4: 00e78023 sb a4,0(a5) + 8001adf8: fff00713 li a4,-1 + 8001adfc: 00e780a3 sb a4,1(a5) + 8001ae00: 00100713 li a4,1 + 8001ae04: 00e78123 sb a4,2(a5) + 8001ae08: 00300713 li a4,3 + 8001ae0c: 00e781a3 sb a4,3(a5) + 8001ae10: 3b00b0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8001ae14: 00813083 ld ra,8(sp) + 8001ae18: 000105b7 lui a1,0x10 + 8001ae1c: 00000617 auipc a2,0x0 + 8001ae20: 01860613 addi a2,a2,24 # 8001ae34 <_ZL9M199Writejh> + 8001ae24: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001ae28: 00008537 lui a0,0x8 + 8001ae2c: 01010113 addi sp,sp,16 + 8001ae30: 4f91806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001ae34 <_ZL9M199Writejh>: + 8001ae34: 00008737 lui a4,0x8 + 8001ae38: 00170713 addi a4,a4,1 # 8001 <_entry_offset+0x8001> + 8001ae3c: 00050793 mv a5,a0 + 8001ae40: 00e50a63 beq a0,a4,8001ae54 <_ZL9M199Writejh+0x20> + 8001ae44: 0000c737 lui a4,0xc + 8001ae48: 00e56463 bltu a0,a4,8001ae50 <_ZL9M199Writejh+0x1c> + 8001ae4c: 4490a06f j 80025a94 <_Z13MMC3_IRQWritejh> + 8001ae50: 2750b06f j 800268c4 <_Z13MMC3_CMDWritejh> + 8001ae54: ff010113 addi sp,sp,-16 + 8001ae58: 00813023 sd s0,0(sp) + 8001ae5c: 001b1417 auipc s0,0x1b1 + 8001ae60: 40040413 addi s0,s0,1024 # 801cc25c + 8001ae64: 00044503 lbu a0,0(s0) + 8001ae68: 00113423 sd ra,8(sp) + 8001ae6c: 00857713 andi a4,a0,8 + 8001ae70: 00071c63 bnez a4,8001ae88 <_ZL9M199Writejh+0x54> + 8001ae74: 00013403 ld s0,0(sp) + 8001ae78: 00813083 ld ra,8(sp) + 8001ae7c: 00078513 mv a0,a5 + 8001ae80: 01010113 addi sp,sp,16 + 8001ae84: 2410b06f j 800268c4 <_Z13MMC3_CMDWritejh> + 8001ae88: 00357713 andi a4,a0,3 + 8001ae8c: 001b1797 auipc a5,0x1b1 + 8001ae90: 3c478793 addi a5,a5,964 # 801cc250 + 8001ae94: 00e787b3 add a5,a5,a4 + 8001ae98: 00b78023 sb a1,0(a5) + 8001ae9c: 7d10a0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001aea0: 00044503 lbu a0,0(s0) + 8001aea4: 00013403 ld s0,0(sp) + 8001aea8: 00813083 ld ra,8(sp) + 8001aeac: 01010113 addi sp,sp,16 + 8001aeb0: 1780b06f j 80026028 <_Z10FixMMC3CHRi> + +000000008001aeb4 <_ZL6M199MWh>: + 8001aeb4: 00357513 andi a0,a0,3 + 8001aeb8: 00200793 li a5,2 + 8001aebc: 00f50e63 beq a0,a5,8001aed8 <_ZL6M199MWh+0x24> + 8001aec0: 00300793 li a5,3 + 8001aec4: 02f50263 beq a0,a5,8001aee8 <_ZL6M199MWh+0x34> + 8001aec8: 00100793 li a5,1 + 8001aecc: 00f50a63 beq a0,a5,8001aee0 <_ZL6M199MWh+0x2c> + 8001aed0: 00100513 li a0,1 + 8001aed4: 974f606f j 80011048 <_Z9setmirrori> + 8001aed8: 00200513 li a0,2 + 8001aedc: 96cf606f j 80011048 <_Z9setmirrori> + 8001aee0: 00000513 li a0,0 + 8001aee4: 964f606f j 80011048 <_Z9setmirrori> + 8001aee8: 00300513 li a0,3 + 8001aeec: 95cf606f j 80011048 <_Z9setmirrori> + +000000008001aef0 <_ZL6M199PWjh>: + 8001aef0: ff010113 addi sp,sp,-16 + 8001aef4: 00113423 sd ra,8(sp) + 8001aef8: 00813023 sd s0,0(sp) + 8001aefc: ba1f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001af00: 001b1417 auipc s0,0x1b1 + 8001af04: 35040413 addi s0,s0,848 # 801cc250 + 8001af08: 00044583 lbu a1,0(s0) + 8001af0c: 0000c537 lui a0,0xc + 8001af10: b8df50ef jal ra,80010a9c <_Z7setprg8jj> + 8001af14: 00144583 lbu a1,1(s0) + 8001af18: 00013403 ld s0,0(sp) + 8001af1c: 00813083 ld ra,8(sp) + 8001af20: 0000e537 lui a0,0xe + 8001af24: 01010113 addi sp,sp,16 + 8001af28: b75f506f j 80010a9c <_Z7setprg8jj> + +000000008001af2c <_ZL6M199CWjh>: + 8001af2c: 00058613 mv a2,a1 + 8001af30: 00050593 mv a1,a0 + 8001af34: 00863513 sltiu a0,a2,8 + 8001af38: fe010113 addi sp,sp,-32 + 8001af3c: 00451513 slli a0,a0,0x4 + 8001af40: 00113c23 sd ra,24(sp) + 8001af44: 00813823 sd s0,16(sp) + 8001af48: 00913423 sd s1,8(sp) + 8001af4c: 01213023 sd s2,0(sp) + 8001af50: ed5f50ef jal ra,80010e24 <_Z8setchr1rijj> + 8001af54: 001b1917 auipc s2,0x1b1 + 8001af58: 2f490913 addi s2,s2,756 # 801cc248 + 8001af5c: 00094603 lbu a2,0(s2) + 8001af60: 00000593 li a1,0 + 8001af64: 001b1497 auipc s1,0x1b1 + 8001af68: 2ec48493 addi s1,s1,748 # 801cc250 + 8001af6c: 00863513 sltiu a0,a2,8 + 8001af70: 00451513 slli a0,a0,0x4 + 8001af74: eb1f50ef jal ra,80010e24 <_Z8setchr1rijj> + 8001af78: 0024c603 lbu a2,2(s1) + 8001af7c: 40000593 li a1,1024 + 8001af80: 00001437 lui s0,0x1 + 8001af84: 00863513 sltiu a0,a2,8 + 8001af88: 00451513 slli a0,a0,0x4 + 8001af8c: e99f50ef jal ra,80010e24 <_Z8setchr1rijj> + 8001af90: 00194603 lbu a2,1(s2) + 8001af94: 80040593 addi a1,s0,-2048 # 800 <_entry_offset+0x800> + 8001af98: 00863513 sltiu a0,a2,8 + 8001af9c: 00451513 slli a0,a0,0x4 + 8001afa0: e85f50ef jal ra,80010e24 <_Z8setchr1rijj> + 8001afa4: 0034c603 lbu a2,3(s1) + 8001afa8: c0040593 addi a1,s0,-1024 + 8001afac: 01013403 ld s0,16(sp) + 8001afb0: 01813083 ld ra,24(sp) + 8001afb4: 00813483 ld s1,8(sp) + 8001afb8: 00013903 ld s2,0(sp) + 8001afbc: 00863513 sltiu a0,a2,8 + 8001afc0: 00451513 slli a0,a0,0x4 + 8001afc4: 02010113 addi sp,sp,32 + 8001afc8: e5df506f j 80010e24 <_Z8setchr1rijj> + +000000008001afcc <_Z14Mapper199_InitP8CartInfo>: + 8001afcc: 04c52703 lw a4,76(a0) # e04c <_entry_offset+0xe04c> + 8001afd0: fe010113 addi sp,sp,-32 + 8001afd4: 00800693 li a3,8 + 8001afd8: 10000613 li a2,256 + 8001afdc: 20000593 li a1,512 + 8001afe0: 00113c23 sd ra,24(sp) + 8001afe4: 00813823 sd s0,16(sp) + 8001afe8: 00913423 sd s1,8(sp) + 8001afec: 00050413 mv s0,a0 + 8001aff0: 0280c0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8001aff4: 00000797 auipc a5,0x0 + 8001aff8: f3878793 addi a5,a5,-200 # 8001af2c <_ZL6M199CWjh> + 8001affc: 001b1717 auipc a4,0x1b1 + 8001b000: 28f73223 sd a5,644(a4) # 801cc280 + 8001b004: 00000797 auipc a5,0x0 + 8001b008: eec78793 addi a5,a5,-276 # 8001aef0 <_ZL6M199PWjh> + 8001b00c: 001b1717 auipc a4,0x1b1 + 8001b010: 28f73623 sd a5,652(a4) # 801cc298 + 8001b014: 00000797 auipc a5,0x0 + 8001b018: ea078793 addi a5,a5,-352 # 8001aeb4 <_ZL6M199MWh> + 8001b01c: 001b1717 auipc a4,0x1b1 + 8001b020: 26f73a23 sd a5,628(a4) # 801cc290 + 8001b024: 00000797 auipc a5,0x0 + 8001b028: dbc78793 addi a5,a5,-580 # 8001ade0 <_ZL9M199Powerv> + 8001b02c: 00f43023 sd a5,0(s0) + 8001b030: 00000797 auipc a5,0x0 + 8001b034: d7878793 addi a5,a5,-648 # 8001ada8 <_ZL9M199Closev> + 8001b038: 001b1497 auipc s1,0x1b1 + 8001b03c: f4848493 addi s1,s1,-184 # 801cbf80 <_ZL10CHRRAMSIZE> + 8001b040: 00f43823 sd a5,16(s0) + 8001b044: 00002537 lui a0,0x2 + 8001b048: 000027b7 lui a5,0x2 + 8001b04c: 00f4a023 sw a5,0(s1) + 8001b050: c1cf70ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001b054: 0004a603 lw a2,0(s1) + 8001b058: 00050593 mv a1,a0 + 8001b05c: 001b1417 auipc s0,0x1b1 + 8001b060: f2c40413 addi s0,s0,-212 # 801cbf88 <_ZL6CHRRAM> + 8001b064: 00100693 li a3,1 + 8001b068: 01000513 li a0,16 + 8001b06c: 00b43023 sd a1,0(s0) + 8001b070: d54f50ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8001b074: 0004a583 lw a1,0(s1) + 8001b078: 00043503 ld a0,0(s0) + 8001b07c: 00027697 auipc a3,0x27 + 8001b080: 0cc68693 addi a3,a3,204 # 80042148 <_ZL9C96000PAL+0x738> + 8001b084: 00000613 li a2,0 + 8001b088: f89e40ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001b08c: 01013403 ld s0,16(sp) + 8001b090: 01813083 ld ra,24(sp) + 8001b094: 00813483 ld s1,8(sp) + 8001b098: 0002a697 auipc a3,0x2a + 8001b09c: 45868693 addi a3,a3,1112 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8001b0a0: 00000613 li a2,0 + 8001b0a4: 00400593 li a1,4 + 8001b0a8: 001b1517 auipc a0,0x1b1 + 8001b0ac: 1a850513 addi a0,a0,424 # 801cc250 + 8001b0b0: 02010113 addi sp,sp,32 + 8001b0b4: f5de406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001b0b8 <_ZL11M90TekWritejh>: + 8001b0b8: 000067b7 lui a5,0x6 + 8001b0bc: c0378713 addi a4,a5,-1021 # 5c03 <_entry_offset+0x5c03> + 8001b0c0: 00e57533 and a0,a0,a4 + 8001b0c4: 80178713 addi a4,a5,-2047 + 8001b0c8: 02e50863 beq a0,a4,8001b0f8 <_ZL11M90TekWritejh+0x40> + 8001b0cc: 80378713 addi a4,a5,-2045 + 8001b0d0: 00e50e63 beq a0,a4,8001b0ec <_ZL11M90TekWritejh+0x34> + 8001b0d4: 80078793 addi a5,a5,-2048 + 8001b0d8: 00f50463 beq a0,a5,8001b0e0 <_ZL11M90TekWritejh+0x28> + 8001b0dc: 00008067 ret + 8001b0e0: 001b1797 auipc a5,0x1b1 + 8001b0e4: ecb78023 sb a1,-320(a5) # 801cbfa0 <_ZL3mul> + 8001b0e8: 00008067 ret + 8001b0ec: 001b1797 auipc a5,0x1b1 + 8001b0f0: ecb78a23 sb a1,-300(a5) # 801cbfc0 <_ZL5regie> + 8001b0f4: 00008067 ret + 8001b0f8: 001b1797 auipc a5,0x1b1 + 8001b0fc: eab784a3 sb a1,-343(a5) # 801cbfa1 <_ZL3mul+0x1> + 8001b100: 00008067 ret + +000000008001b104 <_ZL13M90DummyWritejh>: + 8001b104: 00008067 ret + +000000008001b108 <_ZL7tekpromv>: + 8001b108: fe010113 addi sp,sp,-32 + 8001b10c: 01213023 sd s2,0(sp) + 8001b110: 001b1917 auipc s2,0x1b1 + 8001b114: eb890913 addi s2,s2,-328 # 801cbfc8 <_ZL5tkcom> + 8001b118: 00094703 lbu a4,0(s2) + 8001b11c: 0002a697 auipc a3,0x2a + 8001b120: 58c68693 addi a3,a3,1420 # 800456a8 <_ZL8conv_tbl+0x20> + 8001b124: 00394583 lbu a1,3(s2) + 8001b128: 00777793 andi a5,a4,7 + 8001b12c: 00279793 slli a5,a5,0x2 + 8001b130: 00d787b3 add a5,a5,a3 + 8001b134: 0007a783 lw a5,0(a5) + 8001b138: 00813823 sd s0,16(sp) + 8001b13c: 0187171b slliw a4,a4,0x18 + 8001b140: 0055941b slliw s0,a1,0x5 + 8001b144: 00d787b3 add a5,a5,a3 + 8001b148: 00113c23 sd ra,24(sp) + 8001b14c: 00913423 sd s1,8(sp) + 8001b150: 0c047413 andi s0,s0,192 + 8001b154: 4187571b sraiw a4,a4,0x18 + 8001b158: 00078067 jr a5 + 8001b15c: 001b1497 auipc s1,0x1b1 + 8001b160: e4c48493 addi s1,s1,-436 # 801cbfa8 <_ZL4prgb> + 8001b164: 1e074463 bltz a4,8001b34c <_ZL7tekpromv+0x244> + 8001b168: 0004c583 lbu a1,0(s1) + 8001b16c: 00008537 lui a0,0x8 + 8001b170: 03f5f593 andi a1,a1,63 + 8001b174: 0085e5b3 or a1,a1,s0 + 8001b178: 925f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b17c: 0014c583 lbu a1,1(s1) + 8001b180: 0000a537 lui a0,0xa + 8001b184: 03f5f593 andi a1,a1,63 + 8001b188: 0085e5b3 or a1,a1,s0 + 8001b18c: 911f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b190: 0024c583 lbu a1,2(s1) + 8001b194: 0000c537 lui a0,0xc + 8001b198: 03f5f593 andi a1,a1,63 + 8001b19c: 0085e5b3 or a1,a1,s0 + 8001b1a0: 8fdf50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b1a4: 0034c583 lbu a1,3(s1) + 8001b1a8: 01813083 ld ra,24(sp) + 8001b1ac: 00813483 ld s1,8(sp) + 8001b1b0: 03f5f593 andi a1,a1,63 + 8001b1b4: 0085e5b3 or a1,a1,s0 + 8001b1b8: 01013403 ld s0,16(sp) + 8001b1bc: 00013903 ld s2,0(sp) + 8001b1c0: 0000e537 lui a0,0xe + 8001b1c4: 02010113 addi sp,sp,32 + 8001b1c8: 8d5f506f j 80010a9c <_Z7setprg8jj> + 8001b1cc: 001b1497 auipc s1,0x1b1 + 8001b1d0: ddc48493 addi s1,s1,-548 # 801cbfa8 <_ZL4prgb> + 8001b1d4: 22074263 bltz a4,8001b3f8 <_ZL7tekpromv+0x2f0> + 8001b1d8: 0004c583 lbu a1,0(s1) + 8001b1dc: 00008537 lui a0,0x8 + 8001b1e0: 03f5f593 andi a1,a1,63 + 8001b1e4: 0085e5b3 or a1,a1,s0 + 8001b1e8: 8b5f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b1ec: 0014c583 lbu a1,1(s1) + 8001b1f0: 0000a537 lui a0,0xa + 8001b1f4: 03f5f593 andi a1,a1,63 + 8001b1f8: 0085e5b3 or a1,a1,s0 + 8001b1fc: 8a1f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b200: 0024c583 lbu a1,2(s1) + 8001b204: 0000c537 lui a0,0xc + 8001b208: 03f5f593 andi a1,a1,63 + 8001b20c: 0085e5b3 or a1,a1,s0 + 8001b210: 88df50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b214: 03f46593 ori a1,s0,63 + 8001b218: 01013403 ld s0,16(sp) + 8001b21c: 01813083 ld ra,24(sp) + 8001b220: 00813483 ld s1,8(sp) + 8001b224: 00013903 ld s2,0(sp) + 8001b228: 0000e537 lui a0,0xe + 8001b22c: 02010113 addi sp,sp,32 + 8001b230: 86df506f j 80010a9c <_Z7setprg8jj> + 8001b234: 001b1497 auipc s1,0x1b1 + 8001b238: d7448493 addi s1,s1,-652 # 801cbfa8 <_ZL4prgb> + 8001b23c: 18074c63 bltz a4,8001b3d4 <_ZL7tekpromv+0x2cc> + 8001b240: 0014c783 lbu a5,1(s1) + 8001b244: 0045959b slliw a1,a1,0x4 + 8001b248: 0605f593 andi a1,a1,96 + 8001b24c: 01f7f793 andi a5,a5,31 + 8001b250: 00b7e5b3 or a1,a5,a1 + 8001b254: 00008537 lui a0,0x8 + 8001b258: a01f50ef jal ra,80010c58 <_Z8setprg16jj> + 8001b25c: 00394783 lbu a5,3(s2) + 8001b260: 0034c583 lbu a1,3(s1) + 8001b264: 01013403 ld s0,16(sp) + 8001b268: 01813083 ld ra,24(sp) + 8001b26c: 00813483 ld s1,8(sp) + 8001b270: 00013903 ld s2,0(sp) + 8001b274: 0047979b slliw a5,a5,0x4 + 8001b278: 0607f793 andi a5,a5,96 + 8001b27c: 01f5f593 andi a1,a1,31 + 8001b280: 0000c537 lui a0,0xc + 8001b284: 00f5e5b3 or a1,a1,a5 + 8001b288: 02010113 addi sp,sp,32 + 8001b28c: 9cdf506f j 80010c58 <_Z8setprg16jj> + 8001b290: 001b1497 auipc s1,0x1b1 + 8001b294: d1848493 addi s1,s1,-744 # 801cbfa8 <_ZL4prgb> + 8001b298: 10074c63 bltz a4,8001b3b0 <_ZL7tekpromv+0x2a8> + 8001b29c: 0014c783 lbu a5,1(s1) + 8001b2a0: 0045959b slliw a1,a1,0x4 + 8001b2a4: 0605f593 andi a1,a1,96 + 8001b2a8: 01f7f793 andi a5,a5,31 + 8001b2ac: 00b7e5b3 or a1,a5,a1 + 8001b2b0: 00008537 lui a0,0x8 + 8001b2b4: 9a5f50ef jal ra,80010c58 <_Z8setprg16jj> + 8001b2b8: 00394583 lbu a1,3(s2) + 8001b2bc: 01013403 ld s0,16(sp) + 8001b2c0: 01813083 ld ra,24(sp) + 8001b2c4: 00813483 ld s1,8(sp) + 8001b2c8: 00013903 ld s2,0(sp) + 8001b2cc: 0045959b slliw a1,a1,0x4 + 8001b2d0: 0605f593 andi a1,a1,96 + 8001b2d4: 0000c537 lui a0,0xc + 8001b2d8: 01f5e593 ori a1,a1,31 + 8001b2dc: 02010113 addi sp,sp,32 + 8001b2e0: 979f506f j 80010c58 <_Z8setprg16jj> + 8001b2e4: 001b1497 auipc s1,0x1b1 + 8001b2e8: cc448493 addi s1,s1,-828 # 801cbfa8 <_ZL4prgb> + 8001b2ec: 0034c783 lbu a5,3(s1) + 8001b2f0: 08074e63 bltz a4,8001b38c <_ZL7tekpromv+0x284> + 8001b2f4: 01013403 ld s0,16(sp) + 8001b2f8: 01813083 ld ra,24(sp) + 8001b2fc: 00813483 ld s1,8(sp) + 8001b300: 00013903 ld s2,0(sp) + 8001b304: 0035959b slliw a1,a1,0x3 + 8001b308: 0305f593 andi a1,a1,48 + 8001b30c: 00f7f793 andi a5,a5,15 + 8001b310: 00b7e5b3 or a1,a5,a1 + 8001b314: 00008537 lui a0,0x8 + 8001b318: 02010113 addi sp,sp,32 + 8001b31c: af9f506f j 80010e14 <_Z8setprg32jj> + 8001b320: 04074263 bltz a4,8001b364 <_ZL7tekpromv+0x25c> + 8001b324: 01013403 ld s0,16(sp) + 8001b328: 01813083 ld ra,24(sp) + 8001b32c: 00813483 ld s1,8(sp) + 8001b330: 00013903 ld s2,0(sp) + 8001b334: 0035959b slliw a1,a1,0x3 + 8001b338: 0305f593 andi a1,a1,48 + 8001b33c: 00f5e593 ori a1,a1,15 + 8001b340: 00008537 lui a0,0x8 + 8001b344: 02010113 addi sp,sp,32 + 8001b348: acdf506f j 80010e14 <_Z8setprg32jj> + 8001b34c: 0034c583 lbu a1,3(s1) + 8001b350: 00006537 lui a0,0x6 + 8001b354: 03f5f593 andi a1,a1,63 + 8001b358: 0085e5b3 or a1,a1,s0 + 8001b35c: f40f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b360: e09ff06f j 8001b168 <_ZL7tekpromv+0x60> + 8001b364: 001b1597 auipc a1,0x1b1 + 8001b368: c475c583 lbu a1,-953(a1) # 801cbfab <_ZL4prgb+0x3> + 8001b36c: 0025959b slliw a1,a1,0x2 + 8001b370: 0035859b addiw a1,a1,3 + 8001b374: 03f5f593 andi a1,a1,63 + 8001b378: 0085e5b3 or a1,a1,s0 + 8001b37c: 00006537 lui a0,0x6 + 8001b380: f1cf50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b384: 00394583 lbu a1,3(s2) + 8001b388: f9dff06f j 8001b324 <_ZL7tekpromv+0x21c> + 8001b38c: 0027959b slliw a1,a5,0x2 + 8001b390: 0035859b addiw a1,a1,3 + 8001b394: 03f5f593 andi a1,a1,63 + 8001b398: 0085e5b3 or a1,a1,s0 + 8001b39c: 00006537 lui a0,0x6 + 8001b3a0: efcf50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b3a4: 0034c783 lbu a5,3(s1) + 8001b3a8: 00394583 lbu a1,3(s2) + 8001b3ac: f49ff06f j 8001b2f4 <_ZL7tekpromv+0x1ec> + 8001b3b0: 0034c583 lbu a1,3(s1) + 8001b3b4: 00006537 lui a0,0x6 + 8001b3b8: 0015959b slliw a1,a1,0x1 + 8001b3bc: 0015859b addiw a1,a1,1 + 8001b3c0: 03f5f593 andi a1,a1,63 + 8001b3c4: 0085e5b3 or a1,a1,s0 + 8001b3c8: ed4f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b3cc: 00394583 lbu a1,3(s2) + 8001b3d0: ecdff06f j 8001b29c <_ZL7tekpromv+0x194> + 8001b3d4: 0034c583 lbu a1,3(s1) + 8001b3d8: 00006537 lui a0,0x6 + 8001b3dc: 0015959b slliw a1,a1,0x1 + 8001b3e0: 0015859b addiw a1,a1,1 + 8001b3e4: 03f5f593 andi a1,a1,63 + 8001b3e8: 0085e5b3 or a1,a1,s0 + 8001b3ec: eb0f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b3f0: 00394583 lbu a1,3(s2) + 8001b3f4: e4dff06f j 8001b240 <_ZL7tekpromv+0x138> + 8001b3f8: 0034c583 lbu a1,3(s1) + 8001b3fc: 00006537 lui a0,0x6 + 8001b400: 03f5f593 andi a1,a1,63 + 8001b404: 0085e5b3 or a1,a1,s0 + 8001b408: e94f50ef jal ra,80010a9c <_Z7setprg8jj> + 8001b40c: dcdff06f j 8001b1d8 <_ZL7tekpromv+0xd0> + +000000008001b410 <_ZL11M90PRGWritejh>: + 8001b410: 00357793 andi a5,a0,3 + 8001b414: 001b1517 auipc a0,0x1b1 + 8001b418: b9450513 addi a0,a0,-1132 # 801cbfa8 <_ZL4prgb> + 8001b41c: 00f50533 add a0,a0,a5 + 8001b420: 00b50023 sb a1,0(a0) + 8001b424: ce5ff06f j 8001b108 <_ZL7tekpromv> + +000000008001b428 <_ZL7tekvromv>: + 8001b428: fc010113 addi sp,sp,-64 + 8001b42c: 02113c23 sd ra,56(sp) + 8001b430: 02813823 sd s0,48(sp) + 8001b434: 02913423 sd s1,40(sp) + 8001b438: 03213023 sd s2,32(sp) + 8001b43c: 01313c23 sd s3,24(sp) + 8001b440: 01413823 sd s4,16(sp) + 8001b444: 01513423 sd s5,8(sp) + 8001b448: 01613023 sd s6,0(sp) + 8001b44c: 001b1797 auipc a5,0x1b1 + 8001b450: b7c78793 addi a5,a5,-1156 # 801cbfc8 <_ZL5tkcom> + 8001b454: 0037c703 lbu a4,3(a5) + 8001b458: 0007c783 lbu a5,0(a5) + 8001b45c: 02077693 andi a3,a4,32 + 8001b460: 0187f793 andi a5,a5,24 + 8001b464: 02068663 beqz a3,8001b490 <_ZL7tekvromv+0x68> + 8001b468: 01000713 li a4,16 + 8001b46c: 1ee78063 beq a5,a4,8001b64c <_ZL7tekvromv+0x224> + 8001b470: 16f76263 bltu a4,a5,8001b5d4 <_ZL7tekvromv+0x1ac> + 8001b474: 16078c63 beqz a5,8001b5ec <_ZL7tekvromv+0x1c4> + 8001b478: 00800713 li a4,8 + 8001b47c: 12e79863 bne a5,a4,8001b5ac <_ZL7tekvromv+0x184> + 8001b480: 000104b7 lui s1,0x10 + 8001b484: fff48493 addi s1,s1,-1 # ffff <_entry_offset+0xffff> + 8001b488: 00000413 li s0,0 + 8001b48c: 0340006f j 8001b4c0 <_ZL7tekvromv+0x98> + 8001b490: 4027541b sraiw s0,a4,0x2 + 8001b494: 00647513 andi a0,s0,6 + 8001b498: 00177413 andi s0,a4,1 + 8001b49c: 01000713 li a4,16 + 8001b4a0: 00a46433 or s0,s0,a0 + 8001b4a4: 20e78463 beq a5,a4,8001b6ac <_ZL7tekvromv+0x284> + 8001b4a8: 0af76663 bltu a4,a5,8001b554 <_ZL7tekvromv+0x12c> + 8001b4ac: 18078a63 beqz a5,8001b640 <_ZL7tekvromv+0x218> + 8001b4b0: 00800713 li a4,8 + 8001b4b4: 00641413 slli s0,s0,0x6 + 8001b4b8: 03f00493 li s1,63 + 8001b4bc: 0ee79863 bne a5,a4,8001b5ac <_ZL7tekvromv+0x184> + 8001b4c0: 001b1a17 auipc s4,0x1b1 + 8001b4c4: ad8a0a13 addi s4,s4,-1320 # 801cbf98 <_ZL3chr> + 8001b4c8: 000a4783 lbu a5,0(s4) + 8001b4cc: 001b1997 auipc s3,0x1b1 + 8001b4d0: b1498993 addi s3,s3,-1260 # 801cbfe0 <_ZL7chrhigh> + 8001b4d4: 001b1917 auipc s2,0x1b1 + 8001b4d8: afc90913 addi s2,s2,-1284 # 801cbfd0 <_ZL6chrlow> + 8001b4dc: 00f98733 add a4,s3,a5 + 8001b4e0: 00074583 lbu a1,0(a4) + 8001b4e4: 00f907b3 add a5,s2,a5 + 8001b4e8: 0007c783 lbu a5,0(a5) + 8001b4ec: 0085959b slliw a1,a1,0x8 + 8001b4f0: 00000513 li a0,0 + 8001b4f4: 00f5e5b3 or a1,a1,a5 + 8001b4f8: 00b4f5b3 and a1,s1,a1 + 8001b4fc: 00b465b3 or a1,s0,a1 + 8001b500: 9e5f50ef jal ra,80010ee4 <_Z7setchr4jj> + 8001b504: 001a4783 lbu a5,1(s4) + 8001b508: 03813083 ld ra,56(sp) + 8001b50c: 01013a03 ld s4,16(sp) + 8001b510: 00f989b3 add s3,s3,a5 + 8001b514: 0009c583 lbu a1,0(s3) + 8001b518: 00f90933 add s2,s2,a5 + 8001b51c: 00094783 lbu a5,0(s2) + 8001b520: 0085959b slliw a1,a1,0x8 + 8001b524: 02013903 ld s2,32(sp) + 8001b528: 00f5e5b3 or a1,a1,a5 + 8001b52c: 00b4f5b3 and a1,s1,a1 + 8001b530: 00b465b3 or a1,s0,a1 + 8001b534: 03013403 ld s0,48(sp) + 8001b538: 02813483 ld s1,40(sp) + 8001b53c: 01813983 ld s3,24(sp) + 8001b540: 00813a83 ld s5,8(sp) + 8001b544: 00013b03 ld s6,0(sp) + 8001b548: 00001537 lui a0,0x1 + 8001b54c: 04010113 addi sp,sp,64 + 8001b550: 995f506f j 80010ee4 <_Z7setchr4jj> + 8001b554: 01800713 li a4,24 + 8001b558: 00841413 slli s0,s0,0x8 + 8001b55c: 0ff00a13 li s4,255 + 8001b560: 04e79663 bne a5,a4,8001b5ac <_ZL7tekvromv+0x184> + 8001b564: 001b1997 auipc s3,0x1b1 + 8001b568: a6c98993 addi s3,s3,-1428 # 801cbfd0 <_ZL6chrlow> + 8001b56c: 001b1917 auipc s2,0x1b1 + 8001b570: a7490913 addi s2,s2,-1420 # 801cbfe0 <_ZL7chrhigh> + 8001b574: 00000493 li s1,0 + 8001b578: 00002ab7 lui s5,0x2 + 8001b57c: 00094583 lbu a1,0(s2) + 8001b580: 0009c783 lbu a5,0(s3) + 8001b584: 00048513 mv a0,s1 + 8001b588: 0085959b slliw a1,a1,0x8 + 8001b58c: 00f5e5b3 or a1,a1,a5 + 8001b590: 00ba75b3 and a1,s4,a1 + 8001b594: 00b465b3 or a1,s0,a1 + 8001b598: 4004849b addiw s1,s1,1024 + 8001b59c: 909f50ef jal ra,80010ea4 <_Z7setchr1jj> + 8001b5a0: 00198993 addi s3,s3,1 + 8001b5a4: 00190913 addi s2,s2,1 + 8001b5a8: fd549ae3 bne s1,s5,8001b57c <_ZL7tekvromv+0x154> + 8001b5ac: 03813083 ld ra,56(sp) + 8001b5b0: 03013403 ld s0,48(sp) + 8001b5b4: 02813483 ld s1,40(sp) + 8001b5b8: 02013903 ld s2,32(sp) + 8001b5bc: 01813983 ld s3,24(sp) + 8001b5c0: 01013a03 ld s4,16(sp) + 8001b5c4: 00813a83 ld s5,8(sp) + 8001b5c8: 00013b03 ld s6,0(sp) + 8001b5cc: 04010113 addi sp,sp,64 + 8001b5d0: 00008067 ret + 8001b5d4: 01800713 li a4,24 + 8001b5d8: fce79ae3 bne a5,a4,8001b5ac <_ZL7tekvromv+0x184> + 8001b5dc: 00010a37 lui s4,0x10 + 8001b5e0: fffa0a13 addi s4,s4,-1 # ffff <_entry_offset+0xffff> + 8001b5e4: 00000413 li s0,0 + 8001b5e8: f7dff06f j 8001b564 <_ZL7tekvromv+0x13c> + 8001b5ec: 00010537 lui a0,0x10 + 8001b5f0: fff50513 addi a0,a0,-1 # ffff <_entry_offset+0xffff> + 8001b5f4: 00000413 li s0,0 + 8001b5f8: 001b1797 auipc a5,0x1b1 + 8001b5fc: 9e87c783 lbu a5,-1560(a5) # 801cbfe0 <_ZL7chrhigh> + 8001b600: 001b1717 auipc a4,0x1b1 + 8001b604: 9d074703 lbu a4,-1584(a4) # 801cbfd0 <_ZL6chrlow> + 8001b608: 0087979b slliw a5,a5,0x8 + 8001b60c: 00e7e7b3 or a5,a5,a4 + 8001b610: 00f57533 and a0,a0,a5 + 8001b614: 00a46533 or a0,s0,a0 + 8001b618: 03013403 ld s0,48(sp) + 8001b61c: 03813083 ld ra,56(sp) + 8001b620: 02813483 ld s1,40(sp) + 8001b624: 02013903 ld s2,32(sp) + 8001b628: 01813983 ld s3,24(sp) + 8001b62c: 01013a03 ld s4,16(sp) + 8001b630: 00813a83 ld s5,8(sp) + 8001b634: 00013b03 ld s6,0(sp) + 8001b638: 04010113 addi sp,sp,64 + 8001b63c: 8c9f506f j 80010f04 <_Z7setchr8j> + 8001b640: 00541413 slli s0,s0,0x5 + 8001b644: 01f00513 li a0,31 + 8001b648: fb1ff06f j 8001b5f8 <_ZL7tekvromv+0x1d0> + 8001b64c: 00010ab7 lui s5,0x10 + 8001b650: fffa8a93 addi s5,s5,-1 # ffff <_entry_offset+0xffff> + 8001b654: 00000413 li s0,0 + 8001b658: 00001a37 lui s4,0x1 + 8001b65c: 001b1997 auipc s3,0x1b1 + 8001b660: 97498993 addi s3,s3,-1676 # 801cbfd0 <_ZL6chrlow> + 8001b664: 001b1917 auipc s2,0x1b1 + 8001b668: 97c90913 addi s2,s2,-1668 # 801cbfe0 <_ZL7chrhigh> + 8001b66c: 00000493 li s1,0 + 8001b670: 800a0a1b addiw s4,s4,-2048 + 8001b674: 00002b37 lui s6,0x2 + 8001b678: 00094583 lbu a1,0(s2) + 8001b67c: 0009c783 lbu a5,0(s3) + 8001b680: 00048513 mv a0,s1 + 8001b684: 0085959b slliw a1,a1,0x8 + 8001b688: 00f5e5b3 or a1,a1,a5 + 8001b68c: 00baf5b3 and a1,s5,a1 + 8001b690: 00b465b3 or a1,s0,a1 + 8001b694: 009a04bb addw s1,s4,s1 + 8001b698: 82df50ef jal ra,80010ec4 <_Z7setchr2jj> + 8001b69c: 00298993 addi s3,s3,2 + 8001b6a0: 00290913 addi s2,s2,2 + 8001b6a4: fd649ae3 bne s1,s6,8001b678 <_ZL7tekvromv+0x250> + 8001b6a8: f05ff06f j 8001b5ac <_ZL7tekvromv+0x184> + 8001b6ac: 00741413 slli s0,s0,0x7 + 8001b6b0: 07f00a93 li s5,127 + 8001b6b4: fa5ff06f j 8001b658 <_ZL7tekvromv+0x230> + +000000008001b6b8 <_ZL13M90CHRhiWritejh>: + 8001b6b8: 00757793 andi a5,a0,7 + 8001b6bc: 001b1517 auipc a0,0x1b1 + 8001b6c0: 92450513 addi a0,a0,-1756 # 801cbfe0 <_ZL7chrhigh> + 8001b6c4: 00f50533 add a0,a0,a5 + 8001b6c8: 00b50023 sb a1,0(a0) + 8001b6cc: d5dff06f j 8001b428 <_ZL7tekvromv> + +000000008001b6d0 <_ZL14M90CHRlowWritejh>: + 8001b6d0: 00757793 andi a5,a0,7 + 8001b6d4: 001b1517 auipc a0,0x1b1 + 8001b6d8: 8fc50513 addi a0,a0,-1796 # 801cbfd0 <_ZL6chrlow> + 8001b6dc: 00f50533 add a0,a0,a5 + 8001b6e0: 00b50023 sb a1,0(a0) + 8001b6e4: d45ff06f j 8001b428 <_ZL7tekvromv> + +000000008001b6e8 <_ZL4mirav>: + 8001b6e8: fc010113 addi sp,sp,-64 + 8001b6ec: 01613023 sd s6,0(sp) + 8001b6f0: 001b1b17 auipc s6,0x1b1 + 8001b6f4: 8d8b0b13 addi s6,s6,-1832 # 801cbfc8 <_ZL5tkcom> + 8001b6f8: 000b4783 lbu a5,0(s6) + 8001b6fc: 02113c23 sd ra,56(sp) + 8001b700: 02813823 sd s0,48(sp) + 8001b704: 02913423 sd s1,40(sp) + 8001b708: 03213023 sd s2,32(sp) + 8001b70c: 01313c23 sd s3,24(sp) + 8001b710: 01413823 sd s4,16(sp) + 8001b714: 01513423 sd s5,8(sp) + 8001b718: 0207f713 andi a4,a5,32 + 8001b71c: 0a070e63 beqz a4,8001b7d8 <_ZL4mirav+0xf0> + 8001b720: 001b1717 auipc a4,0x1b1 + 8001b724: 88c72703 lw a4,-1908(a4) # 801cbfac <_ZL5is209> + 8001b728: 0a070863 beqz a4,8001b7d8 <_ZL4mirav+0xf0> + 8001b72c: 0407f793 andi a5,a5,64 + 8001b730: 10079463 bnez a5,8001b838 <_ZL4mirav+0x150> + 8001b734: 001b1a97 auipc s5,0x1b1 + 8001b738: 884a8a93 addi s5,s5,-1916 # 801cbfb8 <_ZL5names> + 8001b73c: 00000a13 li s4,0 + 8001b740: 000a7997 auipc s3,0xa7 + 8001b744: ec098993 addi s3,s3,-320 # 800c2600 + 8001b748: 000a7917 auipc s2,0xa7 + 8001b74c: cb890913 addi s2,s2,-840 # 800c2400 + 8001b750: 001ae497 auipc s1,0x1ae + 8001b754: dd848493 addi s1,s1,-552 # 801c9528 + 8001b758: 00400413 li s0,4 + 8001b75c: 000ad703 lhu a4,0(s5) + 8001b760: 001b4783 lbu a5,1(s6) + 8001b764: 00100593 li a1,1 + 8001b768: 0007051b sext.w a0,a4 + 8001b76c: 00a5151b slliw a0,a0,0xa + 8001b770: 00f747b3 xor a5,a4,a5 + 8001b774: 40057513 andi a0,a0,1024 + 8001b778: 0807f793 andi a5,a5,128 + 8001b77c: 00a48533 add a0,s1,a0 + 8001b780: 000a061b sext.w a2,s4 + 8001b784: 00078e63 beqz a5,8001b7a0 <_ZL4mirav+0xb8> + 8001b788: 00092783 lw a5,0(s2) + 8001b78c: 0009b503 ld a0,0(s3) + 8001b790: 00000593 li a1,0 + 8001b794: 00f77733 and a4,a4,a5 + 8001b798: 00a71713 slli a4,a4,0xa + 8001b79c: 00e50533 add a0,a0,a4 + 8001b7a0: 001a0a1b addiw s4,s4,1 + 8001b7a4: f7cf50ef jal ra,80010f20 <_Z9setntamemPhij> + 8001b7a8: 002a8a93 addi s5,s5,2 + 8001b7ac: fa8a18e3 bne s4,s0,8001b75c <_ZL4mirav+0x74> + 8001b7b0: 03813083 ld ra,56(sp) + 8001b7b4: 03013403 ld s0,48(sp) + 8001b7b8: 02813483 ld s1,40(sp) + 8001b7bc: 02013903 ld s2,32(sp) + 8001b7c0: 01813983 ld s3,24(sp) + 8001b7c4: 01013a03 ld s4,16(sp) + 8001b7c8: 00813a83 ld s5,8(sp) + 8001b7cc: 00013b03 ld s6,0(sp) + 8001b7d0: 04010113 addi sp,sp,64 + 8001b7d4: 00008067 ret + 8001b7d8: 001b0717 auipc a4,0x1b0 + 8001b7dc: 7d872703 lw a4,2008(a4) # 801cbfb0 <_ZL5is211> + 8001b7e0: f40716e3 bnez a4,8001b72c <_ZL4mirav+0x44> + 8001b7e4: 001b4783 lbu a5,1(s6) + 8001b7e8: 00200713 li a4,2 + 8001b7ec: 00200513 li a0,2 + 8001b7f0: 0037f793 andi a5,a5,3 + 8001b7f4: 00e78e63 beq a5,a4,8001b810 <_ZL4mirav+0x128> + 8001b7f8: 00300713 li a4,3 + 8001b7fc: 00300513 li a0,3 + 8001b800: 00e78863 beq a5,a4,8001b810 <_ZL4mirav+0x128> + 8001b804: 00100713 li a4,1 + 8001b808: 00100513 li a0,1 + 8001b80c: 08e78463 beq a5,a4,8001b894 <_ZL4mirav+0x1ac> + 8001b810: 03013403 ld s0,48(sp) + 8001b814: 03813083 ld ra,56(sp) + 8001b818: 02813483 ld s1,40(sp) + 8001b81c: 02013903 ld s2,32(sp) + 8001b820: 01813983 ld s3,24(sp) + 8001b824: 01013a03 ld s4,16(sp) + 8001b828: 00813a83 ld s5,8(sp) + 8001b82c: 00013b03 ld s6,0(sp) + 8001b830: 04010113 addi sp,sp,64 + 8001b834: 815f506f j 80011048 <_Z9setmirrori> + 8001b838: 001b0497 auipc s1,0x1b0 + 8001b83c: 78048493 addi s1,s1,1920 # 801cbfb8 <_ZL5names> + 8001b840: 00000413 li s0,0 + 8001b844: 000a7a17 auipc s4,0xa7 + 8001b848: dbca0a13 addi s4,s4,-580 # 800c2600 + 8001b84c: 000a7997 auipc s3,0xa7 + 8001b850: bb498993 addi s3,s3,-1100 # 800c2400 + 8001b854: 00400913 li s2,4 + 8001b858: 0004d783 lhu a5,0(s1) + 8001b85c: 0009a703 lw a4,0(s3) + 8001b860: 000a3503 ld a0,0(s4) + 8001b864: 00040613 mv a2,s0 + 8001b868: 00e7f7b3 and a5,a5,a4 + 8001b86c: 00a7979b slliw a5,a5,0xa + 8001b870: 02079793 slli a5,a5,0x20 + 8001b874: 0207d793 srli a5,a5,0x20 + 8001b878: 00000593 li a1,0 + 8001b87c: 00f50533 add a0,a0,a5 + 8001b880: 0014041b addiw s0,s0,1 + 8001b884: e9cf50ef jal ra,80010f20 <_Z9setntamemPhij> + 8001b888: 00248493 addi s1,s1,2 + 8001b88c: fd2416e3 bne s0,s2,8001b858 <_ZL4mirav+0x170> + 8001b890: f21ff06f j 8001b7b0 <_ZL4mirav+0xc8> + 8001b894: 00000513 li a0,0 + 8001b898: f79ff06f j 8001b810 <_ZL4mirav+0x128> + +000000008001b89c <_ZL10M90Restorei>: + 8001b89c: ff010113 addi sp,sp,-16 + 8001b8a0: 00113423 sd ra,8(sp) + 8001b8a4: 865ff0ef jal ra,8001b108 <_ZL7tekpromv> + 8001b8a8: b81ff0ef jal ra,8001b428 <_ZL7tekvromv> + 8001b8ac: 00813083 ld ra,8(sp) + 8001b8b0: 01010113 addi sp,sp,16 + 8001b8b4: e35ff06f j 8001b6e8 <_ZL4mirav> + +000000008001b8b8 <_ZL12M90ModeWritejh>: + 8001b8b8: ff010113 addi sp,sp,-16 + 8001b8bc: 00357793 andi a5,a0,3 + 8001b8c0: 001b0517 auipc a0,0x1b0 + 8001b8c4: 70850513 addi a0,a0,1800 # 801cbfc8 <_ZL5tkcom> + 8001b8c8: 00113423 sd ra,8(sp) + 8001b8cc: 00f50533 add a0,a0,a5 + 8001b8d0: 00b50023 sb a1,0(a0) + 8001b8d4: 835ff0ef jal ra,8001b108 <_ZL7tekpromv> + 8001b8d8: b51ff0ef jal ra,8001b428 <_ZL7tekvromv> + 8001b8dc: 00813083 ld ra,8(sp) + 8001b8e0: 01010113 addi sp,sp,16 + 8001b8e4: e05ff06f j 8001b6e8 <_ZL4mirav> + +000000008001b8e8 <_ZL10M90NTWritejh>: + 8001b8e8: 00357713 andi a4,a0,3 + 8001b8ec: 00171793 slli a5,a4,0x1 + 8001b8f0: 001b0717 auipc a4,0x1b0 + 8001b8f4: 6c870713 addi a4,a4,1736 # 801cbfb8 <_ZL5names> + 8001b8f8: 00f70733 add a4,a4,a5 + 8001b8fc: 00457513 andi a0,a0,4 + 8001b900: 00075783 lhu a5,0(a4) + 8001b904: 00050c63 beqz a0,8001b91c <_ZL10M90NTWritejh+0x34> + 8001b908: 0ff7f793 andi a5,a5,255 + 8001b90c: 00859593 slli a1,a1,0x8 + 8001b910: 00b7e7b3 or a5,a5,a1 + 8001b914: 00f71023 sh a5,0(a4) + 8001b918: dd1ff06f j 8001b6e8 <_ZL4mirav> + 8001b91c: f007f793 andi a5,a5,-256 + 8001b920: 00b7e7b3 or a5,a5,a1 + 8001b924: 00f71023 sh a5,0(a4) + 8001b928: dc1ff06f j 8001b6e8 <_ZL4mirav> + +000000008001b92c <_ZL8M90Powerv>: + 8001b92c: fe010113 addi sp,sp,-32 + 8001b930: 00913423 sd s1,8(sp) + 8001b934: 000064b7 lui s1,0x6 + 8001b938: fffff617 auipc a2,0xfffff + 8001b93c: 78060613 addi a2,a2,1920 # 8001b0b8 <_ZL11M90TekWritejh> + 8001b940: fff48593 addi a1,s1,-1 # 5fff <_entry_offset+0x5fff> + 8001b944: 00005537 lui a0,0x5 + 8001b948: 00113c23 sd ra,24(sp) + 8001b94c: 00813823 sd s0,16(sp) + 8001b950: 1d8180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b954: 000095b7 lui a1,0x9 + 8001b958: 00000617 auipc a2,0x0 + 8001b95c: ab860613 addi a2,a2,-1352 # 8001b410 <_ZL11M90PRGWritejh> + 8001b960: ff058593 addi a1,a1,-16 # 8ff0 <_entry_offset+0x8ff0> + 8001b964: 00008537 lui a0,0x8 + 8001b968: 1c0180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b96c: 0000a5b7 lui a1,0xa + 8001b970: 00000617 auipc a2,0x0 + 8001b974: d6060613 addi a2,a2,-672 # 8001b6d0 <_ZL14M90CHRlowWritejh> + 8001b978: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 8001b97c: 00009537 lui a0,0x9 + 8001b980: 1a8180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b984: 0000b5b7 lui a1,0xb + 8001b988: 00000617 auipc a2,0x0 + 8001b98c: d3060613 addi a2,a2,-720 # 8001b6b8 <_ZL13M90CHRhiWritejh> + 8001b990: fff58593 addi a1,a1,-1 # afff <_entry_offset+0xafff> + 8001b994: 0000a537 lui a0,0xa + 8001b998: 190180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b99c: 0000c5b7 lui a1,0xc + 8001b9a0: 00000617 auipc a2,0x0 + 8001b9a4: f4860613 addi a2,a2,-184 # 8001b8e8 <_ZL10M90NTWritejh> + 8001b9a8: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8001b9ac: 0000b537 lui a0,0xb + 8001b9b0: 178180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b9b4: 0000d437 lui s0,0xd + 8001b9b8: fff40593 addi a1,s0,-1 # cfff <_entry_offset+0xcfff> + 8001b9bc: 00000617 auipc a2,0x0 + 8001b9c0: 4ec60613 addi a2,a2,1260 # 8001bea8 <_ZL11M90IRQWritejh> + 8001b9c4: 0000c537 lui a0,0xc + 8001b9c8: 160180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b9cc: 5ff40593 addi a1,s0,1535 + 8001b9d0: 00000617 auipc a2,0x0 + 8001b9d4: ee860613 addi a2,a2,-280 # 8001b8b8 <_ZL12M90ModeWritejh> + 8001b9d8: 0000d537 lui a0,0xd + 8001b9dc: 14c180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b9e0: 00010437 lui s0,0x10 + 8001b9e4: fffff617 auipc a2,0xfffff + 8001b9e8: 72060613 addi a2,a2,1824 # 8001b104 <_ZL13M90DummyWritejh> + 8001b9ec: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001b9f0: 0000e537 lui a0,0xe + 8001b9f4: 134180ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001b9f8: 00000617 auipc a2,0x0 + 8001b9fc: 15860613 addi a2,a2,344 # 8001bb50 <_ZL10M90TekReadj> + 8001ba00: fff48593 addi a1,s1,-1 + 8001ba04: 00005537 lui a0,0x5 + 8001ba08: 014180ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ba0c: ffff5617 auipc a2,0xffff5 + 8001ba10: c5460613 addi a2,a2,-940 # 80010660 <_Z6CartBRj> + 8001ba14: fff40593 addi a1,s0,-1 + 8001ba18: 00006537 lui a0,0x6 + 8001ba1c: 000180ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ba20: fff00793 li a5,-1 + 8001ba24: 00400613 li a2,4 + 8001ba28: 00000593 li a1,0 + 8001ba2c: 001b0717 auipc a4,0x1b0 + 8001ba30: 58f70a23 sb a5,1428(a4) # 801cbfc0 <_ZL5regie> + 8001ba34: 001b0517 auipc a0,0x1b0 + 8001ba38: 59450513 addi a0,a0,1428 # 801cbfc8 <_ZL5tkcom> + 8001ba3c: fff00793 li a5,-1 + 8001ba40: 001b0717 auipc a4,0x1b0 + 8001ba44: 56f71023 sh a5,1376(a4) # 801cbfa0 <_ZL3mul> + 8001ba48: 7e11f0ef jal ra,8003ba28 + 8001ba4c: 00400613 li a2,4 + 8001ba50: 0ff00593 li a1,255 + 8001ba54: 001b0517 auipc a0,0x1b0 + 8001ba58: 55450513 addi a0,a0,1364 # 801cbfa8 <_ZL4prgb> + 8001ba5c: 7cd1f0ef jal ra,8003ba28 + 8001ba60: 00800613 li a2,8 + 8001ba64: 0ff00593 li a1,255 + 8001ba68: 001b0517 auipc a0,0x1b0 + 8001ba6c: 56850513 addi a0,a0,1384 # 801cbfd0 <_ZL6chrlow> + 8001ba70: 7b91f0ef jal ra,8003ba28 + 8001ba74: 00800613 li a2,8 + 8001ba78: 0ff00593 li a1,255 + 8001ba7c: 001b0517 auipc a0,0x1b0 + 8001ba80: 56450513 addi a0,a0,1380 # 801cbfe0 <_ZL7chrhigh> + 8001ba84: 7a51f0ef jal ra,8003ba28 + 8001ba88: 00800613 li a2,8 + 8001ba8c: 00000593 li a1,0 + 8001ba90: 001b0517 auipc a0,0x1b0 + 8001ba94: 52850513 addi a0,a0,1320 # 801cbfb8 <_ZL5names> + 8001ba98: 7911f0ef jal ra,8003ba28 + 8001ba9c: 001b0797 auipc a5,0x1b0 + 8001baa0: 5147a783 lw a5,1300(a5) # 801cbfb0 <_ZL5is211> + 8001baa4: 02078463 beqz a5,8001bacc <_ZL8M90Powerv+0x1a0> + 8001baa8: fc000793 li a5,-64 + 8001baac: 001b0717 auipc a4,0x1b0 + 8001bab0: 52f70623 sb a5,1324(a4) # 801cbfd8 <_ZL6tekker> + 8001bab4: e54ff0ef jal ra,8001b108 <_ZL7tekpromv> + 8001bab8: 01013403 ld s0,16(sp) + 8001babc: 01813083 ld ra,24(sp) + 8001bac0: 00813483 ld s1,8(sp) + 8001bac4: 02010113 addi sp,sp,32 + 8001bac8: 961ff06f j 8001b428 <_ZL7tekvromv> + 8001bacc: 001b0797 auipc a5,0x1b0 + 8001bad0: 50078623 sb zero,1292(a5) # 801cbfd8 <_ZL6tekker> + 8001bad4: e34ff0ef jal ra,8001b108 <_ZL7tekpromv> + 8001bad8: 01013403 ld s0,16(sp) + 8001badc: 01813083 ld ra,24(sp) + 8001bae0: 00813483 ld s1,8(sp) + 8001bae4: 02010113 addi sp,sp,32 + 8001bae8: 941ff06f j 8001b428 <_ZL7tekvromv> + +000000008001baec <_ZL7toggliev>: + 8001baec: ff010113 addi sp,sp,-16 + 8001baf0: 00113423 sd ra,8(sp) + 8001baf4: 001b0797 auipc a5,0x1b0 + 8001baf8: 4e478793 addi a5,a5,1252 # 801cbfd8 <_ZL6tekker> + 8001bafc: 0007c583 lbu a1,0(a5) + 8001bb00: 0002a517 auipc a0,0x2a + 8001bb04: bc850513 addi a0,a0,-1080 # 800456c8 <_ZL8conv_tbl+0x40> + 8001bb08: 0405859b addiw a1,a1,64 + 8001bb0c: 0c05f593 andi a1,a1,192 + 8001bb10: 00b78023 sb a1,0(a5) + 8001bb14: 2351f0ef jal ra,8003b548 + 8001bb18: 00400613 li a2,4 + 8001bb1c: 00000593 li a1,0 + 8001bb20: 001b0517 auipc a0,0x1b0 + 8001bb24: 4a850513 addi a0,a0,1192 # 801cbfc8 <_ZL5tkcom> + 8001bb28: 7011f0ef jal ra,8003ba28 + 8001bb2c: 00400613 li a2,4 + 8001bb30: 0ff00593 li a1,255 + 8001bb34: 001b0517 auipc a0,0x1b0 + 8001bb38: 47450513 addi a0,a0,1140 # 801cbfa8 <_ZL4prgb> + 8001bb3c: 6ed1f0ef jal ra,8003ba28 + 8001bb40: dc8ff0ef jal ra,8001b108 <_ZL7tekpromv> + 8001bb44: 00813083 ld ra,8(sp) + 8001bb48: 01010113 addi sp,sp,16 + 8001bb4c: 8ddff06f j 8001b428 <_ZL7tekvromv> + +000000008001bb50 <_ZL10M90TekReadj>: + 8001bb50: 000067b7 lui a5,0x6 + 8001bb54: c0378713 addi a4,a5,-1021 # 5c03 <_entry_offset+0x5c03> + 8001bb58: ff010113 addi sp,sp,-16 + 8001bb5c: 00e57533 and a0,a0,a4 + 8001bb60: 00113423 sd ra,8(sp) + 8001bb64: 80178713 addi a4,a5,-2047 + 8001bb68: 02e50463 beq a0,a4,8001bb90 <_ZL10M90TekReadj+0x40> + 8001bb6c: 80378713 addi a4,a5,-2045 + 8001bb70: 06e50663 beq a0,a4,8001bbdc <_ZL10M90TekReadj+0x8c> + 8001bb74: 80078793 addi a5,a5,-2048 + 8001bb78: 04f50063 beq a0,a5,8001bbb8 <_ZL10M90TekReadj+0x68> + 8001bb7c: 00813083 ld ra,8(sp) + 8001bb80: 001b0517 auipc a0,0x1b0 + 8001bb84: 45854503 lbu a0,1112(a0) # 801cbfd8 <_ZL6tekker> + 8001bb88: 01010113 addi sp,sp,16 + 8001bb8c: 00008067 ret + 8001bb90: 001b0797 auipc a5,0x1b0 + 8001bb94: 41078793 addi a5,a5,1040 # 801cbfa0 <_ZL3mul> + 8001bb98: 0017c583 lbu a1,1(a5) + 8001bb9c: 0007c503 lbu a0,0(a5) + 8001bba0: 21c1e0ef jal ra,80039dbc <__muldi3> + 8001bba4: 00813083 ld ra,8(sp) + 8001bba8: 4085551b sraiw a0,a0,0x8 + 8001bbac: 0ff57513 andi a0,a0,255 + 8001bbb0: 01010113 addi sp,sp,16 + 8001bbb4: 00008067 ret + 8001bbb8: 001b0797 auipc a5,0x1b0 + 8001bbbc: 3e878793 addi a5,a5,1000 # 801cbfa0 <_ZL3mul> + 8001bbc0: 0017c583 lbu a1,1(a5) + 8001bbc4: 0007c503 lbu a0,0(a5) + 8001bbc8: 1f41e0ef jal ra,80039dbc <__muldi3> + 8001bbcc: 00813083 ld ra,8(sp) + 8001bbd0: 0ff57513 andi a0,a0,255 + 8001bbd4: 01010113 addi sp,sp,16 + 8001bbd8: 00008067 ret + 8001bbdc: 00813083 ld ra,8(sp) + 8001bbe0: 001b0517 auipc a0,0x1b0 + 8001bbe4: 3e054503 lbu a0,992(a0) # 801cbfc0 <_ZL5regie> + 8001bbe8: 01010113 addi sp,sp,16 + 8001bbec: 00008067 ret + +000000008001bbf0 <_ZL3CCLv>: + 8001bbf0: 001b0797 auipc a5,0x1b0 + 8001bbf4: 3e97c783 lbu a5,1001(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bbf8: 0067d793 srli a5,a5,0x6 + 8001bbfc: 00100713 li a4,1 + 8001bc00: 04e78263 beq a5,a4,8001bc44 <_ZL3CCLv+0x54> + 8001bc04: 00200713 li a4,2 + 8001bc08: 00e78463 beq a5,a4,8001bc10 <_ZL3CCLv+0x20> + 8001bc0c: 00008067 ret + 8001bc10: 001b0717 auipc a4,0x1b0 + 8001bc14: 3d870713 addi a4,a4,984 # 801cbfe8 <_ZL8IRQCount> + 8001bc18: 00074783 lbu a5,0(a4) + 8001bc1c: 0ff00693 li a3,255 + 8001bc20: fff7879b addiw a5,a5,-1 + 8001bc24: 0ff7f793 andi a5,a5,255 + 8001bc28: 00f70023 sb a5,0(a4) + 8001bc2c: fed790e3 bne a5,a3,8001bc0c <_ZL3CCLv+0x1c> + 8001bc30: 001b0797 auipc a5,0x1b0 + 8001bc34: 3727c783 lbu a5,882(a5) # 801cbfa2 <_ZL4IRQa> + 8001bc38: fc078ae3 beqz a5,8001bc0c <_ZL3CCLv+0x1c> + 8001bc3c: 00100513 li a0,1 + 8001bc40: 8f8e506f j 80000d38 <_Z14X6502_IRQBegini> + 8001bc44: 001b0717 auipc a4,0x1b0 + 8001bc48: 3a470713 addi a4,a4,932 # 801cbfe8 <_ZL8IRQCount> + 8001bc4c: 00074783 lbu a5,0(a4) + 8001bc50: 0017879b addiw a5,a5,1 + 8001bc54: 0ff7f793 andi a5,a5,255 + 8001bc58: 00f70023 sb a5,0(a4) + 8001bc5c: fa0798e3 bnez a5,8001bc0c <_ZL3CCLv+0x1c> + 8001bc60: 001b0797 auipc a5,0x1b0 + 8001bc64: 3427c783 lbu a5,834(a5) # 801cbfa2 <_ZL4IRQa> + 8001bc68: fa0782e3 beqz a5,8001bc0c <_ZL3CCLv+0x1c> + 8001bc6c: fd1ff06f j 8001bc3c <_ZL3CCLv+0x4c> + +000000008001bc70 <_ZL12ClockCounterv>: + 8001bc70: 001b0797 auipc a5,0x1b0 + 8001bc74: 3697c783 lbu a5,873(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bc78: 0047f693 andi a3,a5,4 + 8001bc7c: 00700713 li a4,7 + 8001bc80: 0007879b sext.w a5,a5 + 8001bc84: 00069463 bnez a3,8001bc8c <_ZL12ClockCounterv+0x1c> + 8001bc88: 0ff00713 li a4,255 + 8001bc8c: 0067d793 srli a5,a5,0x6 + 8001bc90: 00100693 li a3,1 + 8001bc94: 02d78a63 beq a5,a3,8001bcc8 <_ZL12ClockCounterv+0x58> + 8001bc98: 00200693 li a3,2 + 8001bc9c: 00d78463 beq a5,a3,8001bca4 <_ZL12ClockCounterv+0x34> + 8001bca0: 00008067 ret + 8001bca4: 001b0697 auipc a3,0x1b0 + 8001bca8: 32868693 addi a3,a3,808 # 801cbfcc <_ZL6IRQPre> + 8001bcac: 0006c783 lbu a5,0(a3) + 8001bcb0: fff7879b addiw a5,a5,-1 + 8001bcb4: 0ff7f793 andi a5,a5,255 + 8001bcb8: 00f68023 sb a5,0(a3) + 8001bcbc: 00e7f7b3 and a5,a5,a4 + 8001bcc0: fee790e3 bne a5,a4,8001bca0 <_ZL12ClockCounterv+0x30> + 8001bcc4: f2dff06f j 8001bbf0 <_ZL3CCLv> + 8001bcc8: 001b0697 auipc a3,0x1b0 + 8001bccc: 30468693 addi a3,a3,772 # 801cbfcc <_ZL6IRQPre> + 8001bcd0: 0006c783 lbu a5,0(a3) + 8001bcd4: 0017879b addiw a5,a5,1 + 8001bcd8: 0ff7f793 andi a5,a5,255 + 8001bcdc: 00f68023 sb a5,0(a3) + 8001bce0: 00e7f7b3 and a5,a5,a4 + 8001bce4: fe0780e3 beqz a5,8001bcc4 <_ZL12ClockCounterv+0x54> + 8001bce8: 00008067 ret + +000000008001bcec <_Z7CPUWrapi>: + 8001bcec: 001b0797 auipc a5,0x1b0 + 8001bcf0: 2ed7c783 lbu a5,749(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bcf4: 0037f793 andi a5,a5,3 + 8001bcf8: 04079063 bnez a5,8001bd38 <_Z7CPUWrapi+0x4c> + 8001bcfc: fe010113 addi sp,sp,-32 + 8001bd00: 00913423 sd s1,8(sp) + 8001bd04: 00113c23 sd ra,24(sp) + 8001bd08: 00813823 sd s0,16(sp) + 8001bd0c: 00050493 mv s1,a0 + 8001bd10: 00a05a63 blez a0,8001bd24 <_Z7CPUWrapi+0x38> + 8001bd14: 00000413 li s0,0 + 8001bd18: 0014041b addiw s0,s0,1 + 8001bd1c: f55ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd20: fe849ce3 bne s1,s0,8001bd18 <_Z7CPUWrapi+0x2c> + 8001bd24: 01813083 ld ra,24(sp) + 8001bd28: 01013403 ld s0,16(sp) + 8001bd2c: 00813483 ld s1,8(sp) + 8001bd30: 02010113 addi sp,sp,32 + 8001bd34: 00008067 ret + 8001bd38: 00008067 ret + +000000008001bd3c <_ZL6SLWrapv>: + 8001bd3c: 001b0797 auipc a5,0x1b0 + 8001bd40: 29d7c783 lbu a5,669(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bd44: 0037f793 andi a5,a5,3 + 8001bd48: 00100713 li a4,1 + 8001bd4c: 00e78463 beq a5,a4,8001bd54 <_ZL6SLWrapv+0x18> + 8001bd50: 00008067 ret + 8001bd54: ff010113 addi sp,sp,-16 + 8001bd58: 00113423 sd ra,8(sp) + 8001bd5c: f15ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd60: f11ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd64: f0dff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd68: f09ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd6c: f05ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd70: f01ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd74: efdff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001bd78: 00813083 ld ra,8(sp) + 8001bd7c: 01010113 addi sp,sp,16 + 8001bd80: ef1ff06f j 8001bc70 <_ZL12ClockCounterv> + +000000008001bd84 <_ZL6M90PPUj>: + 8001bd84: fd010113 addi sp,sp,-48 + 8001bd88: 001b0797 auipc a5,0x1b0 + 8001bd8c: 2517c783 lbu a5,593(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bd90: 02113423 sd ra,40(sp) + 8001bd94: 02813023 sd s0,32(sp) + 8001bd98: 00913c23 sd s1,24(sp) + 8001bd9c: 0037f793 andi a5,a5,3 + 8001bda0: 00200713 li a4,2 + 8001bda4: 06e78263 beq a5,a4,8001be08 <_ZL6M90PPUj+0x84> + 8001bda8: 001b0797 auipc a5,0x1b0 + 8001bdac: 2047a783 lw a5,516(a5) # 801cbfac <_ZL5is209> + 8001bdb0: 02078c63 beqz a5,8001bde8 <_ZL6M90PPUj+0x64> + 8001bdb4: 0085579b srliw a5,a0,0x8 + 8001bdb8: 0ff7f793 andi a5,a5,255 + 8001bdbc: 01f00713 li a4,31 + 8001bdc0: 0085569b srliw a3,a0,0x8 + 8001bdc4: 00f76863 bltu a4,a5,8001bdd4 <_ZL6M90PPUj+0x50> + 8001bdc8: 00f6f793 andi a5,a3,15 + 8001bdcc: 00f00713 li a4,15 + 8001bdd0: 06e78463 beq a5,a4,8001be38 <_ZL6M90PPUj+0xb4> + 8001bdd4: 02813083 ld ra,40(sp) + 8001bdd8: 02013403 ld s0,32(sp) + 8001bddc: 01813483 ld s1,24(sp) + 8001bde0: 03010113 addi sp,sp,48 + 8001bde4: 00008067 ret + 8001bde8: 02813083 ld ra,40(sp) + 8001bdec: 02013403 ld s0,32(sp) + 8001bdf0: 40000793 li a5,1024 + 8001bdf4: 001b0717 auipc a4,0x1b0 + 8001bdf8: 1af71223 sh a5,420(a4) # 801cbf98 <_ZL3chr> + 8001bdfc: 01813483 ld s1,24(sp) + 8001be00: 03010113 addi sp,sp,48 + 8001be04: 00008067 ret + 8001be08: 001b0497 auipc s1,0x1b0 + 8001be0c: 1e448493 addi s1,s1,484 # 801cbfec <_ZL8lastread> + 8001be10: 0004a783 lw a5,0(s1) + 8001be14: 00a79663 bne a5,a0,8001be20 <_ZL6M90PPUj+0x9c> + 8001be18: 00a4a023 sw a0,0(s1) + 8001be1c: f8dff06f j 8001bda8 <_ZL6M90PPUj+0x24> + 8001be20: 00a13423 sd a0,8(sp) + 8001be24: e4dff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001be28: e49ff0ef jal ra,8001bc70 <_ZL12ClockCounterv> + 8001be2c: 00813503 ld a0,8(sp) + 8001be30: 00a4a023 sw a0,0(s1) + 8001be34: f75ff06f j 8001bda8 <_ZL6M90PPUj+0x24> + 8001be38: 0f057413 andi s0,a0,240 + 8001be3c: 0d000793 li a5,208 + 8001be40: 04f40263 beq s0,a5,8001be84 <_ZL6M90PPUj+0x100> + 8001be44: 0e000793 li a5,224 + 8001be48: f8f416e3 bne s0,a5,8001bdd4 <_ZL6M90PPUj+0x50> + 8001be4c: 4046d71b sraiw a4,a3,0x4 + 8001be50: 4026d79b sraiw a5,a3,0x2 + 8001be54: 0047f793 andi a5,a5,4 + 8001be58: 00177693 andi a3,a4,1 + 8001be5c: 001b0717 auipc a4,0x1b0 + 8001be60: 13c70713 addi a4,a4,316 # 801cbf98 <_ZL3chr> + 8001be64: 00d70733 add a4,a4,a3 + 8001be68: 0027e793 ori a5,a5,2 + 8001be6c: 00f70023 sb a5,0(a4) + 8001be70: 02013403 ld s0,32(sp) + 8001be74: 02813083 ld ra,40(sp) + 8001be78: 01813483 ld s1,24(sp) + 8001be7c: 03010113 addi sp,sp,48 + 8001be80: da8ff06f j 8001b428 <_ZL7tekvromv> + 8001be84: 4046d79b sraiw a5,a3,0x4 + 8001be88: 0017f713 andi a4,a5,1 + 8001be8c: 4026d69b sraiw a3,a3,0x2 + 8001be90: 001b0797 auipc a5,0x1b0 + 8001be94: 10878793 addi a5,a5,264 # 801cbf98 <_ZL3chr> + 8001be98: 00e787b3 add a5,a5,a4 + 8001be9c: 0046f693 andi a3,a3,4 + 8001bea0: 00d78023 sb a3,0(a5) + 8001bea4: fcdff06f j 8001be70 <_ZL6M90PPUj+0xec> + +000000008001bea8 <_ZL11M90IRQWritejh>: + 8001bea8: 00757513 andi a0,a0,7 + 8001beac: 0002a717 auipc a4,0x2a + 8001beb0: 82c70713 addi a4,a4,-2004 # 800456d8 <_ZL8conv_tbl+0x50> + 8001beb4: 00251513 slli a0,a0,0x2 + 8001beb8: 00e50533 add a0,a0,a4 + 8001bebc: 00052783 lw a5,0(a0) + 8001bec0: 00e787b3 add a5,a5,a4 + 8001bec4: 00078067 jr a5 + 8001bec8: 001b0797 auipc a5,0x1b0 + 8001becc: 0cb78423 sb a1,200(a5) # 801cbf90 <_ZL10IRQPreSize> + 8001bed0: 00008067 ret + 8001bed4: 001b0797 auipc a5,0x1b0 + 8001bed8: 10b782a3 sb a1,261(a5) # 801cbfd9 <_ZL7IRQMode> + 8001bedc: 00008067 ret + 8001bee0: 00100513 li a0,1 + 8001bee4: 001b0797 auipc a5,0x1b0 + 8001bee8: 0a078f23 sb zero,190(a5) # 801cbfa2 <_ZL4IRQa> + 8001beec: e65e406f j 80000d50 <_Z12X6502_IRQEndi> + 8001bef0: 00100793 li a5,1 + 8001bef4: 001b0717 auipc a4,0x1b0 + 8001bef8: 0af70723 sb a5,174(a4) # 801cbfa2 <_ZL4IRQa> + 8001befc: 00008067 ret + 8001bf00: 001b0797 auipc a5,0x1b0 + 8001bf04: 0cd7c783 lbu a5,205(a5) # 801cbfcd <_ZL6IRQXOR> + 8001bf08: 00f5c5b3 xor a1,a1,a5 + 8001bf0c: 001b0797 auipc a5,0x1b0 + 8001bf10: 0cb78023 sb a1,192(a5) # 801cbfcc <_ZL6IRQPre> + 8001bf14: 00008067 ret + 8001bf18: 001b0797 auipc a5,0x1b0 + 8001bf1c: 0b57c783 lbu a5,181(a5) # 801cbfcd <_ZL6IRQXOR> + 8001bf20: 00f5c5b3 xor a1,a1,a5 + 8001bf24: 001b0797 auipc a5,0x1b0 + 8001bf28: 0cb78223 sb a1,196(a5) # 801cbfe8 <_ZL8IRQCount> + 8001bf2c: 00008067 ret + 8001bf30: 001b0797 auipc a5,0x1b0 + 8001bf34: 08b78ea3 sb a1,157(a5) # 801cbfcd <_ZL6IRQXOR> + 8001bf38: 00008067 ret + 8001bf3c: 0015f593 andi a1,a1,1 + 8001bf40: 001b0797 auipc a5,0x1b0 + 8001bf44: 06b78123 sb a1,98(a5) # 801cbfa2 <_ZL4IRQa> + 8001bf48: f80594e3 bnez a1,8001bed0 <_ZL11M90IRQWritejh+0x28> + 8001bf4c: 00100513 li a0,1 + 8001bf50: e01e406f j 80000d50 <_Z12X6502_IRQEndi> + +000000008001bf54 <_Z13Mapper90_InitP8CartInfo>: + 8001bf54: 00000797 auipc a5,0x0 + 8001bf58: 9d878793 addi a5,a5,-1576 # 8001b92c <_ZL8M90Powerv> + 8001bf5c: 00f53023 sd a5,0(a0) + 8001bf60: 00000797 auipc a5,0x0 + 8001bf64: b8c78793 addi a5,a5,-1140 # 8001baec <_ZL7toggliev> + 8001bf68: 00f53423 sd a5,8(a0) + 8001bf6c: 001b0797 auipc a5,0x1b0 + 8001bf70: 0407a223 sw zero,68(a5) # 801cbfb0 <_ZL5is211> + 8001bf74: 001b0797 auipc a5,0x1b0 + 8001bf78: 0207ac23 sw zero,56(a5) # 801cbfac <_ZL5is209> + 8001bf7c: 00000797 auipc a5,0x0 + 8001bf80: e0878793 addi a5,a5,-504 # 8001bd84 <_ZL6M90PPUj> + 8001bf84: 001b0717 auipc a4,0x1b0 + 8001bf88: 78f73223 sd a5,1924(a4) # 801cc708 + 8001bf8c: 00000797 auipc a5,0x0 + 8001bf90: d6078793 addi a5,a5,-672 # 8001bcec <_Z7CPUWrapi> + 8001bf94: 001b0717 auipc a4,0x1b0 + 8001bf98: baf73623 sd a5,-1108(a4) # 801cbb40 + 8001bf9c: 00000797 auipc a5,0x0 + 8001bfa0: da078793 addi a5,a5,-608 # 8001bd3c <_ZL6SLWrapv> + 8001bfa4: 001b0717 auipc a4,0x1b0 + 8001bfa8: 72f73223 sd a5,1828(a4) # 801cc6c8 + 8001bfac: 00000797 auipc a5,0x0 + 8001bfb0: 8f078793 addi a5,a5,-1808 # 8001b89c <_ZL10M90Restorei> + 8001bfb4: 00000693 li a3,0 + 8001bfb8: 00000613 li a2,0 + 8001bfbc: fff00593 li a1,-1 + 8001bfc0: 00031517 auipc a0,0x31 + 8001bfc4: 86050513 addi a0,a0,-1952 # 8004c820 <_ZL13Tek_StateRegs> + 8001bfc8: 001b0717 auipc a4,0x1b0 + 8001bfcc: 68f73823 sd a5,1680(a4) # 801cc658 + 8001bfd0: 840e406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001bfd4 <_Z14Mapper209_InitP8CartInfo>: + 8001bfd4: 00000797 auipc a5,0x0 + 8001bfd8: 95878793 addi a5,a5,-1704 # 8001b92c <_ZL8M90Powerv> + 8001bfdc: 00f53023 sd a5,0(a0) + 8001bfe0: 00000797 auipc a5,0x0 + 8001bfe4: b0c78793 addi a5,a5,-1268 # 8001baec <_ZL7toggliev> + 8001bfe8: 00f53423 sd a5,8(a0) + 8001bfec: 001b0797 auipc a5,0x1b0 + 8001bff0: fc07a223 sw zero,-60(a5) # 801cbfb0 <_ZL5is211> + 8001bff4: 00100793 li a5,1 + 8001bff8: 001b0717 auipc a4,0x1b0 + 8001bffc: faf72a23 sw a5,-76(a4) # 801cbfac <_ZL5is209> + 8001c000: 00000797 auipc a5,0x0 + 8001c004: d8478793 addi a5,a5,-636 # 8001bd84 <_ZL6M90PPUj> + 8001c008: 001b0717 auipc a4,0x1b0 + 8001c00c: 70f73023 sd a5,1792(a4) # 801cc708 + 8001c010: 00000797 auipc a5,0x0 + 8001c014: cdc78793 addi a5,a5,-804 # 8001bcec <_Z7CPUWrapi> + 8001c018: 001b0717 auipc a4,0x1b0 + 8001c01c: b2f73423 sd a5,-1240(a4) # 801cbb40 + 8001c020: 00000797 auipc a5,0x0 + 8001c024: d1c78793 addi a5,a5,-740 # 8001bd3c <_ZL6SLWrapv> + 8001c028: 001b0717 auipc a4,0x1b0 + 8001c02c: 6af73023 sd a5,1696(a4) # 801cc6c8 + 8001c030: 00000797 auipc a5,0x0 + 8001c034: 86c78793 addi a5,a5,-1940 # 8001b89c <_ZL10M90Restorei> + 8001c038: 00000693 li a3,0 + 8001c03c: 00000613 li a2,0 + 8001c040: fff00593 li a1,-1 + 8001c044: 00030517 auipc a0,0x30 + 8001c048: 7dc50513 addi a0,a0,2012 # 8004c820 <_ZL13Tek_StateRegs> + 8001c04c: 001b0717 auipc a4,0x1b0 + 8001c050: 60f73623 sd a5,1548(a4) # 801cc658 + 8001c054: fbde306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001c058 <_Z14Mapper211_InitP8CartInfo>: + 8001c058: 00000797 auipc a5,0x0 + 8001c05c: 8d478793 addi a5,a5,-1836 # 8001b92c <_ZL8M90Powerv> + 8001c060: 00f53023 sd a5,0(a0) + 8001c064: 00000797 auipc a5,0x0 + 8001c068: a8878793 addi a5,a5,-1400 # 8001baec <_ZL7toggliev> + 8001c06c: 00f53423 sd a5,8(a0) + 8001c070: 00100793 li a5,1 + 8001c074: 001b0717 auipc a4,0x1b0 + 8001c078: f2f72e23 sw a5,-196(a4) # 801cbfb0 <_ZL5is211> + 8001c07c: 00000797 auipc a5,0x0 + 8001c080: d0878793 addi a5,a5,-760 # 8001bd84 <_ZL6M90PPUj> + 8001c084: 001b0717 auipc a4,0x1b0 + 8001c088: 68f73223 sd a5,1668(a4) # 801cc708 + 8001c08c: 00000797 auipc a5,0x0 + 8001c090: c6078793 addi a5,a5,-928 # 8001bcec <_Z7CPUWrapi> + 8001c094: 001b0717 auipc a4,0x1b0 + 8001c098: aaf73623 sd a5,-1364(a4) # 801cbb40 + 8001c09c: 00000797 auipc a5,0x0 + 8001c0a0: ca078793 addi a5,a5,-864 # 8001bd3c <_ZL6SLWrapv> + 8001c0a4: 001b0717 auipc a4,0x1b0 + 8001c0a8: 62f73223 sd a5,1572(a4) # 801cc6c8 + 8001c0ac: fffff797 auipc a5,0xfffff + 8001c0b0: 7f078793 addi a5,a5,2032 # 8001b89c <_ZL10M90Restorei> + 8001c0b4: 00000693 li a3,0 + 8001c0b8: 00000613 li a2,0 + 8001c0bc: fff00593 li a1,-1 + 8001c0c0: 00030517 auipc a0,0x30 + 8001c0c4: 76050513 addi a0,a0,1888 # 8004c820 <_ZL13Tek_StateRegs> + 8001c0c8: 001b0717 auipc a4,0x1b0 + 8001c0cc: 58f73823 sd a5,1424(a4) # 801cc658 + 8001c0d0: f41e306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001c0d4 <_ZL10H2288Powerv>: + 8001c0d4: ff010113 addi sp,sp,-16 + 8001c0d8: 00113423 sd ra,8(sp) + 8001c0dc: 001b0797 auipc a5,0x1b0 + 8001c0e0: 17478793 addi a5,a5,372 # 801cc250 + 8001c0e4: 000780a3 sb zero,1(a5) + 8001c0e8: 00078023 sb zero,0(a5) + 8001c0ec: 0d40a0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8001c0f0: 000105b7 lui a1,0x10 + 8001c0f4: ffff4617 auipc a2,0xffff4 + 8001c0f8: 56c60613 addi a2,a2,1388 # 80010660 <_Z6CartBRj> + 8001c0fc: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001c100: 00008537 lui a0,0x8 + 8001c104: 119170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c108: 000065b7 lui a1,0x6 + 8001c10c: 00000617 auipc a2,0x0 + 8001c110: 0e460613 addi a2,a2,228 # 8001c1f0 <_ZL12H2288WriteLojh> + 8001c114: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8001c118: 00005537 lui a0,0x5 + 8001c11c: 20d170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001c120: 00813083 ld ra,8(sp) + 8001c124: 0000a5b7 lui a1,0xa + 8001c128: 00000617 auipc a2,0x0 + 8001c12c: 08860613 addi a2,a2,136 # 8001c1b0 <_ZL12H2288WriteHijh> + 8001c130: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 8001c134: 00008537 lui a0,0x8 + 8001c138: 01010113 addi sp,sp,16 + 8001c13c: 1ed1706f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001c140 <_ZL7H2288PWjh>: + 8001c140: 001b0797 auipc a5,0x1b0 + 8001c144: 1107c783 lbu a5,272(a5) # 801cc250 + 8001c148: 0407f713 andi a4,a5,64 + 8001c14c: 04070e63 beqz a4,8001c1a8 <_ZL7H2288PWjh+0x68> + 8001c150: 4027d59b sraiw a1,a5,0x2 + 8001c154: 0057f713 andi a4,a5,5 + 8001c158: 00a5f593 andi a1,a1,10 + 8001c15c: 0027f793 andi a5,a5,2 + 8001c160: 00e5e5b3 or a1,a1,a4 + 8001c164: 00078863 beqz a5,8001c174 <_ZL7H2288PWjh+0x34> + 8001c168: 0015d593 srli a1,a1,0x1 + 8001c16c: 00008537 lui a0,0x8 + 8001c170: ca5f406f j 80010e14 <_Z8setprg32jj> + 8001c174: ff010113 addi sp,sp,-16 + 8001c178: 00813023 sd s0,0(sp) + 8001c17c: 0005841b sext.w s0,a1 + 8001c180: 00040593 mv a1,s0 + 8001c184: 00008537 lui a0,0x8 + 8001c188: 00113423 sd ra,8(sp) + 8001c18c: acdf40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c190: 00040593 mv a1,s0 + 8001c194: 00013403 ld s0,0(sp) + 8001c198: 00813083 ld ra,8(sp) + 8001c19c: 0000c537 lui a0,0xc + 8001c1a0: 01010113 addi sp,sp,16 + 8001c1a4: ab5f406f j 80010c58 <_Z8setprg16jj> + 8001c1a8: 03f5f593 andi a1,a1,63 + 8001c1ac: 8f1f406f j 80010a9c <_Z7setprg8jj> + +000000008001c1b0 <_ZL12H2288WriteHijh>: + 8001c1b0: 000086b7 lui a3,0x8 + 8001c1b4: 00168713 addi a4,a3,1 # 8001 <_entry_offset+0x8001> + 8001c1b8: 00e57533 and a0,a0,a4 + 8001c1bc: 00d50863 beq a0,a3,8001c1cc <_ZL12H2288WriteHijh+0x1c> + 8001c1c0: 00e51463 bne a0,a4,8001c1c8 <_ZL12H2288WriteHijh+0x18> + 8001c1c4: 7000a06f j 800268c4 <_Z13MMC3_CMDWritejh> + 8001c1c8: 00008067 ret + 8001c1cc: 0075f693 andi a3,a1,7 + 8001c1d0: 00031717 auipc a4,0x31 + 8001c1d4: d9070713 addi a4,a4,-624 # 8004cf60 + 8001c1d8: 00d70733 add a4,a4,a3 + 8001c1dc: 00074703 lbu a4,0(a4) + 8001c1e0: fc05f593 andi a1,a1,-64 + 8001c1e4: 00008537 lui a0,0x8 + 8001c1e8: 00e5e5b3 or a1,a1,a4 + 8001c1ec: 6d80a06f j 800268c4 <_Z13MMC3_CMDWritejh> + +000000008001c1f0 <_ZL12H2288WriteLojh>: + 8001c1f0: 03451793 slli a5,a0,0x34 + 8001c1f4: 0207da63 bgez a5,8001c228 <_ZL12H2288WriteLojh+0x38> + 8001c1f8: 00157513 andi a0,a0,1 + 8001c1fc: 00051c63 bnez a0,8001c214 <_ZL12H2288WriteLojh+0x24> + 8001c200: 001b0797 auipc a5,0x1b0 + 8001c204: 04b78823 sb a1,80(a5) # 801cc250 + 8001c208: 001b0517 auipc a0,0x1b0 + 8001c20c: 05454503 lbu a0,84(a0) # 801cc25c + 8001c210: 45d0906f j 80025e6c <_Z10FixMMC3PRGi> + 8001c214: 001b0797 auipc a5,0x1b0 + 8001c218: 02b78ea3 sb a1,61(a5) # 801cc251 + 8001c21c: 001b0517 auipc a0,0x1b0 + 8001c220: 04054503 lbu a0,64(a0) # 801cc25c + 8001c224: 4490906f j 80025e6c <_Z10FixMMC3PRGi> + 8001c228: 00008067 ret + +000000008001c22c <_Z13UNLH2288_InitP8CartInfo>: + 8001c22c: ff010113 addi sp,sp,-16 + 8001c230: 00000693 li a3,0 + 8001c234: 10000613 li a2,256 + 8001c238: 10000593 li a1,256 + 8001c23c: 00000713 li a4,0 + 8001c240: 00813023 sd s0,0(sp) + 8001c244: 00113423 sd ra,8(sp) + 8001c248: 00050413 mv s0,a0 + 8001c24c: 5cd0a0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8001c250: 00000797 auipc a5,0x0 + 8001c254: ef078793 addi a5,a5,-272 # 8001c140 <_ZL7H2288PWjh> + 8001c258: 001b0717 auipc a4,0x1b0 + 8001c25c: 04f73023 sd a5,64(a4) # 801cc298 + 8001c260: 00000797 auipc a5,0x0 + 8001c264: e7478793 addi a5,a5,-396 # 8001c0d4 <_ZL10H2288Powerv> + 8001c268: 00f43023 sd a5,0(s0) + 8001c26c: 00013403 ld s0,0(sp) + 8001c270: 00813083 ld ra,8(sp) + 8001c274: 00029697 auipc a3,0x29 + 8001c278: 27c68693 addi a3,a3,636 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8001c27c: 00000613 li a2,0 + 8001c280: 00200593 li a1,2 + 8001c284: 001b0517 auipc a0,0x1b0 + 8001c288: fcc50513 addi a0,a0,-52 # 801cc250 + 8001c28c: 01010113 addi sp,sp,16 + 8001c290: d81e306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001c294 <_ZL4Syncv>: + 8001c294: ff010113 addi sp,sp,-16 + 8001c298: 00008537 lui a0,0x8 + 8001c29c: 001b0597 auipc a1,0x1b0 + 8001c2a0: d555c583 lbu a1,-683(a1) # 801cbff1 <_ZL4preg> + 8001c2a4: 00113423 sd ra,8(sp) + 8001c2a8: b6df40ef jal ra,80010e14 <_Z8setprg32jj> + 8001c2ac: 00813083 ld ra,8(sp) + 8001c2b0: 001b0517 auipc a0,0x1b0 + 8001c2b4: d4054503 lbu a0,-704(a0) # 801cbff0 <_ZL4creg> + 8001c2b8: 01010113 addi sp,sp,16 + 8001c2bc: c49f406f j 80010f04 <_Z7setchr8j> + +000000008001c2c0 <_ZL12StateRestorei>: + 8001c2c0: fd5ff06f j 8001c294 <_ZL4Syncv> + +000000008001c2c4 <_ZL8M79Writejh>: + 8001c2c4: 000047b7 lui a5,0x4 + 8001c2c8: 10078793 addi a5,a5,256 # 4100 <_entry_offset+0x4100> + 8001c2cc: 00f51a63 bne a0,a5,8001c2e0 <_ZL8M79Writejh+0x1c> + 8001c2d0: 4035d79b sraiw a5,a1,0x3 + 8001c2d4: 0017f793 andi a5,a5,1 + 8001c2d8: 001b0717 auipc a4,0x1b0 + 8001c2dc: d0f70ca3 sb a5,-743(a4) # 801cbff1 <_ZL4preg> + 8001c2e0: 0075f593 andi a1,a1,7 + 8001c2e4: 001b0797 auipc a5,0x1b0 + 8001c2e8: d0b78623 sb a1,-756(a5) # 801cbff0 <_ZL4creg> + 8001c2ec: fa9ff06f j 8001c294 <_ZL4Syncv> + +000000008001c2f0 <_ZL8M79Powerv>: + 8001c2f0: ff010113 addi sp,sp,-16 + 8001c2f4: fff00793 li a5,-1 + 8001c2f8: 00113423 sd ra,8(sp) + 8001c2fc: 00813023 sd s0,0(sp) + 8001c300: 001b0717 auipc a4,0x1b0 + 8001c304: cef708a3 sb a5,-783(a4) # 801cbff1 <_ZL4preg> + 8001c308: f8dff0ef jal ra,8001c294 <_ZL4Syncv> + 8001c30c: 000065b7 lui a1,0x6 + 8001c310: 00004537 lui a0,0x4 + 8001c314: 00000617 auipc a2,0x0 + 8001c318: fb060613 addi a2,a2,-80 # 8001c2c4 <_ZL8M79Writejh> + 8001c31c: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8001c320: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 8001c324: 005170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001c328: 00010437 lui s0,0x10 + 8001c32c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001c330: 00000617 auipc a2,0x0 + 8001c334: f9460613 addi a2,a2,-108 # 8001c2c4 <_ZL8M79Writejh> + 8001c338: 00008537 lui a0,0x8 + 8001c33c: 7ec170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001c340: fff40593 addi a1,s0,-1 + 8001c344: 00013403 ld s0,0(sp) + 8001c348: 00813083 ld ra,8(sp) + 8001c34c: ffff4617 auipc a2,0xffff4 + 8001c350: 31460613 addi a2,a2,788 # 80010660 <_Z6CartBRj> + 8001c354: 00008537 lui a0,0x8 + 8001c358: 01010113 addi sp,sp,16 + 8001c35c: 6c01706f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008001c360 <_Z13Mapper79_InitP8CartInfo>: + 8001c360: ff010113 addi sp,sp,-16 + 8001c364: 00113423 sd ra,8(sp) + 8001c368: 00000797 auipc a5,0x0 + 8001c36c: f8878793 addi a5,a5,-120 # 8001c2f0 <_ZL8M79Powerv> + 8001c370: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001c374: 00000693 li a3,0 + 8001c378: 00000613 li a2,0 + 8001c37c: fff00593 li a1,-1 + 8001c380: 0002f517 auipc a0,0x2f + 8001c384: b6850513 addi a0,a0,-1176 # 8004aee8 <_ZL9StateRegs> + 8001c388: c89e30ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001c38c: 00813083 ld ra,8(sp) + 8001c390: 00000797 auipc a5,0x0 + 8001c394: f3078793 addi a5,a5,-208 # 8001c2c0 <_ZL12StateRestorei> + 8001c398: 001b0717 auipc a4,0x1b0 + 8001c39c: 2cf73023 sd a5,704(a4) # 801cc658 + 8001c3a0: 01010113 addi sp,sp,16 + 8001c3a4: 00008067 ret + +000000008001c3a8 <_ZL4Syncv>: + 8001c3a8: ff010113 addi sp,sp,-16 + 8001c3ac: 001b0597 auipc a1,0x1b0 + 8001c3b0: c475c583 lbu a1,-953(a1) # 801cbff3 <_ZL4preg> + 8001c3b4: 00008537 lui a0,0x8 + 8001c3b8: 00113423 sd ra,8(sp) + 8001c3bc: 89df40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c3c0: fff00593 li a1,-1 + 8001c3c4: 0000c537 lui a0,0xc + 8001c3c8: 891f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c3cc: 00000513 li a0,0 + 8001c3d0: b35f40ef jal ra,80010f04 <_Z7setchr8j> + 8001c3d4: 001b0517 auipc a0,0x1b0 + 8001c3d8: c1e54503 lbu a0,-994(a0) # 801cbff2 <_ZL4mirr> + 8001c3dc: 00051863 bnez a0,8001c3ec <_ZL4Syncv+0x44> + 8001c3e0: 00813083 ld ra,8(sp) + 8001c3e4: 01010113 addi sp,sp,16 + 8001c3e8: 00008067 ret + 8001c3ec: 00813083 ld ra,8(sp) + 8001c3f0: 01010113 addi sp,sp,16 + 8001c3f4: c55f406f j 80011048 <_Z9setmirrori> + +000000008001c3f8 <_ZL12StateRestorei>: + 8001c3f8: fb1ff06f j 8001c3a8 <_ZL4Syncv> + +000000008001c3fc <_ZL8M71Writejh>: + 8001c3fc: 0000f7b7 lui a5,0xf + 8001c400: 00f57533 and a0,a0,a5 + 8001c404: 000097b7 lui a5,0x9 + 8001c408: 00f50863 beq a0,a5,8001c418 <_ZL8M71Writejh+0x1c> + 8001c40c: 001b0797 auipc a5,0x1b0 + 8001c410: beb783a3 sb a1,-1049(a5) # 801cbff3 <_ZL4preg> + 8001c414: f95ff06f j 8001c3a8 <_ZL4Syncv> + 8001c418: 4045d59b sraiw a1,a1,0x4 + 8001c41c: 0015f593 andi a1,a1,1 + 8001c420: 0025859b addiw a1,a1,2 + 8001c424: 001b0797 auipc a5,0x1b0 + 8001c428: bcb78723 sb a1,-1074(a5) # 801cbff2 <_ZL4mirr> + 8001c42c: f7dff06f j 8001c3a8 <_ZL4Syncv> + +000000008001c430 <_ZL8M71Powerv>: + 8001c430: ff010113 addi sp,sp,-16 + 8001c434: 00113423 sd ra,8(sp) + 8001c438: 00813023 sd s0,0(sp) + 8001c43c: 001b0797 auipc a5,0x1b0 + 8001c440: ba078b23 sb zero,-1098(a5) # 801cbff2 <_ZL4mirr> + 8001c444: 00010437 lui s0,0x10 + 8001c448: f61ff0ef jal ra,8001c3a8 <_ZL4Syncv> + 8001c44c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001c450: ffff4617 auipc a2,0xffff4 + 8001c454: 21060613 addi a2,a2,528 # 80010660 <_Z6CartBRj> + 8001c458: 00008537 lui a0,0x8 + 8001c45c: 5c0170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c460: fff40593 addi a1,s0,-1 + 8001c464: 00013403 ld s0,0(sp) + 8001c468: 00813083 ld ra,8(sp) + 8001c46c: 00000617 auipc a2,0x0 + 8001c470: f9060613 addi a2,a2,-112 # 8001c3fc <_ZL8M71Writejh> + 8001c474: 00008537 lui a0,0x8 + 8001c478: 01010113 addi sp,sp,16 + 8001c47c: 6ac1706f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001c480 <_Z13Mapper71_InitP8CartInfo>: + 8001c480: 00000797 auipc a5,0x0 + 8001c484: fb078793 addi a5,a5,-80 # 8001c430 <_ZL8M71Powerv> + 8001c488: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8001c48c: 00000797 auipc a5,0x0 + 8001c490: f6c78793 addi a5,a5,-148 # 8001c3f8 <_ZL12StateRestorei> + 8001c494: 00000693 li a3,0 + 8001c498: 00000613 li a2,0 + 8001c49c: fff00593 li a1,-1 + 8001c4a0: 0002f517 auipc a0,0x2f + 8001c4a4: a9050513 addi a0,a0,-1392 # 8004af30 <_ZL9StateRegs> + 8001c4a8: 001b0717 auipc a4,0x1b0 + 8001c4ac: 1af73823 sd a5,432(a4) # 801cc658 + 8001c4b0: b61e306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001c4b4 <_ZL13UNLPEC586Readj>: + 8001c4b4: 001b0797 auipc a5,0x1b0 + 8001c4b8: b487c783 lbu a5,-1208(a5) # 801cbffc <_ZL3reg+0x4> + 8001c4bc: 0047d713 srli a4,a5,0x4 + 8001c4c0: 00029797 auipc a5,0x29 + 8001c4c4: 2a078793 addi a5,a5,672 # 80045760 <_ZL6br_tbl> + 8001c4c8: 00e787b3 add a5,a5,a4 + 8001c4cc: 0007c783 lbu a5,0(a5) + 8001c4d0: 0003b517 auipc a0,0x3b + 8001c4d4: 39854503 lbu a0,920(a0) # 80057868 + 8001c4d8: fd857513 andi a0,a0,-40 + 8001c4dc: 00f56533 or a0,a0,a5 + 8001c4e0: 0ff57513 andi a0,a0,255 + 8001c4e4: 00008067 ret + +000000008001c4e8 <_ZL14UNLPEC586Closev>: + 8001c4e8: 001b0517 auipc a0,0x1b0 + 8001c4ec: b1853503 ld a0,-1256(a0) # 801cc000 <_ZL4WRAM> + 8001c4f0: 02050263 beqz a0,8001c514 <_ZL14UNLPEC586Closev+0x2c> + 8001c4f4: ff010113 addi sp,sp,-16 + 8001c4f8: 00113423 sd ra,8(sp) + 8001c4fc: 844f60ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8001c500: 00813083 ld ra,8(sp) + 8001c504: 001b0797 auipc a5,0x1b0 + 8001c508: ae07be23 sd zero,-1284(a5) # 801cc000 <_ZL4WRAM> + 8001c50c: 01010113 addi sp,sp,16 + 8001c510: 00008067 ret + 8001c514: 001b0797 auipc a5,0x1b0 + 8001c518: ae07b623 sd zero,-1300(a5) # 801cc000 <_ZL4WRAM> + 8001c51c: 00008067 ret + +000000008001c520 <_ZL4Syncv>: + 8001c520: fe010113 addi sp,sp,-32 + 8001c524: 00000513 li a0,0 + 8001c528: 00113c23 sd ra,24(sp) + 8001c52c: 00813823 sd s0,16(sp) + 8001c530: 00913423 sd s1,8(sp) + 8001c534: 9d1f40ef jal ra,80010f04 <_Z7setchr8j> + 8001c538: 00000613 li a2,0 + 8001c53c: 000065b7 lui a1,0x6 + 8001c540: 01000513 li a0,16 + 8001c544: ba4f40ef jal ra,800108e8 <_Z8setprg8rijj> + 8001c548: 000a6717 auipc a4,0xa6 + 8001c54c: 67872703 lw a4,1656(a4) # 800c2bc0 + 8001c550: 000807b7 lui a5,0x80 + 8001c554: 04f71463 bne a4,a5,8001c59c <_ZL4Syncv+0x7c> + 8001c558: 001b0417 auipc s0,0x1b0 + 8001c55c: aa040413 addi s0,s0,-1376 # 801cbff8 <_ZL3reg> + 8001c560: 00044583 lbu a1,0(s0) + 8001c564: 0105f793 andi a5,a1,16 + 8001c568: 08079063 bnez a5,8001c5e8 <_ZL4Syncv+0xc8> + 8001c56c: 0405f793 andi a5,a1,64 + 8001c570: 08079663 bnez a5,8001c5fc <_ZL4Syncv+0xdc> + 8001c574: 0185f593 andi a1,a1,24 + 8001c578: 01800793 li a5,24 + 8001c57c: 00000513 li a0,0 + 8001c580: 00f58463 beq a1,a5,8001c588 <_ZL4Syncv+0x68> + 8001c584: 00100513 li a0,1 + 8001c588: 01013403 ld s0,16(sp) + 8001c58c: 01813083 ld ra,24(sp) + 8001c590: 00813483 ld s1,8(sp) + 8001c594: 02010113 addi sp,sp,32 + 8001c598: ab1f406f j 80011048 <_Z9setmirrori> + 8001c59c: 001b0497 auipc s1,0x1b0 + 8001c5a0: a5c48493 addi s1,s1,-1444 # 801cbff8 <_ZL3reg> + 8001c5a4: 0004c783 lbu a5,0(s1) + 8001c5a8: 00029417 auipc s0,0x29 + 8001c5ac: 1c840413 addi s0,s0,456 # 80045770 <_ZL6bs_tbl> + 8001c5b0: 00008537 lui a0,0x8 + 8001c5b4: 07f7f793 andi a5,a5,127 + 8001c5b8: 00f407b3 add a5,s0,a5 + 8001c5bc: 0007c583 lbu a1,0(a5) # 80000 <_entry_offset+0x80000> + 8001c5c0: 0045d593 srli a1,a1,0x4 + 8001c5c4: e94f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c5c8: 0004c783 lbu a5,0(s1) + 8001c5cc: 0000c537 lui a0,0xc + 8001c5d0: 07f7f793 andi a5,a5,127 + 8001c5d4: 00f40433 add s0,s0,a5 + 8001c5d8: 00044583 lbu a1,0(s0) + 8001c5dc: 00f5f593 andi a1,a1,15 + 8001c5e0: e78f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c5e4: fa1ff06f j 8001c584 <_ZL4Syncv+0x64> + 8001c5e8: 0075f593 andi a1,a1,7 + 8001c5ec: 00008537 lui a0,0x8 + 8001c5f0: 825f40ef jal ra,80010e14 <_Z8setprg32jj> + 8001c5f4: 00044583 lbu a1,0(s0) + 8001c5f8: f7dff06f j 8001c574 <_ZL4Syncv+0x54> + 8001c5fc: 4015d79b sraiw a5,a1,0x1 + 8001c600: 0107f793 andi a5,a5,16 + 8001c604: 00f5f593 andi a1,a1,15 + 8001c608: 00f5e5b3 or a1,a1,a5 + 8001c60c: 0205e593 ori a1,a1,32 + 8001c610: 00008537 lui a0,0x8 + 8001c614: c88f40ef jal ra,80010a9c <_Z7setprg8jj> + 8001c618: 00044583 lbu a1,0(s0) + 8001c61c: f59ff06f j 8001c574 <_ZL4Syncv+0x54> + +000000008001c620 <_ZL12StateRestorei>: + 8001c620: f01ff06f j 8001c520 <_ZL4Syncv> + +000000008001c624 <_ZL14UNLPEC586Writejh>: + 8001c624: 0085551b srliw a0,a0,0x8 + 8001c628: 001b0797 auipc a5,0x1b0 + 8001c62c: 9d078793 addi a5,a5,-1584 # 801cbff8 <_ZL3reg> + 8001c630: 00757513 andi a0,a0,7 + 8001c634: 00a78533 add a0,a5,a0 + 8001c638: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 8001c63c: 0007c783 lbu a5,0(a5) + 8001c640: 0077d79b srliw a5,a5,0x7 + 8001c644: 001b0717 auipc a4,0x1b0 + 8001c648: 0af72c23 sw a5,184(a4) # 801cc6fc + 8001c64c: ed5ff06f j 8001c520 <_ZL4Syncv> + +000000008001c650 <_ZL14UNLPEC586Powerv>: + 8001c650: fe010113 addi sp,sp,-32 + 8001c654: 00813823 sd s0,16(sp) + 8001c658: 000a6417 auipc s0,0xa6 + 8001c65c: 56840413 addi s0,s0,1384 # 800c2bc0 + 8001c660: 00042703 lw a4,0(s0) + 8001c664: 00113c23 sd ra,24(sp) + 8001c668: 00913423 sd s1,8(sp) + 8001c66c: 000807b7 lui a5,0x80 + 8001c670: 0af70e63 beq a4,a5,8001c72c <_ZL14UNLPEC586Powerv+0xdc> + 8001c674: 00e00793 li a5,14 + 8001c678: 001b0717 auipc a4,0x1b0 + 8001c67c: 98f70023 sb a5,-1664(a4) # 801cbff8 <_ZL3reg> + 8001c680: ea1ff0ef jal ra,8001c520 <_ZL4Syncv> + 8001c684: 000084b7 lui s1,0x8 + 8001c688: ffff4617 auipc a2,0xffff4 + 8001c68c: fd860613 addi a2,a2,-40 # 80010660 <_Z6CartBRj> + 8001c690: fff48593 addi a1,s1,-1 # 7fff <_entry_offset+0x7fff> + 8001c694: 00006537 lui a0,0x6 + 8001c698: 384170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c69c: ffff4617 auipc a2,0xffff4 + 8001c6a0: ff060613 addi a2,a2,-16 # 8001068c <_Z6CartBWjh> + 8001c6a4: fff48593 addi a1,s1,-1 + 8001c6a8: 00006537 lui a0,0x6 + 8001c6ac: 47c170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001c6b0: 00042703 lw a4,0(s0) + 8001c6b4: 000807b7 lui a5,0x80 + 8001c6b8: 08f70063 beq a4,a5,8001c738 <_ZL14UNLPEC586Powerv+0xe8> + 8001c6bc: 000105b7 lui a1,0x10 + 8001c6c0: ffff4617 auipc a2,0xffff4 + 8001c6c4: fa060613 addi a2,a2,-96 # 80010660 <_Z6CartBRj> + 8001c6c8: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001c6cc: 00008537 lui a0,0x8 + 8001c6d0: 34c170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c6d4: 00006437 lui s0,0x6 + 8001c6d8: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 8001c6dc: 00000617 auipc a2,0x0 + 8001c6e0: f4860613 addi a2,a2,-184 # 8001c624 <_ZL14UNLPEC586Writejh> + 8001c6e4: 00005537 lui a0,0x5 + 8001c6e8: 440170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001c6ec: fff40593 addi a1,s0,-1 + 8001c6f0: 00000617 auipc a2,0x0 + 8001c6f4: dc460613 addi a2,a2,-572 # 8001c4b4 <_ZL13UNLPEC586Readj> + 8001c6f8: 00005537 lui a0,0x5 + 8001c6fc: 320170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c700: 01013403 ld s0,16(sp) + 8001c704: 01813083 ld ra,24(sp) + 8001c708: 00813483 ld s1,8(sp) + 8001c70c: 001b0517 auipc a0,0x1b0 + 8001c710: 90052503 lw a0,-1792(a0) # 801cc00c <_ZL8WRAMSIZE> + 8001c714: 001b0617 auipc a2,0x1b0 + 8001c718: 8ec63603 ld a2,-1812(a2) # 801cc000 <_ZL4WRAM> + 8001c71c: 000065b7 lui a1,0x6 + 8001c720: 00a5551b srliw a0,a0,0xa + 8001c724: 02010113 addi sp,sp,32 + 8001c728: 8ede306f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + 8001c72c: 001b0797 auipc a5,0x1b0 + 8001c730: 8c078623 sb zero,-1844(a5) # 801cbff8 <_ZL3reg> + 8001c734: f4dff06f j 8001c680 <_ZL14UNLPEC586Powerv+0x30> + 8001c738: 000105b7 lui a1,0x10 + 8001c73c: 00000617 auipc a2,0x0 + 8001c740: 01860613 addi a2,a2,24 # 8001c754 <_ZL15UNLPEC586ReadHij> + 8001c744: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001c748: 00008537 lui a0,0x8 + 8001c74c: 2d0170ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001c750: f85ff06f j 8001c6d4 <_ZL14UNLPEC586Powerv+0x84> + +000000008001c754 <_ZL15UNLPEC586ReadHij>: + 8001c754: 001b0797 auipc a5,0x1b0 + 8001c758: 8a47c783 lbu a5,-1884(a5) # 801cbff8 <_ZL3reg> + 8001c75c: 0107f713 andi a4,a5,16 + 8001c760: 00071a63 bnez a4,8001c774 <_ZL15UNLPEC586ReadHij+0x20> + 8001c764: 0407f793 andi a5,a5,64 + 8001c768: 00078863 beqz a5,8001c778 <_ZL15UNLPEC586ReadHij+0x24> + 8001c76c: 0000a7b7 lui a5,0xa + 8001c770: 00f57463 bgeu a0,a5,8001c778 <_ZL15UNLPEC586ReadHij+0x24> + 8001c774: eedf306f j 80010660 <_Z6CartBRj> + 8001c778: 0075579b srliw a5,a0,0x7 + 8001c77c: 0003e737 lui a4,0x3e + 8001c780: 00a7979b slliw a5,a5,0xa + 8001c784: 00e7f7b3 and a5,a5,a4 + 8001c788: 3ff57513 andi a0,a0,1023 + 8001c78c: 00a7e533 or a0,a5,a0 + 8001c790: 000427b7 lui a5,0x42 + 8001c794: c0078793 addi a5,a5,-1024 # 41c00 <_entry_offset+0x41c00> + 8001c798: 00f56533 or a0,a0,a5 + 8001c79c: 02051513 slli a0,a0,0x20 + 8001c7a0: 000a6797 auipc a5,0xa6 + 8001c7a4: 3007b783 ld a5,768(a5) # 800c2aa0 + 8001c7a8: 02055513 srli a0,a0,0x20 + 8001c7ac: 00a78533 add a0,a5,a0 + 8001c7b0: 00054503 lbu a0,0(a0) # 8000 <_entry_offset+0x8000> + 8001c7b4: 00008067 ret + +000000008001c7b8 <_Z13UNLPEC586InitP8CartInfo>: + 8001c7b8: fe010113 addi sp,sp,-32 + 8001c7bc: 00113c23 sd ra,24(sp) + 8001c7c0: 00813823 sd s0,16(sp) + 8001c7c4: 00913423 sd s1,8(sp) + 8001c7c8: 00000797 auipc a5,0x0 + 8001c7cc: e8878793 addi a5,a5,-376 # 8001c650 <_ZL14UNLPEC586Powerv> + 8001c7d0: 00f53023 sd a5,0(a0) + 8001c7d4: 00000797 auipc a5,0x0 + 8001c7d8: d1478793 addi a5,a5,-748 # 8001c4e8 <_ZL14UNLPEC586Closev> + 8001c7dc: 00f53823 sd a5,16(a0) + 8001c7e0: 00000797 auipc a5,0x0 + 8001c7e4: e4078793 addi a5,a5,-448 # 8001c620 <_ZL12StateRestorei> + 8001c7e8: 001b0417 auipc s0,0x1b0 + 8001c7ec: 82440413 addi s0,s0,-2012 # 801cc00c <_ZL8WRAMSIZE> + 8001c7f0: 001b0717 auipc a4,0x1b0 + 8001c7f4: e6f73423 sd a5,-408(a4) # 801cc658 + 8001c7f8: 00002537 lui a0,0x2 + 8001c7fc: 000027b7 lui a5,0x2 + 8001c800: 00f42023 sw a5,0(s0) + 8001c804: c69f50ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001c808: 00042603 lw a2,0(s0) + 8001c80c: 00050593 mv a1,a0 + 8001c810: 001af497 auipc s1,0x1af + 8001c814: 7f048493 addi s1,s1,2032 # 801cc000 <_ZL4WRAM> + 8001c818: 00100693 li a3,1 + 8001c81c: 01000513 li a0,16 + 8001c820: 00b4b023 sd a1,0(s1) + 8001c824: cd1f30ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8001c828: 00042583 lw a1,0(s0) + 8001c82c: 0004b503 ld a0,0(s1) + 8001c830: 00029697 auipc a3,0x29 + 8001c834: af868693 addi a3,a3,-1288 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8001c838: 00000613 li a2,0 + 8001c83c: fd4e30ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001c840: 01013403 ld s0,16(sp) + 8001c844: 01813083 ld ra,24(sp) + 8001c848: 00813483 ld s1,8(sp) + 8001c84c: 00000693 li a3,0 + 8001c850: 00000613 li a2,0 + 8001c854: fff00593 li a1,-1 + 8001c858: 0002e517 auipc a0,0x2e + 8001c85c: 72050513 addi a0,a0,1824 # 8004af78 <_ZL9StateRegs> + 8001c860: 02010113 addi sp,sp,32 + 8001c864: face306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001c868 <_ZL4Syncv>: + 8001c868: fe010113 addi sp,sp,-32 + 8001c86c: 00913423 sd s1,8(sp) + 8001c870: 001af497 auipc s1,0x1af + 8001c874: 7a148493 addi s1,s1,1953 # 801cc011 <_ZL4mode> + 8001c878: 0004c783 lbu a5,0(s1) + 8001c87c: 00813823 sd s0,16(sp) + 8001c880: 001af417 auipc s0,0x1af + 8001c884: 79040413 addi s0,s0,1936 # 801cc010 <_ZL4bank> + 8001c888: 00044583 lbu a1,0(s0) + 8001c88c: 00113c23 sd ra,24(sp) + 8001c890: 0027f793 andi a5,a5,2 + 8001c894: 0025959b slliw a1,a1,0x2 + 8001c898: 06078463 beqz a5,8001c900 <_ZL4Syncv+0x98> + 8001c89c: 01c5f593 andi a1,a1,28 + 8001c8a0: 0235e593 ori a1,a1,35 + 8001c8a4: 00006537 lui a0,0x6 + 8001c8a8: 9f4f40ef jal ra,80010a9c <_Z7setprg8jj> + 8001c8ac: 00044583 lbu a1,0(s0) + 8001c8b0: 00008537 lui a0,0x8 + 8001c8b4: 0015959b slliw a1,a1,0x1 + 8001c8b8: ba0f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c8bc: 00044583 lbu a1,0(s0) + 8001c8c0: 0000c537 lui a0,0xc + 8001c8c4: 0015959b slliw a1,a1,0x1 + 8001c8c8: 0015e593 ori a1,a1,1 + 8001c8cc: 0005859b sext.w a1,a1 + 8001c8d0: b88f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c8d4: 0004c703 lbu a4,0(s1) + 8001c8d8: 01200793 li a5,18 + 8001c8dc: 06f70c63 beq a4,a5,8001c954 <_ZL4Syncv+0xec> + 8001c8e0: 00100513 li a0,1 + 8001c8e4: f64f40ef jal ra,80011048 <_Z9setmirrori> + 8001c8e8: 01013403 ld s0,16(sp) + 8001c8ec: 01813083 ld ra,24(sp) + 8001c8f0: 00813483 ld s1,8(sp) + 8001c8f4: 00000513 li a0,0 + 8001c8f8: 02010113 addi sp,sp,32 + 8001c8fc: e08f406f j 80010f04 <_Z7setchr8j> + 8001c900: 0105f593 andi a1,a1,16 + 8001c904: 02f5e593 ori a1,a1,47 + 8001c908: 00006537 lui a0,0x6 + 8001c90c: 990f40ef jal ra,80010a9c <_Z7setprg8jj> + 8001c910: 00044583 lbu a1,0(s0) + 8001c914: 0004c783 lbu a5,0(s1) + 8001c918: 00008537 lui a0,0x8 + 8001c91c: 0015959b slliw a1,a1,0x1 + 8001c920: 4047d79b sraiw a5,a5,0x4 + 8001c924: 00f5e5b3 or a1,a1,a5 + 8001c928: 0005859b sext.w a1,a1 + 8001c92c: b2cf40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c930: 00044583 lbu a1,0(s0) + 8001c934: 0000c537 lui a0,0xc + 8001c938: 0015959b slliw a1,a1,0x1 + 8001c93c: 0185f593 andi a1,a1,24 + 8001c940: 0075e593 ori a1,a1,7 + 8001c944: b14f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001c948: 0004c703 lbu a4,0(s1) + 8001c94c: 01200793 li a5,18 + 8001c950: f8f718e3 bne a4,a5,8001c8e0 <_ZL4Syncv+0x78> + 8001c954: 00000513 li a0,0 + 8001c958: ef0f40ef jal ra,80011048 <_Z9setmirrori> + 8001c95c: 01013403 ld s0,16(sp) + 8001c960: 01813083 ld ra,24(sp) + 8001c964: 00813483 ld s1,8(sp) + 8001c968: 00000513 li a0,0 + 8001c96c: 02010113 addi sp,sp,32 + 8001c970: d94f406f j 80010f04 <_Z7setchr8j> + +000000008001c974 <_ZL12StateRestorei>: + 8001c974: ef5ff06f j 8001c868 <_ZL4Syncv> + +000000008001c978 <_ZL8M51Resetv>: + 8001c978: 001af797 auipc a5,0x1af + 8001c97c: 68078c23 sb zero,1688(a5) # 801cc010 <_ZL4bank> + 8001c980: 00200793 li a5,2 + 8001c984: 001af717 auipc a4,0x1af + 8001c988: 68f706a3 sb a5,1677(a4) # 801cc011 <_ZL4mode> + 8001c98c: eddff06f j 8001c868 <_ZL4Syncv> + +000000008001c990 <_ZL12M51WriteBankjh>: + 8001c990: 00f5f793 andi a5,a1,15 + 8001c994: 001af717 auipc a4,0x1af + 8001c998: 66f70e23 sb a5,1660(a4) # 801cc010 <_ZL4bank> + 8001c99c: 03151793 slli a5,a0,0x31 + 8001c9a0: 0207d063 bgez a5,8001c9c0 <_ZL12M51WriteBankjh+0x30> + 8001c9a4: 001af717 auipc a4,0x1af + 8001c9a8: 66d70713 addi a4,a4,1645 # 801cc011 <_ZL4mode> + 8001c9ac: 00074783 lbu a5,0(a4) + 8001c9b0: 0105f593 andi a1,a1,16 + 8001c9b4: 0027f793 andi a5,a5,2 + 8001c9b8: 00b7e5b3 or a1,a5,a1 + 8001c9bc: 00b70023 sb a1,0(a4) + 8001c9c0: ea9ff06f j 8001c868 <_ZL4Syncv> + +000000008001c9c4 <_ZL12M51WriteModejh>: + 8001c9c4: 0125f593 andi a1,a1,18 + 8001c9c8: 001af797 auipc a5,0x1af + 8001c9cc: 64b784a3 sb a1,1609(a5) # 801cc011 <_ZL4mode> + 8001c9d0: e99ff06f j 8001c868 <_ZL4Syncv> + +000000008001c9d4 <_ZL8M51Powerv>: + 8001c9d4: 001af797 auipc a5,0x1af + 8001c9d8: 62078e23 sb zero,1596(a5) # 801cc010 <_ZL4bank> + 8001c9dc: ff010113 addi sp,sp,-16 + 8001c9e0: 00200793 li a5,2 + 8001c9e4: 00113423 sd ra,8(sp) + 8001c9e8: 00813023 sd s0,0(sp) + 8001c9ec: 001af717 auipc a4,0x1af + 8001c9f0: 62f702a3 sb a5,1573(a4) # 801cc011 <_ZL4mode> + 8001c9f4: e75ff0ef jal ra,8001c868 <_ZL4Syncv> + 8001c9f8: 000085b7 lui a1,0x8 + 8001c9fc: 00000617 auipc a2,0x0 + 8001ca00: fc860613 addi a2,a2,-56 # 8001c9c4 <_ZL12M51WriteModejh> + 8001ca04: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8001ca08: 00006537 lui a0,0x6 + 8001ca0c: 11c170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ca10: 00010437 lui s0,0x10 + 8001ca14: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001ca18: 00000617 auipc a2,0x0 + 8001ca1c: f7860613 addi a2,a2,-136 # 8001c990 <_ZL12M51WriteBankjh> + 8001ca20: 00008537 lui a0,0x8 + 8001ca24: 104170ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ca28: fff40593 addi a1,s0,-1 + 8001ca2c: 00013403 ld s0,0(sp) + 8001ca30: 00813083 ld ra,8(sp) + 8001ca34: ffff4617 auipc a2,0xffff4 + 8001ca38: c2c60613 addi a2,a2,-980 # 80010660 <_Z6CartBRj> + 8001ca3c: 00006537 lui a0,0x6 + 8001ca40: 01010113 addi sp,sp,16 + 8001ca44: 7d91606f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008001ca48 <_Z13Mapper51_InitP8CartInfo>: + 8001ca48: ff010113 addi sp,sp,-16 + 8001ca4c: 00113423 sd ra,8(sp) + 8001ca50: 00000797 auipc a5,0x0 + 8001ca54: f8478793 addi a5,a5,-124 # 8001c9d4 <_ZL8M51Powerv> + 8001ca58: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 8001ca5c: 00000797 auipc a5,0x0 + 8001ca60: f1c78793 addi a5,a5,-228 # 8001c978 <_ZL8M51Resetv> + 8001ca64: 00f53423 sd a5,8(a0) + 8001ca68: 00000693 li a3,0 + 8001ca6c: 00000613 li a2,0 + 8001ca70: fff00593 li a1,-1 + 8001ca74: 0002e517 auipc a0,0x2e + 8001ca78: 54c50513 addi a0,a0,1356 # 8004afc0 <_ZL9StateRegs> + 8001ca7c: d94e30ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001ca80: 00813083 ld ra,8(sp) + 8001ca84: 00000797 auipc a5,0x0 + 8001ca88: ef078793 addi a5,a5,-272 # 8001c974 <_ZL12StateRestorei> + 8001ca8c: 001b0717 auipc a4,0x1b0 + 8001ca90: bcf73623 sd a5,-1076(a4) # 801cc658 + 8001ca94: 01010113 addi sp,sp,16 + 8001ca98: 00008067 ret + +000000008001ca9c <_ZL4Syncv>: + 8001ca9c: fe010113 addi sp,sp,-32 + 8001caa0: 00000513 li a0,0 + 8001caa4: 00813823 sd s0,16(sp) + 8001caa8: 00913423 sd s1,8(sp) + 8001caac: 00113c23 sd ra,24(sp) + 8001cab0: 000a6497 auipc s1,0xa6 + 8001cab4: ff048493 addi s1,s1,-16 # 800c2aa0 + 8001cab8: c4cf40ef jal ra,80010f04 <_Z7setchr8j> + 8001cabc: 0084b783 ld a5,8(s1) + 8001cac0: 001af417 auipc s0,0x1af + 8001cac4: 55240413 addi s0,s0,1362 # 801cc012 <_ZL4cmd0> + 8001cac8: 0c078263 beqz a5,8001cb8c <_ZL4Syncv+0xf0> + 8001cacc: 00044503 lbu a0,0(s0) + 8001cad0: 000065b7 lui a1,0x6 + 8001cad4: 0045161b slliw a2,a0,0x4 + 8001cad8: 03067613 andi a2,a2,48 + 8001cadc: 4025551b sraiw a0,a0,0x2 + 8001cae0: 00f66613 ori a2,a2,15 + 8001cae4: 00357513 andi a0,a0,3 + 8001cae8: e01f30ef jal ra,800108e8 <_Z8setprg8rijj> + 8001caec: 00044783 lbu a5,0(s0) + 8001caf0: 0107f713 andi a4,a5,16 + 8001caf4: 06070e63 beqz a4,8001cb70 <_ZL4Syncv+0xd4> + 8001caf8: 0084b703 ld a4,8(s1) + 8001cafc: 001af617 auipc a2,0x1af + 8001cb00: 51764603 lbu a2,1303(a2) # 801cc013 <_ZL4cmd1> + 8001cb04: 0007851b sext.w a0,a5 + 8001cb08: 00767613 andi a2,a2,7 + 8001cb0c: 00379793 slli a5,a5,0x3 + 8001cb10: 08070e63 beqz a4,8001cbac <_ZL4Syncv+0x110> + 8001cb14: 0187f793 andi a5,a5,24 + 8001cb18: 4025551b sraiw a0,a0,0x2 + 8001cb1c: 00f66633 or a2,a2,a5 + 8001cb20: 00357513 andi a0,a0,3 + 8001cb24: 000085b7 lui a1,0x8 + 8001cb28: f85f30ef jal ra,80010aac <_Z9setprg16rijj> + 8001cb2c: 00044503 lbu a0,0(s0) + 8001cb30: 0000c5b7 lui a1,0xc + 8001cb34: 0035161b slliw a2,a0,0x3 + 8001cb38: 01867613 andi a2,a2,24 + 8001cb3c: 4025551b sraiw a0,a0,0x2 + 8001cb40: 00766613 ori a2,a2,7 + 8001cb44: 00357513 andi a0,a0,3 + 8001cb48: f65f30ef jal ra,80010aac <_Z9setprg16rijj> + 8001cb4c: 00044503 lbu a0,0(s0) + 8001cb50: 01013403 ld s0,16(sp) + 8001cb54: 01813083 ld ra,24(sp) + 8001cb58: 00813483 ld s1,8(sp) + 8001cb5c: 00555513 srli a0,a0,0x5 + 8001cb60: 00154513 xori a0,a0,1 + 8001cb64: 00157513 andi a0,a0,1 + 8001cb68: 02010113 addi sp,sp,32 + 8001cb6c: cdcf406f j 80011048 <_Z9setmirrori> + 8001cb70: 0204b783 ld a5,32(s1) + 8001cb74: 06078663 beqz a5,8001cbe0 <_ZL4Syncv+0x144> + 8001cb78: 00000613 li a2,0 + 8001cb7c: 000085b7 lui a1,0x8 + 8001cb80: 00400513 li a0,4 + 8001cb84: 8e4f40ef jal ra,80010c68 <_Z9setprg32rijj> + 8001cb88: fc5ff06f j 8001cb4c <_ZL4Syncv+0xb0> + 8001cb8c: 00044583 lbu a1,0(s0) + 8001cb90: 00006537 lui a0,0x6 + 8001cb94: 0045959b slliw a1,a1,0x4 + 8001cb98: 0ff5f593 andi a1,a1,255 + 8001cb9c: 00f5e593 ori a1,a1,15 + 8001cba0: 00458593 addi a1,a1,4 # 8004 <_entry_offset+0x8004> + 8001cba4: ef9f30ef jal ra,80010a9c <_Z7setprg8jj> + 8001cba8: f45ff06f j 8001caec <_ZL4Syncv+0x50> + 8001cbac: 0787f793 andi a5,a5,120 + 8001cbb0: 00f667b3 or a5,a2,a5 + 8001cbb4: 00278593 addi a1,a5,2 + 8001cbb8: 00008537 lui a0,0x8 + 8001cbbc: 89cf40ef jal ra,80010c58 <_Z8setprg16jj> + 8001cbc0: 00044583 lbu a1,0(s0) + 8001cbc4: 0000c537 lui a0,0xc + 8001cbc8: 0035959b slliw a1,a1,0x3 + 8001cbcc: 0785f593 andi a1,a1,120 + 8001cbd0: 0075e593 ori a1,a1,7 + 8001cbd4: 00258593 addi a1,a1,2 + 8001cbd8: 880f40ef jal ra,80010c58 <_Z8setprg16jj> + 8001cbdc: f71ff06f j 8001cb4c <_ZL4Syncv+0xb0> + 8001cbe0: 00000593 li a1,0 + 8001cbe4: 00008537 lui a0,0x8 + 8001cbe8: a2cf40ef jal ra,80010e14 <_Z8setprg32jj> + 8001cbec: f61ff06f j 8001cb4c <_ZL4Syncv+0xb0> + +000000008001cbf0 <_ZL12SuperRestorei>: + 8001cbf0: eadff06f j 8001ca9c <_ZL4Syncv> + +000000008001cbf4 <_ZL10SuperResetv>: + 8001cbf4: 001af797 auipc a5,0x1af + 8001cbf8: 40078fa3 sb zero,1055(a5) # 801cc013 <_ZL4cmd1> + 8001cbfc: 001af797 auipc a5,0x1af + 8001cc00: 40078b23 sb zero,1046(a5) # 801cc012 <_ZL4cmd0> + 8001cc04: e99ff06f j 8001ca9c <_ZL4Syncv> + +000000008001cc08 <_ZL12SuperWriteHijh>: + 8001cc08: 001af797 auipc a5,0x1af + 8001cc0c: 40b785a3 sb a1,1035(a5) # 801cc013 <_ZL4cmd1> + 8001cc10: e8dff06f j 8001ca9c <_ZL4Syncv> + +000000008001cc14 <_ZL12SuperWriteLojh>: + 8001cc14: 001af717 auipc a4,0x1af + 8001cc18: 3fe70713 addi a4,a4,1022 # 801cc012 <_ZL4cmd0> + 8001cc1c: 00074783 lbu a5,0(a4) + 8001cc20: 0107f793 andi a5,a5,16 + 8001cc24: 00078463 beqz a5,8001cc2c <_ZL12SuperWriteLojh+0x18> + 8001cc28: 00008067 ret + 8001cc2c: 00b70023 sb a1,0(a4) + 8001cc30: e6dff06f j 8001ca9c <_ZL4Syncv> + +000000008001cc34 <_ZL10SuperPowerv>: + 8001cc34: 000085b7 lui a1,0x8 + 8001cc38: ff010113 addi sp,sp,-16 + 8001cc3c: 00000617 auipc a2,0x0 + 8001cc40: fd860613 addi a2,a2,-40 # 8001cc14 <_ZL12SuperWriteLojh> + 8001cc44: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8001cc48: 00006537 lui a0,0x6 + 8001cc4c: 00113423 sd ra,8(sp) + 8001cc50: 00813023 sd s0,0(sp) + 8001cc54: 6d5160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001cc58: 00010437 lui s0,0x10 + 8001cc5c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8001cc60: 00000617 auipc a2,0x0 + 8001cc64: fa860613 addi a2,a2,-88 # 8001cc08 <_ZL12SuperWriteHijh> + 8001cc68: 00008537 lui a0,0x8 + 8001cc6c: 6bd160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001cc70: fff40593 addi a1,s0,-1 + 8001cc74: ffff4617 auipc a2,0xffff4 + 8001cc78: 9ec60613 addi a2,a2,-1556 # 80010660 <_Z6CartBRj> + 8001cc7c: 00006537 lui a0,0x6 + 8001cc80: 59d160ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001cc84: 00013403 ld s0,0(sp) + 8001cc88: 00813083 ld ra,8(sp) + 8001cc8c: 001af797 auipc a5,0x1af + 8001cc90: 380783a3 sb zero,903(a5) # 801cc013 <_ZL4cmd1> + 8001cc94: 001af797 auipc a5,0x1af + 8001cc98: 36078f23 sb zero,894(a5) # 801cc012 <_ZL4cmd0> + 8001cc9c: 01010113 addi sp,sp,16 + 8001cca0: dfdff06f j 8001ca9c <_ZL4Syncv> + +000000008001cca4 <_Z18Supervision16_InitP8CartInfo>: + 8001cca4: 00000797 auipc a5,0x0 + 8001cca8: f9078793 addi a5,a5,-112 # 8001cc34 <_ZL10SuperPowerv> + 8001ccac: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 8001ccb0: 00000797 auipc a5,0x0 + 8001ccb4: f4478793 addi a5,a5,-188 # 8001cbf4 <_ZL10SuperResetv> + 8001ccb8: 00f53423 sd a5,8(a0) + 8001ccbc: 00000797 auipc a5,0x0 + 8001ccc0: f3478793 addi a5,a5,-204 # 8001cbf0 <_ZL12SuperRestorei> + 8001ccc4: 00000693 li a3,0 + 8001ccc8: 00000613 li a2,0 + 8001cccc: fff00593 li a1,-1 + 8001ccd0: 0002e517 auipc a0,0x2e + 8001ccd4: 33850513 addi a0,a0,824 # 8004b008 <_ZL9StateRegs> + 8001ccd8: 001b0717 auipc a4,0x1b0 + 8001ccdc: 98f73023 sd a5,-1664(a4) # 801cc658 + 8001cce0: b30e306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001cce4 <_ZL20UNLOneBusReadAPU40XXj>: + 8001cce4: 03f57793 andi a5,a0,63 + 8001cce8: 00379713 slli a4,a5,0x3 + 8001ccec: 000aa797 auipc a5,0xaa + 8001ccf0: 41478793 addi a5,a5,1044 # 800c7100 <_ZL10defapuread> + 8001ccf4: 00e787b3 add a5,a5,a4 + 8001ccf8: 0007b783 ld a5,0(a5) + 8001ccfc: ff010113 addi sp,sp,-16 + 8001cd00: 00813023 sd s0,0(sp) + 8001cd04: 00113423 sd ra,8(sp) + 8001cd08: 03f57413 andi s0,a0,63 + 8001cd0c: 000780e7 jalr a5 + 8001cd10: 01500793 li a5,21 + 8001cd14: 00f40a63 beq s0,a5,8001cd28 <_ZL20UNLOneBusReadAPU40XXj+0x44> + 8001cd18: 00813083 ld ra,8(sp) + 8001cd1c: 00013403 ld s0,0(sp) + 8001cd20: 01010113 addi sp,sp,16 + 8001cd24: 00008067 ret + 8001cd28: 000ab797 auipc a5,0xab + 8001cd2c: 8087c783 lbu a5,-2040(a5) # 800c7530 <_ZL7apu40xx+0x30> + 8001cd30: 0107f793 andi a5,a5,16 + 8001cd34: fe0782e3 beqz a5,8001cd18 <_ZL20UNLOneBusReadAPU40XXj+0x34> + 8001cd38: 00813083 ld ra,8(sp) + 8001cd3c: 00013403 ld s0,0(sp) + 8001cd40: 001af797 auipc a5,0x1af + 8001cd44: 2d67c783 lbu a5,726(a5) # 801cc016 <_ZL7pcm_irq> + 8001cd48: 07f57513 andi a0,a0,127 + 8001cd4c: 00f56533 or a0,a0,a5 + 8001cd50: 01010113 addi sp,sp,16 + 8001cd54: 00008067 ret + +000000008001cd58 <_ZL5PSyncv>: + 8001cd58: fc010113 addi sp,sp,-64 + 8001cd5c: 02813823 sd s0,48(sp) + 8001cd60: 02113c23 sd ra,56(sp) + 8001cd64: 02913423 sd s1,40(sp) + 8001cd68: 03213023 sd s2,32(sp) + 8001cd6c: 01313c23 sd s3,24(sp) + 8001cd70: 01413823 sd s4,16(sp) + 8001cd74: 01513423 sd s5,8(sp) + 8001cd78: 000aa797 auipc a5,0xaa + 8001cd7c: 7c878793 addi a5,a5,1992 # 800c7540 <_ZL7cpu410x> + 8001cd80: 00b7c603 lbu a2,11(a5) + 8001cd84: 00700713 li a4,7 + 8001cd88: 00767413 andi s0,a2,7 + 8001cd8c: 0ee40c63 beq s0,a4,8001ce84 <_ZL5PSyncv+0x12c> + 8001cd90: 03f00993 li s3,63 + 8001cd94: 4089d9bb sraw s3,s3,s0 + 8001cd98: fff9c693 not a3,s3 + 8001cd9c: 0ff9f913 andi s2,s3,255 + 8001cda0: 0006869b sext.w a3,a3 + 8001cda4: 0009899b sext.w s3,s3 + 8001cda8: 0007c403 lbu s0,0(a5) + 8001cdac: 00a7c803 lbu a6,10(a5) + 8001cdb0: 0057c483 lbu s1,5(a5) + 8001cdb4: 001af717 auipc a4,0x1af + 8001cdb8: 26474703 lbu a4,612(a4) # 801cc018 <_ZL8inv_hack> + 8001cdbc: 00001537 lui a0,0x1 + 8001cdc0: 00774593 xori a1,a4,7 + 8001cdc4: f0050513 addi a0,a0,-256 # f00 <_entry_offset+0xf00> + 8001cdc8: 0044141b slliw s0,s0,0x4 + 8001cdcc: 00874713 xori a4,a4,8 + 8001cdd0: 00a47433 and s0,s0,a0 + 8001cdd4: 0106f6b3 and a3,a3,a6 + 8001cdd8: 0084949b slliw s1,s1,0x8 + 8001cddc: 00b785b3 add a1,a5,a1 + 8001cde0: 00e78733 add a4,a5,a4 + 8001cde4: 00004537 lui a0,0x4 + 8001cde8: 04067613 andi a2,a2,64 + 8001cdec: 0005c583 lbu a1,0(a1) + 8001cdf0: 00074a03 lbu s4,0(a4) + 8001cdf4: 00d4043b addw s0,s0,a3 + 8001cdf8: 00a4f4b3 and s1,s1,a0 + 8001cdfc: 0fe00a93 li s5,254 + 8001ce00: 00060463 beqz a2,8001ce08 <_ZL5PSyncv+0xb0> + 8001ce04: 0097ca83 lbu s5,9(a5) + 8001ce08: 00b975b3 and a1,s2,a1 + 8001ce0c: 00008537 lui a0,0x8 + 8001ce10: 0085e5b3 or a1,a1,s0 + 8001ce14: 00a4c533 xor a0,s1,a0 + 8001ce18: 0005859b sext.w a1,a1 + 8001ce1c: 0005051b sext.w a0,a0 + 8001ce20: c7df30ef jal ra,80010a9c <_Z7setprg8jj> + 8001ce24: 014975b3 and a1,s2,s4 + 8001ce28: 0085e5b3 or a1,a1,s0 + 8001ce2c: 0005859b sext.w a1,a1 + 8001ce30: 0000a537 lui a0,0xa + 8001ce34: c69f30ef jal ra,80010a9c <_Z7setprg8jj> + 8001ce38: 015975b3 and a1,s2,s5 + 8001ce3c: 0000c537 lui a0,0xc + 8001ce40: 0085e5b3 or a1,a1,s0 + 8001ce44: 00a4c533 xor a0,s1,a0 + 8001ce48: 0005859b sext.w a1,a1 + 8001ce4c: 0005051b sext.w a0,a0 + 8001ce50: c4df30ef jal ra,80010a9c <_Z7setprg8jj> + 8001ce54: 0089e5b3 or a1,s3,s0 + 8001ce58: 03013403 ld s0,48(sp) + 8001ce5c: 03813083 ld ra,56(sp) + 8001ce60: 02813483 ld s1,40(sp) + 8001ce64: 02013903 ld s2,32(sp) + 8001ce68: 01813983 ld s3,24(sp) + 8001ce6c: 01013a03 ld s4,16(sp) + 8001ce70: 00813a83 ld s5,8(sp) + 8001ce74: 0005859b sext.w a1,a1 + 8001ce78: 0000e537 lui a0,0xe + 8001ce7c: 04010113 addi sp,sp,64 + 8001ce80: c1df306f j 80010a9c <_Z7setprg8jj> + 8001ce84: 0ff00993 li s3,255 + 8001ce88: f0000693 li a3,-256 + 8001ce8c: 0ff00913 li s2,255 + 8001ce90: f19ff06f j 8001cda8 <_ZL5PSyncv+0x50> + +000000008001ce94 <_ZL5CSyncv>: + 8001ce94: f9010113 addi sp,sp,-112 + 8001ce98: 06113423 sd ra,104(sp) + 8001ce9c: 06813023 sd s0,96(sp) + 8001cea0: 04913c23 sd s1,88(sp) + 8001cea4: 05213823 sd s2,80(sp) + 8001cea8: 05313423 sd s3,72(sp) + 8001ceac: 03713423 sd s7,40(sp) + 8001ceb0: 01b13423 sd s11,8(sp) + 8001ceb4: 05413023 sd s4,64(sp) + 8001ceb8: 03513c23 sd s5,56(sp) + 8001cebc: 03613823 sd s6,48(sp) + 8001cec0: 03813023 sd s8,32(sp) + 8001cec4: 01913c23 sd s9,24(sp) + 8001cec8: 01a13823 sd s10,16(sp) + 8001cecc: 000aa717 auipc a4,0xaa + 8001ced0: 68470713 addi a4,a4,1668 # 800c7550 <_ZL7ppu201x> + 8001ced4: 00a74503 lbu a0,10(a4) + 8001ced8: 0002a797 auipc a5,0x2a + 8001cedc: af878793 addi a5,a5,-1288 # 800469d0 <_ZZL5CSyncvE4midx> + 8001cee0: 000aab97 auipc s7,0xaa + 8001cee4: 660b8b93 addi s7,s7,1632 # 800c7540 <_ZL7cpu410x> + 8001cee8: 00757693 andi a3,a0,7 + 8001ceec: 00d787b3 add a5,a5,a3 + 8001cef0: 0007c783 lbu a5,0(a5) + 8001cef4: 00874583 lbu a1,8(a4) + 8001cef8: 000bc403 lbu s0,0(s7) + 8001cefc: 0ff00693 li a3,255 + 8001cf00: 00674a83 lbu s5,6(a4) + 8001cf04: 40f6d7bb sraw a5,a3,a5 + 8001cf08: 005bc483 lbu s1,5(s7) + 8001cf0c: 00008637 lui a2,0x8 + 8001cf10: 80060613 addi a2,a2,-2048 # 7800 <_entry_offset+0x7800> + 8001cf14: 0ff7f693 andi a3,a5,255 + 8001cf18: 00b4141b slliw s0,s0,0xb + 8001cf1c: 0045959b slliw a1,a1,0x4 + 8001cf20: 00c47433 and s0,s0,a2 + 8001cf24: 7005f593 andi a1,a1,1792 + 8001cf28: fff6c693 not a3,a3 + 8001cf2c: 00a6f6b3 and a3,a3,a0 + 8001cf30: 00001db7 lui s11,0x1 + 8001cf34: 00b46433 or s0,s0,a1 + 8001cf38: 0054949b slliw s1,s1,0x5 + 8001cf3c: 0157f5b3 and a1,a5,s5 + 8001cf40: 00d4043b addw s0,s0,a3 + 8001cf44: 00774a03 lbu s4,7(a4) + 8001cf48: 01b4f4b3 and s1,s1,s11 + 8001cf4c: 0fe5f593 andi a1,a1,254 + 8001cf50: 0004849b sext.w s1,s1 + 8001cf54: 0085e5b3 or a1,a1,s0 + 8001cf58: 00048513 mv a0,s1 + 8001cf5c: 0005859b sext.w a1,a1 + 8001cf60: 00274d03 lbu s10,2(a4) + 8001cf64: 00374c03 lbu s8,3(a4) + 8001cf68: 00474b03 lbu s6,4(a4) + 8001cf6c: 00574c83 lbu s9,5(a4) + 8001cf70: 0ff7f993 andi s3,a5,255 + 8001cf74: 0147f933 and s2,a5,s4 + 8001cf78: f2df30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cf7c: 001ae593 ori a1,s5,1 + 8001cf80: 00b9f5b3 and a1,s3,a1 + 8001cf84: 0085e5b3 or a1,a1,s0 + 8001cf88: 4004c513 xori a0,s1,1024 + 8001cf8c: 0005859b sext.w a1,a1 + 8001cf90: f15f30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cf94: 0fe97793 andi a5,s2,254 + 8001cf98: 00001ab7 lui s5,0x1 + 8001cf9c: 0087e5b3 or a1,a5,s0 + 8001cfa0: 800a8513 addi a0,s5,-2048 # 800 <_entry_offset+0x800> + 8001cfa4: 00a4c533 xor a0,s1,a0 + 8001cfa8: 0005859b sext.w a1,a1 + 8001cfac: ef9f30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cfb0: 001a6593 ori a1,s4,1 + 8001cfb4: 00b9f5b3 and a1,s3,a1 + 8001cfb8: 0085e5b3 or a1,a1,s0 + 8001cfbc: c00a8513 addi a0,s5,-1024 + 8001cfc0: 00a4c533 xor a0,s1,a0 + 8001cfc4: 0005859b sext.w a1,a1 + 8001cfc8: eddf30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cfcc: 01a9f5b3 and a1,s3,s10 + 8001cfd0: 01b4c533 xor a0,s1,s11 + 8001cfd4: 0085e5b3 or a1,a1,s0 + 8001cfd8: 0005859b sext.w a1,a1 + 8001cfdc: 0005051b sext.w a0,a0 + 8001cfe0: ec5f30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cfe4: 0189f5b3 and a1,s3,s8 + 8001cfe8: 0085e5b3 or a1,a1,s0 + 8001cfec: 400a8513 addi a0,s5,1024 + 8001cff0: 00a4c533 xor a0,s1,a0 + 8001cff4: 0005859b sext.w a1,a1 + 8001cff8: eadf30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001cffc: 00002937 lui s2,0x2 + 8001d000: 0169f5b3 and a1,s3,s6 + 8001d004: 0085e5b3 or a1,a1,s0 + 8001d008: 80090513 addi a0,s2,-2048 # 1800 <_entry_offset+0x1800> + 8001d00c: 00a4c533 xor a0,s1,a0 + 8001d010: 0005859b sext.w a1,a1 + 8001d014: e91f30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001d018: 0199f5b3 and a1,s3,s9 + 8001d01c: 0085e5b3 or a1,a1,s0 + 8001d020: c0090513 addi a0,s2,-1024 + 8001d024: 00a4c533 xor a0,s1,a0 + 8001d028: 0005859b sext.w a1,a1 + 8001d02c: e79f30ef jal ra,80010ea4 <_Z7setchr1jj> + 8001d030: 006bc503 lbu a0,6(s7) + 8001d034: 06013403 ld s0,96(sp) + 8001d038: 06813083 ld ra,104(sp) + 8001d03c: 05813483 ld s1,88(sp) + 8001d040: 05013903 ld s2,80(sp) + 8001d044: 04813983 ld s3,72(sp) + 8001d048: 04013a03 ld s4,64(sp) + 8001d04c: 03813a83 ld s5,56(sp) + 8001d050: 03013b03 ld s6,48(sp) + 8001d054: 02813b83 ld s7,40(sp) + 8001d058: 02013c03 ld s8,32(sp) + 8001d05c: 01813c83 ld s9,24(sp) + 8001d060: 01013d03 ld s10,16(sp) + 8001d064: 00813d83 ld s11,8(sp) + 8001d068: fff54513 not a0,a0 + 8001d06c: 00157513 andi a0,a0,1 + 8001d070: 07010113 addi sp,sp,112 + 8001d074: fd5f306f j 80011048 <_Z9setmirrori> + +000000008001d078 <_ZL12StateRestorei>: + 8001d078: ff010113 addi sp,sp,-16 + 8001d07c: 00113423 sd ra,8(sp) + 8001d080: cd9ff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d084: 00813083 ld ra,8(sp) + 8001d088: 01010113 addi sp,sp,16 + 8001d08c: e09ff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d090 <_ZL21UNLOneBusWritePPU201Xjh>: + 8001d090: ff010113 addi sp,sp,-16 + 8001d094: 00f57793 andi a5,a0,15 + 8001d098: 000aa517 auipc a0,0xaa + 8001d09c: 4b850513 addi a0,a0,1208 # 800c7550 <_ZL7ppu201x> + 8001d0a0: 00113423 sd ra,8(sp) + 8001d0a4: 00f50533 add a0,a0,a5 + 8001d0a8: 00b50023 sb a1,0(a0) + 8001d0ac: cadff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d0b0: 00813083 ld ra,8(sp) + 8001d0b4: 01010113 addi sp,sp,16 + 8001d0b8: dddff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d0bc <_ZL14UNLOneBusResetv>: + 8001d0bc: ff010113 addi sp,sp,-16 + 8001d0c0: 01000613 li a2,16 + 8001d0c4: 00000593 li a1,0 + 8001d0c8: 000aa517 auipc a0,0xaa + 8001d0cc: 47850513 addi a0,a0,1144 # 800c7540 <_ZL7cpu410x> + 8001d0d0: 00113423 sd ra,8(sp) + 8001d0d4: 001af797 auipc a5,0x1af + 8001d0d8: f40780a3 sb zero,-191(a5) # 801cc015 <_ZL4IRQa> + 8001d0dc: 001af797 auipc a5,0x1af + 8001d0e0: f2078da3 sb zero,-197(a5) # 801cc017 <_ZL8IRQCount> + 8001d0e4: 001af797 auipc a5,0x1af + 8001d0e8: f2078d23 sb zero,-198(a5) # 801cc01e <_ZL9IRQReload> + 8001d0ec: 13d1e0ef jal ra,8003ba28 + 8001d0f0: 01000613 li a2,16 + 8001d0f4: 00000593 li a1,0 + 8001d0f8: 000aa517 auipc a0,0xaa + 8001d0fc: 45850513 addi a0,a0,1112 # 800c7550 <_ZL7ppu201x> + 8001d100: 1291e0ef jal ra,8003ba28 + 8001d104: 04000613 li a2,64 + 8001d108: 00000593 li a1,0 + 8001d10c: 000aa517 auipc a0,0xaa + 8001d110: 3f450513 addi a0,a0,1012 # 800c7500 <_ZL7apu40xx> + 8001d114: 1151e0ef jal ra,8003ba28 + 8001d118: c41ff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d11c: 00813083 ld ra,8(sp) + 8001d120: 01010113 addi sp,sp,16 + 8001d124: d71ff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d128 <_ZL14UNLOneBusPowerv>: + 8001d128: fc010113 addi sp,sp,-64 + 8001d12c: 01000613 li a2,16 + 8001d130: 00000593 li a1,0 + 8001d134: 000aa517 auipc a0,0xaa + 8001d138: 40c50513 addi a0,a0,1036 # 800c7540 <_ZL7cpu410x> + 8001d13c: 02113c23 sd ra,56(sp) + 8001d140: 02913423 sd s1,40(sp) + 8001d144: 03213023 sd s2,32(sp) + 8001d148: 01313c23 sd s3,24(sp) + 8001d14c: 01413823 sd s4,16(sp) + 8001d150: 01513423 sd s5,8(sp) + 8001d154: 02813823 sd s0,48(sp) + 8001d158: 001af797 auipc a5,0x1af + 8001d15c: ea078ea3 sb zero,-323(a5) # 801cc015 <_ZL4IRQa> + 8001d160: 001af797 auipc a5,0x1af + 8001d164: ea078ba3 sb zero,-329(a5) # 801cc017 <_ZL8IRQCount> + 8001d168: 001af797 auipc a5,0x1af + 8001d16c: ea078b23 sb zero,-330(a5) # 801cc01e <_ZL9IRQReload> + 8001d170: 0b91e0ef jal ra,8003ba28 + 8001d174: 01000613 li a2,16 + 8001d178: 00000593 li a1,0 + 8001d17c: 000aa517 auipc a0,0xaa + 8001d180: 3d450513 addi a0,a0,980 # 800c7550 <_ZL7ppu201x> + 8001d184: 0a51e0ef jal ra,8003ba28 + 8001d188: 04000613 li a2,64 + 8001d18c: 00000593 li a1,0 + 8001d190: 000aa517 auipc a0,0xaa + 8001d194: 37050513 addi a0,a0,880 # 800c7500 <_ZL7apu40xx> + 8001d198: 0911e0ef jal ra,8003ba28 + 8001d19c: 00000693 li a3,0 + 8001d1a0: 000a6617 auipc a2,0xa6 + 8001d1a4: a2062603 lw a2,-1504(a2) # 800c2bc0 + 8001d1a8: 000a6597 auipc a1,0xa6 + 8001d1ac: 8f85b583 ld a1,-1800(a1) # 800c2aa0 + 8001d1b0: 00000513 li a0,0 + 8001d1b4: c10f30ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8001d1b8: 000aa997 auipc s3,0xaa + 8001d1bc: f4898993 addi s3,s3,-184 # 800c7100 <_ZL10defapuread> + 8001d1c0: 000aa917 auipc s2,0xaa + 8001d1c4: 14090913 addi s2,s2,320 # 800c7300 <_ZL11defapuwrite> + 8001d1c8: 00000493 li s1,0 + 8001d1cc: 00004ab7 lui s5,0x4 + 8001d1d0: 04000a13 li s4,64 + 8001d1d4: 0154e433 or s0,s1,s5 + 8001d1d8: 0004041b sext.w s0,s0 + 8001d1dc: 00040513 mv a0,s0 + 8001d1e0: 7f0160ef jal ra,800339d0 <_Z14GetReadHandleri> + 8001d1e4: 00050793 mv a5,a0 + 8001d1e8: 00040513 mv a0,s0 + 8001d1ec: 00f9b023 sd a5,0(s3) + 8001d1f0: 0ed160ef jal ra,80033adc <_Z15GetWriteHandleri> + 8001d1f4: 00a93023 sd a0,0(s2) + 8001d1f8: 0014849b addiw s1,s1,1 + 8001d1fc: 00898993 addi s3,s3,8 + 8001d200: 00890913 addi s2,s2,8 + 8001d204: fd4498e3 bne s1,s4,8001d1d4 <_ZL14UNLOneBusPowerv+0xac> + 8001d208: 00004437 lui s0,0x4 + 8001d20c: 03f40593 addi a1,s0,63 # 403f <_entry_offset+0x403f> + 8001d210: 00000617 auipc a2,0x0 + 8001d214: ad460613 addi a2,a2,-1324 # 8001cce4 <_ZL20UNLOneBusReadAPU40XXj> + 8001d218: 00004537 lui a0,0x4 + 8001d21c: 001160ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001d220: 03f40593 addi a1,s0,63 + 8001d224: 00000617 auipc a2,0x0 + 8001d228: 09060613 addi a2,a2,144 # 8001d2b4 <_ZL21UNLOneBusWriteAPU40XXjh> + 8001d22c: 00004537 lui a0,0x4 + 8001d230: 0f9160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001d234: 000104b7 lui s1,0x10 + 8001d238: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8001d23c: ffff3617 auipc a2,0xffff3 + 8001d240: 42460613 addi a2,a2,1060 # 80010660 <_Z6CartBRj> + 8001d244: 00008537 lui a0,0x8 + 8001d248: 7d4160ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001d24c: 00002537 lui a0,0x2 + 8001d250: 01f50593 addi a1,a0,31 # 201f <_entry_offset+0x201f> + 8001d254: 00000617 auipc a2,0x0 + 8001d258: e3c60613 addi a2,a2,-452 # 8001d090 <_ZL21UNLOneBusWritePPU201Xjh> + 8001d25c: 01050513 addi a0,a0,16 + 8001d260: 0c9160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001d264: 10f40593 addi a1,s0,271 + 8001d268: 10040513 addi a0,s0,256 + 8001d26c: 00000617 auipc a2,0x0 + 8001d270: 48860613 addi a2,a2,1160 # 8001d6f4 <_ZL21UNLOneBusWriteCPU410Xjh> + 8001d274: 0b5160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001d278: fff48593 addi a1,s1,-1 + 8001d27c: 00000617 auipc a2,0x0 + 8001d280: 2d060613 addi a2,a2,720 # 8001d54c <_ZL18UNLOneBusWriteMMC3jh> + 8001d284: 00008537 lui a0,0x8 + 8001d288: 0a1160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001d28c: acdff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d290: 03013403 ld s0,48(sp) + 8001d294: 03813083 ld ra,56(sp) + 8001d298: 02813483 ld s1,40(sp) + 8001d29c: 02013903 ld s2,32(sp) + 8001d2a0: 01813983 ld s3,24(sp) + 8001d2a4: 01013a03 ld s4,16(sp) + 8001d2a8: 00813a83 ld s5,8(sp) + 8001d2ac: 04010113 addi sp,sp,64 + 8001d2b0: be5ff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d2b4 <_ZL21UNLOneBusWriteAPU40XXjh>: + 8001d2b4: fd010113 addi sp,sp,-48 + 8001d2b8: 00913c23 sd s1,24(sp) + 8001d2bc: 000aa717 auipc a4,0xaa + 8001d2c0: 24470713 addi a4,a4,580 # 800c7500 <_ZL7apu40xx> + 8001d2c4: 03f57493 andi s1,a0,63 + 8001d2c8: 02813023 sd s0,32(sp) + 8001d2cc: 009706b3 add a3,a4,s1 + 8001d2d0: 02113423 sd ra,40(sp) + 8001d2d4: 01213823 sd s2,16(sp) + 8001d2d8: 00b68023 sb a1,0(a3) + 8001d2dc: 01300693 li a3,19 + 8001d2e0: 00050413 mv s0,a0 + 8001d2e4: 04d48263 beq s1,a3,8001d328 <_ZL21UNLOneBusWriteAPU40XXjh+0x74> + 8001d2e8: 01500693 li a3,21 + 8001d2ec: 06d48c63 beq s1,a3,8001d364 <_ZL21UNLOneBusWriteAPU40XXjh+0xb0> + 8001d2f0: 01200693 li a3,18 + 8001d2f4: 04d48a63 beq s1,a3,8001d348 <_ZL21UNLOneBusWriteAPU40XXjh+0x94> + 8001d2f8: 00349493 slli s1,s1,0x3 + 8001d2fc: 000aa797 auipc a5,0xaa + 8001d300: 00478793 addi a5,a5,4 # 800c7300 <_ZL11defapuwrite> + 8001d304: 009784b3 add s1,a5,s1 + 8001d308: 00040513 mv a0,s0 + 8001d30c: 02013403 ld s0,32(sp) + 8001d310: 0004b303 ld t1,0(s1) + 8001d314: 02813083 ld ra,40(sp) + 8001d318: 01813483 ld s1,24(sp) + 8001d31c: 01013903 ld s2,16(sp) + 8001d320: 03010113 addi sp,sp,48 + 8001d324: 00030067 jr t1 + 8001d328: 03074783 lbu a5,48(a4) + 8001d32c: 0107f793 andi a5,a5,16 + 8001d330: fc0784e3 beqz a5,8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d334: 0045979b slliw a5,a1,0x4 + 8001d338: 0017879b addiw a5,a5,1 + 8001d33c: 001af717 auipc a4,0x1af + 8001d340: cef71023 sh a5,-800(a4) # 801cc01c <_ZL8pcm_size> + 8001d344: fb5ff06f j 8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d348: 03074783 lbu a5,48(a4) + 8001d34c: 0107f793 andi a5,a5,16 + 8001d350: fa0784e3 beqz a5,8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d354: 0065979b slliw a5,a1,0x6 + 8001d358: 001af717 auipc a4,0x1af + 8001d35c: ccf71123 sh a5,-830(a4) # 801cc01a <_ZL8pcm_addr> + 8001d360: f99ff06f j 8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d364: 03074783 lbu a5,48(a4) + 8001d368: 0107f793 andi a5,a5,16 + 8001d36c: f80786e3 beqz a5,8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d370: 0105f793 andi a5,a1,16 + 8001d374: 001af917 auipc s2,0x1af + 8001d378: ca090913 addi s2,s2,-864 # 801cc014 <_ZL10pcm_enable> + 8001d37c: 00f90023 sb a5,0(s2) + 8001d380: 001af717 auipc a4,0x1af + 8001d384: c9674703 lbu a4,-874(a4) # 801cc016 <_ZL7pcm_irq> + 8001d388: 02071063 bnez a4,8001d3a8 <_ZL21UNLOneBusWriteAPU40XXjh+0xf4> + 8001d38c: 00078a63 beqz a5,8001d3a0 <_ZL21UNLOneBusWriteAPU40XXjh+0xec> + 8001d390: 0002f797 auipc a5,0x2f + 8001d394: 6587d783 lhu a5,1624(a5) # 8004c9e8 <_ZL9pcm_clock> + 8001d398: 001af717 auipc a4,0x1af + 8001d39c: c8f71423 sh a5,-888(a4) # 801cc020 <_ZL9pcm_latch> + 8001d3a0: 0ef5f593 andi a1,a1,239 + 8001d3a4: f55ff06f j 8001d2f8 <_ZL21UNLOneBusWriteAPU40XXjh+0x44> + 8001d3a8: 00100513 li a0,1 + 8001d3ac: 00b13423 sd a1,8(sp) + 8001d3b0: 9a1e30ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001d3b4: 00094783 lbu a5,0(s2) + 8001d3b8: 00813583 ld a1,8(sp) + 8001d3bc: 001af717 auipc a4,0x1af + 8001d3c0: c4070d23 sb zero,-934(a4) # 801cc016 <_ZL7pcm_irq> + 8001d3c4: fc9ff06f j 8001d38c <_ZL21UNLOneBusWriteAPU40XXjh+0xd8> + +000000008001d3c8 <_ZL16UNLOneBusCpuHooki>: + 8001d3c8: 001af797 auipc a5,0x1af + 8001d3cc: c4c7c783 lbu a5,-948(a5) # 801cc014 <_ZL10pcm_enable> + 8001d3d0: 02078663 beqz a5,8001d3fc <_ZL16UNLOneBusCpuHooki+0x34> + 8001d3d4: 001af717 auipc a4,0x1af + 8001d3d8: c4c70713 addi a4,a4,-948 # 801cc020 <_ZL9pcm_latch> + 8001d3dc: 00075783 lhu a5,0(a4) + 8001d3e0: 40a7853b subw a0,a5,a0 + 8001d3e4: 0105179b slliw a5,a0,0x10 + 8001d3e8: 4107d79b sraiw a5,a5,0x10 + 8001d3ec: 03051513 slli a0,a0,0x30 + 8001d3f0: 00f71023 sh a5,0(a4) + 8001d3f4: 03055513 srli a0,a0,0x30 + 8001d3f8: 00f05463 blez a5,8001d400 <_ZL16UNLOneBusCpuHooki+0x38> + 8001d3fc: 00008067 ret + 8001d400: 001af617 auipc a2,0x1af + 8001d404: c1c60613 addi a2,a2,-996 # 801cc01c <_ZL8pcm_size> + 8001d408: 00065783 lhu a5,0(a2) + 8001d40c: 0002f697 auipc a3,0x2f + 8001d410: 5dc6d683 lhu a3,1500(a3) # 8004c9e8 <_ZL9pcm_clock> + 8001d414: 00a6853b addw a0,a3,a0 + 8001d418: fff7879b addiw a5,a5,-1 + 8001d41c: 0107979b slliw a5,a5,0x10 + 8001d420: 4107d79b sraiw a5,a5,0x10 + 8001d424: 00a71023 sh a0,0(a4) + 8001d428: 00f61023 sh a5,0(a2) + 8001d42c: 0807c863 bltz a5,8001d4bc <_ZL16UNLOneBusCpuHooki+0xf4> + 8001d430: ff010113 addi sp,sp,-16 + 8001d434: 00813023 sd s0,0(sp) + 8001d438: 001af417 auipc s0,0x1af + 8001d43c: be240413 addi s0,s0,-1054 # 801cc01a <_ZL8pcm_addr> + 8001d440: 00045783 lhu a5,0(s0) + 8001d444: 000aa517 auipc a0,0xaa + 8001d448: 0ec54503 lbu a0,236(a0) # 800c7530 <_ZL7apu40xx+0x30> + 8001d44c: 00354513 xori a0,a0,3 + 8001d450: 00e5151b slliw a0,a0,0xe + 8001d454: 00f56533 or a0,a0,a5 + 8001d458: 03051513 slli a0,a0,0x30 + 8001d45c: 03055513 srli a0,a0,0x30 + 8001d460: 00351713 slli a4,a0,0x3 + 8001d464: 000ac797 auipc a5,0xac + 8001d468: 87c78793 addi a5,a5,-1924 # 800c8ce0 + 8001d46c: 00e787b3 add a5,a5,a4 + 8001d470: 0007b783 ld a5,0(a5) + 8001d474: 00113423 sd ra,8(sp) + 8001d478: 000780e7 jalr a5 + 8001d47c: 4015559b sraiw a1,a0,0x1 + 8001d480: 00004537 lui a0,0x4 + 8001d484: 000aa797 auipc a5,0xaa + 8001d488: f047b783 ld a5,-252(a5) # 800c7388 <_ZL11defapuwrite+0x88> + 8001d48c: 0ff5f593 andi a1,a1,255 + 8001d490: 01150513 addi a0,a0,17 # 4011 <_entry_offset+0x4011> + 8001d494: 000780e7 jalr a5 + 8001d498: 00045783 lhu a5,0(s0) + 8001d49c: 00813083 ld ra,8(sp) + 8001d4a0: 0017879b addiw a5,a5,1 + 8001d4a4: 03179793 slli a5,a5,0x31 + 8001d4a8: 0317d793 srli a5,a5,0x31 + 8001d4ac: 00f41023 sh a5,0(s0) + 8001d4b0: 00013403 ld s0,0(sp) + 8001d4b4: 01010113 addi sp,sp,16 + 8001d4b8: 00008067 ret + 8001d4bc: f8000793 li a5,-128 + 8001d4c0: 001af717 auipc a4,0x1af + 8001d4c4: b4f70b23 sb a5,-1194(a4) # 801cc016 <_ZL7pcm_irq> + 8001d4c8: 00100513 li a0,1 + 8001d4cc: 001af797 auipc a5,0x1af + 8001d4d0: b4078423 sb zero,-1208(a5) # 801cc014 <_ZL10pcm_enable> + 8001d4d4: 865e306f j 80000d38 <_Z14X6502_IRQBegini> + +000000008001d4d8 <_ZL16UNLOneBusIRQHookv>: + 8001d4d8: 001af717 auipc a4,0x1af + 8001d4dc: b3f70713 addi a4,a4,-1217 # 801cc017 <_ZL8IRQCount> + 8001d4e0: 00074783 lbu a5,0(a4) + 8001d4e4: 04078463 beqz a5,8001d52c <_ZL16UNLOneBusIRQHookv+0x54> + 8001d4e8: 001af697 auipc a3,0x1af + 8001d4ec: b366c683 lbu a3,-1226(a3) # 801cc01e <_ZL9IRQReload> + 8001d4f0: 02068663 beqz a3,8001d51c <_ZL16UNLOneBusIRQHookv+0x44> + 8001d4f4: 000aa797 auipc a5,0xaa + 8001d4f8: 04d7c783 lbu a5,77(a5) # 800c7541 <_ZL7cpu410x+0x1> + 8001d4fc: 00f70023 sb a5,0(a4) + 8001d500: 001af717 auipc a4,0x1af + 8001d504: b0070f23 sb zero,-1250(a4) # 801cc01e <_ZL9IRQReload> + 8001d508: 00079863 bnez a5,8001d518 <_ZL16UNLOneBusIRQHookv+0x40> + 8001d50c: 001af797 auipc a5,0x1af + 8001d510: b097c783 lbu a5,-1271(a5) # 801cc015 <_ZL4IRQa> + 8001d514: 02079863 bnez a5,8001d544 <_ZL16UNLOneBusIRQHookv+0x6c> + 8001d518: 00008067 ret + 8001d51c: fff7879b addiw a5,a5,-1 + 8001d520: 0ff7f793 andi a5,a5,255 + 8001d524: 00f70023 sb a5,0(a4) + 8001d528: fe1ff06f j 8001d508 <_ZL16UNLOneBusIRQHookv+0x30> + 8001d52c: 000aa797 auipc a5,0xaa + 8001d530: 0157c783 lbu a5,21(a5) # 800c7541 <_ZL7cpu410x+0x1> + 8001d534: 00f70023 sb a5,0(a4) + 8001d538: 001af797 auipc a5,0x1af + 8001d53c: ae078323 sb zero,-1306(a5) # 801cc01e <_ZL9IRQReload> + 8001d540: 00008067 ret + 8001d544: 00100513 li a0,1 + 8001d548: ff0e306f j 80000d38 <_Z14X6502_IRQBegini> + +000000008001d54c <_ZL18UNLOneBusWriteMMC3jh>: + 8001d54c: 0000e6b7 lui a3,0xe + 8001d550: 00168713 addi a4,a3,1 # e001 <_entry_offset+0xe001> + 8001d554: 00e57533 and a0,a0,a4 + 8001d558: 0000c7b7 lui a5,0xc + 8001d55c: 0af50463 beq a0,a5,8001d604 <_ZL18UNLOneBusWriteMMC3jh+0xb8> + 8001d560: ff010113 addi sp,sp,-16 + 8001d564: 00113423 sd ra,8(sp) + 8001d568: 02a7e663 bltu a5,a0,8001d594 <_ZL18UNLOneBusWriteMMC3jh+0x48> + 8001d56c: 000087b7 lui a5,0x8 + 8001d570: 00178713 addi a4,a5,1 # 8001 <_entry_offset+0x8001> + 8001d574: 0ae50063 beq a0,a4,8001d614 <_ZL18UNLOneBusWriteMMC3jh+0xc8> + 8001d578: 0000a737 lui a4,0xa + 8001d57c: 04e51c63 bne a0,a4,8001d5d4 <_ZL18UNLOneBusWriteMMC3jh+0x88> + 8001d580: 00813083 ld ra,8(sp) + 8001d584: 000aa797 auipc a5,0xaa + 8001d588: fcb78123 sb a1,-62(a5) # 800c7546 <_ZL7cpu410x+0x6> + 8001d58c: 01010113 addi sp,sp,16 + 8001d590: 905ff06f j 8001ce94 <_ZL5CSyncv> + 8001d594: 0ad50663 beq a0,a3,8001d640 <_ZL18UNLOneBusWriteMMC3jh+0xf4> + 8001d598: 00e51e63 bne a0,a4,8001d5b4 <_ZL18UNLOneBusWriteMMC3jh+0x68> + 8001d59c: 00100793 li a5,1 + 8001d5a0: 001af717 auipc a4,0x1af + 8001d5a4: a6f70aa3 sb a5,-1419(a4) # 801cc015 <_ZL4IRQa> + 8001d5a8: 00813083 ld ra,8(sp) + 8001d5ac: 01010113 addi sp,sp,16 + 8001d5b0: 00008067 ret + 8001d5b4: 00178793 addi a5,a5,1 + 8001d5b8: fef518e3 bne a0,a5,8001d5a8 <_ZL18UNLOneBusWriteMMC3jh+0x5c> + 8001d5bc: 00813083 ld ra,8(sp) + 8001d5c0: 00100793 li a5,1 + 8001d5c4: 001af717 auipc a4,0x1af + 8001d5c8: a4f70d23 sb a5,-1446(a4) # 801cc01e <_ZL9IRQReload> + 8001d5cc: 01010113 addi sp,sp,16 + 8001d5d0: 00008067 ret + 8001d5d4: fcf51ae3 bne a0,a5,8001d5a8 <_ZL18UNLOneBusWriteMMC3jh+0x5c> + 8001d5d8: 000aa717 auipc a4,0xaa + 8001d5dc: f6870713 addi a4,a4,-152 # 800c7540 <_ZL7cpu410x> + 8001d5e0: 00574783 lbu a5,5(a4) + 8001d5e4: fc75f593 andi a1,a1,-57 + 8001d5e8: 0387f793 andi a5,a5,56 + 8001d5ec: 00b7e5b3 or a1,a5,a1 + 8001d5f0: 00b702a3 sb a1,5(a4) + 8001d5f4: f64ff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d5f8: 00813083 ld ra,8(sp) + 8001d5fc: 01010113 addi sp,sp,16 + 8001d600: 895ff06f j 8001ce94 <_ZL5CSyncv> + 8001d604: ffe5f593 andi a1,a1,-2 + 8001d608: 000aa797 auipc a5,0xaa + 8001d60c: f2b78ca3 sb a1,-199(a5) # 800c7541 <_ZL7cpu410x+0x1> + 8001d610: 00008067 ret + 8001d614: 000aa697 auipc a3,0xaa + 8001d618: f2c68693 addi a3,a3,-212 # 800c7540 <_ZL7cpu410x> + 8001d61c: 0056c783 lbu a5,5(a3) + 8001d620: 00028717 auipc a4,0x28 + 8001d624: 1dc70713 addi a4,a4,476 # 800457fc <_ZL6bs_tbl+0x8c> + 8001d628: 0077f793 andi a5,a5,7 + 8001d62c: 00279793 slli a5,a5,0x2 + 8001d630: 00e787b3 add a5,a5,a4 + 8001d634: 0007a783 lw a5,0(a5) + 8001d638: 00e787b3 add a5,a5,a4 + 8001d63c: 00078067 jr a5 + 8001d640: 00100513 li a0,1 + 8001d644: f0ce30ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001d648: 00813083 ld ra,8(sp) + 8001d64c: 001af797 auipc a5,0x1af + 8001d650: 9c0784a3 sb zero,-1591(a5) # 801cc015 <_ZL4IRQa> + 8001d654: 01010113 addi sp,sp,16 + 8001d658: 00008067 ret + 8001d65c: 00b68423 sb a1,8(a3) + 8001d660: 00813083 ld ra,8(sp) + 8001d664: 01010113 addi sp,sp,16 + 8001d668: ef0ff06f j 8001cd58 <_ZL5PSyncv> + 8001d66c: 00b683a3 sb a1,7(a3) + 8001d670: 00813083 ld ra,8(sp) + 8001d674: 01010113 addi sp,sp,16 + 8001d678: ee0ff06f j 8001cd58 <_ZL5PSyncv> + 8001d67c: 00813083 ld ra,8(sp) + 8001d680: 000aa797 auipc a5,0xaa + 8001d684: ecb78aa3 sb a1,-299(a5) # 800c7555 <_ZL7ppu201x+0x5> + 8001d688: 01010113 addi sp,sp,16 + 8001d68c: 809ff06f j 8001ce94 <_ZL5CSyncv> + 8001d690: 00813083 ld ra,8(sp) + 8001d694: 000aa797 auipc a5,0xaa + 8001d698: ecb78023 sb a1,-320(a5) # 800c7554 <_ZL7ppu201x+0x4> + 8001d69c: 01010113 addi sp,sp,16 + 8001d6a0: ff4ff06f j 8001ce94 <_ZL5CSyncv> + 8001d6a4: 00813083 ld ra,8(sp) + 8001d6a8: 000aa797 auipc a5,0xaa + 8001d6ac: eab785a3 sb a1,-341(a5) # 800c7553 <_ZL7ppu201x+0x3> + 8001d6b0: 01010113 addi sp,sp,16 + 8001d6b4: fe0ff06f j 8001ce94 <_ZL5CSyncv> + 8001d6b8: 00813083 ld ra,8(sp) + 8001d6bc: 000aa797 auipc a5,0xaa + 8001d6c0: e8b78b23 sb a1,-362(a5) # 800c7552 <_ZL7ppu201x+0x2> + 8001d6c4: 01010113 addi sp,sp,16 + 8001d6c8: fccff06f j 8001ce94 <_ZL5CSyncv> + 8001d6cc: 00813083 ld ra,8(sp) + 8001d6d0: 000aa797 auipc a5,0xaa + 8001d6d4: e8b783a3 sb a1,-377(a5) # 800c7557 <_ZL7ppu201x+0x7> + 8001d6d8: 01010113 addi sp,sp,16 + 8001d6dc: fb8ff06f j 8001ce94 <_ZL5CSyncv> + 8001d6e0: 00813083 ld ra,8(sp) + 8001d6e4: 000aa797 auipc a5,0xaa + 8001d6e8: e6b78923 sb a1,-398(a5) # 800c7556 <_ZL7ppu201x+0x6> + 8001d6ec: 01010113 addi sp,sp,16 + 8001d6f0: fa4ff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d6f4 <_ZL21UNLOneBusWriteCPU410Xjh>: + 8001d6f4: ff010113 addi sp,sp,-16 + 8001d6f8: 00113423 sd ra,8(sp) + 8001d6fc: 00f57793 andi a5,a0,15 + 8001d700: 00300713 li a4,3 + 8001d704: 04e78863 beq a5,a4,8001d754 <_ZL21UNLOneBusWriteCPU410Xjh+0x60> + 8001d708: 00c57513 andi a0,a0,12 + 8001d70c: 02051463 bnez a0,8001d734 <_ZL21UNLOneBusWriteCPU410Xjh+0x40> + 8001d710: 00100713 li a4,1 + 8001d714: 04e78e63 beq a5,a4,8001d770 <_ZL21UNLOneBusWriteCPU410Xjh+0x7c> + 8001d718: 00200693 li a3,2 + 8001d71c: 06d79663 bne a5,a3,8001d788 <_ZL21UNLOneBusWriteCPU410Xjh+0x94> + 8001d720: 00813083 ld ra,8(sp) + 8001d724: 001af797 auipc a5,0x1af + 8001d728: 8ee78d23 sb a4,-1798(a5) # 801cc01e <_ZL9IRQReload> + 8001d72c: 01010113 addi sp,sp,16 + 8001d730: 00008067 ret + 8001d734: 00400713 li a4,4 + 8001d738: 04e79863 bne a5,a4,8001d788 <_ZL21UNLOneBusWriteCPU410Xjh+0x94> + 8001d73c: 00813083 ld ra,8(sp) + 8001d740: 00100793 li a5,1 + 8001d744: 001af717 auipc a4,0x1af + 8001d748: 8cf708a3 sb a5,-1839(a4) # 801cc015 <_ZL4IRQa> + 8001d74c: 01010113 addi sp,sp,16 + 8001d750: 00008067 ret + 8001d754: 00100513 li a0,1 + 8001d758: df8e30ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001d75c: 00813083 ld ra,8(sp) + 8001d760: 001af797 auipc a5,0x1af + 8001d764: 8a078aa3 sb zero,-1867(a5) # 801cc015 <_ZL4IRQa> + 8001d768: 01010113 addi sp,sp,16 + 8001d76c: 00008067 ret + 8001d770: 00813083 ld ra,8(sp) + 8001d774: ffe5f593 andi a1,a1,-2 + 8001d778: 000aa797 auipc a5,0xaa + 8001d77c: dcb784a3 sb a1,-567(a5) # 800c7541 <_ZL7cpu410x+0x1> + 8001d780: 01010113 addi sp,sp,16 + 8001d784: 00008067 ret + 8001d788: 000aa717 auipc a4,0xaa + 8001d78c: db870713 addi a4,a4,-584 # 800c7540 <_ZL7cpu410x> + 8001d790: 00f707b3 add a5,a4,a5 + 8001d794: 00b78023 sb a1,0(a5) + 8001d798: dc0ff0ef jal ra,8001cd58 <_ZL5PSyncv> + 8001d79c: 00813083 ld ra,8(sp) + 8001d7a0: 01010113 addi sp,sp,16 + 8001d7a4: ef0ff06f j 8001ce94 <_ZL5CSyncv> + +000000008001d7a8 <_Z14UNLOneBus_InitP8CartInfo>: + 8001d7a8: 00000797 auipc a5,0x0 + 8001d7ac: 98078793 addi a5,a5,-1664 # 8001d128 <_ZL14UNLOneBusPowerv> + 8001d7b0: 00f53023 sd a5,0(a0) + 8001d7b4: 06852703 lw a4,104(a0) + 8001d7b8: 00000797 auipc a5,0x0 + 8001d7bc: 90478793 addi a5,a5,-1788 # 8001d0bc <_ZL14UNLOneBusResetv> + 8001d7c0: 00f53423 sd a5,8(a0) + 8001d7c4: 305fd7b7 lui a5,0x305fd + 8001d7c8: dc378793 addi a5,a5,-573 # 305fcdc3 <_entry_offset+0x305fcdc3> + 8001d7cc: 04f70c63 beq a4,a5,8001d824 <_Z14UNLOneBus_InitP8CartInfo+0x7c> + 8001d7d0: 6abfd7b7 lui a5,0x6abfd + 8001d7d4: e8e78793 addi a5,a5,-370 # 6abfce8e <_entry_offset+0x6abfce8e> + 8001d7d8: 04f70663 beq a4,a5,8001d824 <_Z14UNLOneBus_InitP8CartInfo+0x7c> + 8001d7dc: 00000797 auipc a5,0x0 + 8001d7e0: cfc78793 addi a5,a5,-772 # 8001d4d8 <_ZL16UNLOneBusIRQHookv> + 8001d7e4: 001af717 auipc a4,0x1af + 8001d7e8: ecf73e23 sd a5,-292(a4) # 801cc6c0 + 8001d7ec: 00000797 auipc a5,0x0 + 8001d7f0: bdc78793 addi a5,a5,-1060 # 8001d3c8 <_ZL16UNLOneBusCpuHooki> + 8001d7f4: 001ae717 auipc a4,0x1ae + 8001d7f8: 34f73623 sd a5,844(a4) # 801cbb40 + 8001d7fc: 00000797 auipc a5,0x0 + 8001d800: 87c78793 addi a5,a5,-1924 # 8001d078 <_ZL12StateRestorei> + 8001d804: 00000693 li a3,0 + 8001d808: 00000613 li a2,0 + 8001d80c: fff00593 li a1,-1 + 8001d810: 0002e517 auipc a0,0x2e + 8001d814: 84050513 addi a0,a0,-1984 # 8004b050 <_ZL9StateRegs> + 8001d818: 001af717 auipc a4,0x1af + 8001d81c: e4f73023 sd a5,-448(a4) # 801cc658 + 8001d820: ff0e206f j 80000010 <_Z10AddExStatePvjiPKc> + 8001d824: 00f00793 li a5,15 + 8001d828: 001ae717 auipc a4,0x1ae + 8001d82c: 7ef70823 sb a5,2032(a4) # 801cc018 <_ZL8inv_hack> + 8001d830: fadff06f j 8001d7dc <_Z14UNLOneBus_InitP8CartInfo+0x34> + +000000008001d834 <_ZL11BMCHPxxReadj>: + 8001d834: 001ae517 auipc a0,0x1ae + 8001d838: 7f054503 lbu a0,2032(a0) # 801cc024 <_ZL9dipswitch> + 8001d83c: 00008067 ret + +000000008001d840 <_ZL12BMCHPxxResetv>: + 8001d840: 001ae697 auipc a3,0x1ae + 8001d844: 7e468693 addi a3,a3,2020 # 801cc024 <_ZL9dipswitch> + 8001d848: 0006a783 lw a5,0(a3) + 8001d84c: ff010113 addi sp,sp,-16 + 8001d850: 00113423 sd ra,8(sp) + 8001d854: 0017879b addiw a5,a5,1 + 8001d858: 00813023 sd s0,0(sp) + 8001d85c: 001af717 auipc a4,0x1af + 8001d860: 9f470713 addi a4,a4,-1548 # 801cc250 + 8001d864: 00f7f793 andi a5,a5,15 + 8001d868: 001af417 auipc s0,0x1af + 8001d86c: 9f440413 addi s0,s0,-1548 # 801cc25c + 8001d870: 00f6a023 sw a5,0(a3) + 8001d874: 000701a3 sb zero,3(a4) + 8001d878: 00070123 sb zero,2(a4) + 8001d87c: 000700a3 sb zero,1(a4) + 8001d880: 00070023 sb zero,0(a4) + 8001d884: 001ae797 auipc a5,0x1ae + 8001d888: 78078f23 sb zero,1950(a5) # 801cc022 <_ZL4lock> + 8001d88c: 0dd080ef jal ra,80026168 <_Z12MMC3RegResetv> + 8001d890: 00044503 lbu a0,0(s0) + 8001d894: 5d8080ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001d898: 00044503 lbu a0,0(s0) + 8001d89c: 00013403 ld s0,0(sp) + 8001d8a0: 00813083 ld ra,8(sp) + 8001d8a4: 01010113 addi sp,sp,16 + 8001d8a8: 7800806f j 80026028 <_Z10FixMMC3CHRi> + +000000008001d8ac <_ZL12BMCHPxxPowerv>: + 8001d8ac: ff010113 addi sp,sp,-16 + 8001d8b0: 00113423 sd ra,8(sp) + 8001d8b4: 00813023 sd s0,0(sp) + 8001d8b8: 109080ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8001d8bc: 001af417 auipc s0,0x1af + 8001d8c0: 9a040413 addi s0,s0,-1632 # 801cc25c + 8001d8c4: 00044503 lbu a0,0(s0) + 8001d8c8: 001af797 auipc a5,0x1af + 8001d8cc: 98878793 addi a5,a5,-1656 # 801cc250 + 8001d8d0: 000781a3 sb zero,3(a5) + 8001d8d4: 00078123 sb zero,2(a5) + 8001d8d8: 000780a3 sb zero,1(a5) + 8001d8dc: 00078023 sb zero,0(a5) + 8001d8e0: 001ae717 auipc a4,0x1ae + 8001d8e4: 74070123 sb zero,1858(a4) # 801cc022 <_ZL4lock> + 8001d8e8: 001ae717 auipc a4,0x1ae + 8001d8ec: 72072e23 sw zero,1852(a4) # 801cc024 <_ZL9dipswitch> + 8001d8f0: 57c080ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001d8f4: 00044503 lbu a0,0(s0) + 8001d8f8: 00006437 lui s0,0x6 + 8001d8fc: 72c080ef jal ra,80026028 <_Z10FixMMC3CHRi> + 8001d900: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 8001d904: 00000617 auipc a2,0x0 + 8001d908: f3060613 addi a2,a2,-208 # 8001d834 <_ZL11BMCHPxxReadj> + 8001d90c: 00005537 lui a0,0x5 + 8001d910: 10c160ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001d914: fff40593 addi a1,s0,-1 + 8001d918: 00000617 auipc a2,0x0 + 8001d91c: 03460613 addi a2,a2,52 # 8001d94c <_ZL12BMCHPxxWritejh> + 8001d920: 00005537 lui a0,0x5 + 8001d924: 204160ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001d928: 00013403 ld s0,0(sp) + 8001d92c: 00813083 ld ra,8(sp) + 8001d930: 000105b7 lui a1,0x10 + 8001d934: 00000617 auipc a2,0x0 + 8001d938: 07c60613 addi a2,a2,124 # 8001d9b0 <_ZL14BMCHPxxHiWritejh> + 8001d93c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8001d940: 00008537 lui a0,0x8 + 8001d944: 01010113 addi sp,sp,16 + 8001d948: 1e01606f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008001d94c <_ZL12BMCHPxxWritejh>: + 8001d94c: 001ae717 auipc a4,0x1ae + 8001d950: 6d670713 addi a4,a4,1750 # 801cc022 <_ZL4lock> + 8001d954: 00074683 lbu a3,0(a4) + 8001d958: 00068463 beqz a3,8001d960 <_ZL12BMCHPxxWritejh+0x14> + 8001d95c: 00008067 ret + 8001d960: ff010113 addi sp,sp,-16 + 8001d964: 00813023 sd s0,0(sp) + 8001d968: 001af417 auipc s0,0x1af + 8001d96c: 8f440413 addi s0,s0,-1804 # 801cc25c + 8001d970: 00050793 mv a5,a0 + 8001d974: 00044503 lbu a0,0(s0) + 8001d978: 001af697 auipc a3,0x1af + 8001d97c: 8d868693 addi a3,a3,-1832 # 801cc250 + 8001d980: 0037f793 andi a5,a5,3 + 8001d984: 00113423 sd ra,8(sp) + 8001d988: 00f687b3 add a5,a3,a5 + 8001d98c: f805f693 andi a3,a1,-128 + 8001d990: 00b78023 sb a1,0(a5) + 8001d994: 00d70023 sb a3,0(a4) + 8001d998: 4d4080ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001d99c: 00044503 lbu a0,0(s0) + 8001d9a0: 00013403 ld s0,0(sp) + 8001d9a4: 00813083 ld ra,8(sp) + 8001d9a8: 01010113 addi sp,sp,16 + 8001d9ac: 67c0806f j 80026028 <_Z10FixMMC3CHRi> + +000000008001d9b0 <_ZL14BMCHPxxHiWritejh>: + 8001d9b0: 001af797 auipc a5,0x1af + 8001d9b4: 8a07c783 lbu a5,-1888(a5) # 801cc250 + 8001d9b8: 0047f793 andi a5,a5,4 + 8001d9bc: 04079263 bnez a5,8001da00 <_ZL14BMCHPxxHiWritejh+0x50> + 8001d9c0: 0000c7b7 lui a5,0xc + 8001d9c4: 02f57c63 bgeu a0,a5,8001d9fc <_ZL14BMCHPxxHiWritejh+0x4c> + 8001d9c8: ff010113 addi sp,sp,-16 + 8001d9cc: 00113423 sd ra,8(sp) + 8001d9d0: 00813023 sd s0,0(sp) + 8001d9d4: 6f1080ef jal ra,800268c4 <_Z13MMC3_CMDWritejh> + 8001d9d8: 001af417 auipc s0,0x1af + 8001d9dc: 88440413 addi s0,s0,-1916 # 801cc25c + 8001d9e0: 00044503 lbu a0,0(s0) + 8001d9e4: 488080ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8001d9e8: 00044503 lbu a0,0(s0) + 8001d9ec: 00013403 ld s0,0(sp) + 8001d9f0: 00813083 ld ra,8(sp) + 8001d9f4: 01010113 addi sp,sp,16 + 8001d9f8: 6300806f j 80026028 <_Z10FixMMC3CHRi> + 8001d9fc: 0980806f j 80025a94 <_Z13MMC3_IRQWritejh> + 8001da00: 001af517 auipc a0,0x1af + 8001da04: 85c54503 lbu a0,-1956(a0) # 801cc25c + 8001da08: 001ae797 auipc a5,0x1ae + 8001da0c: 60b78da3 sb a1,1563(a5) # 801cc023 <_ZL8unromchr> + 8001da10: 6180806f j 80026028 <_Z10FixMMC3CHRi> + +000000008001da14 <_ZL9BMCHPxxMWh>: + 8001da14: 001af797 auipc a5,0x1af + 8001da18: 83c7c783 lbu a5,-1988(a5) # 801cc250 + 8001da1c: 0047f713 andi a4,a5,4 + 8001da20: 00050793 mv a5,a0 + 8001da24: 00070e63 beqz a4,8001da40 <_ZL9BMCHPxxMWh+0x2c> + 8001da28: 001ae517 auipc a0,0x1ae + 8001da2c: 5fb54503 lbu a0,1531(a0) # 801cc023 <_ZL8unromchr> + 8001da30: 00255513 srli a0,a0,0x2 + 8001da34: 00154513 xori a0,a0,1 + 8001da38: 00157513 andi a0,a0,1 + 8001da3c: e0cf306f j 80011048 <_Z9setmirrori> + 8001da40: fff54513 not a0,a0 + 8001da44: 00157513 andi a0,a0,1 + 8001da48: 001ae717 auipc a4,0x1ae + 8001da4c: 7ef70523 sb a5,2026(a4) # 801cc232 + 8001da50: df8f306f j 80011048 <_Z9setmirrori> + +000000008001da54 <_ZL9BMCHPxxPWjh>: + 8001da54: ff010113 addi sp,sp,-16 + 8001da58: 00813023 sd s0,0(sp) + 8001da5c: 001ae417 auipc s0,0x1ae + 8001da60: 7f440413 addi s0,s0,2036 # 801cc250 + 8001da64: 00044783 lbu a5,0(s0) + 8001da68: 00113423 sd ra,8(sp) + 8001da6c: 00144703 lbu a4,1(s0) + 8001da70: 0047f693 andi a3,a5,4 + 8001da74: 02068663 beqz a3,8001daa0 <_ZL9BMCHPxxPWjh+0x4c> + 8001da78: 00f7f793 andi a5,a5,15 + 8001da7c: 00400693 li a3,4 + 8001da80: 06d78863 beq a5,a3,8001daf0 <_ZL9BMCHPxxPWjh+0x9c> + 8001da84: 00013403 ld s0,0(sp) + 8001da88: 00813083 ld ra,8(sp) + 8001da8c: 4017559b sraiw a1,a4,0x1 + 8001da90: 00f5f593 andi a1,a1,15 + 8001da94: 00008537 lui a0,0x8 + 8001da98: 01010113 addi sp,sp,16 + 8001da9c: b78f306f j 80010e14 <_Z8setprg32jj> + 8001daa0: 0027f793 andi a5,a5,2 + 8001daa4: 04079063 bnez a5,8001dae4 <_ZL9BMCHPxxPWjh+0x90> + 8001daa8: 01077713 andi a4,a4,16 + 8001daac: 01f00793 li a5,31 + 8001dab0: 00b7f5b3 and a1,a5,a1 + 8001dab4: 00171713 slli a4,a4,0x1 + 8001dab8: 00b765b3 or a1,a4,a1 + 8001dabc: fe1f20ef jal ra,80010a9c <_Z7setprg8jj> + 8001dac0: 00013403 ld s0,0(sp) + 8001dac4: 00813083 ld ra,8(sp) + 8001dac8: 001ae617 auipc a2,0x1ae + 8001dacc: 76b64603 lbu a2,1899(a2) # 801cc233 + 8001dad0: 00367613 andi a2,a2,3 + 8001dad4: 000065b7 lui a1,0x6 + 8001dad8: 01000513 li a0,16 + 8001dadc: 01010113 addi sp,sp,16 + 8001dae0: e09f206f j 800108e8 <_Z8setprg8rijj> + 8001dae4: 01877713 andi a4,a4,24 + 8001dae8: 00f00793 li a5,15 + 8001daec: fc5ff06f j 8001dab0 <_ZL9BMCHPxxPWjh+0x5c> + 8001daf0: 01f77593 andi a1,a4,31 + 8001daf4: 00008537 lui a0,0x8 + 8001daf8: 960f30ef jal ra,80010c58 <_Z8setprg16jj> + 8001dafc: 00144583 lbu a1,1(s0) + 8001db00: 00013403 ld s0,0(sp) + 8001db04: 00813083 ld ra,8(sp) + 8001db08: 0000c537 lui a0,0xc + 8001db0c: 01f5f593 andi a1,a1,31 + 8001db10: 01010113 addi sp,sp,16 + 8001db14: 944f306f j 80010c58 <_Z8setprg16jj> + +000000008001db18 <_ZL9BMCHPxxCWjh>: + 8001db18: 001ae717 auipc a4,0x1ae + 8001db1c: 73870713 addi a4,a4,1848 # 801cc250 + 8001db20: 00074783 lbu a5,0(a4) + 8001db24: 00274703 lbu a4,2(a4) + 8001db28: 0047f693 andi a3,a5,4 + 8001db2c: 02068063 beqz a3,8001db4c <_ZL9BMCHPxxCWjh+0x34> + 8001db30: 0037f793 andi a5,a5,3 + 8001db34: 00200693 li a3,2 + 8001db38: 06d78263 beq a5,a3,8001db9c <_ZL9BMCHPxxCWjh+0x84> + 8001db3c: 00300693 li a3,3 + 8001db40: 04d78263 beq a5,a3,8001db84 <_ZL9BMCHPxxCWjh+0x6c> + 8001db44: 03f77513 andi a0,a4,63 + 8001db48: bbcf306f j 80010f04 <_Z7setchr8j> + 8001db4c: 0017f793 andi a5,a5,1 + 8001db50: 00079e63 bnez a5,8001db6c <_ZL9BMCHPxxCWjh+0x54> + 8001db54: 02077713 andi a4,a4,32 + 8001db58: 0ff00793 li a5,255 + 8001db5c: 00b7f5b3 and a1,a5,a1 + 8001db60: 00371713 slli a4,a4,0x3 + 8001db64: 00b765b3 or a1,a4,a1 + 8001db68: b3cf306f j 80010ea4 <_Z7setchr1jj> + 8001db6c: 03077713 andi a4,a4,48 + 8001db70: 07f00793 li a5,127 + 8001db74: 00b7f5b3 and a1,a5,a1 + 8001db78: 00371713 slli a4,a4,0x3 + 8001db7c: 00b765b3 or a1,a4,a1 + 8001db80: b24f306f j 80010ea4 <_Z7setchr1jj> + 8001db84: 001ae517 auipc a0,0x1ae + 8001db88: 49f54503 lbu a0,1183(a0) # 801cc023 <_ZL8unromchr> + 8001db8c: 00357513 andi a0,a0,3 + 8001db90: 03c77713 andi a4,a4,60 + 8001db94: 00e56533 or a0,a0,a4 + 8001db98: b6cf306f j 80010f04 <_Z7setchr8j> + 8001db9c: 001ae517 auipc a0,0x1ae + 8001dba0: 48754503 lbu a0,1159(a0) # 801cc023 <_ZL8unromchr> + 8001dba4: 00157513 andi a0,a0,1 + 8001dba8: 03e77713 andi a4,a4,62 + 8001dbac: 00e56533 or a0,a0,a4 + 8001dbb0: b54f306f j 80010f04 <_Z7setchr8j> + +000000008001dbb4 <_Z12BMCHPxx_InitP8CartInfo>: + 8001dbb4: ff010113 addi sp,sp,-16 + 8001dbb8: 00000713 li a4,0 + 8001dbbc: 00800693 li a3,8 + 8001dbc0: 10000613 li a2,256 + 8001dbc4: 10000593 li a1,256 + 8001dbc8: 00113423 sd ra,8(sp) + 8001dbcc: 00813023 sd s0,0(sp) + 8001dbd0: 00050413 mv s0,a0 + 8001dbd4: 444090ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8001dbd8: 00000797 auipc a5,0x0 + 8001dbdc: f4078793 addi a5,a5,-192 # 8001db18 <_ZL9BMCHPxxCWjh> + 8001dbe0: 001ae717 auipc a4,0x1ae + 8001dbe4: 6af73023 sd a5,1696(a4) # 801cc280 + 8001dbe8: 00000797 auipc a5,0x0 + 8001dbec: e6c78793 addi a5,a5,-404 # 8001da54 <_ZL9BMCHPxxPWjh> + 8001dbf0: 001ae717 auipc a4,0x1ae + 8001dbf4: 6af73423 sd a5,1704(a4) # 801cc298 + 8001dbf8: 00000797 auipc a5,0x0 + 8001dbfc: e1c78793 addi a5,a5,-484 # 8001da14 <_ZL9BMCHPxxMWh> + 8001dc00: 001ae717 auipc a4,0x1ae + 8001dc04: 68f73823 sd a5,1680(a4) # 801cc290 + 8001dc08: 00000797 auipc a5,0x0 + 8001dc0c: ca478793 addi a5,a5,-860 # 8001d8ac <_ZL12BMCHPxxPowerv> + 8001dc10: 00f43023 sd a5,0(s0) + 8001dc14: 00000797 auipc a5,0x0 + 8001dc18: c2c78793 addi a5,a5,-980 # 8001d840 <_ZL12BMCHPxxResetv> + 8001dc1c: 00f43423 sd a5,8(s0) + 8001dc20: 00028697 auipc a3,0x28 + 8001dc24: 8d068693 addi a3,a3,-1840 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8001dc28: 00000613 li a2,0 + 8001dc2c: 00800593 li a1,8 + 8001dc30: 001ae517 auipc a0,0x1ae + 8001dc34: 62050513 addi a0,a0,1568 # 801cc250 + 8001dc38: bd8e20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001dc3c: 00028697 auipc a3,0x28 + 8001dc40: c2468693 addi a3,a3,-988 # 80045860 <_ZL6bs_tbl+0xf0> + 8001dc44: 00000613 li a2,0 + 8001dc48: 00100593 li a1,1 + 8001dc4c: 001ae517 auipc a0,0x1ae + 8001dc50: 3d750513 addi a0,a0,983 # 801cc023 <_ZL8unromchr> + 8001dc54: bbce20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001dc58: 00028697 auipc a3,0x28 + 8001dc5c: c1068693 addi a3,a3,-1008 # 80045868 <_ZL6bs_tbl+0xf8> + 8001dc60: 00000613 li a2,0 + 8001dc64: 00100593 li a1,1 + 8001dc68: 001ae517 auipc a0,0x1ae + 8001dc6c: 3bc50513 addi a0,a0,956 # 801cc024 <_ZL9dipswitch> + 8001dc70: ba0e20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001dc74: 00013403 ld s0,0(sp) + 8001dc78: 00813083 ld ra,8(sp) + 8001dc7c: 00028697 auipc a3,0x28 + 8001dc80: bf468693 addi a3,a3,-1036 # 80045870 <_ZL6bs_tbl+0x100> + 8001dc84: 00000613 li a2,0 + 8001dc88: 00100593 li a1,1 + 8001dc8c: 001ae517 auipc a0,0x1ae + 8001dc90: 39650513 addi a0,a0,918 # 801cc022 <_ZL4lock> + 8001dc94: 01010113 addi sp,sp,16 + 8001dc98: b78e206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008001dc9c <_ZL12MMC5_ExRAMWrjh>: + 8001dc9c: 001af717 auipc a4,0x1af + 8001dca0: a3c74703 lbu a4,-1476(a4) # 801cc6d8 + 8001dca4: 00300793 li a5,3 + 8001dca8: 00f70c63 beq a4,a5,8001dcc0 <_ZL12MMC5_ExRAMWrjh+0x24> + 8001dcac: 3ff57513 andi a0,a0,1023 + 8001dcb0: 001ae797 auipc a5,0x1ae + 8001dcb4: 3a87b783 ld a5,936(a5) # 801cc058 <_ZL5ExRAM> + 8001dcb8: 00a78533 add a0,a5,a0 + 8001dcbc: 00b50023 sb a1,0(a0) + 8001dcc0: 00008067 ret + +000000008001dcc4 <_ZL12MMC5_ExRAMRdj>: + 8001dcc4: 3ff57793 andi a5,a0,1023 + 8001dcc8: 001ae517 auipc a0,0x1ae + 8001dccc: 39053503 ld a0,912(a0) # 801cc058 <_ZL5ExRAM> + 8001dcd0: 00f50533 add a0,a0,a5 + 8001dcd4: 00054503 lbu a0,0(a0) + 8001dcd8: 00008067 ret + +000000008001dcdc <_ZL6Do5PCMv>: + 8001dcdc: 001ae797 auipc a5,0x1ae + 8001dce0: ef47a783 lw a5,-268(a5) # 801cbbd0 + 8001dce4: 001ae517 auipc a0,0x1ae + 8001dce8: e6452503 lw a0,-412(a0) # 801cbb48 + 8001dcec: 00f5053b addw a0,a0,a5 + 8001dcf0: fe010113 addi sp,sp,-32 + 8001dcf4: 0105151b slliw a0,a0,0x10 + 8001dcf8: 00913423 sd s1,8(sp) + 8001dcfc: 02051513 slli a0,a0,0x20 + 8001dd00: 000aa497 auipc s1,0xaa + 8001dd04: 8f048493 addi s1,s1,-1808 # 800c75f0 <_ZL9MMC5Sound> + 8001dd08: 00813823 sd s0,16(sp) + 8001dd0c: 001ae597 auipc a1,0x1ae + 8001dd10: ec05e583 lwu a1,-320(a1) # 801cbbcc + 8001dd14: 02055513 srli a0,a0,0x20 + 8001dd18: 01c4a403 lw s0,28(s1) + 8001dd1c: 00113c23 sd ra,24(sp) + 8001dd20: 1081c0ef jal ra,80039e28 <__udivdi3> + 8001dd24: 0005051b sext.w a0,a0 + 8001dd28: 04a45463 bge s0,a0,8001dd70 <_ZL6Do5PCMv+0x94> + 8001dd2c: 0094c783 lbu a5,9(s1) + 8001dd30: 00a4ae23 sw a0,28(s1) + 8001dd34: 0407f793 andi a5,a5,64 + 8001dd38: 02079c63 bnez a5,8001dd70 <_ZL6Do5PCMv+0x94> + 8001dd3c: 0084c683 lbu a3,8(s1) + 8001dd40: 02068863 beqz a3,8001dd70 <_ZL6Do5PCMv+0x94> + 8001dd44: 00169693 slli a3,a3,0x1 + 8001dd48: 0003a617 auipc a2,0x3a + 8001dd4c: c8060613 addi a2,a2,-896 # 800579c8 + 8001dd50: 40445793 srai a5,s0,0x4 + 8001dd54: 00279793 slli a5,a5,0x2 + 8001dd58: 00f607b3 add a5,a2,a5 + 8001dd5c: 0007a703 lw a4,0(a5) + 8001dd60: 0014041b addiw s0,s0,1 + 8001dd64: 00d7073b addw a4,a4,a3 + 8001dd68: 00e7a023 sw a4,0(a5) + 8001dd6c: fe8512e3 bne a0,s0,8001dd50 <_ZL6Do5PCMv+0x74> + 8001dd70: 01813083 ld ra,24(sp) + 8001dd74: 01013403 ld s0,16(sp) + 8001dd78: 00813483 ld s1,8(sp) + 8001dd7c: 02010113 addi sp,sp,32 + 8001dd80: 00008067 ret + +000000008001dd84 <_ZL8Do5PCMHQv>: + 8001dd84: 000aa597 auipc a1,0xaa + 8001dd88: 86c58593 addi a1,a1,-1940 # 800c75f0 <_ZL9MMC5Sound> + 8001dd8c: 0095c783 lbu a5,9(a1) + 8001dd90: 001ae697 auipc a3,0x1ae + 8001dd94: db86a683 lw a3,-584(a3) # 801cbb48 + 8001dd98: 001ae717 auipc a4,0x1ae + 8001dd9c: e3872703 lw a4,-456(a4) # 801cbbd0 + 8001dda0: 00e6873b addw a4,a3,a4 + 8001dda4: 0407f793 andi a5,a5,64 + 8001dda8: 00070693 mv a3,a4 + 8001ddac: 06079463 bnez a5,8001de14 <_ZL8Do5PCMHQv+0x90> + 8001ddb0: 0085c503 lbu a0,8(a1) + 8001ddb4: 06050063 beqz a0,8001de14 <_ZL8Do5PCMHQv+0x90> + 8001ddb8: 01c5a783 lw a5,28(a1) + 8001ddbc: 04e7fc63 bgeu a5,a4,8001de14 <_ZL8Do5PCMHQv+0x90> + 8001ddc0: fff7061b addiw a2,a4,-1 + 8001ddc4: 40f6063b subw a2,a2,a5 + 8001ddc8: 02061613 slli a2,a2,0x20 + 8001ddcc: 02079793 slli a5,a5,0x20 + 8001ddd0: 0207d793 srli a5,a5,0x20 + 8001ddd4: 02065613 srli a2,a2,0x20 + 8001ddd8: 00f60633 add a2,a2,a5 + 8001dddc: 0003f717 auipc a4,0x3f + 8001dde0: bec70713 addi a4,a4,-1044 # 8005c9c8 + 8001dde4: 00279793 slli a5,a5,0x2 + 8001dde8: 00261613 slli a2,a2,0x2 + 8001ddec: 00e787b3 add a5,a5,a4 + 8001ddf0: 0003f717 auipc a4,0x3f + 8001ddf4: bdc70713 addi a4,a4,-1060 # 8005c9cc + 8001ddf8: 00551513 slli a0,a0,0x5 + 8001ddfc: 00e60633 add a2,a2,a4 + 8001de00: 0007a703 lw a4,0(a5) + 8001de04: 00478793 addi a5,a5,4 + 8001de08: 00a7073b addw a4,a4,a0 + 8001de0c: fee7ae23 sw a4,-4(a5) + 8001de10: fec798e3 bne a5,a2,8001de00 <_ZL8Do5PCMHQv+0x7c> + 8001de14: 00d5ae23 sw a3,28(a1) + 8001de18: 00008067 ret + +000000008001de1c <_ZL10Mapper5_SWjh>: + 8001de1c: 0003a797 auipc a5,0x3a + 8001de20: b6c78793 addi a5,a5,-1172 # 80057988 + 8001de24: 00000717 auipc a4,0x0 + 8001de28: 4bc70713 addi a4,a4,1212 # 8001e2e0 <_Z12MMC5RunSoundi> + 8001de2c: 00e7b023 sd a4,0(a5) + 8001de30: 00000717 auipc a4,0x0 + 8001de34: 47470713 addi a4,a4,1140 # 8001e2a4 <_Z14MMC5RunSoundHQv> + 8001de38: 00e7b823 sd a4,16(a5) + 8001de3c: 01f57513 andi a0,a0,31 + 8001de40: 01500793 li a5,21 + 8001de44: 08a7e263 bltu a5,a0,8001dec8 <_ZL10Mapper5_SWjh+0xac> + 8001de48: 00028697 auipc a3,0x28 + 8001de4c: a3068693 addi a3,a3,-1488 # 80045878 <_ZL6bs_tbl+0x108> + 8001de50: 00251713 slli a4,a0,0x2 + 8001de54: 00d70733 add a4,a4,a3 + 8001de58: 00072783 lw a5,0(a4) + 8001de5c: fe010113 addi sp,sp,-32 + 8001de60: 00913423 sd s1,8(sp) + 8001de64: 00d787b3 add a5,a5,a3 + 8001de68: 00113c23 sd ra,24(sp) + 8001de6c: 00813823 sd s0,16(sp) + 8001de70: 00058493 mv s1,a1 + 8001de74: 00078067 jr a5 + 8001de78: 001ae417 auipc s0,0x1ae + 8001de7c: 1d840413 addi s0,s0,472 # 801cc050 <_ZL4sfun> + 8001de80: 00043783 ld a5,0(s0) + 8001de84: 00078c63 beqz a5,8001de9c <_ZL10Mapper5_SWjh+0x80> + 8001de88: 00000513 li a0,0 + 8001de8c: 000780e7 jalr a5 + 8001de90: 00043783 ld a5,0(s0) + 8001de94: 00100513 li a0,1 + 8001de98: 000780e7 jalr a5 + 8001de9c: 000a9797 auipc a5,0xa9 + 8001dea0: 75478793 addi a5,a5,1876 # 800c75f0 <_ZL9MMC5Sound> + 8001dea4: 0077c583 lbu a1,7(a5) + 8001dea8: 00978323 sb s1,6(a5) + 8001deac: 00b4f5b3 and a1,s1,a1 + 8001deb0: 00b783a3 sb a1,7(a5) + 8001deb4: 01813083 ld ra,24(sp) + 8001deb8: 01013403 ld s0,16(sp) + 8001debc: 00813483 ld s1,8(sp) + 8001dec0: 02010113 addi sp,sp,32 + 8001dec4: 00008067 ret + 8001dec8: 00008067 ret + 8001decc: 000a9697 auipc a3,0xa9 + 8001ded0: 72468693 addi a3,a3,1828 # 800c75f0 <_ZL9MMC5Sound> + 8001ded4: 00255793 srli a5,a0,0x2 + 8001ded8: 0076c603 lbu a2,7(a3) + 8001dedc: 00179793 slli a5,a5,0x1 + 8001dee0: 00f687b3 add a5,a3,a5 + 8001dee4: 00255513 srli a0,a0,0x2 + 8001dee8: 00100813 li a6,1 + 8001deec: 0007d703 lhu a4,0(a5) + 8001def0: 00a8153b sllw a0,a6,a0 + 8001def4: 00a66533 or a0,a2,a0 + 8001def8: 00a683a3 sb a0,7(a3) + 8001defc: 00859593 slli a1,a1,0x8 + 8001df00: 01813083 ld ra,24(sp) + 8001df04: 01013403 ld s0,16(sp) + 8001df08: 8ff77713 andi a4,a4,-1793 + 8001df0c: 7005f593 andi a1,a1,1792 + 8001df10: 00b765b3 or a1,a4,a1 + 8001df14: 00b79023 sh a1,0(a5) + 8001df18: 00813483 ld s1,8(sp) + 8001df1c: 02010113 addi sp,sp,32 + 8001df20: 00008067 ret + 8001df24: 001ae797 auipc a5,0x1ae + 8001df28: 12c7b783 ld a5,300(a5) # 801cc050 <_ZL4sfun> + 8001df2c: 0025541b srliw s0,a0,0x2 + 8001df30: 00078663 beqz a5,8001df3c <_ZL10Mapper5_SWjh+0x120> + 8001df34: 0004051b sext.w a0,s0 + 8001df38: 000780e7 jalr a5 + 8001df3c: 02041513 slli a0,s0,0x20 + 8001df40: 02055513 srli a0,a0,0x20 + 8001df44: 000a9417 auipc s0,0xa9 + 8001df48: 6ac40413 addi s0,s0,1708 # 800c75f0 <_ZL9MMC5Sound> + 8001df4c: 00a40533 add a0,s0,a0 + 8001df50: 00950223 sb s1,4(a0) + 8001df54: 01813083 ld ra,24(sp) + 8001df58: 01013403 ld s0,16(sp) + 8001df5c: 00813483 ld s1,8(sp) + 8001df60: 02010113 addi sp,sp,32 + 8001df64: 00008067 ret + 8001df68: 001ae797 auipc a5,0x1ae + 8001df6c: 0e87b783 ld a5,232(a5) # 801cc050 <_ZL4sfun> + 8001df70: 0025541b srliw s0,a0,0x2 + 8001df74: 00078663 beqz a5,8001df80 <_ZL10Mapper5_SWjh+0x164> + 8001df78: 0004051b sext.w a0,s0 + 8001df7c: 000780e7 jalr a5 + 8001df80: 02041513 slli a0,s0,0x20 + 8001df84: 01f55513 srli a0,a0,0x1f + 8001df88: 000a9417 auipc s0,0xa9 + 8001df8c: 66840413 addi s0,s0,1640 # 800c75f0 <_ZL9MMC5Sound> + 8001df90: 01813083 ld ra,24(sp) + 8001df94: 00a40533 add a0,s0,a0 + 8001df98: 01013403 ld s0,16(sp) + 8001df9c: 00950023 sb s1,0(a0) + 8001dfa0: 00813483 ld s1,8(sp) + 8001dfa4: 02010113 addi sp,sp,32 + 8001dfa8: 00008067 ret + 8001dfac: 001ae797 auipc a5,0x1ae + 8001dfb0: 0b47b783 ld a5,180(a5) # 801cc060 <_ZL5psfun> + 8001dfb4: 00078463 beqz a5,8001dfbc <_ZL10Mapper5_SWjh+0x1a0> + 8001dfb8: 000780e7 jalr a5 + 8001dfbc: 01813083 ld ra,24(sp) + 8001dfc0: 01013403 ld s0,16(sp) + 8001dfc4: 000a9797 auipc a5,0xa9 + 8001dfc8: 62978aa3 sb s1,1589(a5) # 800c75f9 <_ZL9MMC5Sound+0x9> + 8001dfcc: 00813483 ld s1,8(sp) + 8001dfd0: 02010113 addi sp,sp,32 + 8001dfd4: 00008067 ret + 8001dfd8: 001ae797 auipc a5,0x1ae + 8001dfdc: 0887b783 ld a5,136(a5) # 801cc060 <_ZL5psfun> + 8001dfe0: 00078463 beqz a5,8001dfe8 <_ZL10Mapper5_SWjh+0x1cc> + 8001dfe4: 000780e7 jalr a5 + 8001dfe8: 01813083 ld ra,24(sp) + 8001dfec: 01013403 ld s0,16(sp) + 8001dff0: 000a9797 auipc a5,0xa9 + 8001dff4: 60978423 sb s1,1544(a5) # 800c75f8 <_ZL9MMC5Sound+0x8> + 8001dff8: 00813483 ld s1,8(sp) + 8001dffc: 02010113 addi sp,sp,32 + 8001e000: 00008067 ret + +000000008001e004 <_ZL5Do5SQi>: + 8001e004: fd010113 addi sp,sp,-48 + 8001e008: 00913c23 sd s1,24(sp) + 8001e00c: 01213823 sd s2,16(sp) + 8001e010: 00450493 addi s1,a0,4 + 8001e014: 00050913 mv s2,a0 + 8001e018: 001ae797 auipc a5,0x1ae + 8001e01c: b307a783 lw a5,-1232(a5) # 801cbb48 + 8001e020: 001ae517 auipc a0,0x1ae + 8001e024: bb052503 lw a0,-1104(a0) # 801cbbd0 + 8001e028: 00a787bb addw a5,a5,a0 + 8001e02c: 01313423 sd s3,8(sp) + 8001e030: 0107979b slliw a5,a5,0x10 + 8001e034: 00249493 slli s1,s1,0x2 + 8001e038: 000a9997 auipc s3,0xa9 + 8001e03c: 5b898993 addi s3,s3,1464 # 800c75f0 <_ZL9MMC5Sound> + 8001e040: 02079513 slli a0,a5,0x20 + 8001e044: 009984b3 add s1,s3,s1 + 8001e048: 02813023 sd s0,32(sp) + 8001e04c: 001ae597 auipc a1,0x1ae + 8001e050: b805e583 lwu a1,-1152(a1) # 801cbbcc + 8001e054: 02055513 srli a0,a0,0x20 + 8001e058: 0044a403 lw s0,4(s1) + 8001e05c: 02113423 sd ra,40(sp) + 8001e060: 5c91b0ef jal ra,80039e28 <__udivdi3> + 8001e064: 0005051b sext.w a0,a0 + 8001e068: 0ca45e63 bge s0,a0,8001e144 <_ZL5Do5SQi+0x140> + 8001e06c: 00191793 slli a5,s2,0x1 + 8001e070: 00f987b3 add a5,s3,a5 + 8001e074: 0007d683 lhu a3,0(a5) + 8001e078: 00a4a223 sw a0,4(s1) + 8001e07c: 00700793 li a5,7 + 8001e080: 0016871b addiw a4,a3,1 + 8001e084: 00070693 mv a3,a4 + 8001e088: 0ae7de63 bge a5,a4,8001e144 <_ZL5Do5SQi+0x140> + 8001e08c: 0079c783 lbu a5,7(s3) + 8001e090: 0019071b addiw a4,s2,1 + 8001e094: 00e7f7b3 and a5,a5,a4 + 8001e098: 0a078663 beqz a5,8001e144 <_ZL5Do5SQi+0x140> + 8001e09c: 012987b3 add a5,s3,s2 + 8001e0a0: 0047c603 lbu a2,4(a5) + 8001e0a4: 00890e93 addi t4,s2,8 + 8001e0a8: 002e9713 slli a4,t4,0x2 + 8001e0ac: 00665793 srli a5,a2,0x6 + 8001e0b0: 00279593 slli a1,a5,0x2 + 8001e0b4: 00291913 slli s2,s2,0x2 + 8001e0b8: 00028797 auipc a5,0x28 + 8001e0bc: a8878793 addi a5,a5,-1400 # 80045b40 <_ZZL5Do5SQiE3tal> + 8001e0c0: 00b787b3 add a5,a5,a1 + 8001e0c4: 00e98733 add a4,s3,a4 + 8001e0c8: 012985b3 add a1,s3,s2 + 8001e0cc: 0007a303 lw t1,0(a5) + 8001e0d0: 00072703 lw a4,0(a4) + 8001e0d4: 00c5a783 lw a5,12(a1) + 8001e0d8: 0046161b slliw a2,a2,0x4 + 8001e0dc: 0f067813 andi a6,a2,240 + 8001e0e0: 0126969b slliw a3,a3,0x12 + 8001e0e4: 001ae897 auipc a7,0x1ae + 8001e0e8: ae08a883 lw a7,-1312(a7) # 801cbbc4 + 8001e0ec: 0003ae17 auipc t3,0x3a + 8001e0f0: 8dce0e13 addi t3,t3,-1828 # 800579c8 + 8001e0f4: 0067de63 bge a5,t1,8001e110 <_ZL5Do5SQi+0x10c> + 8001e0f8: 40445613 srai a2,s0,0x4 + 8001e0fc: 00261613 slli a2,a2,0x2 + 8001e100: 00ce0633 add a2,t3,a2 + 8001e104: 00062583 lw a1,0(a2) + 8001e108: 010585bb addw a1,a1,a6 + 8001e10c: 00b62023 sw a1,0(a2) + 8001e110: 4117073b subw a4,a4,a7 + 8001e114: 00e04a63 bgtz a4,8001e128 <_ZL5Do5SQi+0x124> + 8001e118: 0017879b addiw a5,a5,1 + 8001e11c: 00d7073b addw a4,a4,a3 + 8001e120: 0077f793 andi a5,a5,7 + 8001e124: fee05ae3 blez a4,8001e118 <_ZL5Do5SQi+0x114> + 8001e128: 0014041b addiw s0,s0,1 + 8001e12c: fc8514e3 bne a0,s0,8001e0f4 <_ZL5Do5SQi+0xf0> + 8001e130: 002e9693 slli a3,t4,0x2 + 8001e134: 01298933 add s2,s3,s2 + 8001e138: 00d986b3 add a3,s3,a3 + 8001e13c: 00f92623 sw a5,12(s2) + 8001e140: 00e6a023 sw a4,0(a3) + 8001e144: 02813083 ld ra,40(sp) + 8001e148: 02013403 ld s0,32(sp) + 8001e14c: 01813483 ld s1,24(sp) + 8001e150: 01013903 ld s2,16(sp) + 8001e154: 00813983 ld s3,8(sp) + 8001e158: 03010113 addi sp,sp,48 + 8001e15c: 00008067 ret + +000000008001e160 <_ZL7Do5SQHQi>: + 8001e160: 000a9697 auipc a3,0xa9 + 8001e164: 49068693 addi a3,a3,1168 # 800c75f0 <_ZL9MMC5Sound> + 8001e168: 00151793 slli a5,a0,0x1 + 8001e16c: 00f687b3 add a5,a3,a5 + 8001e170: 0007d583 lhu a1,0(a5) + 8001e174: 001ae897 auipc a7,0x1ae + 8001e178: a5c8a883 lw a7,-1444(a7) # 801cbbd0 + 8001e17c: 001ae797 auipc a5,0x1ae + 8001e180: 9cc7a783 lw a5,-1588(a5) # 801cbb48 + 8001e184: 00f88e3b addw t3,a7,a5 + 8001e188: 0015871b addiw a4,a1,1 + 8001e18c: 00700793 li a5,7 + 8001e190: 00070593 mv a1,a4 + 8001e194: 000e0893 mv a7,t3 + 8001e198: 00450313 addi t1,a0,4 + 8001e19c: 0ee7d463 bge a5,a4,8001e284 <_ZL7Do5SQHQi+0x124> + 8001e1a0: 0076c783 lbu a5,7(a3) + 8001e1a4: 0015071b addiw a4,a0,1 + 8001e1a8: 00e7f7b3 and a5,a5,a4 + 8001e1ac: 0c078c63 beqz a5,8001e284 <_ZL7Do5SQHQi+0x124> + 8001e1b0: 00231793 slli a5,t1,0x2 + 8001e1b4: 00f687b3 add a5,a3,a5 + 8001e1b8: 00850f13 addi t5,a0,8 + 8001e1bc: 0047a783 lw a5,4(a5) + 8001e1c0: 00251f93 slli t6,a0,0x2 + 8001e1c4: 002f1713 slli a4,t5,0x2 + 8001e1c8: 01f68633 add a2,a3,t6 + 8001e1cc: 00e68733 add a4,a3,a4 + 8001e1d0: 00c62603 lw a2,12(a2) + 8001e1d4: 00072703 lw a4,0(a4) + 8001e1d8: 0015981b slliw a6,a1,0x1 + 8001e1dc: 09c7fa63 bgeu a5,t3,8001e270 <_ZL7Do5SQHQi+0x110> + 8001e1e0: 00a68533 add a0,a3,a0 + 8001e1e4: 00454e83 lbu t4,4(a0) + 8001e1e8: fffe059b addiw a1,t3,-1 + 8001e1ec: 40f585bb subw a1,a1,a5 + 8001e1f0: 006ed513 srli a0,t4,0x6 + 8001e1f4: 00251e13 slli t3,a0,0x2 + 8001e1f8: 00028517 auipc a0,0x28 + 8001e1fc: 94850513 addi a0,a0,-1720 # 80045b40 <_ZZL5Do5SQiE3tal> + 8001e200: 01c50533 add a0,a0,t3 + 8001e204: 00052283 lw t0,0(a0) + 8001e208: 02079793 slli a5,a5,0x20 + 8001e20c: 02059593 slli a1,a1,0x20 + 8001e210: 00001537 lui a0,0x1 + 8001e214: 0207d793 srli a5,a5,0x20 + 8001e218: f0050513 addi a0,a0,-256 # f00 <_entry_offset+0xf00> + 8001e21c: 0205d593 srli a1,a1,0x20 + 8001e220: 008e9e93 slli t4,t4,0x8 + 8001e224: 00f585b3 add a1,a1,a5 + 8001e228: 00aefeb3 and t4,t4,a0 + 8001e22c: 00279793 slli a5,a5,0x2 + 8001e230: 0003e517 auipc a0,0x3e + 8001e234: 79850513 addi a0,a0,1944 # 8005c9c8 + 8001e238: 00259593 slli a1,a1,0x2 + 8001e23c: 00a787b3 add a5,a5,a0 + 8001e240: 0003e517 auipc a0,0x3e + 8001e244: 78c50513 addi a0,a0,1932 # 8005c9cc + 8001e248: 00a585b3 add a1,a1,a0 + 8001e24c: fff7071b addiw a4,a4,-1 + 8001e250: 00160e1b addiw t3,a2,1 + 8001e254: 00565863 bge a2,t0,8001e264 <_ZL7Do5SQHQi+0x104> + 8001e258: 0007a503 lw a0,0(a5) + 8001e25c: 01d5053b addw a0,a0,t4 + 8001e260: 00a7a023 sw a0,0(a5) + 8001e264: 00478793 addi a5,a5,4 + 8001e268: 02e05663 blez a4,8001e294 <_ZL7Do5SQHQi+0x134> + 8001e26c: feb790e3 bne a5,a1,8001e24c <_ZL7Do5SQHQi+0xec> + 8001e270: 002f1f13 slli t5,t5,0x2 + 8001e274: 01f68fb3 add t6,a3,t6 + 8001e278: 01e68f33 add t5,a3,t5 + 8001e27c: 00cfa623 sw a2,12(t6) # 6fa8800c <_entry_offset+0x6fa8800c> + 8001e280: 00ef2023 sw a4,0(t5) # 8000 <_entry_offset+0x8000> + 8001e284: 00231793 slli a5,t1,0x2 + 8001e288: 00f686b3 add a3,a3,a5 + 8001e28c: 0116a223 sw a7,4(a3) + 8001e290: 00008067 ret + 8001e294: 007e7613 andi a2,t3,7 + 8001e298: 00080713 mv a4,a6 + 8001e29c: fab798e3 bne a5,a1,8001e24c <_ZL7Do5SQHQi+0xec> + 8001e2a0: fd1ff06f j 8001e270 <_ZL7Do5SQHQi+0x110> + +000000008001e2a4 <_Z14MMC5RunSoundHQv>: + 8001e2a4: ff010113 addi sp,sp,-16 + 8001e2a8: 00000513 li a0,0 + 8001e2ac: 00113423 sd ra,8(sp) + 8001e2b0: eb1ff0ef jal ra,8001e160 <_ZL7Do5SQHQi> + 8001e2b4: 00100513 li a0,1 + 8001e2b8: ea9ff0ef jal ra,8001e160 <_ZL7Do5SQHQi> + 8001e2bc: 00813083 ld ra,8(sp) + 8001e2c0: 01010113 addi sp,sp,16 + 8001e2c4: ac1ff06f j 8001dd84 <_ZL8Do5PCMHQv> + +000000008001e2c8 <_Z10MMC5HiSynci>: + 8001e2c8: 000a9797 auipc a5,0xa9 + 8001e2cc: 32878793 addi a5,a5,808 # 800c75f0 <_ZL9MMC5Sound> + 8001e2d0: 00a7aa23 sw a0,20(a5) + 8001e2d4: 00a7ac23 sw a0,24(a5) + 8001e2d8: 00a7ae23 sw a0,28(a5) + 8001e2dc: 00008067 ret + +000000008001e2e0 <_Z12MMC5RunSoundi>: + 8001e2e0: ff010113 addi sp,sp,-16 + 8001e2e4: 00813023 sd s0,0(sp) + 8001e2e8: 00050413 mv s0,a0 + 8001e2ec: 00000513 li a0,0 + 8001e2f0: 00113423 sd ra,8(sp) + 8001e2f4: d11ff0ef jal ra,8001e004 <_ZL5Do5SQi> + 8001e2f8: 00100513 li a0,1 + 8001e2fc: d09ff0ef jal ra,8001e004 <_ZL5Do5SQi> + 8001e300: 9ddff0ef jal ra,8001dcdc <_ZL6Do5PCMv> + 8001e304: 000a9797 auipc a5,0xa9 + 8001e308: 2ec78793 addi a5,a5,748 # 800c75f0 <_ZL9MMC5Sound> + 8001e30c: 00813083 ld ra,8(sp) + 8001e310: 0087aa23 sw s0,20(a5) + 8001e314: 0087ac23 sw s0,24(a5) + 8001e318: 0087ae23 sw s0,28(a5) + 8001e31c: 00013403 ld s0,0(sp) + 8001e320: 01010113 addi sp,sp,16 + 8001e324: 00008067 ret + +000000008001e328 <_Z12mmc5_PPUReadj>: + 8001e328: ff010113 addi sp,sp,-16 + 8001e32c: 00813023 sd s0,0(sp) + 8001e330: 00113423 sd ra,8(sp) + 8001e334: 001ae797 auipc a5,0x1ae + 8001e338: 4607a783 lw a5,1120(a5) # 801cc794 + 8001e33c: 00050413 mv s0,a0 + 8001e340: 00078c63 beqz a5,8001e358 <_Z12mmc5_PPUReadj+0x30> + 8001e344: 001ae797 auipc a5,0x1ae + 8001e348: 3a47c783 lbu a5,932(a5) # 801cc6e8 + 8001e34c: 0187971b slliw a4,a5,0x18 + 8001e350: 4187571b sraiw a4,a4,0x18 + 8001e354: 0c074863 bltz a4,8001e424 <_Z12mmc5_PPUReadj+0xfc> + 8001e358: 000027b7 lui a5,0x2 + 8001e35c: 06f47a63 bgeu s0,a5,8001e3d0 <_Z12mmc5_PPUReadj+0xa8> + 8001e360: 00000613 li a2,0 + 8001e364: 001ae717 auipc a4,0x1ae + 8001e368: 39c70713 addi a4,a4,924 # 801cc700 + 8001e36c: 00074783 lbu a5,0(a4) + 8001e370: 001ae697 auipc a3,0x1ae + 8001e374: 4286a683 lw a3,1064(a3) # 801cc798 + 8001e378: 0207f793 andi a5,a5,32 + 8001e37c: 16078863 beqz a5,8001e4ec <_Z12mmc5_PPUReadj+0x1c4> + 8001e380: 00174783 lbu a5,1(a4) + 8001e384: 00200713 li a4,2 + 8001e388: 0187f793 andi a5,a5,24 + 8001e38c: 1ae68e63 beq a3,a4,8001e548 <_Z12mmc5_PPUReadj+0x220> + 8001e390: 00100713 li a4,1 + 8001e394: 22e68e63 beq a3,a4,8001e5d0 <_Z12mmc5_PPUReadj+0x2a8> + 8001e398: 02041713 slli a4,s0,0x20 + 8001e39c: 02075713 srli a4,a4,0x20 + 8001e3a0: 00a4541b srliw s0,s0,0xa + 8001e3a4: 001ae797 auipc a5,0x1ae + 8001e3a8: cfb7c783 lbu a5,-773(a5) # 801cc09f + 8001e3ac: 00341413 slli s0,s0,0x3 + 8001e3b0: 1e079063 bnez a5,8001e590 <_Z12mmc5_PPUReadj+0x268> + 8001e3b4: 000a4797 auipc a5,0xa4 + 8001e3b8: 42c78793 addi a5,a5,1068 # 800c27e0 + 8001e3bc: 00878433 add s0,a5,s0 + 8001e3c0: 00043783 ld a5,0(s0) + 8001e3c4: 00e78733 add a4,a5,a4 + 8001e3c8: 00074503 lbu a0,0(a4) + 8001e3cc: 1100006f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e3d0: 001ae717 auipc a4,0x1ae + 8001e3d4: 30874703 lbu a4,776(a4) # 801cc6d8 + 8001e3d8: 00100793 li a5,1 + 8001e3dc: 3ff47693 andi a3,s0,1023 + 8001e3e0: 0cf71c63 bne a4,a5,8001e4b8 <_Z12mmc5_PPUReadj+0x190> + 8001e3e4: 3bf00793 li a5,959 + 8001e3e8: 0cd7f863 bgeu a5,a3,8001e4b8 <_Z12mmc5_PPUReadj+0x190> + 8001e3ec: 001ae797 auipc a5,0x1ae + 8001e3f0: 30c7a783 lw a5,780(a5) # 801cc6f8 + 8001e3f4: 3ff7f713 andi a4,a5,1023 + 8001e3f8: 001ae797 auipc a5,0x1ae + 8001e3fc: c607b783 ld a5,-928(a5) # 801cc058 <_ZL5ExRAM> + 8001e400: 00e787b3 add a5,a5,a4 + 8001e404: 0007c503 lbu a0,0(a5) + 8001e408: 00655793 srli a5,a0,0x6 + 8001e40c: 0027951b slliw a0,a5,0x2 + 8001e410: 00f5053b addw a0,a0,a5 + 8001e414: 0045179b slliw a5,a0,0x4 + 8001e418: 00f5053b addw a0,a0,a5 + 8001e41c: 0ff57513 andi a0,a0,255 + 8001e420: 0bc0006f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e424: 001ae717 auipc a4,0x1ae + 8001e428: 2b474703 lbu a4,692(a4) # 801cc6d8 + 8001e42c: 00277693 andi a3,a4,2 + 8001e430: 06069e63 bnez a3,8001e4ac <_Z12mmc5_PPUReadj+0x184> + 8001e434: 001ae697 auipc a3,0x1ae + 8001e438: 2c46a683 lw a3,708(a3) # 801cc6f8 + 8001e43c: 0407f613 andi a2,a5,64 + 8001e440: 01f6f693 andi a3,a3,31 + 8001e444: 01f7f793 andi a5,a5,31 + 8001e448: 12061c63 bnez a2,8001e580 <_Z12mmc5_PPUReadj+0x258> + 8001e44c: 12f6dc63 bge a3,a5,8001e584 <_Z12mmc5_PPUReadj+0x25c> + 8001e450: 000027b7 lui a5,0x2 + 8001e454: 1af46263 bltu s0,a5,8001e5f8 <_Z12mmc5_PPUReadj+0x2d0> + 8001e458: 0701a0ef jal ra,800384c8 <_Z19newppu_get_scanlinev> + 8001e45c: fff5051b addiw a0,a0,-1 + 8001e460: 41f5579b sraiw a5,a0,0x1f + 8001e464: 01d7d79b srliw a5,a5,0x1d + 8001e468: 00a787bb addw a5,a5,a0 + 8001e46c: 4037d79b sraiw a5,a5,0x3 + 8001e470: 001ae617 auipc a2,0x1ae + 8001e474: 27a64603 lbu a2,634(a2) # 801cc6ea + 8001e478: 3ff47713 andi a4,s0,1023 + 8001e47c: 3bf00693 li a3,959 + 8001e480: 00c787bb addw a5,a5,a2 + 8001e484: 12e6f463 bgeu a3,a4,8001e5ac <_Z12mmc5_PPUReadj+0x284> + 8001e488: 0017979b slliw a5,a5,0x1 + 8001e48c: 0387f793 andi a5,a5,56 + 8001e490: 3c747413 andi s0,s0,967 + 8001e494: 00f46433 or s0,s0,a5 + 8001e498: 001ae797 auipc a5,0x1ae + 8001e49c: bc07b783 ld a5,-1088(a5) # 801cc058 <_ZL5ExRAM> + 8001e4a0: 008787b3 add a5,a5,s0 + 8001e4a4: 0007c503 lbu a0,0(a5) + 8001e4a8: 0340006f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e4ac: 000027b7 lui a5,0x2 + 8001e4b0: eaf568e3 bltu a0,a5,8001e360 <_Z12mmc5_PPUReadj+0x38> + 8001e4b4: 3ff57693 andi a3,a0,1023 + 8001e4b8: 00a4541b srliw s0,s0,0xa + 8001e4bc: 00347413 andi s0,s0,3 + 8001e4c0: 00341413 slli s0,s0,0x3 + 8001e4c4: 001ad717 auipc a4,0x1ad + 8001e4c8: 5fc70713 addi a4,a4,1532 # 801cbac0 + 8001e4cc: 00870433 add s0,a4,s0 + 8001e4d0: 00043783 ld a5,0(s0) + 8001e4d4: 00d787b3 add a5,a5,a3 + 8001e4d8: 0007c503 lbu a0,0(a5) # 2000 <_entry_offset+0x2000> + 8001e4dc: 00813083 ld ra,8(sp) + 8001e4e0: 00013403 ld s0,0(sp) + 8001e4e4: 01010113 addi sp,sp,16 + 8001e4e8: 00008067 ret + 8001e4ec: 00100793 li a5,1 + 8001e4f0: 02f69063 bne a3,a5,8001e510 <_Z12mmc5_PPUReadj+0x1e8> + 8001e4f4: 00174783 lbu a5,1(a4) + 8001e4f8: 0087f793 andi a5,a5,8 + 8001e4fc: 00078a63 beqz a5,8001e510 <_Z12mmc5_PPUReadj+0x1e8> + 8001e500: 10061c63 bnez a2,8001e618 <_Z12mmc5_PPUReadj+0x2f0> + 8001e504: 001ae797 auipc a5,0x1ae + 8001e508: 1d47c783 lbu a5,468(a5) # 801cc6d8 + 8001e50c: 0ed78a63 beq a5,a3,8001e600 <_Z12mmc5_PPUReadj+0x2d8> + 8001e510: 00a4579b srliw a5,s0,0xa + 8001e514: 00379713 slli a4,a5,0x3 + 8001e518: 000a4797 auipc a5,0xa4 + 8001e51c: 2c878793 addi a5,a5,712 # 800c27e0 + 8001e520: 00e787b3 add a5,a5,a4 + 8001e524: 0007b783 ld a5,0(a5) + 8001e528: 02041413 slli s0,s0,0x20 + 8001e52c: 02045413 srli s0,s0,0x20 + 8001e530: 00878433 add s0,a5,s0 + 8001e534: 00044503 lbu a0,0(s0) + 8001e538: 00813083 ld ra,8(sp) + 8001e53c: 00013403 ld s0,0(sp) + 8001e540: 01010113 addi sp,sp,16 + 8001e544: 00008067 ret + 8001e548: 02041713 slli a4,s0,0x20 + 8001e54c: 00a4569b srliw a3,s0,0xa + 8001e550: 02075713 srli a4,a4,0x20 + 8001e554: 00a4541b srliw s0,s0,0xa + 8001e558: e40786e3 beqz a5,8001e3a4 <_Z12mmc5_PPUReadj+0x7c> + 8001e55c: 02069693 slli a3,a3,0x20 + 8001e560: 000a4797 auipc a5,0xa4 + 8001e564: 28078793 addi a5,a5,640 # 800c27e0 + 8001e568: 01d6d693 srli a3,a3,0x1d + 8001e56c: 00d786b3 add a3,a5,a3 + 8001e570: 0006b783 ld a5,0(a3) + 8001e574: 00e78733 add a4,a5,a4 + 8001e578: 00074503 lbu a0,0(a4) + 8001e57c: f61ff06f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e580: ecf6d8e3 bge a3,a5,8001e450 <_Z12mmc5_PPUReadj+0x128> + 8001e584: 000027b7 lui a5,0x2 + 8001e588: dcf46ce3 bltu s0,a5,8001e360 <_Z12mmc5_PPUReadj+0x38> + 8001e58c: e4dff06f j 8001e3d8 <_Z12mmc5_PPUReadj+0xb0> + 8001e590: 000a4797 auipc a5,0xa4 + 8001e594: 21078793 addi a5,a5,528 # 800c27a0 + 8001e598: 00878433 add s0,a5,s0 + 8001e59c: 00043783 ld a5,0(s0) + 8001e5a0: 00e78733 add a4,a5,a4 + 8001e5a4: 00074503 lbu a0,0(a4) + 8001e5a8: f35ff06f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e5ac: 0057979b slliw a5,a5,0x5 + 8001e5b0: 3e07f793 andi a5,a5,992 + 8001e5b4: 01f47413 andi s0,s0,31 + 8001e5b8: 00f46433 or s0,s0,a5 + 8001e5bc: 001ae797 auipc a5,0x1ae + 8001e5c0: a9c7b783 ld a5,-1380(a5) # 801cc058 <_ZL5ExRAM> + 8001e5c4: 008787b3 add a5,a5,s0 + 8001e5c8: 0007c503 lbu a0,0(a5) + 8001e5cc: f11ff06f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e5d0: dc0784e3 beqz a5,8001e398 <_Z12mmc5_PPUReadj+0x70> + 8001e5d4: 06061c63 bnez a2,8001e64c <_Z12mmc5_PPUReadj+0x324> + 8001e5d8: 001ae797 auipc a5,0x1ae + 8001e5dc: 1007c783 lbu a5,256(a5) # 801cc6d8 + 8001e5e0: 02d78063 beq a5,a3,8001e600 <_Z12mmc5_PPUReadj+0x2d8> + 8001e5e4: 00a4579b srliw a5,s0,0xa + 8001e5e8: 00379713 slli a4,a5,0x3 + 8001e5ec: 000a4797 auipc a5,0xa4 + 8001e5f0: 1b478793 addi a5,a5,436 # 800c27a0 + 8001e5f4: f2dff06f j 8001e520 <_Z12mmc5_PPUReadj+0x1f8> + 8001e5f8: 00100613 li a2,1 + 8001e5fc: d69ff06f j 8001e364 <_Z12mmc5_PPUReadj+0x3c> + 8001e600: 001ae597 auipc a1,0x1ae + 8001e604: 0f85a583 lw a1,248(a1) # 801cc6f8 + 8001e608: 00040513 mv a0,s0 + 8001e60c: 749190ef jal ra,80038554 <_Z14FCEUPPU_GetCHRjj> + 8001e610: 00054503 lbu a0,0(a0) + 8001e614: ec9ff06f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e618: 001ae797 auipc a5,0x1ae + 8001e61c: 0d17c783 lbu a5,209(a5) # 801cc6e9 + 8001e620: 03441413 slli s0,s0,0x34 + 8001e624: 00c7979b slliw a5,a5,0xc + 8001e628: 03445413 srli s0,s0,0x34 + 8001e62c: 008787bb addw a5,a5,s0 + 8001e630: 02079793 slli a5,a5,0x20 + 8001e634: 0207d793 srli a5,a5,0x20 + 8001e638: 001ae717 auipc a4,0x1ae + 8001e63c: 0b873703 ld a4,184(a4) # 801cc6f0 + 8001e640: 00f707b3 add a5,a4,a5 + 8001e644: 0007c503 lbu a0,0(a5) + 8001e648: e95ff06f j 8001e4dc <_Z12mmc5_PPUReadj+0x1b4> + 8001e64c: 001ae797 auipc a5,0x1ae + 8001e650: 09d7c783 lbu a5,157(a5) # 801cc6e9 + 8001e654: 03441713 slli a4,s0,0x34 + 8001e658: 00c7979b slliw a5,a5,0xc + 8001e65c: 03475713 srli a4,a4,0x34 + 8001e660: 00e787bb addw a5,a5,a4 + 8001e664: fcdff06f j 8001e630 <_Z12mmc5_PPUReadj+0x308> + +000000008001e668 <_ZL8MMC5WRAMjj>: + 8001e668: 02059793 slli a5,a1,0x20 + 8001e66c: fe010113 addi sp,sp,-32 + 8001e670: 0207d793 srli a5,a5,0x20 + 8001e674: 000a9597 auipc a1,0xa9 + 8001e678: eec58593 addi a1,a1,-276 # 800c7560 <_ZL13MMC5WRAMIndex> + 8001e67c: 00813823 sd s0,16(sp) + 8001e680: 00f585b3 add a1,a1,a5 + 8001e684: 00113c23 sd ra,24(sp) + 8001e688: 00913423 sd s1,8(sp) + 8001e68c: 0005c483 lbu s1,0(a1) + 8001e690: ffffa7b7 lui a5,0xffffa + 8001e694: 00a787bb addw a5,a5,a0 + 8001e698: 0ff00713 li a4,255 + 8001e69c: 00d7d41b srliw s0,a5,0xd + 8001e6a0: 02e49863 bne s1,a4,8001e6d0 <_ZL8MMC5WRAMjj+0x68> + 8001e6a4: 02041793 slli a5,s0,0x20 + 8001e6a8: 0207d793 srli a5,a5,0x20 + 8001e6ac: 001ae417 auipc s0,0x1ae + 8001e6b0: 9ec40413 addi s0,s0,-1556 # 801cc098 <_ZL9MMC5MemIn> + 8001e6b4: 00f407b3 add a5,s0,a5 + 8001e6b8: 00078023 sb zero,0(a5) # ffffffffffffa000 <_end+0xffffffff7fe25000> + 8001e6bc: 01813083 ld ra,24(sp) + 8001e6c0: 01013403 ld s0,16(sp) + 8001e6c4: 00813483 ld s1,8(sp) + 8001e6c8: 02010113 addi sp,sp,32 + 8001e6cc: 00008067 ret + 8001e6d0: 00048613 mv a2,s1 + 8001e6d4: 00050593 mv a1,a0 + 8001e6d8: 01000513 li a0,16 + 8001e6dc: a0cf20ef jal ra,800108e8 <_Z8setprg8rijj> + 8001e6e0: 001ae617 auipc a2,0x1ae + 8001e6e4: 9a062603 lw a2,-1632(a2) # 801cc080 <_ZL8WRAMSIZE> + 8001e6e8: fff6061b addiw a2,a2,-1 + 8001e6ec: 00d49493 slli s1,s1,0xd + 8001e6f0: 00c4f4b3 and s1,s1,a2 + 8001e6f4: 001ae617 auipc a2,0x1ae + 8001e6f8: 95463603 ld a2,-1708(a2) # 801cc048 <_ZL4WRAM> + 8001e6fc: 00960633 add a2,a2,s1 + 8001e700: 000065b7 lui a1,0x6 + 8001e704: 00800513 li a0,8 + 8001e708: 90de10ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 8001e70c: 02041793 slli a5,s0,0x20 + 8001e710: 0207d793 srli a5,a5,0x20 + 8001e714: 001ae417 auipc s0,0x1ae + 8001e718: 98440413 addi s0,s0,-1660 # 801cc098 <_ZL9MMC5MemIn> + 8001e71c: 00f407b3 add a5,s0,a5 + 8001e720: 00100713 li a4,1 + 8001e724: 00e78023 sb a4,0(a5) + 8001e728: 01813083 ld ra,24(sp) + 8001e72c: 01013403 ld s0,16(sp) + 8001e730: 00813483 ld s1,8(sp) + 8001e734: 02010113 addi sp,sp,32 + 8001e738: 00008067 ret + +000000008001e73c <_ZL7MMC5PRGv>: + 8001e73c: fb010113 addi sp,sp,-80 + 8001e740: 04813023 sd s0,64(sp) + 8001e744: 001ae417 auipc s0,0x1ae + 8001e748: 95944403 lbu s0,-1703(s0) # 801cc09d <_ZL9mmc5psize> + 8001e74c: 04113423 sd ra,72(sp) + 8001e750: 02913c23 sd s1,56(sp) + 8001e754: 03213823 sd s2,48(sp) + 8001e758: 03313423 sd s3,40(sp) + 8001e75c: 03413023 sd s4,32(sp) + 8001e760: 01513c23 sd s5,24(sp) + 8001e764: 01613823 sd s6,16(sp) + 8001e768: 01713423 sd s7,8(sp) + 8001e76c: 01813023 sd s8,0(sp) + 8001e770: 00347413 andi s0,s0,3 + 8001e774: 00200793 li a5,2 + 8001e778: 08f40263 beq s0,a5,8001e7fc <_ZL7MMC5PRGv+0xc0> + 8001e77c: 00300793 li a5,3 + 8001e780: 1af40463 beq s0,a5,8001e928 <_ZL7MMC5PRGv+0x1ec> + 8001e784: 00100493 li s1,1 + 8001e788: 0e940e63 beq s0,s1,8001e884 <_ZL7MMC5PRGv+0x148> + 8001e78c: 001ae597 auipc a1,0x1ae + 8001e790: 8ed5c583 lbu a1,-1811(a1) # 801cc079 <_ZL8PRGBanks+0x1> + 8001e794: 4025d59b sraiw a1,a1,0x2 + 8001e798: 010107b7 lui a5,0x1010 + 8001e79c: 1017879b addiw a5,a5,257 + 8001e7a0: 01f5f593 andi a1,a1,31 + 8001e7a4: 00008537 lui a0,0x8 + 8001e7a8: 001ae717 auipc a4,0x1ae + 8001e7ac: 88f72823 sw a5,-1904(a4) # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e7b0: e64f20ef jal ra,80010e14 <_Z8setprg32jj> + 8001e7b4: 001ae797 auipc a5,0x1ae + 8001e7b8: 8e478793 addi a5,a5,-1820 # 801cc098 <_ZL9MMC5MemIn> + 8001e7bc: 10100713 li a4,257 + 8001e7c0: 009780a3 sb s1,1(a5) + 8001e7c4: 00e79123 sh a4,2(a5) + 8001e7c8: 00978223 sb s1,4(a5) + 8001e7cc: 04813083 ld ra,72(sp) + 8001e7d0: 04013403 ld s0,64(sp) + 8001e7d4: 03813483 ld s1,56(sp) + 8001e7d8: 03013903 ld s2,48(sp) + 8001e7dc: 02813983 ld s3,40(sp) + 8001e7e0: 02013a03 ld s4,32(sp) + 8001e7e4: 01813a83 ld s5,24(sp) + 8001e7e8: 01013b03 ld s6,16(sp) + 8001e7ec: 00813b83 ld s7,8(sp) + 8001e7f0: 00013c03 ld s8,0(sp) + 8001e7f4: 05010113 addi sp,sp,80 + 8001e7f8: 00008067 ret + 8001e7fc: 001ae497 auipc s1,0x1ae + 8001e800: 87c48493 addi s1,s1,-1924 # 801cc078 <_ZL8PRGBanks> + 8001e804: 0014c583 lbu a1,1(s1) + 8001e808: 0185979b slliw a5,a1,0x18 + 8001e80c: 4187d79b sraiw a5,a5,0x18 + 8001e810: 2007c463 bltz a5,8001ea18 <_ZL7MMC5PRGv+0x2dc> + 8001e814: 07e5f593 andi a1,a1,126 + 8001e818: 00008537 lui a0,0x8 + 8001e81c: 001ae797 auipc a5,0x1ae + 8001e820: 80079e23 sh zero,-2020(a5) # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e824: e45ff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e828: 0014c583 lbu a1,1(s1) + 8001e82c: 0000a537 lui a0,0xa + 8001e830: 001aea17 auipc s4,0x1ae + 8001e834: 808a0a13 addi s4,s4,-2040 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e838: 07e5f593 andi a1,a1,126 + 8001e83c: 0015859b addiw a1,a1,1 + 8001e840: e29ff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e844: 0024c583 lbu a1,2(s1) + 8001e848: 001ae917 auipc s2,0x1ae + 8001e84c: 85090913 addi s2,s2,-1968 # 801cc098 <_ZL9MMC5MemIn> + 8001e850: 0185979b slliw a5,a1,0x18 + 8001e854: 4187d79b sraiw a5,a5,0x18 + 8001e858: 2007c263 bltz a5,8001ea5c <_ZL7MMC5PRGv+0x320> + 8001e85c: 0000c537 lui a0,0xc + 8001e860: 001ad797 auipc a5,0x1ad + 8001e864: 7c078d23 sb zero,2010(a5) # 801cc03a <_ZL16MMC5ROMWrProtect+0x2> + 8001e868: e01ff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e86c: 0034c583 lbu a1,3(s1) + 8001e870: 00100793 li a5,1 + 8001e874: 0000e537 lui a0,0xe + 8001e878: 07f5f593 andi a1,a1,127 + 8001e87c: 00f90223 sb a5,4(s2) + 8001e880: 1200006f j 8001e9a0 <_ZL7MMC5PRGv+0x264> + 8001e884: 001ad497 auipc s1,0x1ad + 8001e888: 7f448493 addi s1,s1,2036 # 801cc078 <_ZL8PRGBanks> + 8001e88c: 0014c583 lbu a1,1(s1) + 8001e890: 0185979b slliw a5,a1,0x18 + 8001e894: 4187d79b sraiw a5,a5,0x18 + 8001e898: 1407c863 bltz a5,8001e9e8 <_ZL7MMC5PRGv+0x2ac> + 8001e89c: 07e5f593 andi a1,a1,126 + 8001e8a0: 00008537 lui a0,0x8 + 8001e8a4: 001ad797 auipc a5,0x1ad + 8001e8a8: 78079a23 sh zero,1940(a5) # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e8ac: dbdff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e8b0: 0014c583 lbu a1,1(s1) + 8001e8b4: 0000a537 lui a0,0xa + 8001e8b8: 001ada17 auipc s4,0x1ad + 8001e8bc: 780a0a13 addi s4,s4,1920 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e8c0: 07e5f593 andi a1,a1,126 + 8001e8c4: 0015859b addiw a1,a1,1 + 8001e8c8: da1ff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e8cc: 001ad917 auipc s2,0x1ad + 8001e8d0: 7cc90913 addi s2,s2,1996 # 801cc098 <_ZL9MMC5MemIn> + 8001e8d4: 00100793 li a5,1 + 8001e8d8: 00f90223 sb a5,4(s2) + 8001e8dc: 00f901a3 sb a5,3(s2) + 8001e8e0: 0034c583 lbu a1,3(s1) + 8001e8e4: 04013403 ld s0,64(sp) + 8001e8e8: 10100793 li a5,257 + 8001e8ec: 04813083 ld ra,72(sp) + 8001e8f0: 03813483 ld s1,56(sp) + 8001e8f4: 03013903 ld s2,48(sp) + 8001e8f8: 02813983 ld s3,40(sp) + 8001e8fc: 01813a83 ld s5,24(sp) + 8001e900: 01013b03 ld s6,16(sp) + 8001e904: 00813b83 ld s7,8(sp) + 8001e908: 00013c03 ld s8,0(sp) + 8001e90c: 00fa1123 sh a5,2(s4) + 8001e910: 02013a03 ld s4,32(sp) + 8001e914: 4015d59b sraiw a1,a1,0x1 + 8001e918: 03f5f593 andi a1,a1,63 + 8001e91c: 0000c537 lui a0,0xc + 8001e920: 05010113 addi sp,sp,80 + 8001e924: b34f206f j 80010c58 <_Z8setprg16jj> + 8001e928: 001ad497 auipc s1,0x1ad + 8001e92c: 75048493 addi s1,s1,1872 # 801cc078 <_ZL8PRGBanks> + 8001e930: 001ada17 auipc s4,0x1ad + 8001e934: 708a0a13 addi s4,s4,1800 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e938: 00048993 mv s3,s1 + 8001e93c: 000a0913 mv s2,s4 + 8001e940: 001ada97 auipc s5,0x1ad + 8001e944: 759a8a93 addi s5,s5,1881 # 801cc099 <_ZL9MMC5MemIn+0x1> + 8001e948: 00008437 lui s0,0x8 + 8001e94c: 00100c13 li s8,1 + 8001e950: 00002bb7 lui s7,0x2 + 8001e954: 0000eb37 lui s6,0xe + 8001e958: 0009c583 lbu a1,0(s3) + 8001e95c: 00040513 mv a0,s0 + 8001e960: 0185979b slliw a5,a1,0x18 + 8001e964: 4187d79b sraiw a5,a5,0x18 + 8001e968: 0607c663 bltz a5,8001e9d4 <_ZL7MMC5PRGv+0x298> + 8001e96c: 00090023 sb zero,0(s2) + 8001e970: cf9ff0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001e974: 008b843b addw s0,s7,s0 + 8001e978: 00198993 addi s3,s3,1 + 8001e97c: 00190913 addi s2,s2,1 + 8001e980: 001a8a93 addi s5,s5,1 + 8001e984: fd641ae3 bne s0,s6,8001e958 <_ZL7MMC5PRGv+0x21c> + 8001e988: 0034c583 lbu a1,3(s1) + 8001e98c: 00100793 li a5,1 + 8001e990: 0000e537 lui a0,0xe + 8001e994: 07f5f593 andi a1,a1,127 + 8001e998: 001ad717 auipc a4,0x1ad + 8001e99c: 70f70223 sb a5,1796(a4) # 801cc09c <_ZL9MMC5MemIn+0x4> + 8001e9a0: 04013403 ld s0,64(sp) + 8001e9a4: 04813083 ld ra,72(sp) + 8001e9a8: 03813483 ld s1,56(sp) + 8001e9ac: 03013903 ld s2,48(sp) + 8001e9b0: 02813983 ld s3,40(sp) + 8001e9b4: 01813a83 ld s5,24(sp) + 8001e9b8: 01013b03 ld s6,16(sp) + 8001e9bc: 00813b83 ld s7,8(sp) + 8001e9c0: 00013c03 ld s8,0(sp) + 8001e9c4: 00fa01a3 sb a5,3(s4) + 8001e9c8: 02013a03 ld s4,32(sp) + 8001e9cc: 05010113 addi sp,sp,80 + 8001e9d0: 8ccf206f j 80010a9c <_Z7setprg8jj> + 8001e9d4: 07f5f593 andi a1,a1,127 + 8001e9d8: 01890023 sb s8,0(s2) + 8001e9dc: 8c0f20ef jal ra,80010a9c <_Z7setprg8jj> + 8001e9e0: 018a8023 sb s8,0(s5) + 8001e9e4: f91ff06f j 8001e974 <_ZL7MMC5PRGv+0x238> + 8001e9e8: 001ad917 auipc s2,0x1ad + 8001e9ec: 6b090913 addi s2,s2,1712 # 801cc098 <_ZL9MMC5MemIn> + 8001e9f0: 10100793 li a5,257 + 8001e9f4: 001ada17 auipc s4,0x1ad + 8001e9f8: 644a0a13 addi s4,s4,1604 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001e9fc: 0015d593 srli a1,a1,0x1 + 8001ea00: 00008537 lui a0,0x8 + 8001ea04: 00fa1023 sh a5,0(s4) + 8001ea08: a50f20ef jal ra,80010c58 <_Z8setprg16jj> + 8001ea0c: 00890123 sb s0,2(s2) + 8001ea10: 008900a3 sb s0,1(s2) + 8001ea14: ec1ff06f j 8001e8d4 <_ZL7MMC5PRGv+0x198> + 8001ea18: 00100793 li a5,1 + 8001ea1c: 001ad917 auipc s2,0x1ad + 8001ea20: 67c90913 addi s2,s2,1660 # 801cc098 <_ZL9MMC5MemIn> + 8001ea24: 4015d59b sraiw a1,a1,0x1 + 8001ea28: 03f5f593 andi a1,a1,63 + 8001ea2c: 00f90123 sb a5,2(s2) + 8001ea30: 00f900a3 sb a5,1(s2) + 8001ea34: 001ada17 auipc s4,0x1ad + 8001ea38: 604a0a13 addi s4,s4,1540 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001ea3c: 10100793 li a5,257 + 8001ea40: 00008537 lui a0,0x8 + 8001ea44: 00fa1023 sh a5,0(s4) + 8001ea48: a10f20ef jal ra,80010c58 <_Z8setprg16jj> + 8001ea4c: 0024c583 lbu a1,2(s1) + 8001ea50: 0185979b slliw a5,a1,0x18 + 8001ea54: 4187d79b sraiw a5,a5,0x18 + 8001ea58: e007d2e3 bgez a5,8001e85c <_ZL7MMC5PRGv+0x120> + 8001ea5c: 00100793 li a5,1 + 8001ea60: 07f5f593 andi a1,a1,127 + 8001ea64: 0000c537 lui a0,0xc + 8001ea68: 00fa0123 sb a5,2(s4) + 8001ea6c: 00f901a3 sb a5,3(s2) + 8001ea70: 82cf20ef jal ra,80010a9c <_Z7setprg8jj> + 8001ea74: df9ff06f j 8001e86c <_ZL7MMC5PRGv+0x130> + +000000008001ea78 <_ZL8MMC5CHRAv>: + 8001ea78: fc010113 addi sp,sp,-64 + 8001ea7c: 001ad797 auipc a5,0x1ad + 8001ea80: 6227c783 lbu a5,1570(a5) # 801cc09e <_ZL9mmc5vsize> + 8001ea84: 02113c23 sd ra,56(sp) + 8001ea88: 02813823 sd s0,48(sp) + 8001ea8c: 02913423 sd s1,40(sp) + 8001ea90: 03213023 sd s2,32(sp) + 8001ea94: 01313c23 sd s3,24(sp) + 8001ea98: 01413823 sd s4,16(sp) + 8001ea9c: 01513423 sd s5,8(sp) + 8001eaa0: 01613023 sd s6,0(sp) + 8001eaa4: 0037f793 andi a5,a5,3 + 8001eaa8: 00200713 li a4,2 + 8001eaac: 1ae78863 beq a5,a4,8001ec5c <_ZL8MMC5CHRAv+0x1e4> + 8001eab0: 00300713 li a4,3 + 8001eab4: 12e78463 beq a5,a4,8001ebdc <_ZL8MMC5CHRAv+0x164> + 8001eab8: 00100713 li a4,1 + 8001eabc: 000a9417 auipc s0,0xa9 + 8001eac0: b2440413 addi s0,s0,-1244 # 800c75e0 <_ZL9CHRBanksA> + 8001eac4: 08e78463 beq a5,a4,8001eb4c <_ZL8MMC5CHRAv+0xd4> + 8001eac8: 00e45503 lhu a0,14(s0) + 8001eacc: c38f20ef jal ra,80010f04 <_Z7setchr8j> + 8001ead0: 000a4797 auipc a5,0xa4 + 8001ead4: b307b783 ld a5,-1232(a5) # 800c2600 + 8001ead8: 04078663 beqz a5,8001eb24 <_ZL8MMC5CHRAv+0xac> + 8001eadc: 00e45683 lhu a3,14(s0) + 8001eae0: 000a4617 auipc a2,0xa4 + 8001eae4: aa062603 lw a2,-1376(a2) # 800c2580 + 8001eae8: 000a4717 auipc a4,0xa4 + 8001eaec: cf870713 addi a4,a4,-776 # 800c27e0 + 8001eaf0: 00c6f6b3 and a3,a3,a2 + 8001eaf4: 00d6969b slliw a3,a3,0xd + 8001eaf8: 02069693 slli a3,a3,0x20 + 8001eafc: 0206d693 srli a3,a3,0x20 + 8001eb00: 00d787b3 add a5,a5,a3 + 8001eb04: 02f73c23 sd a5,56(a4) + 8001eb08: 02f73823 sd a5,48(a4) + 8001eb0c: 02f73423 sd a5,40(a4) + 8001eb10: 02f73023 sd a5,32(a4) + 8001eb14: 00f73c23 sd a5,24(a4) + 8001eb18: 00f73823 sd a5,16(a4) + 8001eb1c: 00f73423 sd a5,8(a4) + 8001eb20: 00f73023 sd a5,0(a4) + 8001eb24: 03813083 ld ra,56(sp) + 8001eb28: 03013403 ld s0,48(sp) + 8001eb2c: 02813483 ld s1,40(sp) + 8001eb30: 02013903 ld s2,32(sp) + 8001eb34: 01813983 ld s3,24(sp) + 8001eb38: 01013a03 ld s4,16(sp) + 8001eb3c: 00813a83 ld s5,8(sp) + 8001eb40: 00013b03 ld s6,0(sp) + 8001eb44: 04010113 addi sp,sp,64 + 8001eb48: 00008067 ret + 8001eb4c: 00645583 lhu a1,6(s0) + 8001eb50: 00000513 li a0,0 + 8001eb54: b90f20ef jal ra,80010ee4 <_Z7setchr4jj> + 8001eb58: 00e45583 lhu a1,14(s0) + 8001eb5c: 00001537 lui a0,0x1 + 8001eb60: b84f20ef jal ra,80010ee4 <_Z7setchr4jj> + 8001eb64: 000a4697 auipc a3,0xa4 + 8001eb68: a9c6b683 ld a3,-1380(a3) # 800c2600 + 8001eb6c: fa068ce3 beqz a3,8001eb24 <_ZL8MMC5CHRAv+0xac> + 8001eb70: 00e45703 lhu a4,14(s0) + 8001eb74: 00645603 lhu a2,6(s0) + 8001eb78: 000a4797 auipc a5,0xa4 + 8001eb7c: 9887a783 lw a5,-1656(a5) # 800c2500 + 8001eb80: 00e7f733 and a4,a5,a4 + 8001eb84: 00c7171b slliw a4,a4,0xc + 8001eb88: 00c7f7b3 and a5,a5,a2 + 8001eb8c: 00c7979b slliw a5,a5,0xc + 8001eb90: 02071713 slli a4,a4,0x20 + 8001eb94: fffff637 lui a2,0xfffff + 8001eb98: 02075713 srli a4,a4,0x20 + 8001eb9c: 02079793 slli a5,a5,0x20 + 8001eba0: 00c70733 add a4,a4,a2 + 8001eba4: 0207d793 srli a5,a5,0x20 + 8001eba8: 000a4617 auipc a2,0xa4 + 8001ebac: c3860613 addi a2,a2,-968 # 800c27e0 + 8001ebb0: 00f687b3 add a5,a3,a5 + 8001ebb4: 00e68733 add a4,a3,a4 + 8001ebb8: 00f63c23 sd a5,24(a2) + 8001ebbc: 00f63823 sd a5,16(a2) + 8001ebc0: 00f63423 sd a5,8(a2) + 8001ebc4: 00f63023 sd a5,0(a2) + 8001ebc8: 02e63c23 sd a4,56(a2) + 8001ebcc: 02e63823 sd a4,48(a2) + 8001ebd0: 02e63423 sd a4,40(a2) + 8001ebd4: 02e63023 sd a4,32(a2) + 8001ebd8: f4dff06f j 8001eb24 <_ZL8MMC5CHRAv+0xac> + 8001ebdc: 000a9b17 auipc s6,0xa9 + 8001ebe0: a04b0b13 addi s6,s6,-1532 # 800c75e0 <_ZL9CHRBanksA> + 8001ebe4: 00000a93 li s5,0 + 8001ebe8: 000a4997 auipc s3,0xa4 + 8001ebec: a1898993 addi s3,s3,-1512 # 800c2600 + 8001ebf0: 000a4917 auipc s2,0xa4 + 8001ebf4: bf090913 addi s2,s2,-1040 # 800c27e0 + 8001ebf8: 000a4a17 auipc s4,0xa4 + 8001ebfc: 808a0a13 addi s4,s4,-2040 # 800c2400 + 8001ec00: 000024b7 lui s1,0x2 + 8001ec04: 000b5583 lhu a1,0(s6) + 8001ec08: 000a841b sext.w s0,s5 + 8001ec0c: 00040513 mv a0,s0 + 8001ec10: a94f20ef jal ra,80010ea4 <_Z7setchr1jj> + 8001ec14: 0009b703 ld a4,0(s3) + 8001ec18: 00a4541b srliw s0,s0,0xa + 8001ec1c: 00341413 slli s0,s0,0x3 + 8001ec20: 00890433 add s0,s2,s0 + 8001ec24: 02070463 beqz a4,8001ec4c <_ZL8MMC5CHRAv+0x1d4> + 8001ec28: 000b5783 lhu a5,0(s6) + 8001ec2c: 000a2683 lw a3,0(s4) + 8001ec30: 00d7f7b3 and a5,a5,a3 + 8001ec34: 00a7979b slliw a5,a5,0xa + 8001ec38: 02079793 slli a5,a5,0x20 + 8001ec3c: 0207d793 srli a5,a5,0x20 + 8001ec40: 415787b3 sub a5,a5,s5 + 8001ec44: 00f707b3 add a5,a4,a5 + 8001ec48: 00f43023 sd a5,0(s0) + 8001ec4c: 400a8a93 addi s5,s5,1024 + 8001ec50: 002b0b13 addi s6,s6,2 + 8001ec54: fa9a98e3 bne s5,s1,8001ec04 <_ZL8MMC5CHRAv+0x18c> + 8001ec58: ecdff06f j 8001eb24 <_ZL8MMC5CHRAv+0xac> + 8001ec5c: 000a9497 auipc s1,0xa9 + 8001ec60: 98448493 addi s1,s1,-1660 # 800c75e0 <_ZL9CHRBanksA> + 8001ec64: 0024d583 lhu a1,2(s1) + 8001ec68: 00000513 li a0,0 + 8001ec6c: 00001437 lui s0,0x1 + 8001ec70: a54f20ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ec74: 0064d583 lhu a1,6(s1) + 8001ec78: 80040513 addi a0,s0,-2048 # 800 <_entry_offset+0x800> + 8001ec7c: a48f20ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ec80: 00a4d583 lhu a1,10(s1) + 8001ec84: 00001537 lui a0,0x1 + 8001ec88: a3cf20ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ec8c: 00e4d583 lhu a1,14(s1) + 8001ec90: 00002537 lui a0,0x2 + 8001ec94: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8001ec98: a2cf20ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ec9c: 000a4597 auipc a1,0xa4 + 8001eca0: 9645b583 ld a1,-1692(a1) # 800c2600 + 8001eca4: e80580e3 beqz a1,8001eb24 <_ZL8MMC5CHRAv+0xac> + 8001eca8: 0064d683 lhu a3,6(s1) + 8001ecac: 00a4d703 lhu a4,10(s1) + 8001ecb0: 00e4d603 lhu a2,14(s1) + 8001ecb4: 0024d503 lhu a0,2(s1) + 8001ecb8: 000a3797 auipc a5,0xa3 + 8001ecbc: 7c87a783 lw a5,1992(a5) # 800c2480 + 8001ecc0: 00d7f6b3 and a3,a5,a3 + 8001ecc4: 00e7f733 and a4,a5,a4 + 8001ecc8: 00c7f633 and a2,a5,a2 + 8001eccc: 00b6969b slliw a3,a3,0xb + 8001ecd0: 00a7f7b3 and a5,a5,a0 + 8001ecd4: 00b7171b slliw a4,a4,0xb + 8001ecd8: 00b6161b slliw a2,a2,0xb + 8001ecdc: 00b7979b slliw a5,a5,0xb + 8001ece0: 02069693 slli a3,a3,0x20 + 8001ece4: 02071713 slli a4,a4,0x20 + 8001ece8: 02061613 slli a2,a2,0x20 + 8001ecec: fffff537 lui a0,0xfffff + 8001ecf0: 80050513 addi a0,a0,-2048 # ffffffffffffe800 <_end+0xffffffff7fe29800> + 8001ecf4: 0206d693 srli a3,a3,0x20 + 8001ecf8: 02075713 srli a4,a4,0x20 + 8001ecfc: 02065613 srli a2,a2,0x20 + 8001ed00: 02079793 slli a5,a5,0x20 + 8001ed04: 00a60633 add a2,a2,a0 + 8001ed08: 0207d793 srli a5,a5,0x20 + 8001ed0c: 80068693 addi a3,a3,-2048 + 8001ed10: 40870733 sub a4,a4,s0 + 8001ed14: 000a4517 auipc a0,0xa4 + 8001ed18: acc50513 addi a0,a0,-1332 # 800c27e0 + 8001ed1c: 00f587b3 add a5,a1,a5 + 8001ed20: 00d586b3 add a3,a1,a3 + 8001ed24: 00e58733 add a4,a1,a4 + 8001ed28: 00c58633 add a2,a1,a2 + 8001ed2c: 00f53423 sd a5,8(a0) + 8001ed30: 00f53023 sd a5,0(a0) + 8001ed34: 00d53c23 sd a3,24(a0) + 8001ed38: 00d53823 sd a3,16(a0) + 8001ed3c: 02e53423 sd a4,40(a0) + 8001ed40: 02e53023 sd a4,32(a0) + 8001ed44: 02c53c23 sd a2,56(a0) + 8001ed48: 02c53823 sd a2,48(a0) + 8001ed4c: dd9ff06f j 8001eb24 <_ZL8MMC5CHRAv+0xac> + +000000008001ed50 <_ZL8MMC5CHRBv>: + 8001ed50: fb010113 addi sp,sp,-80 + 8001ed54: 001ad797 auipc a5,0x1ad + 8001ed58: 34a7c783 lbu a5,842(a5) # 801cc09e <_ZL9mmc5vsize> + 8001ed5c: 04113423 sd ra,72(sp) + 8001ed60: 04813023 sd s0,64(sp) + 8001ed64: 02913c23 sd s1,56(sp) + 8001ed68: 03213823 sd s2,48(sp) + 8001ed6c: 03313423 sd s3,40(sp) + 8001ed70: 03413023 sd s4,32(sp) + 8001ed74: 01513c23 sd s5,24(sp) + 8001ed78: 01613823 sd s6,16(sp) + 8001ed7c: 01713423 sd s7,8(sp) + 8001ed80: 01813023 sd s8,0(sp) + 8001ed84: 0037f793 andi a5,a5,3 + 8001ed88: 00200713 li a4,2 + 8001ed8c: 1ae78a63 beq a5,a4,8001ef40 <_ZL8MMC5CHRBv+0x1f0> + 8001ed90: 00300713 li a4,3 + 8001ed94: 0ae78063 beq a5,a4,8001ee34 <_ZL8MMC5CHRBv+0xe4> + 8001ed98: 00100713 li a4,1 + 8001ed9c: 001ad417 auipc s0,0x1ad + 8001eda0: 2ec40413 addi s0,s0,748 # 801cc088 <_ZL9CHRBanksB> + 8001eda4: 12e78063 beq a5,a4,8001eec4 <_ZL8MMC5CHRBv+0x174> + 8001eda8: 00645503 lhu a0,6(s0) + 8001edac: 958f20ef jal ra,80010f04 <_Z7setchr8j> + 8001edb0: 000a4797 auipc a5,0xa4 + 8001edb4: 8507b783 ld a5,-1968(a5) # 800c2600 + 8001edb8: 04078663 beqz a5,8001ee04 <_ZL8MMC5CHRBv+0xb4> + 8001edbc: 00645683 lhu a3,6(s0) + 8001edc0: 000a3617 auipc a2,0xa3 + 8001edc4: 7c062603 lw a2,1984(a2) # 800c2580 + 8001edc8: 000a4717 auipc a4,0xa4 + 8001edcc: 9d870713 addi a4,a4,-1576 # 800c27a0 + 8001edd0: 00c6f6b3 and a3,a3,a2 + 8001edd4: 00d6969b slliw a3,a3,0xd + 8001edd8: 02069693 slli a3,a3,0x20 + 8001eddc: 0206d693 srli a3,a3,0x20 + 8001ede0: 00d787b3 add a5,a5,a3 + 8001ede4: 02f73c23 sd a5,56(a4) + 8001ede8: 02f73823 sd a5,48(a4) + 8001edec: 02f73423 sd a5,40(a4) + 8001edf0: 02f73023 sd a5,32(a4) + 8001edf4: 00f73c23 sd a5,24(a4) + 8001edf8: 00f73823 sd a5,16(a4) + 8001edfc: 00f73423 sd a5,8(a4) + 8001ee00: 00f73023 sd a5,0(a4) + 8001ee04: 04813083 ld ra,72(sp) + 8001ee08: 04013403 ld s0,64(sp) + 8001ee0c: 03813483 ld s1,56(sp) + 8001ee10: 03013903 ld s2,48(sp) + 8001ee14: 02813983 ld s3,40(sp) + 8001ee18: 02013a03 ld s4,32(sp) + 8001ee1c: 01813a83 ld s5,24(sp) + 8001ee20: 01013b03 ld s6,16(sp) + 8001ee24: 00813b83 ld s7,8(sp) + 8001ee28: 00013c03 ld s8,0(sp) + 8001ee2c: 05010113 addi sp,sp,80 + 8001ee30: 00008067 ret + 8001ee34: 00000c13 li s8,0 + 8001ee38: 00000b93 li s7,0 + 8001ee3c: 001ada17 auipc s4,0x1ad + 8001ee40: 24ca0a13 addi s4,s4,588 # 801cc088 <_ZL9CHRBanksB> + 8001ee44: 000a3997 auipc s3,0xa3 + 8001ee48: 7bc98993 addi s3,s3,1980 # 800c2600 + 8001ee4c: 000a4917 auipc s2,0xa4 + 8001ee50: 95490913 addi s2,s2,-1708 # 800c27a0 + 8001ee54: 000a3a97 auipc s5,0xa3 + 8001ee58: 5aca8a93 addi s5,s5,1452 # 800c2400 + 8001ee5c: 00800493 li s1,8 + 8001ee60: 003bfb13 andi s6,s7,3 + 8001ee64: 001b1b13 slli s6,s6,0x1 + 8001ee68: 016a0b33 add s6,s4,s6 + 8001ee6c: 000b5583 lhu a1,0(s6) + 8001ee70: 000c041b sext.w s0,s8 + 8001ee74: 00040513 mv a0,s0 + 8001ee78: 82cf20ef jal ra,80010ea4 <_Z7setchr1jj> + 8001ee7c: 0009b703 ld a4,0(s3) + 8001ee80: 00a4541b srliw s0,s0,0xa + 8001ee84: 00341413 slli s0,s0,0x3 + 8001ee88: 001b8b9b addiw s7,s7,1 + 8001ee8c: 00890433 add s0,s2,s0 + 8001ee90: 02070463 beqz a4,8001eeb8 <_ZL8MMC5CHRBv+0x168> + 8001ee94: 000b5783 lhu a5,0(s6) + 8001ee98: 000aa683 lw a3,0(s5) + 8001ee9c: 00d7f7b3 and a5,a5,a3 + 8001eea0: 00a7979b slliw a5,a5,0xa + 8001eea4: 02079793 slli a5,a5,0x20 + 8001eea8: 0207d793 srli a5,a5,0x20 + 8001eeac: 418787b3 sub a5,a5,s8 + 8001eeb0: 00f707b3 add a5,a4,a5 + 8001eeb4: 00f43023 sd a5,0(s0) + 8001eeb8: 400c0c13 addi s8,s8,1024 + 8001eebc: fa9b92e3 bne s7,s1,8001ee60 <_ZL8MMC5CHRBv+0x110> + 8001eec0: f45ff06f j 8001ee04 <_ZL8MMC5CHRBv+0xb4> + 8001eec4: 00645583 lhu a1,6(s0) + 8001eec8: 00000513 li a0,0 + 8001eecc: 818f20ef jal ra,80010ee4 <_Z7setchr4jj> + 8001eed0: 00645583 lhu a1,6(s0) + 8001eed4: 00001537 lui a0,0x1 + 8001eed8: 80cf20ef jal ra,80010ee4 <_Z7setchr4jj> + 8001eedc: 000a3717 auipc a4,0xa3 + 8001eee0: 72473703 ld a4,1828(a4) # 800c2600 + 8001eee4: f20700e3 beqz a4,8001ee04 <_ZL8MMC5CHRBv+0xb4> + 8001eee8: 00645783 lhu a5,6(s0) + 8001eeec: 000a3697 auipc a3,0xa3 + 8001eef0: 6146a683 lw a3,1556(a3) # 800c2500 + 8001eef4: fffff637 lui a2,0xfffff + 8001eef8: 00d7f7b3 and a5,a5,a3 + 8001eefc: 00c7979b slliw a5,a5,0xc + 8001ef00: 02079793 slli a5,a5,0x20 + 8001ef04: 0207d793 srli a5,a5,0x20 + 8001ef08: 00c78633 add a2,a5,a2 + 8001ef0c: 000a4697 auipc a3,0xa4 + 8001ef10: 89468693 addi a3,a3,-1900 # 800c27a0 + 8001ef14: 00f707b3 add a5,a4,a5 + 8001ef18: 00c70733 add a4,a4,a2 + 8001ef1c: 00f6bc23 sd a5,24(a3) + 8001ef20: 00f6b823 sd a5,16(a3) + 8001ef24: 00f6b423 sd a5,8(a3) + 8001ef28: 00f6b023 sd a5,0(a3) + 8001ef2c: 02e6bc23 sd a4,56(a3) + 8001ef30: 02e6b823 sd a4,48(a3) + 8001ef34: 02e6b423 sd a4,40(a3) + 8001ef38: 02e6b023 sd a4,32(a3) + 8001ef3c: ec9ff06f j 8001ee04 <_ZL8MMC5CHRBv+0xb4> + 8001ef40: 001ad417 auipc s0,0x1ad + 8001ef44: 14840413 addi s0,s0,328 # 801cc088 <_ZL9CHRBanksB> + 8001ef48: 00245583 lhu a1,2(s0) + 8001ef4c: 00000513 li a0,0 + 8001ef50: 000014b7 lui s1,0x1 + 8001ef54: f71f10ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ef58: 00645583 lhu a1,6(s0) + 8001ef5c: 80048513 addi a0,s1,-2048 # 800 <_entry_offset+0x800> + 8001ef60: f65f10ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ef64: 00245583 lhu a1,2(s0) + 8001ef68: 00001537 lui a0,0x1 + 8001ef6c: f59f10ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ef70: 00645583 lhu a1,6(s0) + 8001ef74: 00002537 lui a0,0x2 + 8001ef78: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8001ef7c: f49f10ef jal ra,80010ec4 <_Z7setchr2jj> + 8001ef80: 000a3697 auipc a3,0xa3 + 8001ef84: 6806b683 ld a3,1664(a3) # 800c2600 + 8001ef88: e6068ee3 beqz a3,8001ee04 <_ZL8MMC5CHRBv+0xb4> + 8001ef8c: 00645603 lhu a2,6(s0) + 8001ef90: 00245783 lhu a5,2(s0) + 8001ef94: 000a3717 auipc a4,0xa3 + 8001ef98: 4ec72703 lw a4,1260(a4) # 800c2480 + 8001ef9c: 00f777b3 and a5,a4,a5 + 8001efa0: 00c77733 and a4,a4,a2 + 8001efa4: 00b7979b slliw a5,a5,0xb + 8001efa8: 00b7171b slliw a4,a4,0xb + 8001efac: 02079793 slli a5,a5,0x20 + 8001efb0: 02071713 slli a4,a4,0x20 + 8001efb4: fffff637 lui a2,0xfffff + 8001efb8: 0207d793 srli a5,a5,0x20 + 8001efbc: 02075713 srli a4,a4,0x20 + 8001efc0: 80060613 addi a2,a2,-2048 # ffffffffffffe800 <_end+0xffffffff7fe29800> + 8001efc4: 80070593 addi a1,a4,-2048 + 8001efc8: 409784b3 sub s1,a5,s1 + 8001efcc: 00c70733 add a4,a4,a2 + 8001efd0: 00f687b3 add a5,a3,a5 + 8001efd4: 000a3617 auipc a2,0xa3 + 8001efd8: 7cc60613 addi a2,a2,1996 # 800c27a0 + 8001efdc: 00b685b3 add a1,a3,a1 + 8001efe0: 009684b3 add s1,a3,s1 + 8001efe4: 00e68733 add a4,a3,a4 + 8001efe8: 00f63423 sd a5,8(a2) + 8001efec: 00f63023 sd a5,0(a2) + 8001eff0: 00b63c23 sd a1,24(a2) + 8001eff4: 00b63823 sd a1,16(a2) + 8001eff8: 02963423 sd s1,40(a2) + 8001effc: 02963023 sd s1,32(a2) + 8001f000: 02e63c23 sd a4,56(a2) + 8001f004: 02e63823 sd a4,48(a2) + 8001f008: dfdff06f j 8001ee04 <_ZL8MMC5CHRBv+0xb4> + +000000008001f00c <_ZL13Mapper5_writejh>: + 8001f00c: fe010113 addi sp,sp,-32 + 8001f010: 000057b7 lui a5,0x5 + 8001f014: 00813823 sd s0,16(sp) + 8001f018: 00113c23 sd ra,24(sp) + 8001f01c: 00913423 sd s1,8(sp) + 8001f020: 13078713 addi a4,a5,304 # 5130 <_entry_offset+0x5130> + 8001f024: 00058413 mv s0,a1 + 8001f028: 06a76263 bltu a4,a0,8001f08c <_ZL13Mapper5_writejh+0x80> + 8001f02c: 0ff78793 addi a5,a5,255 + 8001f030: 04a7f463 bgeu a5,a0,8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f034: ffffb7b7 lui a5,0xffffb + 8001f038: f007879b addiw a5,a5,-256 + 8001f03c: 00f507bb addw a5,a0,a5 + 8001f040: 0007869b sext.w a3,a5 + 8001f044: 03000713 li a4,48 + 8001f048: 02d76863 bltu a4,a3,8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f04c: 02079793 slli a5,a5,0x20 + 8001f050: 0207d793 srli a5,a5,0x20 + 8001f054: 00027717 auipc a4,0x27 + 8001f058: 87c70713 addi a4,a4,-1924 # 800458d0 <_ZL6bs_tbl+0x160> + 8001f05c: 00279793 slli a5,a5,0x2 + 8001f060: 00e787b3 add a5,a5,a4 + 8001f064: 0007a783 lw a5,0(a5) # ffffffffffffb000 <_end+0xffffffff7fe26000> + 8001f068: 00e787b3 add a5,a5,a4 + 8001f06c: 00078067 jr a5 + 8001f070: 001ad797 auipc a5,0x1ad + 8001f074: fc8788a3 sb s0,-47(a5) # 801cc041 <_ZL3mul+0x1> + 8001f078: 01813083 ld ra,24(sp) + 8001f07c: 01013403 ld s0,16(sp) + 8001f080: 00813483 ld s1,8(sp) + 8001f084: 02010113 addi sp,sp,32 + 8001f088: 00008067 ret + 8001f08c: ffffb7b7 lui a5,0xffffb + 8001f090: e007879b addiw a5,a5,-512 + 8001f094: 00f5053b addw a0,a0,a5 + 8001f098: 0005071b sext.w a4,a0 + 8001f09c: 00600793 li a5,6 + 8001f0a0: fce7ece3 bltu a5,a4,8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f0a4: 02051513 slli a0,a0,0x20 + 8001f0a8: 02055513 srli a0,a0,0x20 + 8001f0ac: 00027717 auipc a4,0x27 + 8001f0b0: 8e870713 addi a4,a4,-1816 # 80045994 <_ZL6bs_tbl+0x224> + 8001f0b4: 00251513 slli a0,a0,0x2 + 8001f0b8: 00e50533 add a0,a0,a4 + 8001f0bc: 00052783 lw a5,0(a0) + 8001f0c0: 00e787b3 add a5,a5,a4 + 8001f0c4: 00078067 jr a5 # ffffffffffffb000 <_end+0xffffffff7fe26000> + 8001f0c8: 001ad797 auipc a5,0x1ad + 8001f0cc: f6878c23 sb s0,-136(a5) # 801cc040 <_ZL3mul> + 8001f0d0: fa9ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f0d4: 00100513 li a0,1 + 8001f0d8: f8047413 andi s0,s0,-128 + 8001f0dc: c75e10ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001f0e0: 001ad797 auipc a5,0x1ad + 8001f0e4: fa878823 sb s0,-80(a5) # 801cc090 <_ZL9IRQEnable> + 8001f0e8: f91ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f0ec: 00100513 li a0,1 + 8001f0f0: c61e10ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001f0f4: 001ad797 auipc a5,0x1ad + 8001f0f8: f2878a23 sb s0,-204(a5) # 801cc028 <_ZL11IRQScanline> + 8001f0fc: f7dff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f100: 03f47413 andi s0,s0,63 + 8001f104: 001ad797 auipc a5,0x1ad + 8001f108: 5e8782a3 sb s0,1509(a5) # 801cc6e9 + 8001f10c: f6dff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f110: 0034541b srliw s0,s0,0x3 + 8001f114: 001ad797 auipc a5,0x1ad + 8001f118: 5c878b23 sb s0,1494(a5) # 801cc6ea + 8001f11c: f5dff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f120: 001ad797 auipc a5,0x1ad + 8001f124: 5c878423 sb s0,1480(a5) # 801cc6e8 + 8001f128: f51ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f12c: 001ad797 auipc a5,0x1ad + 8001f130: 5ab78223 sb a1,1444(a5) # 801cc6d0 + 8001f134: f45ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f138: 001ad717 auipc a4,0x1ad + 8001f13c: 59874703 lbu a4,1432(a4) # 801cc6d0 + 8001f140: 00357793 andi a5,a0,3 + 8001f144: 0087171b slliw a4,a4,0x8 + 8001f148: 30077713 andi a4,a4,768 + 8001f14c: 001ad697 auipc a3,0x1ad + 8001f150: f3c68693 addi a3,a3,-196 # 801cc088 <_ZL9CHRBanksB> + 8001f154: 00179793 slli a5,a5,0x1 + 8001f158: 00f687b3 add a5,a3,a5 + 8001f15c: 00b76433 or s0,a4,a1 + 8001f160: 00100713 li a4,1 + 8001f164: 001ad697 auipc a3,0x1ad + 8001f168: f2e68da3 sb a4,-197(a3) # 801cc09f + 8001f16c: 00879023 sh s0,0(a5) + 8001f170: 01013403 ld s0,16(sp) + 8001f174: 01813083 ld ra,24(sp) + 8001f178: 00813483 ld s1,8(sp) + 8001f17c: 02010113 addi sp,sp,32 + 8001f180: bd1ff06f j 8001ed50 <_ZL8MMC5CHRBv> + 8001f184: 001ad717 auipc a4,0x1ad + 8001f188: 54c74703 lbu a4,1356(a4) # 801cc6d0 + 8001f18c: 00757793 andi a5,a0,7 + 8001f190: 0087171b slliw a4,a4,0x8 + 8001f194: 30077713 andi a4,a4,768 + 8001f198: 00179793 slli a5,a5,0x1 + 8001f19c: 000a8697 auipc a3,0xa8 + 8001f1a0: 44468693 addi a3,a3,1092 # 800c75e0 <_ZL9CHRBanksA> + 8001f1a4: 00b76433 or s0,a4,a1 + 8001f1a8: 00f687b3 add a5,a3,a5 + 8001f1ac: 001ad717 auipc a4,0x1ad + 8001f1b0: ee0709a3 sb zero,-269(a4) # 801cc09f + 8001f1b4: 00879023 sh s0,0(a5) + 8001f1b8: 01013403 ld s0,16(sp) + 8001f1bc: 01813083 ld ra,24(sp) + 8001f1c0: 00813483 ld s1,8(sp) + 8001f1c4: 02010113 addi sp,sp,32 + 8001f1c8: 8b1ff06f j 8001ea78 <_ZL8MMC5CHRAv> + 8001f1cc: 00357513 andi a0,a0,3 + 8001f1d0: 001ad797 auipc a5,0x1ad + 8001f1d4: ea878793 addi a5,a5,-344 # 801cc078 <_ZL8PRGBanks> + 8001f1d8: 00a78533 add a0,a5,a0 + 8001f1dc: 00b50023 sb a1,0(a0) + 8001f1e0: 01013403 ld s0,16(sp) + 8001f1e4: 01813083 ld ra,24(sp) + 8001f1e8: 00813483 ld s1,8(sp) + 8001f1ec: 02010113 addi sp,sp,32 + 8001f1f0: d4cff06f j 8001e73c <_ZL7MMC5PRGv> + 8001f1f4: 001ad797 auipc a5,0x1ad + 8001f1f8: e8878423 sb s0,-376(a5) # 801cc07c <_ZL8WRAMPage> + 8001f1fc: 01013403 ld s0,16(sp) + 8001f200: 01813083 ld ra,24(sp) + 8001f204: 00813483 ld s1,8(sp) + 8001f208: 07f5f593 andi a1,a1,127 + 8001f20c: 00006537 lui a0,0x6 + 8001f210: 02010113 addi sp,sp,32 + 8001f214: c54ff06f j 8001e668 <_ZL8MMC5WRAMjj> + 8001f218: 001ad617 auipc a2,0x1ad + 8001f21c: e5060613 addi a2,a2,-432 # 801cc068 <_ZL6ATFill> + 8001f220: 00064783 lbu a5,0(a2) + 8001f224: 04b78e63 beq a5,a1,8001f280 <_ZL13Mapper5_writejh+0x274> + 8001f228: 00459713 slli a4,a1,0x4 + 8001f22c: 00259793 slli a5,a1,0x2 + 8001f230: 00e7e7b3 or a5,a5,a4 + 8001f234: 00b7e7b3 or a5,a5,a1 + 8001f238: 00659713 slli a4,a1,0x6 + 8001f23c: 00e7e7b3 or a5,a5,a4 + 8001f240: 0ff7f693 andi a3,a5,255 + 8001f244: 0086959b slliw a1,a3,0x8 + 8001f248: 0106951b slliw a0,a3,0x10 + 8001f24c: 0ff7f713 andi a4,a5,255 + 8001f250: 00a5e7b3 or a5,a1,a0 + 8001f254: 00f76733 or a4,a4,a5 + 8001f258: 0186979b slliw a5,a3,0x18 + 8001f25c: 00f76733 or a4,a4,a5 + 8001f260: 001ad697 auipc a3,0x1ad + 8001f264: e106b683 ld a3,-496(a3) # 801cc070 <_ZL8MMC5fill> + 8001f268: 3fc68793 addi a5,a3,1020 + 8001f26c: 0007071b sext.w a4,a4 + 8001f270: 3bc68693 addi a3,a3,956 + 8001f274: 00e7a023 sw a4,0(a5) + 8001f278: ffc78793 addi a5,a5,-4 + 8001f27c: fef69ce3 bne a3,a5,8001f274 <_ZL13Mapper5_writejh+0x268> + 8001f280: 00860023 sb s0,0(a2) + 8001f284: df5ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f288: 001ad597 auipc a1,0x1ad + 8001f28c: de158593 addi a1,a1,-543 # 801cc069 <_ZL6NTFill> + 8001f290: 0005c783 lbu a5,0(a1) + 8001f294: 02878e63 beq a5,s0,8001f2d0 <_ZL13Mapper5_writejh+0x2c4> + 8001f298: 0084179b slliw a5,s0,0x8 + 8001f29c: 0104171b slliw a4,s0,0x10 + 8001f2a0: 00e7e7b3 or a5,a5,a4 + 8001f2a4: 00f467b3 or a5,s0,a5 + 8001f2a8: 0184169b slliw a3,s0,0x18 + 8001f2ac: 00d7e6b3 or a3,a5,a3 + 8001f2b0: 001ad617 auipc a2,0x1ad + 8001f2b4: dc063603 ld a2,-576(a2) # 801cc070 <_ZL8MMC5fill> + 8001f2b8: 0006869b sext.w a3,a3 + 8001f2bc: 3bc60793 addi a5,a2,956 + 8001f2c0: 00d7a023 sw a3,0(a5) + 8001f2c4: 00078713 mv a4,a5 + 8001f2c8: ffc78793 addi a5,a5,-4 + 8001f2cc: fee61ae3 bne a2,a4,8001f2c0 <_ZL13Mapper5_writejh+0x2b4> + 8001f2d0: 00858023 sb s0,0(a1) + 8001f2d4: da5ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f2d8: 001adf17 auipc t5,0x1ad + 8001f2dc: 42ef0f13 addi t5,t5,1070 # 801cc706 + 8001f2e0: 000f4603 lbu a2,0(t5) + 8001f2e4: 00058e9b sext.w t4,a1 + 8001f2e8: 001ad497 auipc s1,0x1ad + 8001f2ec: d704b483 ld s1,-656(s1) # 801cc058 <_ZL5ExRAM> + 8001f2f0: 001ad397 auipc t2,0x1ad + 8001f2f4: d803b383 ld t2,-640(t2) # 801cc070 <_ZL8MMC5fill> + 8001f2f8: 001ac597 auipc a1,0x1ac + 8001f2fc: 7c858593 addi a1,a1,1992 # 801cbac0 + 8001f300: 00000693 li a3,0 + 8001f304: 00100e13 li t3,1 + 8001f308: 00200313 li t1,2 + 8001f30c: 00300893 li a7,3 + 8001f310: 00100813 li a6,1 + 8001f314: 001aa297 auipc t0,0x1aa + 8001f318: 61428293 addi t0,t0,1556 # 801c9928 + 8001f31c: 001aaf97 auipc t6,0x1aa + 8001f320: 20cf8f93 addi t6,t6,524 # 801c9528 + 8001f324: 00400513 li a0,4 + 8001f328: 0016971b slliw a4,a3,0x1 + 8001f32c: 40eed73b sraw a4,t4,a4 + 8001f330: 00de17bb sllw a5,t3,a3 + 8001f334: 0187979b slliw a5,a5,0x18 + 8001f338: 0186161b slliw a2,a2,0x18 + 8001f33c: 00377713 andi a4,a4,3 + 8001f340: 4187d79b sraiw a5,a5,0x18 + 8001f344: 4186561b sraiw a2,a2,0x18 + 8001f348: 0a670463 beq a4,t1,8001f3f0 <_ZL13Mapper5_writejh+0x3e4> + 8001f34c: 09170863 beq a4,a7,8001f3dc <_ZL13Mapper5_writejh+0x3d0> + 8001f350: 00c7e7b3 or a5,a5,a2 + 8001f354: 0ff7f613 andi a2,a5,255 + 8001f358: 07070e63 beq a4,a6,8001f3d4 <_ZL13Mapper5_writejh+0x3c8> + 8001f35c: 01f5b023 sd t6,0(a1) + 8001f360: 0016869b addiw a3,a3,1 + 8001f364: 00858593 addi a1,a1,8 + 8001f368: fca690e3 bne a3,a0,8001f328 <_ZL13Mapper5_writejh+0x31c> + 8001f36c: 00cf0023 sb a2,0(t5) + 8001f370: 001ad797 auipc a5,0x1ad + 8001f374: ca878d23 sb s0,-838(a5) # 801cc02a <_ZL12NTAMirroring> + 8001f378: d01ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f37c: 0035f793 andi a5,a1,3 + 8001f380: 001ad717 auipc a4,0x1ad + 8001f384: ceb70523 sb a1,-790(a4) # 801cc06a <_ZL7CHRMode> + 8001f388: 001ad717 auipc a4,0x1ad + 8001f38c: 34f70823 sb a5,848(a4) # 801cc6d8 + 8001f390: ce9ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f394: 001ad797 auipc a5,0x1ad + 8001f398: c8b78ea3 sb a1,-867(a5) # 801cc031 <_ZL14WRAMMaskEnable+0x1> + 8001f39c: cddff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f3a0: 001ad797 auipc a5,0x1ad + 8001f3a4: c8b78823 sb a1,-880(a5) # 801cc030 <_ZL14WRAMMaskEnable> + 8001f3a8: cd1ff06f j 8001f078 <_ZL13Mapper5_writejh+0x6c> + 8001f3ac: 001ad797 auipc a5,0x1ad + 8001f3b0: ceb78923 sb a1,-782(a5) # 801cc09e <_ZL9mmc5vsize> + 8001f3b4: 001ad797 auipc a5,0x1ad + 8001f3b8: ceb7c783 lbu a5,-789(a5) # 801cc09f + 8001f3bc: 04079263 bnez a5,8001f400 <_ZL13Mapper5_writejh+0x3f4> + 8001f3c0: 991ff0ef jal ra,8001ed50 <_ZL8MMC5CHRBv> + 8001f3c4: df5ff06f j 8001f1b8 <_ZL13Mapper5_writejh+0x1ac> + 8001f3c8: 001ad797 auipc a5,0x1ad + 8001f3cc: ccb78aa3 sb a1,-811(a5) # 801cc09d <_ZL9mmc5psize> + 8001f3d0: e11ff06f j 8001f1e0 <_ZL13Mapper5_writejh+0x1d4> + 8001f3d4: 0055b023 sd t0,0(a1) + 8001f3d8: f89ff06f j 8001f360 <_ZL13Mapper5_writejh+0x354> + 8001f3dc: fff7c793 not a5,a5 + 8001f3e0: 00f677b3 and a5,a2,a5 + 8001f3e4: 0ff7f613 andi a2,a5,255 + 8001f3e8: 0075b023 sd t2,0(a1) + 8001f3ec: f75ff06f j 8001f360 <_ZL13Mapper5_writejh+0x354> + 8001f3f0: 00c7e7b3 or a5,a5,a2 + 8001f3f4: 0ff7f613 andi a2,a5,255 + 8001f3f8: 0095b023 sd s1,0(a1) + 8001f3fc: f65ff06f j 8001f360 <_ZL13Mapper5_writejh+0x354> + 8001f400: e78ff0ef jal ra,8001ea78 <_ZL8MMC5CHRAv> + 8001f404: d6dff06f j 8001f170 <_ZL13Mapper5_writejh+0x164> + +000000008001f408 <_Z11Mapper5_ESIv>: + 8001f408: 001aa797 auipc a5,0x1aa + 8001f40c: 8d878793 addi a5,a5,-1832 # 801c8ce0 + 8001f410: ff010113 addi sp,sp,-16 + 8001f414: 03c7a703 lw a4,60(a5) + 8001f418: 00813023 sd s0,0(sp) + 8001f41c: 00000697 auipc a3,0x0 + 8001f420: fec68693 addi a3,a3,-20 # 8001f408 <_Z11Mapper5_ESIv> + 8001f424: 00038417 auipc s0,0x38 + 8001f428: 56440413 addi s0,s0,1380 # 80057988 + 8001f42c: 00113423 sd ra,8(sp) + 8001f430: 02d43023 sd a3,32(s0) + 8001f434: 02070863 beqz a4,8001f464 <_Z11Mapper5_ESIv+0x5c> + 8001f438: 0407a783 lw a5,64(a5) + 8001f43c: 06f05e63 blez a5,8001f4b8 <_Z11Mapper5_ESIv+0xb0> + 8001f440: fffff797 auipc a5,0xfffff + 8001f444: d2078793 addi a5,a5,-736 # 8001e160 <_ZL7Do5SQHQi> + 8001f448: 001ad717 auipc a4,0x1ad + 8001f44c: c0f73423 sd a5,-1016(a4) # 801cc050 <_ZL4sfun> + 8001f450: fffff797 auipc a5,0xfffff + 8001f454: 93478793 addi a5,a5,-1740 # 8001dd84 <_ZL8Do5PCMHQv> + 8001f458: 001ad717 auipc a4,0x1ad + 8001f45c: c0f73423 sd a5,-1016(a4) # 801cc060 <_ZL5psfun> + 8001f460: 0140006f j 8001f474 <_Z11Mapper5_ESIv+0x6c> + 8001f464: 001ad797 auipc a5,0x1ad + 8001f468: be07b623 sd zero,-1044(a5) # 801cc050 <_ZL4sfun> + 8001f46c: 001ad797 auipc a5,0x1ad + 8001f470: be07ba23 sd zero,-1036(a5) # 801cc060 <_ZL5psfun> + 8001f474: 00c00613 li a2,12 + 8001f478: 00000593 li a1,0 + 8001f47c: 000a8517 auipc a0,0xa8 + 8001f480: 18850513 addi a0,a0,392 # 800c7604 <_ZL9MMC5Sound+0x14> + 8001f484: 5a41c0ef jal ra,8003ba28 + 8001f488: 00800613 li a2,8 + 8001f48c: 00000593 li a1,0 + 8001f490: 000a8517 auipc a0,0xa8 + 8001f494: 18050513 addi a0,a0,384 # 800c7610 <_ZL9MMC5Sound+0x20> + 8001f498: 5901c0ef jal ra,8003ba28 + 8001f49c: fffff797 auipc a5,0xfffff + 8001f4a0: e2c78793 addi a5,a5,-468 # 8001e2c8 <_Z10MMC5HiSynci> + 8001f4a4: 00813083 ld ra,8(sp) + 8001f4a8: 00f43c23 sd a5,24(s0) + 8001f4ac: 00013403 ld s0,0(sp) + 8001f4b0: 01010113 addi sp,sp,16 + 8001f4b4: 00008067 ret + 8001f4b8: fffff797 auipc a5,0xfffff + 8001f4bc: b4c78793 addi a5,a5,-1204 # 8001e004 <_ZL5Do5SQi> + 8001f4c0: 001ad717 auipc a4,0x1ad + 8001f4c4: b8f73823 sd a5,-1136(a4) # 801cc050 <_ZL4sfun> + 8001f4c8: fffff797 auipc a5,0xfffff + 8001f4cc: 81478793 addi a5,a5,-2028 # 8001dcdc <_ZL6Do5PCMv> + 8001f4d0: 001ad717 auipc a4,0x1ad + 8001f4d4: b8f73823 sd a5,-1136(a4) # 801cc060 <_ZL5psfun> + 8001f4d8: f9dff06f j 8001f474 <_Z11Mapper5_ESIv+0x6c> + +000000008001f4dc <_ZL12GenMMC5_InitP8CartInfoii>: + 8001f4dc: fd010113 addi sp,sp,-48 + 8001f4e0: 02813023 sd s0,32(sp) + 8001f4e4: 00913c23 sd s1,24(sp) + 8001f4e8: 01213823 sd s2,16(sp) + 8001f4ec: 02113423 sd ra,40(sp) + 8001f4f0: 01313423 sd s3,8(sp) + 8001f4f4: 01413023 sd s4,0(sp) + 8001f4f8: 00058913 mv s2,a1 + 8001f4fc: 00050413 mv s0,a0 + 8001f500: 00060493 mv s1,a2 + 8001f504: 38059e63 bnez a1,8001f8a0 <_ZL12GenMMC5_InitP8CartInfoii+0x3c4> + 8001f508: 40000513 li a0,1024 + 8001f50c: f61f20ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001f510: 00050793 mv a5,a0 + 8001f514: 001ad997 auipc s3,0x1ad + 8001f518: b5c98993 addi s3,s3,-1188 # 801cc070 <_ZL8MMC5fill> + 8001f51c: 40000513 li a0,1024 + 8001f520: 00f9b023 sd a5,0(s3) + 8001f524: f49f20ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001f528: 0009b683 ld a3,0(s3) + 8001f52c: 001ad997 auipc s3,0x1ad + 8001f530: b2c98993 addi s3,s3,-1236 # 801cc058 <_ZL5ExRAM> + 8001f534: 00a9b023 sd a0,0(s3) + 8001f538: 3c06c803 lbu a6,960(a3) + 8001f53c: 0006c783 lbu a5,0(a3) + 8001f540: 3bc68593 addi a1,a3,956 + 8001f544: 00387713 andi a4,a6,3 + 8001f548: 00271613 slli a2,a4,0x2 + 8001f54c: 00471e93 slli t4,a4,0x4 + 8001f550: 0087931b slliw t1,a5,0x8 + 8001f554: 01079e1b slliw t3,a5,0x10 + 8001f558: 0007881b sext.w a6,a5 + 8001f55c: 01d66633 or a2,a2,t4 + 8001f560: 01c36333 or t1,t1,t3 + 8001f564: 00e66633 or a2,a2,a4 + 8001f568: 0187979b slliw a5,a5,0x18 + 8001f56c: 00671713 slli a4,a4,0x6 + 8001f570: 00686833 or a6,a6,t1 + 8001f574: 00f86833 or a6,a6,a5 + 8001f578: 00e66633 or a2,a2,a4 + 8001f57c: 0ff67613 andi a2,a2,255 + 8001f580: 0008081b sext.w a6,a6 + 8001f584: 00058793 mv a5,a1 + 8001f588: 0107a023 sw a6,0(a5) + 8001f58c: 00078713 mv a4,a5 + 8001f590: ffc78793 addi a5,a5,-4 + 8001f594: fee69ae3 bne a3,a4,8001f588 <_ZL12GenMMC5_InitP8CartInfoii+0xac> + 8001f598: 0086179b slliw a5,a2,0x8 + 8001f59c: 0106171b slliw a4,a2,0x10 + 8001f5a0: 00e7e7b3 or a5,a5,a4 + 8001f5a4: 00f667b3 or a5,a2,a5 + 8001f5a8: 0186161b slliw a2,a2,0x18 + 8001f5ac: 00c7e7b3 or a5,a5,a2 + 8001f5b0: 0007879b sext.w a5,a5 + 8001f5b4: 3fc68693 addi a3,a3,1020 + 8001f5b8: 00f6a023 sw a5,0(a3) + 8001f5bc: ffc68693 addi a3,a3,-4 + 8001f5c0: fed59ce3 bne a1,a3,8001f5b8 <_ZL12GenMMC5_InitP8CartInfoii+0xdc> + 8001f5c4: 00026697 auipc a3,0x26 + 8001f5c8: 3ec68693 addi a3,a3,1004 # 800459b0 <_ZL6bs_tbl+0x240> + 8001f5cc: 00000613 li a2,0 + 8001f5d0: 40000593 li a1,1024 + 8001f5d4: a3de00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f5d8: 00026697 auipc a3,0x26 + 8001f5dc: 3e068693 addi a3,a3,992 # 800459b8 <_ZL6bs_tbl+0x248> + 8001f5e0: 00000613 li a2,0 + 8001f5e4: 00100593 li a1,1 + 8001f5e8: 001ad517 auipc a0,0x1ad + 8001f5ec: 10050513 addi a0,a0,256 # 801cc6e8 + 8001f5f0: a21e00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f5f4: 00026697 auipc a3,0x26 + 8001f5f8: 3cc68693 addi a3,a3,972 # 800459c0 <_ZL6bs_tbl+0x250> + 8001f5fc: 00000613 li a2,0 + 8001f600: 00100593 li a1,1 + 8001f604: 001ad517 auipc a0,0x1ad + 8001f608: 0e650513 addi a0,a0,230 # 801cc6ea + 8001f60c: a05e00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f610: 00026697 auipc a3,0x26 + 8001f614: 3b868693 addi a3,a3,952 # 800459c8 <_ZL6bs_tbl+0x258> + 8001f618: 00000613 li a2,0 + 8001f61c: 00100593 li a1,1 + 8001f620: 001ad517 auipc a0,0x1ad + 8001f624: 0c950513 addi a0,a0,201 # 801cc6e9 + 8001f628: 9e9e00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f62c: 00026697 auipc a3,0x26 + 8001f630: 3a468693 addi a3,a3,932 # 800459d0 <_ZL6bs_tbl+0x260> + 8001f634: 00000613 li a2,0 + 8001f638: 00100593 li a1,1 + 8001f63c: 001ad517 auipc a0,0x1ad + 8001f640: 09450513 addi a0,a0,148 # 801cc6d0 + 8001f644: 9cde00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f648: 00000693 li a3,0 + 8001f64c: fff00593 li a1,-1 + 8001f650: 0002d517 auipc a0,0x2d + 8001f654: 47050513 addi a0,a0,1136 # 8004cac0 <_ZL14MMC5_StateRegs> + 8001f658: 00000613 li a2,0 + 8001f65c: 9b5e00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f660: 41f9551b sraiw a0,s2,0x1f + 8001f664: 01d5551b srliw a0,a0,0x1d + 8001f668: 0125053b addw a0,a0,s2 + 8001f66c: 4035551b sraiw a0,a0,0x3 + 8001f670: 0ff57813 andi a6,a0,255 + 8001f674: 000a8e97 auipc t4,0xa8 + 8001f678: eece8e93 addi t4,t4,-276 # 800c7560 <_ZL13MMC5WRAMIndex> + 8001f67c: 00281893 slli a7,a6,0x2 + 8001f680: 00026317 auipc t1,0x26 + 8001f684: 35830313 addi t1,t1,856 # 800459d8 <_ZL6bs_tbl+0x268> + 8001f688: 001ad797 auipc a5,0x1ad + 8001f68c: 9aa780a3 sb a0,-1631(a5) # 801cc029 <_ZL12MMC5WRAMsize> + 8001f690: 000e8693 mv a3,t4 + 8001f694: 000e8713 mv a4,t4 + 8001f698: 00000f13 li t5,0 + 8001f69c: 00000793 li a5,0 + 8001f6a0: 00800593 li a1,8 + 8001f6a4: 006888b3 add a7,a7,t1 + 8001f6a8: 00300e13 li t3,3 + 8001f6ac: fff00f93 li t6,-1 + 8001f6b0: 0105e863 bltu a1,a6,8001f6c0 <_ZL12GenMMC5_InitP8CartInfoii+0x1e4> + 8001f6b4: 0008a603 lw a2,0(a7) + 8001f6b8: 00660633 add a2,a2,t1 + 8001f6bc: 00060067 jr a2 + 8001f6c0: 00f70023 sb a5,0(a4) + 8001f6c4: 00100f13 li t5,1 + 8001f6c8: 0017879b addiw a5,a5,1 + 8001f6cc: 00170713 addi a4,a4,1 + 8001f6d0: feb790e3 bne a5,a1,8001f6b0 <_ZL12GenMMC5_InitP8CartInfoii+0x1d4> + 8001f6d4: 160f0c63 beqz t5,8001f84c <_ZL12GenMMC5_InitP8CartInfoii+0x370> + 8001f6d8: 0ff57613 andi a2,a0,255 + 8001f6dc: 000a8717 auipc a4,0xa8 + 8001f6e0: e8470713 addi a4,a4,-380 # 800c7560 <_ZL13MMC5WRAMIndex> + 8001f6e4: 00000793 li a5,0 + 8001f6e8: 08000593 li a1,128 + 8001f6ec: 00f60a63 beq a2,a5,8001f700 <_ZL12GenMMC5_InitP8CartInfoii+0x224> + 8001f6f0: 00f70023 sb a5,0(a4) + 8001f6f4: 0017879b addiw a5,a5,1 + 8001f6f8: 00170713 addi a4,a4,1 + 8001f6fc: feb798e3 bne a5,a1,8001f6ec <_ZL12GenMMC5_InitP8CartInfoii+0x210> + 8001f700: 08057513 andi a0,a0,128 + 8001f704: 02051863 bnez a0,8001f734 <_ZL12GenMMC5_InitP8CartInfoii+0x258> + 8001f708: 00c686b3 add a3,a3,a2 + 8001f70c: 000a8797 auipc a5,0xa8 + 8001f710: e5478793 addi a5,a5,-428 # 800c7560 <_ZL13MMC5WRAMIndex> + 8001f714: 41d6063b subw a2,a2,t4 + 8001f718: 07f00513 li a0,127 + 8001f71c: 0007c583 lbu a1,0(a5) + 8001f720: 00178793 addi a5,a5,1 + 8001f724: 00f6073b addw a4,a2,a5 + 8001f728: 00b68023 sb a1,0(a3) + 8001f72c: 00168693 addi a3,a3,1 + 8001f730: fee556e3 bge a0,a4,8001f71c <_ZL12GenMMC5_InitP8CartInfoii+0x240> + 8001f734: 00000797 auipc a5,0x0 + 8001f738: 7b078793 addi a5,a5,1968 # 8001fee4 <_Z17MMC5_StateRestorei> + 8001f73c: 001ad717 auipc a4,0x1ad + 8001f740: f0f73e23 sd a5,-228(a4) # 801cc658 + 8001f744: 00000797 auipc a5,0x0 + 8001f748: 7a478793 addi a5,a5,1956 # 8001fee8 <_ZL12GenMMC5Resetv> + 8001f74c: 00f43023 sd a5,0(s0) + 8001f750: 02048063 beqz s1,8001f770 <_ZL12GenMMC5_InitP8CartInfoii+0x294> + 8001f754: 05042783 lw a5,80(s0) + 8001f758: 001ad717 auipc a4,0x1ad + 8001f75c: 8f073703 ld a4,-1808(a4) # 801cc048 <_ZL4WRAM> + 8001f760: 00e43c23 sd a4,24(s0) + 8001f764: 12078063 beqz a5,8001f884 <_ZL12GenMMC5_InitP8CartInfoii+0x3a8> + 8001f768: 05c42783 lw a5,92(s0) + 8001f76c: 02f42c23 sw a5,56(s0) + 8001f770: 0009b783 ld a5,0(s3) + 8001f774: 000a3717 auipc a4,0xa3 + 8001f778: d8c72703 lw a4,-628(a4) # 800c2500 + 8001f77c: 001ad697 auipc a3,0x1ad + 8001f780: f6e6a823 sw a4,-144(a3) # 801cc6ec + 8001f784: 001ad717 auipc a4,0x1ad + 8001f788: f4f73e23 sd a5,-164(a4) # 801cc6e0 + 8001f78c: 00100793 li a5,1 + 8001f790: 001ad717 auipc a4,0x1ad + 8001f794: f4f72223 sw a5,-188(a4) # 801cc6d4 + 8001f798: 000a3797 auipc a5,0xa3 + 8001f79c: e687b783 ld a5,-408(a5) # 800c2600 + 8001f7a0: 001ad717 auipc a4,0x1ad + 8001f7a4: f4f73823 sd a5,-176(a4) # 801cc6f0 + 8001f7a8: 001ad797 auipc a5,0x1ad + 8001f7ac: f2078823 sb zero,-208(a5) # 801cc6d8 + 8001f7b0: 001ad797 auipc a5,0x1ad + 8001f7b4: f2078ca3 sb zero,-199(a5) # 801cc6e9 + 8001f7b8: 001ad797 auipc a5,0x1ad + 8001f7bc: f2078923 sb zero,-206(a5) # 801cc6ea + 8001f7c0: 001ad797 auipc a5,0x1ad + 8001f7c4: f2078423 sb zero,-216(a5) # 801cc6e8 + 8001f7c8: c41ff0ef jal ra,8001f408 <_Z11Mapper5_ESIv> + 8001f7cc: 02813083 ld ra,40(sp) + 8001f7d0: 02013403 ld s0,32(sp) + 8001f7d4: fffff797 auipc a5,0xfffff + 8001f7d8: b5478793 addi a5,a5,-1196 # 8001e328 <_Z12mmc5_PPUReadj> + 8001f7dc: 001ad717 auipc a4,0x1ad + 8001f7e0: ecf73a23 sd a5,-300(a4) # 801cc6b0 + 8001f7e4: 00000797 auipc a5,0x0 + 8001f7e8: 11c78793 addi a5,a5,284 # 8001f900 <_ZL13mmc5_PPUWritejh> + 8001f7ec: 001ad717 auipc a4,0x1ad + 8001f7f0: ecf73623 sd a5,-308(a4) # 801cc6b8 + 8001f7f4: 01813483 ld s1,24(sp) + 8001f7f8: 01013903 ld s2,16(sp) + 8001f7fc: 00813983 ld s3,8(sp) + 8001f800: 00013a03 ld s4,0(sp) + 8001f804: 03010113 addi sp,sp,48 + 8001f808: 00008067 ret + 8001f80c: 00f70023 sb a5,0(a4) + 8001f810: eb9ff06f j 8001f6c8 <_ZL12GenMMC5_InitP8CartInfoii+0x1ec> + 8001f814: 00078613 mv a2,a5 + 8001f818: 00fe5463 bge t3,a5,8001f820 <_ZL12GenMMC5_InitP8CartInfoii+0x344> + 8001f81c: 0ff00613 li a2,255 + 8001f820: 00c70023 sb a2,0(a4) + 8001f824: ea5ff06f j 8001f6c8 <_ZL12GenMMC5_InitP8CartInfoii+0x1ec> + 8001f828: 4027d61b sraiw a2,a5,0x2 + 8001f82c: 00c70023 sb a2,0(a4) + 8001f830: e99ff06f j 8001f6c8 <_ZL12GenMMC5_InitP8CartInfoii+0x1ec> + 8001f834: 00fe2633 slt a2,t3,a5 + 8001f838: 40c0063b negw a2,a2 + 8001f83c: 00c70023 sb a2,0(a4) + 8001f840: e89ff06f j 8001f6c8 <_ZL12GenMMC5_InitP8CartInfoii+0x1ec> + 8001f844: 01f70023 sb t6,0(a4) + 8001f848: e81ff06f j 8001f6c8 <_ZL12GenMMC5_InitP8CartInfoii+0x1ec> + 8001f84c: 000a8717 auipc a4,0xa8 + 8001f850: d1c70713 addi a4,a4,-740 # 800c7568 <_ZL13MMC5WRAMIndex+0x8> + 8001f854: 00800613 li a2,8 + 8001f858: 000a8517 auipc a0,0xa8 + 8001f85c: d8850513 addi a0,a0,-632 # 800c75e0 <_ZL9CHRBanksA> + 8001f860: 40e6063b subw a2,a2,a4 + 8001f864: 00e607bb addw a5,a2,a4 + 8001f868: 0077f793 andi a5,a5,7 + 8001f86c: 00f687b3 add a5,a3,a5 + 8001f870: 0007c783 lbu a5,0(a5) + 8001f874: 00170713 addi a4,a4,1 + 8001f878: fef70fa3 sb a5,-1(a4) + 8001f87c: fea714e3 bne a4,a0,8001f864 <_ZL12GenMMC5_InitP8CartInfoii+0x388> + 8001f880: eb5ff06f j 8001f734 <_ZL12GenMMC5_InitP8CartInfoii+0x258> + 8001f884: 01000793 li a5,16 + 8001f888: 0727d063 bge a5,s2,8001f8e8 <_ZL12GenMMC5_InitP8CartInfoii+0x40c> + 8001f88c: 04000793 li a5,64 + 8001f890: 06f90263 beq s2,a5,8001f8f4 <_ZL12GenMMC5_InitP8CartInfoii+0x418> + 8001f894: 000087b7 lui a5,0x8 + 8001f898: 02f42c23 sw a5,56(s0) + 8001f89c: ed5ff06f j 8001f770 <_ZL12GenMMC5_InitP8CartInfoii+0x294> + 8001f8a0: 00a5999b slliw s3,a1,0xa + 8001f8a4: 00098513 mv a0,s3 + 8001f8a8: bc5f20ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8001f8ac: 00050593 mv a1,a0 + 8001f8b0: 001aca17 auipc s4,0x1ac + 8001f8b4: 798a0a13 addi s4,s4,1944 # 801cc048 <_ZL4WRAM> + 8001f8b8: 00098613 mv a2,s3 + 8001f8bc: 00100693 li a3,1 + 8001f8c0: 01000513 li a0,16 + 8001f8c4: 00ba3023 sd a1,0(s4) + 8001f8c8: c2df00ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8001f8cc: 000a3503 ld a0,0(s4) + 8001f8d0: 00026697 auipc a3,0x26 + 8001f8d4: a5868693 addi a3,a3,-1448 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8001f8d8: 00000613 li a2,0 + 8001f8dc: 00098593 mv a1,s3 + 8001f8e0: f30e00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8001f8e4: c25ff06f j 8001f508 <_ZL12GenMMC5_InitP8CartInfoii+0x2c> + 8001f8e8: 000027b7 lui a5,0x2 + 8001f8ec: 02f42c23 sw a5,56(s0) + 8001f8f0: e81ff06f j 8001f770 <_ZL12GenMMC5_InitP8CartInfoii+0x294> + 8001f8f4: 000107b7 lui a5,0x10 + 8001f8f8: 02f42c23 sw a5,56(s0) + 8001f8fc: e75ff06f j 8001f770 <_ZL12GenMMC5_InitP8CartInfoii+0x294> + +000000008001f900 <_ZL13mmc5_PPUWritejh>: + 8001f900: 000047b7 lui a5,0x4 + 8001f904: eff78793 addi a5,a5,-257 # 3eff <_entry_offset+0x3eff> + 8001f908: 04a7f263 bgeu a5,a0,8001f94c <_ZL13mmc5_PPUWritejh+0x4c> + 8001f90c: 00357793 andi a5,a0,3 + 8001f910: 03f5f593 andi a1,a1,63 + 8001f914: 0c079263 bnez a5,8001f9d8 <_ZL13mmc5_PPUWritejh+0xd8> + 8001f918: 00c57793 andi a5,a0,12 + 8001f91c: 0c079a63 bnez a5,8001f9f0 <_ZL13mmc5_PPUWritejh+0xf0> + 8001f920: 001aa797 auipc a5,0x1aa + 8001f924: 40878793 addi a5,a5,1032 # 801c9d28 + 8001f928: 00b78623 sb a1,12(a5) + 8001f92c: 00b78423 sb a1,8(a5) + 8001f930: 00b78223 sb a1,4(a5) + 8001f934: 00b78023 sb a1,0(a5) + 8001f938: 00b78e23 sb a1,28(a5) + 8001f93c: 00b78c23 sb a1,24(a5) + 8001f940: 00b78a23 sb a1,20(a5) + 8001f944: 00b78823 sb a1,16(a5) + 8001f948: 00008067 ret + 8001f94c: 000026b7 lui a3,0x2 + 8001f950: 00a5579b srliw a5,a0,0xa + 8001f954: 00a5561b srliw a2,a0,0xa + 8001f958: 04d57263 bgeu a0,a3,8001f99c <_ZL13mmc5_PPUWritejh+0x9c> + 8001f95c: 001ad717 auipc a4,0x1ad + 8001f960: da874703 lbu a4,-600(a4) # 801cc704 + 8001f964: 40c7573b sraw a4,a4,a2 + 8001f968: 00177713 andi a4,a4,1 + 8001f96c: 06070463 beqz a4,8001f9d4 <_ZL13mmc5_PPUWritejh+0xd4> + 8001f970: 02079793 slli a5,a5,0x20 + 8001f974: 01d7d793 srli a5,a5,0x1d + 8001f978: 000a3717 auipc a4,0xa3 + 8001f97c: 3c870713 addi a4,a4,968 # 800c2d40 + 8001f980: 00f707b3 add a5,a4,a5 + 8001f984: 0007b783 ld a5,0(a5) + 8001f988: 02051513 slli a0,a0,0x20 + 8001f98c: 02055513 srli a0,a0,0x20 + 8001f990: 00a78533 add a0,a5,a0 + 8001f994: 00b50023 sb a1,0(a0) + 8001f998: 00008067 ret + 8001f99c: 0037f793 andi a5,a5,3 + 8001f9a0: 001ad717 auipc a4,0x1ad + 8001f9a4: d6674703 lbu a4,-666(a4) # 801cc706 + 8001f9a8: 40f7573b sraw a4,a4,a5 + 8001f9ac: 00177713 andi a4,a4,1 + 8001f9b0: 02070263 beqz a4,8001f9d4 <_ZL13mmc5_PPUWritejh+0xd4> + 8001f9b4: 00379793 slli a5,a5,0x3 + 8001f9b8: 001ac717 auipc a4,0x1ac + 8001f9bc: 10870713 addi a4,a4,264 # 801cbac0 + 8001f9c0: 00f707b3 add a5,a4,a5 + 8001f9c4: 0007b783 ld a5,0(a5) + 8001f9c8: 3ff57513 andi a0,a0,1023 + 8001f9cc: 00a78533 add a0,a5,a0 + 8001f9d0: 00b50023 sb a1,0(a0) + 8001f9d4: 00008067 ret + 8001f9d8: 01f57513 andi a0,a0,31 + 8001f9dc: 001aa797 auipc a5,0x1aa + 8001f9e0: 34c78793 addi a5,a5,844 # 801c9d28 + 8001f9e4: 00a78533 add a0,a5,a0 + 8001f9e8: 00b50023 sb a1,0(a0) + 8001f9ec: 00008067 ret + 8001f9f0: 0025579b srliw a5,a0,0x2 + 8001f9f4: 0037f793 andi a5,a5,3 + 8001f9f8: fff7879b addiw a5,a5,-1 + 8001f9fc: 02079793 slli a5,a5,0x20 + 8001fa00: 0207d793 srli a5,a5,0x20 + 8001fa04: 001ad717 auipc a4,0x1ad + 8001fa08: d1c70713 addi a4,a4,-740 # 801cc720 + 8001fa0c: 00f707b3 add a5,a4,a5 + 8001fa10: 00b78023 sb a1,0(a5) + 8001fa14: 00008067 ret + +000000008001fa18 <_ZL15MMC5_ReadROMRAMj>: + 8001fa18: ffffa7b7 lui a5,0xffffa + 8001fa1c: 00a787bb addw a5,a5,a0 + 8001fa20: 00d7d79b srliw a5,a5,0xd + 8001fa24: 001ac717 auipc a4,0x1ac + 8001fa28: 67470713 addi a4,a4,1652 # 801cc098 <_ZL9MMC5MemIn> + 8001fa2c: 00f707b3 add a5,a4,a5 + 8001fa30: 0007c783 lbu a5,0(a5) # ffffffffffffa000 <_end+0xffffffff7fe25000> + 8001fa34: 02078863 beqz a5,8001fa64 <_ZL15MMC5_ReadROMRAMj+0x4c> + 8001fa38: 00b5579b srliw a5,a0,0xb + 8001fa3c: 00379713 slli a4,a5,0x3 + 8001fa40: 000a3797 auipc a5,0xa3 + 8001fa44: 20078793 addi a5,a5,512 # 800c2c40 + 8001fa48: 00e787b3 add a5,a5,a4 + 8001fa4c: 0007b783 ld a5,0(a5) + 8001fa50: 02051513 slli a0,a0,0x20 + 8001fa54: 02055513 srli a0,a0,0x20 + 8001fa58: 00a78533 add a0,a5,a0 + 8001fa5c: 00054503 lbu a0,0(a0) + 8001fa60: 00008067 ret + 8001fa64: 00038517 auipc a0,0x38 + 8001fa68: e0454503 lbu a0,-508(a0) # 80057868 + 8001fa6c: 00008067 ret + +000000008001fa70 <_ZL16MMC5_WriteROMRAMjh>: + 8001fa70: 000087b7 lui a5,0x8 + 8001fa74: 02f56263 bltu a0,a5,8001fa98 <_ZL16MMC5_WriteROMRAMjh+0x28> + 8001fa78: ffff87b7 lui a5,0xffff8 + 8001fa7c: 00a787bb addw a5,a5,a0 + 8001fa80: 00d7d79b srliw a5,a5,0xd + 8001fa84: 001ac717 auipc a4,0x1ac + 8001fa88: 5b470713 addi a4,a4,1460 # 801cc038 <_ZL16MMC5ROMWrProtect> + 8001fa8c: 00f707b3 add a5,a4,a5 + 8001fa90: 0007c783 lbu a5,0(a5) # ffffffffffff8000 <_end+0xffffffff7fe23000> + 8001fa94: 04079663 bnez a5,8001fae0 <_ZL16MMC5_WriteROMRAMjh+0x70> + 8001fa98: ffffa7b7 lui a5,0xffffa + 8001fa9c: 00a787bb addw a5,a5,a0 + 8001faa0: 00d7d79b srliw a5,a5,0xd + 8001faa4: 001ac717 auipc a4,0x1ac + 8001faa8: 5f470713 addi a4,a4,1524 # 801cc098 <_ZL9MMC5MemIn> + 8001faac: 00f707b3 add a5,a4,a5 + 8001fab0: 0007c783 lbu a5,0(a5) # ffffffffffffa000 <_end+0xffffffff7fe25000> + 8001fab4: 02078663 beqz a5,8001fae0 <_ZL16MMC5_WriteROMRAMjh+0x70> + 8001fab8: 001ac797 auipc a5,0x1ac + 8001fabc: 57878793 addi a5,a5,1400 # 801cc030 <_ZL14WRAMMaskEnable> + 8001fac0: 0017c703 lbu a4,1(a5) + 8001fac4: 0007c783 lbu a5,0(a5) + 8001fac8: 00600693 li a3,6 + 8001facc: 0027171b slliw a4,a4,0x2 + 8001fad0: 00c77713 andi a4,a4,12 + 8001fad4: 0037f793 andi a5,a5,3 + 8001fad8: 00e7e7b3 or a5,a5,a4 + 8001fadc: 00d78463 beq a5,a3,8001fae4 <_ZL16MMC5_WriteROMRAMjh+0x74> + 8001fae0: 00008067 ret + 8001fae4: 00b5579b srliw a5,a0,0xb + 8001fae8: 00379713 slli a4,a5,0x3 + 8001faec: 000a3797 auipc a5,0xa3 + 8001faf0: 15478793 addi a5,a5,340 # 800c2c40 + 8001faf4: 00e787b3 add a5,a5,a4 + 8001faf8: 0007b783 ld a5,0(a5) + 8001fafc: 02051513 slli a0,a0,0x20 + 8001fb00: 02055513 srli a0,a0,0x20 + 8001fb04: 00a78533 add a0,a5,a0 + 8001fb08: 00b50023 sb a1,0(a0) + 8001fb0c: 00008067 ret + +000000008001fb10 <_ZL9MMC5_readj>: + 8001fb10: ff010113 addi sp,sp,-16 + 8001fb14: 000057b7 lui a5,0x5 + 8001fb18: 00113423 sd ra,8(sp) + 8001fb1c: 20578713 addi a4,a5,517 # 5205 <_entry_offset+0x5205> + 8001fb20: 02e50463 beq a0,a4,8001fb48 <_ZL9MMC5_readj+0x38> + 8001fb24: 20678713 addi a4,a5,518 + 8001fb28: 06e50663 beq a0,a4,8001fb94 <_ZL9MMC5_readj+0x84> + 8001fb2c: 20478793 addi a5,a5,516 + 8001fb30: 02f50e63 beq a0,a5,8001fb6c <_ZL9MMC5_readj+0x5c> + 8001fb34: 00813083 ld ra,8(sp) + 8001fb38: 00038517 auipc a0,0x38 + 8001fb3c: d3054503 lbu a0,-720(a0) # 80057868 + 8001fb40: 01010113 addi sp,sp,16 + 8001fb44: 00008067 ret + 8001fb48: 001ac797 auipc a5,0x1ac + 8001fb4c: 4f878793 addi a5,a5,1272 # 801cc040 <_ZL3mul> + 8001fb50: 0017c583 lbu a1,1(a5) + 8001fb54: 0007c503 lbu a0,0(a5) + 8001fb58: 2641a0ef jal ra,80039dbc <__muldi3> + 8001fb5c: 00813083 ld ra,8(sp) + 8001fb60: 0ff57513 andi a0,a0,255 + 8001fb64: 01010113 addi sp,sp,16 + 8001fb68: 00008067 ret + 8001fb6c: 00100513 li a0,1 + 8001fb70: 9e0e10ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8001fb74: 001ac797 auipc a5,0x1ac + 8001fb78: 4f778793 addi a5,a5,1271 # 801cc06b <_ZL8MMC5IRQR> + 8001fb7c: 0007c503 lbu a0,0(a5) + 8001fb80: 04057713 andi a4,a0,64 + 8001fb84: 00e78023 sb a4,0(a5) + 8001fb88: 00813083 ld ra,8(sp) + 8001fb8c: 01010113 addi sp,sp,16 + 8001fb90: 00008067 ret + 8001fb94: 001ac797 auipc a5,0x1ac + 8001fb98: 4ac78793 addi a5,a5,1196 # 801cc040 <_ZL3mul> + 8001fb9c: 0017c583 lbu a1,1(a5) + 8001fba0: 0007c503 lbu a0,0(a5) + 8001fba4: 2181a0ef jal ra,80039dbc <__muldi3> + 8001fba8: 00813083 ld ra,8(sp) + 8001fbac: 4085551b sraiw a0,a0,0x8 + 8001fbb0: 0ff57513 andi a0,a0,255 + 8001fbb4: 01010113 addi sp,sp,16 + 8001fbb8: 00008067 ret + +000000008001fbbc <_Z13MMC5BGVRAMADRj>: + 8001fbbc: 001ad697 auipc a3,0x1ad + 8001fbc0: b4468693 addi a3,a3,-1212 # 801cc700 + 8001fbc4: 0006c783 lbu a5,0(a3) + 8001fbc8: 001ad617 auipc a2,0x1ad + 8001fbcc: bcc62603 lw a2,-1076(a2) # 801cc794 + 8001fbd0: 0207f713 andi a4,a5,32 + 8001fbd4: 00a5579b srliw a5,a0,0xa + 8001fbd8: 02051513 slli a0,a0,0x20 + 8001fbdc: 02055513 srli a0,a0,0x20 + 8001fbe0: 04060a63 beqz a2,8001fc34 <_Z13MMC5BGVRAMADRj+0x78> + 8001fbe4: 06070c63 beqz a4,8001fc5c <_Z13MMC5BGVRAMADRj+0xa0> + 8001fbe8: 0016c703 lbu a4,1(a3) + 8001fbec: 00200613 li a2,2 + 8001fbf0: 001ad697 auipc a3,0x1ad + 8001fbf4: ba86a683 lw a3,-1112(a3) # 801cc798 + 8001fbf8: 01877713 andi a4,a4,24 + 8001fbfc: 04c68e63 beq a3,a2,8001fc58 <_Z13MMC5BGVRAMADRj+0x9c> + 8001fc00: 00100613 li a2,1 + 8001fc04: 02c68863 beq a3,a2,8001fc34 <_Z13MMC5BGVRAMADRj+0x78> + 8001fc08: 02079793 slli a5,a5,0x20 + 8001fc0c: 001ac717 auipc a4,0x1ac + 8001fc10: 49374703 lbu a4,1171(a4) # 801cc09f + 8001fc14: 01d7d793 srli a5,a5,0x1d + 8001fc18: 02071463 bnez a4,8001fc40 <_Z13MMC5BGVRAMADRj+0x84> + 8001fc1c: 000a3717 auipc a4,0xa3 + 8001fc20: bc470713 addi a4,a4,-1084 # 800c27e0 + 8001fc24: 00f707b3 add a5,a4,a5 + 8001fc28: 0007b783 ld a5,0(a5) + 8001fc2c: 00a78533 add a0,a5,a0 + 8001fc30: 00008067 ret + 8001fc34: fc070ae3 beqz a4,8001fc08 <_Z13MMC5BGVRAMADRj+0x4c> + 8001fc38: 02079793 slli a5,a5,0x20 + 8001fc3c: 01d7d793 srli a5,a5,0x1d + 8001fc40: 000a3717 auipc a4,0xa3 + 8001fc44: b6070713 addi a4,a4,-1184 # 800c27a0 + 8001fc48: 00f707b3 add a5,a4,a5 + 8001fc4c: 0007b783 ld a5,0(a5) + 8001fc50: 00a78533 add a0,a5,a0 + 8001fc54: 00008067 ret + 8001fc58: fa0708e3 beqz a4,8001fc08 <_Z13MMC5BGVRAMADRj+0x4c> + 8001fc5c: 02079793 slli a5,a5,0x20 + 8001fc60: 000a3717 auipc a4,0xa3 + 8001fc64: b8070713 addi a4,a4,-1152 # 800c27e0 + 8001fc68: 01d7d793 srli a5,a5,0x1d + 8001fc6c: 00f707b3 add a5,a4,a5 + 8001fc70: 0007b783 ld a5,0(a5) + 8001fc74: 00a78533 add a0,a5,a0 + 8001fc78: 00008067 ret + +000000008001fc7c <_Z18DetectMMC5WRAMSizej>: + 8001fc7c: 0002d597 auipc a1,0x2d + 8001fc80: d7458593 addi a1,a1,-652 # 8004c9f0 + 8001fc84: 00058713 mv a4,a1 + 8001fc88: 00000793 li a5,0 + 8001fc8c: 01a00613 li a2,26 + 8001fc90: 00c0006f j 8001fc9c <_Z18DetectMMC5WRAMSizej+0x20> + 8001fc94: 0017879b addiw a5,a5,1 + 8001fc98: 06c78463 beq a5,a2,8001fd00 <_Z18DetectMMC5WRAMSizej+0x84> + 8001fc9c: 00072683 lw a3,0(a4) + 8001fca0: 00870713 addi a4,a4,8 + 8001fca4: fea698e3 bne a3,a0,8001fc94 <_Z18DetectMMC5WRAMSizej+0x18> + 8001fca8: ff010113 addi sp,sp,-16 + 8001fcac: 00379793 slli a5,a5,0x3 + 8001fcb0: 00813023 sd s0,0(sp) + 8001fcb4: 00113423 sd ra,8(sp) + 8001fcb8: 00f58433 add s0,a1,a5 + 8001fcbc: 00444503 lbu a0,4(s0) + 8001fcc0: 00100793 li a5,1 + 8001fcc4: 00a7ec63 bltu a5,a0,8001fcdc <_Z18DetectMMC5WRAMSizej+0x60> + 8001fcc8: 00813083 ld ra,8(sp) + 8001fccc: 00013403 ld s0,0(sp) + 8001fcd0: 00351513 slli a0,a0,0x3 + 8001fcd4: 01010113 addi sp,sp,16 + 8001fcd8: 00008067 ret + 8001fcdc: 00026517 auipc a0,0x26 + 8001fce0: d2450513 addi a0,a0,-732 # 80045a00 <_ZL6bs_tbl+0x290> + 8001fce4: 0651b0ef jal ra,8003b548 + 8001fce8: 00444503 lbu a0,4(s0) + 8001fcec: 00813083 ld ra,8(sp) + 8001fcf0: 00013403 ld s0,0(sp) + 8001fcf4: 00351513 slli a0,a0,0x3 + 8001fcf8: 01010113 addi sp,sp,16 + 8001fcfc: 00008067 ret + 8001fd00: 04000513 li a0,64 + 8001fd04: 00008067 ret + +000000008001fd08 <_Z9MMC5Syncov>: + 8001fd08: fe010113 addi sp,sp,-32 + 8001fd0c: 00113c23 sd ra,24(sp) + 8001fd10: 00813823 sd s0,16(sp) + 8001fd14: 00913423 sd s1,8(sp) + 8001fd18: a25fe0ef jal ra,8001e73c <_ZL7MMC5PRGv> + 8001fd1c: 001ac417 auipc s0,0x1ac + 8001fd20: 35440413 addi s0,s0,852 # 801cc070 <_ZL8MMC5fill> + 8001fd24: 001adf97 auipc t6,0x1ad + 8001fd28: 9e2f8f93 addi t6,t6,-1566 # 801cc706 + 8001fd2c: 000fc683 lbu a3,0(t6) + 8001fd30: 00043483 ld s1,0(s0) + 8001fd34: 001ace97 auipc t4,0x1ac + 8001fd38: 2f6ece83 lbu t4,758(t4) # 801cc02a <_ZL12NTAMirroring> + 8001fd3c: 001ac097 auipc ra,0x1ac + 8001fd40: 31c0b083 ld ra,796(ra) # 801cc058 <_ZL5ExRAM> + 8001fd44: 001ac597 auipc a1,0x1ac + 8001fd48: d7c58593 addi a1,a1,-644 # 801cbac0 + 8001fd4c: 00000613 li a2,0 + 8001fd50: 00100e13 li t3,1 + 8001fd54: 00200313 li t1,2 + 8001fd58: 00300893 li a7,3 + 8001fd5c: 00100813 li a6,1 + 8001fd60: 001aa397 auipc t2,0x1aa + 8001fd64: bc838393 addi t2,t2,-1080 # 801c9928 + 8001fd68: 001a9297 auipc t0,0x1a9 + 8001fd6c: 7c028293 addi t0,t0,1984 # 801c9528 + 8001fd70: 00400513 li a0,4 + 8001fd74: 0016171b slliw a4,a2,0x1 + 8001fd78: 40eed73b sraw a4,t4,a4 + 8001fd7c: 00ce17bb sllw a5,t3,a2 + 8001fd80: 0186969b slliw a3,a3,0x18 + 8001fd84: 0187979b slliw a5,a5,0x18 + 8001fd88: 00377713 andi a4,a4,3 + 8001fd8c: 4186d69b sraiw a3,a3,0x18 + 8001fd90: 4187d79b sraiw a5,a5,0x18 + 8001fd94: 12670a63 beq a4,t1,8001fec8 <_Z9MMC5Syncov+0x1c0> + 8001fd98: 00d7ef33 or t5,a5,a3 + 8001fd9c: 11170c63 beq a4,a7,8001feb4 <_Z9MMC5Syncov+0x1ac> + 8001fda0: 11070263 beq a4,a6,8001fea4 <_Z9MMC5Syncov+0x19c> + 8001fda4: 0fff7693 andi a3,t5,255 + 8001fda8: 0055b023 sd t0,0(a1) + 8001fdac: 0016061b addiw a2,a2,1 + 8001fdb0: 00858593 addi a1,a1,8 + 8001fdb4: fca610e3 bne a2,a0,8001fd74 <_Z9MMC5Syncov+0x6c> + 8001fdb8: 001ac597 auipc a1,0x1ac + 8001fdbc: 2c45c583 lbu a1,708(a1) # 801cc07c <_ZL8WRAMPage> + 8001fdc0: 07f5f593 andi a1,a1,127 + 8001fdc4: 00006537 lui a0,0x6 + 8001fdc8: 00df8023 sb a3,0(t6) + 8001fdcc: 89dfe0ef jal ra,8001e668 <_ZL8MMC5WRAMjj> + 8001fdd0: 001ac797 auipc a5,0x1ac + 8001fdd4: 2cf7c783 lbu a5,719(a5) # 801cc09f + 8001fdd8: 10079063 bnez a5,8001fed8 <_Z9MMC5Syncov+0x1d0> + 8001fddc: f75fe0ef jal ra,8001ed50 <_ZL8MMC5CHRBv> + 8001fde0: c99fe0ef jal ra,8001ea78 <_ZL8MMC5CHRAv> + 8001fde4: 001ac617 auipc a2,0x1ac + 8001fde8: 28564603 lbu a2,645(a2) # 801cc069 <_ZL6NTFill> + 8001fdec: 0106159b slliw a1,a2,0x10 + 8001fdf0: 0086171b slliw a4,a2,0x8 + 8001fdf4: 00043683 ld a3,0(s0) + 8001fdf8: 00060793 mv a5,a2 + 8001fdfc: 00b76733 or a4,a4,a1 + 8001fe00: 0187979b slliw a5,a5,0x18 + 8001fe04: 00e66633 or a2,a2,a4 + 8001fe08: 00f66633 or a2,a2,a5 + 8001fe0c: 3bc68593 addi a1,a3,956 + 8001fe10: 0006061b sext.w a2,a2 + 8001fe14: 00058793 mv a5,a1 + 8001fe18: 00c7a023 sw a2,0(a5) + 8001fe1c: 00078713 mv a4,a5 + 8001fe20: ffc78793 addi a5,a5,-4 + 8001fe24: fee69ae3 bne a3,a4,8001fe18 <_Z9MMC5Syncov+0x110> + 8001fe28: 001ac717 auipc a4,0x1ac + 8001fe2c: 24074703 lbu a4,576(a4) # 801cc068 <_ZL6ATFill> + 8001fe30: 00471613 slli a2,a4,0x4 + 8001fe34: 00271793 slli a5,a4,0x2 + 8001fe38: 00c7e7b3 or a5,a5,a2 + 8001fe3c: 00e7e7b3 or a5,a5,a4 + 8001fe40: 00671713 slli a4,a4,0x6 + 8001fe44: 00e7e7b3 or a5,a5,a4 + 8001fe48: 0ff7f713 andi a4,a5,255 + 8001fe4c: 0087161b slliw a2,a4,0x8 + 8001fe50: 0107151b slliw a0,a4,0x10 + 8001fe54: 0ff7f793 andi a5,a5,255 + 8001fe58: 00a66633 or a2,a2,a0 + 8001fe5c: 00c7e7b3 or a5,a5,a2 + 8001fe60: 0187171b slliw a4,a4,0x18 + 8001fe64: 00e7e7b3 or a5,a5,a4 + 8001fe68: 0007879b sext.w a5,a5 + 8001fe6c: 3fc68693 addi a3,a3,1020 + 8001fe70: 00f6a023 sw a5,0(a3) + 8001fe74: ffc68693 addi a3,a3,-4 + 8001fe78: fed59ce3 bne a1,a3,8001fe70 <_Z9MMC5Syncov+0x168> + 8001fe7c: 01813083 ld ra,24(sp) + 8001fe80: 01013403 ld s0,16(sp) + 8001fe84: 001ac797 auipc a5,0x1ac + 8001fe88: 1e67c783 lbu a5,486(a5) # 801cc06a <_ZL7CHRMode> + 8001fe8c: 0037f793 andi a5,a5,3 + 8001fe90: 001ad717 auipc a4,0x1ad + 8001fe94: 84f70423 sb a5,-1976(a4) # 801cc6d8 + 8001fe98: 00813483 ld s1,8(sp) + 8001fe9c: 02010113 addi sp,sp,32 + 8001fea0: 00008067 ret + 8001fea4: 00d7e7b3 or a5,a5,a3 + 8001fea8: 0ff7f693 andi a3,a5,255 + 8001feac: 0075b023 sd t2,0(a1) + 8001feb0: efdff06f j 8001fdac <_Z9MMC5Syncov+0xa4> + 8001feb4: fff7c793 not a5,a5 + 8001feb8: 00f6f7b3 and a5,a3,a5 + 8001febc: 0095b023 sd s1,0(a1) + 8001fec0: 0ff7f693 andi a3,a5,255 + 8001fec4: ee9ff06f j 8001fdac <_Z9MMC5Syncov+0xa4> + 8001fec8: 00d7e7b3 or a5,a5,a3 + 8001fecc: 0ff7f693 andi a3,a5,255 + 8001fed0: 0015b023 sd ra,0(a1) + 8001fed4: ed9ff06f j 8001fdac <_Z9MMC5Syncov+0xa4> + 8001fed8: ba1fe0ef jal ra,8001ea78 <_ZL8MMC5CHRAv> + 8001fedc: e75fe0ef jal ra,8001ed50 <_ZL8MMC5CHRBv> + 8001fee0: f05ff06f j 8001fde4 <_Z9MMC5Syncov+0xdc> + +000000008001fee4 <_Z17MMC5_StateRestorei>: + 8001fee4: e25ff06f j 8001fd08 <_Z9MMC5Syncov> + +000000008001fee8 <_ZL12GenMMC5Resetv>: + 8001fee8: fe010113 addi sp,sp,-32 + 8001feec: fff00713 li a4,-1 + 8001fef0: 00113c23 sd ra,24(sp) + 8001fef4: 00813823 sd s0,16(sp) + 8001fef8: 00913423 sd s1,8(sp) + 8001fefc: 000a7597 auipc a1,0xa7 + 8001ff00: 6e458593 addi a1,a1,1764 # 800c75e0 <_ZL9CHRBanksA> + 8001ff04: 00e5b023 sd a4,0(a1) + 8001ff08: 00e5b423 sd a4,8(a1) + 8001ff0c: fff00793 li a5,-1 + 8001ff10: 00300693 li a3,3 + 8001ff14: fff00613 li a2,-1 + 8001ff18: 001ac597 auipc a1,0x1ac + 8001ff1c: 16e5b823 sd a4,368(a1) # 801cc088 <_ZL9CHRBanksB> + 8001ff20: 00006437 lui s0,0x6 + 8001ff24: 001ac717 auipc a4,0x1ac + 8001ff28: 16d70d23 sb a3,378(a4) # 801cc09e <_ZL9mmc5vsize> + 8001ff2c: 001ac717 auipc a4,0x1ac + 8001ff30: 16d708a3 sb a3,369(a4) # 801cc09d <_ZL9mmc5psize> + 8001ff34: 001ac717 auipc a4,0x1ac + 8001ff38: 12f70a23 sb a5,308(a4) # 801cc068 <_ZL6ATFill> + 8001ff3c: 001ac717 auipc a4,0x1ac + 8001ff40: 12f706a3 sb a5,301(a4) # 801cc069 <_ZL6NTFill> + 8001ff44: 001ac717 auipc a4,0x1ac + 8001ff48: 0ef70323 sb a5,230(a4) # 801cc02a <_ZL12NTAMirroring> + 8001ff4c: 000044b7 lui s1,0x4 + 8001ff50: 001ac517 auipc a0,0x1ac + 8001ff54: 12c52423 sw a2,296(a0) # 801cc078 <_ZL8PRGBanks> + 8001ff58: 001ac717 auipc a4,0x1ac + 8001ff5c: 0cc71c23 sh a2,216(a4) # 801cc030 <_ZL14WRAMMaskEnable> + 8001ff60: 001ac717 auipc a4,0x1ac + 8001ff64: 10070523 sb zero,266(a4) # 801cc06a <_ZL7CHRMode> + 8001ff68: da1ff0ef jal ra,8001fd08 <_Z9MMC5Syncov> + 8001ff6c: bff40593 addi a1,s0,-1025 # 5bff <_entry_offset+0x5bff> + 8001ff70: 02048513 addi a0,s1,32 # 4020 <_entry_offset+0x4020> + 8001ff74: fffff617 auipc a2,0xfffff + 8001ff78: 09860613 addi a2,a2,152 # 8001f00c <_ZL13Mapper5_writejh> + 8001ff7c: 3ad130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ff80: bff40593 addi a1,s0,-1025 + 8001ff84: 02048513 addi a0,s1,32 + 8001ff88: 00000617 auipc a2,0x0 + 8001ff8c: b8860613 addi a2,a2,-1144 # 8001fb10 <_ZL9MMC5_readj> + 8001ff90: 28d130ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ff94: fff40593 addi a1,s0,-1 + 8001ff98: c0040513 addi a0,s0,-1024 + 8001ff9c: ffffe617 auipc a2,0xffffe + 8001ffa0: d0060613 addi a2,a2,-768 # 8001dc9c <_ZL12MMC5_ExRAMWrjh> + 8001ffa4: 385130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ffa8: fff40593 addi a1,s0,-1 + 8001ffac: c0040513 addi a0,s0,-1024 + 8001ffb0: ffffe617 auipc a2,0xffffe + 8001ffb4: d1460613 addi a2,a2,-748 # 8001dcc4 <_ZL12MMC5_ExRAMRdj> + 8001ffb8: 265130ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ffbc: 000104b7 lui s1,0x10 + 8001ffc0: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8001ffc4: 00000617 auipc a2,0x0 + 8001ffc8: aac60613 addi a2,a2,-1364 # 8001fa70 <_ZL16MMC5_WriteROMRAMjh> + 8001ffcc: 00006537 lui a0,0x6 + 8001ffd0: 359130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8001ffd4: fff48593 addi a1,s1,-1 + 8001ffd8: 00000617 auipc a2,0x0 + 8001ffdc: a4060613 addi a2,a2,-1472 # 8001fa18 <_ZL15MMC5_ReadROMRAMj> + 8001ffe0: 00006537 lui a0,0x6 + 8001ffe4: 000054b7 lui s1,0x5 + 8001ffe8: 235130ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8001ffec: 01548593 addi a1,s1,21 # 5015 <_entry_offset+0x5015> + 8001fff0: ffffe617 auipc a2,0xffffe + 8001fff4: e2c60613 addi a2,a2,-468 # 8001de1c <_ZL10Mapper5_SWjh> + 8001fff8: 00005537 lui a0,0x5 + 8001fffc: 32d130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80020000: 20648593 addi a1,s1,518 + 80020004: 20548513 addi a0,s1,517 + 80020008: fffff617 auipc a2,0xfffff + 8002000c: 00460613 addi a2,a2,4 # 8001f00c <_ZL13Mapper5_writejh> + 80020010: 319130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80020014: 20648593 addi a1,s1,518 + 80020018: 20548513 addi a0,s1,517 + 8002001c: 00000617 auipc a2,0x0 + 80020020: af460613 addi a2,a2,-1292 # 8001fb10 <_ZL9MMC5_readj> + 80020024: 1f9130ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80020028: c0040593 addi a1,s0,-1024 + 8002002c: 01013403 ld s0,16(sp) + 80020030: 01813083 ld ra,24(sp) + 80020034: 00813483 ld s1,8(sp) + 80020038: 001ac617 auipc a2,0x1ac + 8002003c: 02063603 ld a2,32(a2) # 801cc058 <_ZL5ExRAM> + 80020040: 00100513 li a0,1 + 80020044: 02010113 addi sp,sp,32 + 80020048: fcddf06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002004c <_Z7MMC5_hbi>: + 8002004c: 001ac797 auipc a5,0x1ac + 80020050: 6b57c783 lbu a5,1717(a5) # 801cc701 + 80020054: 001ac697 auipc a3,0x1ac + 80020058: 01768693 addi a3,a3,23 # 801cc06b <_ZL8MMC5IRQR> + 8002005c: 0187f713 andi a4,a5,24 + 80020060: 0006c783 lbu a5,0(a3) + 80020064: 02070e63 beqz a4,800200a0 <_Z7MMC5_hbi+0x54> + 80020068: 0ef00713 li a4,239 + 8002006c: 02a74a63 blt a4,a0,800200a0 <_Z7MMC5_hbi+0x54> + 80020070: 0407f713 andi a4,a5,64 + 80020074: 04070263 beqz a4,800200b8 <_Z7MMC5_hbi+0x6c> + 80020078: 001ac617 auipc a2,0x1ac + 8002007c: fba60613 addi a2,a2,-70 # 801cc032 <_ZL15MMC5LineCounter> + 80020080: 00064703 lbu a4,0(a2) + 80020084: 001ac597 auipc a1,0x1ac + 80020088: fa45c583 lbu a1,-92(a1) # 801cc028 <_ZL11IRQScanline> + 8002008c: 0017071b addiw a4,a4,1 + 80020090: 0ff77713 andi a4,a4,255 + 80020094: 00e60023 sb a4,0(a2) + 80020098: 02e58e63 beq a1,a4,800200d4 <_Z7MMC5_hbi+0x88> + 8002009c: 00008067 ret + 800200a0: 03f7f793 andi a5,a5,63 + 800200a4: 00f68023 sb a5,0(a3) + 800200a8: 00100513 li a0,1 + 800200ac: 001ac797 auipc a5,0x1ac + 800200b0: f8078323 sb zero,-122(a5) # 801cc032 <_ZL15MMC5LineCounter> + 800200b4: c9de006f j 80000d50 <_Z12X6502_IRQEndi> + 800200b8: 07f7f793 andi a5,a5,127 + 800200bc: 0407e793 ori a5,a5,64 + 800200c0: 00f68023 sb a5,0(a3) + 800200c4: 00100513 li a0,1 + 800200c8: 001ac797 auipc a5,0x1ac + 800200cc: f6078523 sb zero,-150(a5) # 801cc032 <_ZL15MMC5LineCounter> + 800200d0: c81e006f j 80000d50 <_Z12X6502_IRQEndi> + 800200d4: f807e793 ori a5,a5,-128 + 800200d8: 00f68023 sb a5,0(a3) + 800200dc: 001ac797 auipc a5,0x1ac + 800200e0: fb478783 lb a5,-76(a5) # 801cc090 <_ZL9IRQEnable> + 800200e4: fa07dce3 bgez a5,8002009c <_Z7MMC5_hbi+0x50> + 800200e8: 00100513 li a0,1 + 800200ec: c4de006f j 80000d38 <_Z14X6502_IRQBegini> + +00000000800200f0 <_Z12Mapper5_InitP8CartInfo>: + 800200f0: 05052783 lw a5,80(a0) # 5050 <_entry_offset+0x5050> + 800200f4: ff010113 addi sp,sp,-16 + 800200f8: 00813023 sd s0,0(sp) + 800200fc: 00113423 sd ra,8(sp) + 80020100: 00050413 mv s0,a0 + 80020104: 04078063 beqz a5,80020144 <_Z12Mapper5_InitP8CartInfo+0x54> + 80020108: 05852783 lw a5,88(a0) + 8002010c: 05c52703 lw a4,92(a0) + 80020110: 04c42603 lw a2,76(s0) + 80020114: 00040513 mv a0,s0 + 80020118: 00e7873b addw a4,a5,a4 + 8002011c: 41f7579b sraiw a5,a4,0x1f + 80020120: 0167d79b srliw a5,a5,0x16 + 80020124: 00013403 ld s0,0(sp) + 80020128: 00e787bb addw a5,a5,a4 + 8002012c: 00813083 ld ra,8(sp) + 80020130: 40a7d59b sraiw a1,a5,0xa + 80020134: 001ac717 auipc a4,0x1ac + 80020138: f4b72623 sw a1,-180(a4) # 801cc080 <_ZL8WRAMSIZE> + 8002013c: 01010113 addi sp,sp,16 + 80020140: b9cff06f j 8001f4dc <_ZL12GenMMC5_InitP8CartInfoii> + 80020144: 07852503 lw a0,120(a0) + 80020148: b35ff0ef jal ra,8001fc7c <_Z18DetectMMC5WRAMSizej> + 8002014c: 04c42603 lw a2,76(s0) + 80020150: 001ac797 auipc a5,0x1ac + 80020154: f2a7a823 sw a0,-208(a5) # 801cc080 <_ZL8WRAMSIZE> + 80020158: 00050593 mv a1,a0 + 8002015c: 00040513 mv a0,s0 + 80020160: 00013403 ld s0,0(sp) + 80020164: 00813083 ld ra,8(sp) + 80020168: 01010113 addi sp,sp,16 + 8002016c: b70ff06f j 8001f4dc <_ZL12GenMMC5_InitP8CartInfoii> + +0000000080020170 <_ZL7SyncPRGv>: + 80020170: fe010113 addi sp,sp,-32 + 80020174: 001ac797 auipc a5,0x1ac + 80020178: f2f7c783 lbu a5,-209(a5) # 801cc0a3 <_ZL4mode> + 8002017c: 00113c23 sd ra,24(sp) + 80020180: 00813823 sd s0,16(sp) + 80020184: 00913423 sd s1,8(sp) + 80020188: 0037f793 andi a5,a5,3 + 8002018c: 00100713 li a4,1 + 80020190: 0ae78463 beq a5,a4,80020238 <_ZL7SyncPRGv+0xc8> + 80020194: ffe7879b addiw a5,a5,-2 + 80020198: 0ff7f793 andi a5,a5,255 + 8002019c: 04f76a63 bltu a4,a5,800201f0 <_ZL7SyncPRGv+0x80> + 800201a0: 001ac797 auipc a5,0x1ac + 800201a4: f1878793 addi a5,a5,-232 # 801cc0b8 <_ZL9mmc1_regs> + 800201a8: 0007c703 lbu a4,0(a5) + 800201ac: 0037c583 lbu a1,3(a5) + 800201b0: 00877793 andi a5,a4,8 + 800201b4: 00f5f593 andi a1,a1,15 + 800201b8: 12078463 beqz a5,800202e0 <_ZL7SyncPRGv+0x170> + 800201bc: 00477713 andi a4,a4,4 + 800201c0: 0005841b sext.w s0,a1 + 800201c4: 0e071a63 bnez a4,800202b8 <_ZL7SyncPRGv+0x148> + 800201c8: 00008537 lui a0,0x8 + 800201cc: 00000593 li a1,0 + 800201d0: a89f00ef jal ra,80010c58 <_Z8setprg16jj> + 800201d4: 00040593 mv a1,s0 + 800201d8: 01013403 ld s0,16(sp) + 800201dc: 01813083 ld ra,24(sp) + 800201e0: 00813483 ld s1,8(sp) + 800201e4: 0000c537 lui a0,0xc + 800201e8: 02010113 addi sp,sp,32 + 800201ec: a6df006f j 80010c58 <_Z8setprg16jj> + 800201f0: 001ac417 auipc s0,0x1ac + 800201f4: ec040413 addi s0,s0,-320 # 801cc0b0 <_ZL8vrc2_prg> + 800201f8: 00044583 lbu a1,0(s0) + 800201fc: 00008537 lui a0,0x8 + 80020200: 89df00ef jal ra,80010a9c <_Z7setprg8jj> + 80020204: 00144583 lbu a1,1(s0) + 80020208: 0000a537 lui a0,0xa + 8002020c: 891f00ef jal ra,80010a9c <_Z7setprg8jj> + 80020210: ffe00593 li a1,-2 + 80020214: 0000c537 lui a0,0xc + 80020218: 885f00ef jal ra,80010a9c <_Z7setprg8jj> + 8002021c: 01013403 ld s0,16(sp) + 80020220: 01813083 ld ra,24(sp) + 80020224: 00813483 ld s1,8(sp) + 80020228: fff00593 li a1,-1 + 8002022c: 0000e537 lui a0,0xe + 80020230: 02010113 addi sp,sp,32 + 80020234: 869f006f j 80010a9c <_Z7setprg8jj> + 80020238: 001ac797 auipc a5,0x1ac + 8002023c: e847c783 lbu a5,-380(a5) # 801cc0bc <_ZL9mmc3_ctrl> + 80020240: 4057d79b sraiw a5,a5,0x5 + 80020244: 0027f793 andi a5,a5,2 + 80020248: 0067871b addiw a4,a5,6 + 8002024c: 02071713 slli a4,a4,0x20 + 80020250: 000a7497 auipc s1,0xa7 + 80020254: 3c848493 addi s1,s1,968 # 800c7618 <_ZL9mmc3_regs> + 80020258: 02075713 srli a4,a4,0x20 + 8002025c: 00e48733 add a4,s1,a4 + 80020260: 00074583 lbu a1,0(a4) + 80020264: 00008537 lui a0,0x8 + 80020268: 0007841b sext.w s0,a5 + 8002026c: 831f00ef jal ra,80010a9c <_Z7setprg8jj> + 80020270: 0074c583 lbu a1,7(s1) + 80020274: 0000a537 lui a0,0xa + 80020278: 825f00ef jal ra,80010a9c <_Z7setprg8jj> + 8002027c: 00244793 xori a5,s0,2 + 80020280: 0067879b addiw a5,a5,6 + 80020284: 02079793 slli a5,a5,0x20 + 80020288: 0207d793 srli a5,a5,0x20 + 8002028c: 00f487b3 add a5,s1,a5 + 80020290: 0007c583 lbu a1,0(a5) + 80020294: 0000c537 lui a0,0xc + 80020298: 805f00ef jal ra,80010a9c <_Z7setprg8jj> + 8002029c: 01013403 ld s0,16(sp) + 800202a0: 0094c583 lbu a1,9(s1) + 800202a4: 01813083 ld ra,24(sp) + 800202a8: 00813483 ld s1,8(sp) + 800202ac: 0000e537 lui a0,0xe + 800202b0: 02010113 addi sp,sp,32 + 800202b4: fe8f006f j 80010a9c <_Z7setprg8jj> + 800202b8: 00040593 mv a1,s0 + 800202bc: 00008537 lui a0,0x8 + 800202c0: 999f00ef jal ra,80010c58 <_Z8setprg16jj> + 800202c4: 01013403 ld s0,16(sp) + 800202c8: 01813083 ld ra,24(sp) + 800202cc: 00813483 ld s1,8(sp) + 800202d0: 00f00593 li a1,15 + 800202d4: 0000c537 lui a0,0xc + 800202d8: 02010113 addi sp,sp,32 + 800202dc: 97df006f j 80010c58 <_Z8setprg16jj> + 800202e0: 01013403 ld s0,16(sp) + 800202e4: 01813083 ld ra,24(sp) + 800202e8: 00813483 ld s1,8(sp) + 800202ec: 0015d593 srli a1,a1,0x1 + 800202f0: 00008537 lui a0,0x8 + 800202f4: 02010113 addi sp,sp,32 + 800202f8: b1df006f j 80010e14 <_Z8setprg32jj> + +00000000800202fc <_ZL7SyncCHRv>: + 800202fc: fd010113 addi sp,sp,-48 + 80020300: 001ac797 auipc a5,0x1ac + 80020304: da37c783 lbu a5,-605(a5) # 801cc0a3 <_ZL4mode> + 80020308: 02813023 sd s0,32(sp) + 8002030c: 0067941b slliw s0,a5,0x6 + 80020310: 10047413 andi s0,s0,256 + 80020314: 02113423 sd ra,40(sp) + 80020318: 00913c23 sd s1,24(sp) + 8002031c: 01213823 sd s2,16(sp) + 80020320: 01313423 sd s3,8(sp) + 80020324: 01413023 sd s4,0(sp) + 80020328: 0037f793 andi a5,a5,3 + 8002032c: 00100713 li a4,1 + 80020330: 0004041b sext.w s0,s0 + 80020334: 04e78c63 beq a5,a4,8002038c <_ZL7SyncCHRv+0x90> + 80020338: ffe7879b addiw a5,a5,-2 + 8002033c: 0ff7f793 andi a5,a5,255 + 80020340: 14f76063 bltu a4,a5,80020480 <_ZL7SyncCHRv+0x184> + 80020344: 001ac417 auipc s0,0x1ac + 80020348: d7440413 addi s0,s0,-652 # 801cc0b8 <_ZL9mmc1_regs> + 8002034c: 00044783 lbu a5,0(s0) + 80020350: 00144583 lbu a1,1(s0) + 80020354: 0107f793 andi a5,a5,16 + 80020358: 1a078a63 beqz a5,8002050c <_ZL7SyncCHRv+0x210> + 8002035c: 00000513 li a0,0 + 80020360: b85f00ef jal ra,80010ee4 <_Z7setchr4jj> + 80020364: 00244583 lbu a1,2(s0) + 80020368: 02013403 ld s0,32(sp) + 8002036c: 02813083 ld ra,40(sp) + 80020370: 01813483 ld s1,24(sp) + 80020374: 01013903 ld s2,16(sp) + 80020378: 00813983 ld s3,8(sp) + 8002037c: 00013a03 ld s4,0(sp) + 80020380: 00001537 lui a0,0x1 + 80020384: 03010113 addi sp,sp,48 + 80020388: b5df006f j 80010ee4 <_Z7setchr4jj> + 8002038c: 000a7997 auipc s3,0xa7 + 80020390: 28c98993 addi s3,s3,652 # 800c7618 <_ZL9mmc3_regs> + 80020394: 0009c583 lbu a1,0(s3) + 80020398: 001ac497 auipc s1,0x1ac + 8002039c: d244c483 lbu s1,-732(s1) # 801cc0bc <_ZL9mmc3_ctrl> + 800203a0: 00001a37 lui s4,0x1 + 800203a4: 0054949b slliw s1,s1,0x5 + 800203a8: 0144f4b3 and s1,s1,s4 + 800203ac: 0004849b sext.w s1,s1 + 800203b0: 0fe5f593 andi a1,a1,254 + 800203b4: 0085e5b3 or a1,a1,s0 + 800203b8: 00048513 mv a0,s1 + 800203bc: ae9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800203c0: 0009c583 lbu a1,0(s3) + 800203c4: 4004e513 ori a0,s1,1024 + 800203c8: 00001937 lui s2,0x1 + 800203cc: 0015e593 ori a1,a1,1 + 800203d0: 00b465b3 or a1,s0,a1 + 800203d4: 0005859b sext.w a1,a1 + 800203d8: acdf00ef jal ra,80010ea4 <_Z7setchr1jj> + 800203dc: 0019c583 lbu a1,1(s3) + 800203e0: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 800203e4: 00a4c533 xor a0,s1,a0 + 800203e8: 0fe5f593 andi a1,a1,254 + 800203ec: 0085e5b3 or a1,a1,s0 + 800203f0: ab5f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800203f4: 0019c583 lbu a1,1(s3) + 800203f8: c0090513 addi a0,s2,-1024 + 800203fc: 00a4c533 xor a0,s1,a0 + 80020400: 0015e593 ori a1,a1,1 + 80020404: 00b465b3 or a1,s0,a1 + 80020408: 0005859b sext.w a1,a1 + 8002040c: a99f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020410: 0029c583 lbu a1,2(s3) + 80020414: 0144c533 xor a0,s1,s4 + 80020418: 0005051b sext.w a0,a0 + 8002041c: 00b465b3 or a1,s0,a1 + 80020420: a85f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020424: 0039c583 lbu a1,3(s3) + 80020428: 40090513 addi a0,s2,1024 + 8002042c: 00a4c533 xor a0,s1,a0 + 80020430: 00b465b3 or a1,s0,a1 + 80020434: a71f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020438: 0049c583 lbu a1,4(s3) + 8002043c: 00002937 lui s2,0x2 + 80020440: 80090513 addi a0,s2,-2048 # 1800 <_entry_offset+0x1800> + 80020444: 00b465b3 or a1,s0,a1 + 80020448: 00a4c533 xor a0,s1,a0 + 8002044c: a59f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020450: 0059c583 lbu a1,5(s3) + 80020454: c0090513 addi a0,s2,-1024 + 80020458: 00a4c533 xor a0,s1,a0 + 8002045c: 00b465b3 or a1,s0,a1 + 80020460: 02013403 ld s0,32(sp) + 80020464: 02813083 ld ra,40(sp) + 80020468: 01813483 ld s1,24(sp) + 8002046c: 01013903 ld s2,16(sp) + 80020470: 00813983 ld s3,8(sp) + 80020474: 00013a03 ld s4,0(sp) + 80020478: 03010113 addi sp,sp,48 + 8002047c: a29f006f j 80010ea4 <_Z7setchr1jj> + 80020480: 001ac497 auipc s1,0x1ac + 80020484: c2848493 addi s1,s1,-984 # 801cc0a8 <_ZL8vrc2_chr> + 80020488: 0004c583 lbu a1,0(s1) + 8002048c: 00000513 li a0,0 + 80020490: 00001937 lui s2,0x1 + 80020494: 00b465b3 or a1,s0,a1 + 80020498: a0df00ef jal ra,80010ea4 <_Z7setchr1jj> + 8002049c: 0014c583 lbu a1,1(s1) + 800204a0: 40000513 li a0,1024 + 800204a4: 000029b7 lui s3,0x2 + 800204a8: 00b465b3 or a1,s0,a1 + 800204ac: 9f9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800204b0: 0024c583 lbu a1,2(s1) + 800204b4: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 800204b8: 00b465b3 or a1,s0,a1 + 800204bc: 9e9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800204c0: 0034c583 lbu a1,3(s1) + 800204c4: c0090513 addi a0,s2,-1024 + 800204c8: 00b465b3 or a1,s0,a1 + 800204cc: 9d9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800204d0: 0044c583 lbu a1,4(s1) + 800204d4: 00001537 lui a0,0x1 + 800204d8: 00b465b3 or a1,s0,a1 + 800204dc: 9c9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800204e0: 0054c583 lbu a1,5(s1) + 800204e4: 40090513 addi a0,s2,1024 + 800204e8: 00b465b3 or a1,s0,a1 + 800204ec: 9b9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 800204f0: 0064c583 lbu a1,6(s1) + 800204f4: 80098513 addi a0,s3,-2048 # 1800 <_entry_offset+0x1800> + 800204f8: 00b465b3 or a1,s0,a1 + 800204fc: 9a9f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020500: 0074c583 lbu a1,7(s1) + 80020504: c0098513 addi a0,s3,-1024 + 80020508: f55ff06f j 8002045c <_ZL7SyncCHRv+0x160> + 8002050c: 02013403 ld s0,32(sp) + 80020510: 02813083 ld ra,40(sp) + 80020514: 01813483 ld s1,24(sp) + 80020518: 01013903 ld s2,16(sp) + 8002051c: 00813983 ld s3,8(sp) + 80020520: 00013a03 ld s4,0(sp) + 80020524: 0015d513 srli a0,a1,0x1 + 80020528: 03010113 addi sp,sp,48 + 8002052c: 9d9f006f j 80010f04 <_Z7setchr8j> + +0000000080020530 <_ZL7SyncMIRv>: + 80020530: 001ac797 auipc a5,0x1ac + 80020534: b737c783 lbu a5,-1165(a5) # 801cc0a3 <_ZL4mode> + 80020538: 0037f793 andi a5,a5,3 + 8002053c: 00100713 li a4,1 + 80020540: 02e78c63 beq a5,a4,80020578 <_ZL7SyncMIRv+0x48> + 80020544: ffe7879b addiw a5,a5,-2 + 80020548: 0ff7f793 andi a5,a5,255 + 8002054c: 04f76063 bltu a4,a5,8002058c <_ZL7SyncMIRv+0x5c> + 80020550: 001ac797 auipc a5,0x1ac + 80020554: b687c783 lbu a5,-1176(a5) # 801cc0b8 <_ZL9mmc1_regs> + 80020558: 0037f793 andi a5,a5,3 + 8002055c: 00200693 li a3,2 + 80020560: 04d78863 beq a5,a3,800205b0 <_ZL7SyncMIRv+0x80> + 80020564: 00300693 li a3,3 + 80020568: 02d78c63 beq a5,a3,800205a0 <_ZL7SyncMIRv+0x70> + 8002056c: 02e78e63 beq a5,a4,800205a8 <_ZL7SyncMIRv+0x78> + 80020570: 00200513 li a0,2 + 80020574: ad5f006f j 80011048 <_Z9setmirrori> + 80020578: 001ac517 auipc a0,0x1ac + 8002057c: b4554503 lbu a0,-1211(a0) # 801cc0bd <_ZL9mmc3_mirr> + 80020580: fff54513 not a0,a0 + 80020584: 00157513 andi a0,a0,1 + 80020588: ac1f006f j 80011048 <_Z9setmirrori> + 8002058c: 001ac517 auipc a0,0x1ac + 80020590: b3254503 lbu a0,-1230(a0) # 801cc0be <_ZL9vrc2_mirr> + 80020594: fff54513 not a0,a0 + 80020598: 00157513 andi a0,a0,1 + 8002059c: aadf006f j 80011048 <_Z9setmirrori> + 800205a0: 00000513 li a0,0 + 800205a4: aa5f006f j 80011048 <_Z9setmirrori> + 800205a8: 00300513 li a0,3 + 800205ac: a9df006f j 80011048 <_Z9setmirrori> + 800205b0: 00100513 li a0,1 + 800205b4: a95f006f j 80011048 <_Z9setmirrori> + +00000000800205b8 <_ZL12StateRestorei>: + 800205b8: ff010113 addi sp,sp,-16 + 800205bc: 00113423 sd ra,8(sp) + 800205c0: bb1ff0ef jal ra,80020170 <_ZL7SyncPRGv> + 800205c4: d39ff0ef jal ra,800202fc <_ZL7SyncCHRv> + 800205c8: 00813083 ld ra,8(sp) + 800205cc: 01010113 addi sp,sp,16 + 800205d0: f61ff06f j 80020530 <_ZL7SyncMIRv> + +00000000800205d4 <_ZL12UNLSL12Powerv>: + 800205d4: 001ac717 auipc a4,0x1ac + 800205d8: ac0707a3 sb zero,-1329(a4) # 801cc0a3 <_ZL4mode> + 800205dc: 00026717 auipc a4,0x26 + 800205e0: 3b473703 ld a4,948(a4) # 80046990 + 800205e4: 001ac697 auipc a3,0x1ac + 800205e8: ace6b223 sd a4,-1340(a3) # 801cc0a8 <_ZL8vrc2_chr> + 800205ec: 10000713 li a4,256 + 800205f0: ff010113 addi sp,sp,-16 + 800205f4: 001ac697 auipc a3,0x1ac + 800205f8: aae69e23 sh a4,-1348(a3) # 801cc0b0 <_ZL8vrc2_prg> + 800205fc: 001ac717 auipc a4,0x1ac + 80020600: ac070123 sb zero,-1342(a4) # 801cc0be <_ZL9vrc2_mirr> + 80020604: 00113423 sd ra,8(sp) + 80020608: 00813023 sd s0,0(sp) + 8002060c: 000a7797 auipc a5,0xa7 + 80020610: 00c78793 addi a5,a5,12 # 800c7618 <_ZL9mmc3_regs> + 80020614: 00026717 auipc a4,0x26 + 80020618: 38473703 ld a4,900(a4) # 80046998 + 8002061c: 00e7b023 sd a4,0(a5) + 80020620: ffe00713 li a4,-2 + 80020624: 00e79423 sh a4,8(a5) + 80020628: 001ac797 auipc a5,0x1ac + 8002062c: a6078d23 sb zero,-1414(a5) # 801cc0a2 <_ZL4IRQa> + 80020630: 001ac797 auipc a5,0x1ac + 80020634: a6078aa3 sb zero,-1419(a5) # 801cc0a5 <_ZL8IRQLatch> + 80020638: 001ac797 auipc a5,0x1ac + 8002063c: a6078623 sb zero,-1428(a5) # 801cc0a4 <_ZL8IRQCount> + 80020640: 001ac797 auipc a5,0x1ac + 80020644: a6078ea3 sb zero,-1411(a5) # 801cc0bd <_ZL9mmc3_mirr> + 80020648: 001ac797 auipc a5,0x1ac + 8002064c: a6078a23 sb zero,-1420(a5) # 801cc0bc <_ZL9mmc3_ctrl> + 80020650: 00c00793 li a5,12 + 80020654: 001ac717 auipc a4,0x1ac + 80020658: a6f72223 sw a5,-1436(a4) # 801cc0b8 <_ZL9mmc1_regs> + 8002065c: 00010437 lui s0,0x10 + 80020660: 001ac797 auipc a5,0x1ac + 80020664: a40780a3 sb zero,-1471(a5) # 801cc0a1 <_ZL11mmc1_buffer> + 80020668: 001ac797 auipc a5,0x1ac + 8002066c: a2078c23 sb zero,-1480(a5) # 801cc0a0 <_ZL10mmc1_shift> + 80020670: b01ff0ef jal ra,80020170 <_ZL7SyncPRGv> + 80020674: c89ff0ef jal ra,800202fc <_ZL7SyncCHRv> + 80020678: eb9ff0ef jal ra,80020530 <_ZL7SyncMIRv> + 8002067c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80020680: ffff0617 auipc a2,0xffff0 + 80020684: fe060613 addi a2,a2,-32 # 80010660 <_Z6CartBRj> + 80020688: 00008537 lui a0,0x8 + 8002068c: 390130ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80020690: 000085b7 lui a1,0x8 + 80020694: 00004537 lui a0,0x4 + 80020698: 00000617 auipc a2,0x0 + 8002069c: 30060613 addi a2,a2,768 # 80020998 <_ZL16UNLSL12ModeWritejh> + 800206a0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800206a4: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 800206a8: 480130ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800206ac: fff40593 addi a1,s0,-1 + 800206b0: 00013403 ld s0,0(sp) + 800206b4: 00813083 ld ra,8(sp) + 800206b8: 00000617 auipc a2,0x0 + 800206bc: 01460613 addi a2,a2,20 # 800206cc <_ZL12UNLSL12Writejh> + 800206c0: 00008537 lui a0,0x8 + 800206c4: 01010113 addi sp,sp,16 + 800206c8: 4601306f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800206cc <_ZL12UNLSL12Writejh>: + 800206cc: fe010113 addi sp,sp,-32 + 800206d0: 001ac797 auipc a5,0x1ac + 800206d4: 9d37c783 lbu a5,-1581(a5) # 801cc0a3 <_ZL4mode> + 800206d8: 00113c23 sd ra,24(sp) + 800206dc: 00813823 sd s0,16(sp) + 800206e0: 00913423 sd s1,8(sp) + 800206e4: 0037f793 andi a5,a5,3 + 800206e8: 00100693 li a3,1 + 800206ec: 0cd78063 beq a5,a3,800207ac <_ZL12UNLSL12Writejh+0xe0> + 800206f0: ffe7879b addiw a5,a5,-2 + 800206f4: 0ff7f793 andi a5,a5,255 + 800206f8: 06f6e263 bltu a3,a5,8002075c <_ZL12UNLSL12Writejh+0x90> + 800206fc: 0185979b slliw a5,a1,0x18 + 80020700: 4187d79b sraiw a5,a5,0x18 + 80020704: 2007c463 bltz a5,8002090c <_ZL12UNLSL12Writejh+0x240> + 80020708: 001ac617 auipc a2,0x1ac + 8002070c: 99860613 addi a2,a2,-1640 # 801cc0a0 <_ZL10mmc1_shift> + 80020710: 00064703 lbu a4,0(a2) + 80020714: 001ac697 auipc a3,0x1ac + 80020718: 98d68693 addi a3,a3,-1651 # 801cc0a1 <_ZL11mmc1_buffer> + 8002071c: 0006c803 lbu a6,0(a3) + 80020720: 0015f793 andi a5,a1,1 + 80020724: 00e797bb sllw a5,a5,a4 + 80020728: 0107e7b3 or a5,a5,a6 + 8002072c: 0017071b addiw a4,a4,1 + 80020730: 0ff77713 andi a4,a4,255 + 80020734: 0ff7f793 andi a5,a5,255 + 80020738: 00f68023 sb a5,0(a3) + 8002073c: 00e60023 sb a4,0(a2) + 80020740: 00500693 li a3,5 + 80020744: 0ed70c63 beq a4,a3,8002083c <_ZL12UNLSL12Writejh+0x170> + 80020748: 01813083 ld ra,24(sp) + 8002074c: 01013403 ld s0,16(sp) + 80020750: 00813483 ld s1,8(sp) + 80020754: 02010113 addi sp,sp,32 + 80020758: 00008067 ret + 8002075c: ffff5737 lui a4,0xffff5 + 80020760: 000037b7 lui a5,0x3 + 80020764: 00a7073b addw a4,a4,a0 + 80020768: 00378793 addi a5,a5,3 # 3003 <_entry_offset+0x3003> + 8002076c: 14e7f063 bgeu a5,a4,800208ac <_ZL12UNLSL12Writejh+0x1e0> + 80020770: 0000f737 lui a4,0xf + 80020774: 00e57733 and a4,a0,a4 + 80020778: 000097b7 lui a5,0x9 + 8002077c: 10f70a63 beq a4,a5,80020890 <_ZL12UNLSL12Writejh+0x1c4> + 80020780: 0000a7b7 lui a5,0xa + 80020784: 10f70063 beq a4,a5,80020884 <_ZL12UNLSL12Writejh+0x1b8> + 80020788: 000087b7 lui a5,0x8 + 8002078c: faf71ee3 bne a4,a5,80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020790: 001ac797 auipc a5,0x1ac + 80020794: 92b78023 sb a1,-1760(a5) # 801cc0b0 <_ZL8vrc2_prg> + 80020798: 01013403 ld s0,16(sp) + 8002079c: 01813083 ld ra,24(sp) + 800207a0: 00813483 ld s1,8(sp) + 800207a4: 02010113 addi sp,sp,32 + 800207a8: 9c9ff06f j 80020170 <_ZL7SyncPRGv> + 800207ac: 0000e837 lui a6,0xe + 800207b0: 00180613 addi a2,a6,1 # e001 <_entry_offset+0xe001> + 800207b4: 00c57733 and a4,a0,a2 + 800207b8: 0000c6b7 lui a3,0xc + 800207bc: 18d70a63 beq a4,a3,80020950 <_ZL12UNLSL12Writejh+0x284> + 800207c0: 02e6e263 bltu a3,a4,800207e4 <_ZL12UNLSL12Writejh+0x118> + 800207c4: 000087b7 lui a5,0x8 + 800207c8: 00178693 addi a3,a5,1 # 8001 <_entry_offset+0x8001> + 800207cc: 1ad70263 beq a4,a3,80020970 <_ZL12UNLSL12Writejh+0x2a4> + 800207d0: 0000a6b7 lui a3,0xa + 800207d4: 02d71c63 bne a4,a3,8002080c <_ZL12UNLSL12Writejh+0x140> + 800207d8: 001ac797 auipc a5,0x1ac + 800207dc: 8eb782a3 sb a1,-1819(a5) # 801cc0bd <_ZL9mmc3_mirr> + 800207e0: 0b80006f j 80020898 <_ZL12UNLSL12Writejh+0x1cc> + 800207e4: 17070c63 beq a4,a6,8002095c <_ZL12UNLSL12Writejh+0x290> + 800207e8: 00c71863 bne a4,a2,800207f8 <_ZL12UNLSL12Writejh+0x12c> + 800207ec: 001ac717 auipc a4,0x1ac + 800207f0: 8af70b23 sb a5,-1866(a4) # 801cc0a2 <_ZL4IRQa> + 800207f4: f55ff06f j 80020748 <_ZL12UNLSL12Writejh+0x7c> + 800207f8: 00168693 addi a3,a3,1 # a001 <_entry_offset+0xa001> + 800207fc: f4d716e3 bne a4,a3,80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020800: 001ac717 auipc a4,0x1ac + 80020804: 8af70923 sb a5,-1870(a4) # 801cc0b2 <_ZL9IRQReload> + 80020808: f41ff06f j 80020748 <_ZL12UNLSL12Writejh+0x7c> + 8002080c: f2f71ee3 bne a4,a5,80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020810: 001ac417 auipc s0,0x1ac + 80020814: 8ac40413 addi s0,s0,-1876 # 801cc0bc <_ZL9mmc3_ctrl> + 80020818: 00044483 lbu s1,0(s0) + 8002081c: 00b40023 sb a1,0(s0) + 80020820: 0095c5b3 xor a1,a1,s1 + 80020824: 0405f793 andi a5,a1,64 + 80020828: 10079c63 bnez a5,80020940 <_ZL12UNLSL12Writejh+0x274> + 8002082c: 0185959b slliw a1,a1,0x18 + 80020830: 4185d59b sraiw a1,a1,0x18 + 80020834: f005dae3 bgez a1,80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020838: 0c00006f j 800208f8 <_ZL12UNLSL12Writejh+0x22c> + 8002083c: 00d5571b srliw a4,a0,0xd + 80020840: ffc7071b addiw a4,a4,-4 + 80020844: 0ff77613 andi a2,a4,255 + 80020848: 001ac697 auipc a3,0x1ac + 8002084c: 87068693 addi a3,a3,-1936 # 801cc0b8 <_ZL9mmc1_regs> + 80020850: 00c686b3 add a3,a3,a2 + 80020854: 00f68023 sb a5,0(a3) + 80020858: 001ac797 auipc a5,0x1ac + 8002085c: 84078423 sb zero,-1976(a5) # 801cc0a0 <_ZL10mmc1_shift> + 80020860: 001ac797 auipc a5,0x1ac + 80020864: 840780a3 sb zero,-1983(a5) # 801cc0a1 <_ZL11mmc1_buffer> + 80020868: 00200793 li a5,2 + 8002086c: 00f60863 beq a2,a5,8002087c <_ZL12UNLSL12Writejh+0x1b0> + 80020870: 0cc7e263 bltu a5,a2,80020934 <_ZL12UNLSL12Writejh+0x268> + 80020874: f20612e3 bnez a2,80020798 <_ZL12UNLSL12Writejh+0xcc> + 80020878: cb9ff0ef jal ra,80020530 <_ZL7SyncMIRv> + 8002087c: a81ff0ef jal ra,800202fc <_ZL7SyncCHRv> + 80020880: f19ff06f j 80020798 <_ZL12UNLSL12Writejh+0xcc> + 80020884: 001ac797 auipc a5,0x1ac + 80020888: 82b786a3 sb a1,-2003(a5) # 801cc0b1 <_ZL8vrc2_prg+0x1> + 8002088c: f0dff06f j 80020798 <_ZL12UNLSL12Writejh+0xcc> + 80020890: 001ac797 auipc a5,0x1ac + 80020894: 82b78723 sb a1,-2002(a5) # 801cc0be <_ZL9vrc2_mirr> + 80020898: 01013403 ld s0,16(sp) + 8002089c: 01813083 ld ra,24(sp) + 800208a0: 00813483 ld s1,8(sp) + 800208a4: 02010113 addi sp,sp,32 + 800208a8: c89ff06f j 80020530 <_ZL7SyncMIRv> + 800208ac: 00a5571b srliw a4,a0,0xa + 800208b0: 00257793 andi a5,a0,2 + 800208b4: 00e7e7b3 or a5,a5,a4 + 800208b8: 0017d79b srliw a5,a5,0x1 + 800208bc: 0027879b addiw a5,a5,2 + 800208c0: 001ab717 auipc a4,0x1ab + 800208c4: 7e870713 addi a4,a4,2024 # 801cc0a8 <_ZL8vrc2_chr> + 800208c8: 0077f793 andi a5,a5,7 + 800208cc: 00f707b3 add a5,a4,a5 + 800208d0: 0007c683 lbu a3,0(a5) + 800208d4: 0025171b slliw a4,a0,0x2 + 800208d8: 00477713 andi a4,a4,4 + 800208dc: 0f000613 li a2,240 + 800208e0: 40e6563b sraw a2,a2,a4 + 800208e4: 00f5f593 andi a1,a1,15 + 800208e8: 00c6f6b3 and a3,a3,a2 + 800208ec: 00e595bb sllw a1,a1,a4 + 800208f0: 00b6e5b3 or a1,a3,a1 + 800208f4: 00b78023 sb a1,0(a5) + 800208f8: 01013403 ld s0,16(sp) + 800208fc: 01813083 ld ra,24(sp) + 80020900: 00813483 ld s1,8(sp) + 80020904: 02010113 addi sp,sp,32 + 80020908: 9f5ff06f j 800202fc <_ZL7SyncCHRv> + 8002090c: 001ab717 auipc a4,0x1ab + 80020910: 7ac70713 addi a4,a4,1964 # 801cc0b8 <_ZL9mmc1_regs> + 80020914: 00074783 lbu a5,0(a4) + 80020918: 001ab697 auipc a3,0x1ab + 8002091c: 78068423 sb zero,1928(a3) # 801cc0a0 <_ZL10mmc1_shift> + 80020920: 001ab697 auipc a3,0x1ab + 80020924: 780680a3 sb zero,1921(a3) # 801cc0a1 <_ZL11mmc1_buffer> + 80020928: 00c7e793 ori a5,a5,12 + 8002092c: 00f70023 sb a5,0(a4) + 80020930: e69ff06f j 80020798 <_ZL12UNLSL12Writejh+0xcc> + 80020934: 00300793 li a5,3 + 80020938: e6f600e3 beq a2,a5,80020798 <_ZL12UNLSL12Writejh+0xcc> + 8002093c: e0dff06f j 80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020940: 831ff0ef jal ra,80020170 <_ZL7SyncPRGv> + 80020944: 00044583 lbu a1,0(s0) + 80020948: 00b4c5b3 xor a1,s1,a1 + 8002094c: ee1ff06f j 8002082c <_ZL12UNLSL12Writejh+0x160> + 80020950: 001ab797 auipc a5,0x1ab + 80020954: 74b78aa3 sb a1,1877(a5) # 801cc0a5 <_ZL8IRQLatch> + 80020958: df1ff06f j 80020748 <_ZL12UNLSL12Writejh+0x7c> + 8002095c: 00100513 li a0,1 + 80020960: bf0e00ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80020964: 001ab797 auipc a5,0x1ab + 80020968: 72078f23 sb zero,1854(a5) # 801cc0a2 <_ZL4IRQa> + 8002096c: dddff06f j 80020748 <_ZL12UNLSL12Writejh+0x7c> + 80020970: 001ab797 auipc a5,0x1ab + 80020974: 74c7c783 lbu a5,1868(a5) # 801cc0bc <_ZL9mmc3_ctrl> + 80020978: 0077f693 andi a3,a5,7 + 8002097c: 000a7717 auipc a4,0xa7 + 80020980: c9c70713 addi a4,a4,-868 # 800c7618 <_ZL9mmc3_regs> + 80020984: 00d70733 add a4,a4,a3 + 80020988: 00b70023 sb a1,0(a4) + 8002098c: 00500713 li a4,5 + 80020990: f6d774e3 bgeu a4,a3,800208f8 <_ZL12UNLSL12Writejh+0x22c> + 80020994: e05ff06f j 80020798 <_ZL12UNLSL12Writejh+0xcc> + +0000000080020998 <_ZL16UNLSL12ModeWritejh>: + 80020998: 000047b7 lui a5,0x4 + 8002099c: 10078793 addi a5,a5,256 # 4100 <_entry_offset+0x4100> + 800209a0: 00f57733 and a4,a0,a5 + 800209a4: 00f70463 beq a4,a5,800209ac <_ZL16UNLSL12ModeWritejh+0x14> + 800209a8: 00008067 ret + 800209ac: ff010113 addi sp,sp,-16 + 800209b0: 00113423 sd ra,8(sp) + 800209b4: 001ab797 auipc a5,0x1ab + 800209b8: 6eb787a3 sb a1,1775(a5) # 801cc0a3 <_ZL4mode> + 800209bc: 00157513 andi a0,a0,1 + 800209c0: 02050463 beqz a0,800209e8 <_ZL16UNLSL12ModeWritejh+0x50> + 800209c4: 00c00793 li a5,12 + 800209c8: 001ab717 auipc a4,0x1ab + 800209cc: 6ef70823 sb a5,1776(a4) # 801cc0b8 <_ZL9mmc1_regs> + 800209d0: 001ab797 auipc a5,0x1ab + 800209d4: 6e0785a3 sb zero,1771(a5) # 801cc0bb <_ZL9mmc1_regs+0x3> + 800209d8: 001ab797 auipc a5,0x1ab + 800209dc: 6c0784a3 sb zero,1737(a5) # 801cc0a1 <_ZL11mmc1_buffer> + 800209e0: 001ab797 auipc a5,0x1ab + 800209e4: 6c078023 sb zero,1728(a5) # 801cc0a0 <_ZL10mmc1_shift> + 800209e8: f88ff0ef jal ra,80020170 <_ZL7SyncPRGv> + 800209ec: 911ff0ef jal ra,800202fc <_ZL7SyncCHRv> + 800209f0: 00813083 ld ra,8(sp) + 800209f4: 01010113 addi sp,sp,16 + 800209f8: b39ff06f j 80020530 <_ZL7SyncMIRv> + +00000000800209fc <_ZL12UNLSL12HBIRQv>: + 800209fc: 001ab797 auipc a5,0x1ab + 80020a00: 6a77c783 lbu a5,1703(a5) # 801cc0a3 <_ZL4mode> + 80020a04: 0037f793 andi a5,a5,3 + 80020a08: 00100713 li a4,1 + 80020a0c: 00e78463 beq a5,a4,80020a14 <_ZL12UNLSL12HBIRQv+0x18> + 80020a10: 00008067 ret + 80020a14: 001ab717 auipc a4,0x1ab + 80020a18: 69070713 addi a4,a4,1680 # 801cc0a4 <_ZL8IRQCount> + 80020a1c: 00074783 lbu a5,0(a4) + 80020a20: 02078a63 beqz a5,80020a54 <_ZL12UNLSL12HBIRQv+0x58> + 80020a24: 001ab697 auipc a3,0x1ab + 80020a28: 68e6c683 lbu a3,1678(a3) # 801cc0b2 <_ZL9IRQReload> + 80020a2c: 02069463 bnez a3,80020a54 <_ZL12UNLSL12HBIRQv+0x58> + 80020a30: fff7879b addiw a5,a5,-1 + 80020a34: 0ff7f793 andi a5,a5,255 + 80020a38: 00f70023 sb a5,0(a4) + 80020a3c: fc079ae3 bnez a5,80020a10 <_ZL12UNLSL12HBIRQv+0x14> + 80020a40: 001ab797 auipc a5,0x1ab + 80020a44: 6627c783 lbu a5,1634(a5) # 801cc0a2 <_ZL4IRQa> + 80020a48: fc0784e3 beqz a5,80020a10 <_ZL12UNLSL12HBIRQv+0x14> + 80020a4c: 00100513 li a0,1 + 80020a50: ae8e006f j 80000d38 <_Z14X6502_IRQBegini> + 80020a54: 001ab797 auipc a5,0x1ab + 80020a58: 6517c783 lbu a5,1617(a5) # 801cc0a5 <_ZL8IRQLatch> + 80020a5c: 00f70023 sb a5,0(a4) + 80020a60: 001ab717 auipc a4,0x1ab + 80020a64: 64070923 sb zero,1618(a4) # 801cc0b2 <_ZL9IRQReload> + 80020a68: fa0794e3 bnez a5,80020a10 <_ZL12UNLSL12HBIRQv+0x14> + 80020a6c: fd5ff06f j 80020a40 <_ZL12UNLSL12HBIRQv+0x44> + +0000000080020a70 <_Z12UNLSL12_InitP8CartInfo>: + 80020a70: 00000797 auipc a5,0x0 + 80020a74: b6478793 addi a5,a5,-1180 # 800205d4 <_ZL12UNLSL12Powerv> + 80020a78: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80020a7c: 00000797 auipc a5,0x0 + 80020a80: f8078793 addi a5,a5,-128 # 800209fc <_ZL12UNLSL12HBIRQv> + 80020a84: 001ac717 auipc a4,0x1ac + 80020a88: c2f73e23 sd a5,-964(a4) # 801cc6c0 + 80020a8c: 00000797 auipc a5,0x0 + 80020a90: b2c78793 addi a5,a5,-1236 # 800205b8 <_ZL12StateRestorei> + 80020a94: 00000693 li a3,0 + 80020a98: 00000613 li a2,0 + 80020a9c: fff00593 li a1,-1 + 80020aa0: 0002a517 auipc a0,0x2a + 80020aa4: 6e850513 addi a0,a0,1768 # 8004b188 <_ZL9StateRegs> + 80020aa8: 001ac717 auipc a4,0x1ac + 80020aac: baf73823 sd a5,-1104(a4) # 801cc658 + 80020ab0: d60df06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080020ab4 <_ZL6MWritejh>: + 80020ab4: 001ab797 auipc a5,0x1ab + 80020ab8: 60b78a23 sb a1,1556(a5) # 801cc0c8 <_ZL7datareg> + 80020abc: 001ab317 auipc t1,0x1ab + 80020ac0: 60433303 ld t1,1540(t1) # 801cc0c0 <_ZL4Sync> + 80020ac4: 00030067 jr t1 + +0000000080020ac8 <_ZL8MRestorei>: + 80020ac8: 001ab317 auipc t1,0x1ab + 80020acc: 5f833303 ld t1,1528(t1) # 801cc0c0 <_ZL4Sync> + 80020ad0: 00030067 jr t1 + +0000000080020ad4 <_ZL6MClosev>: + 80020ad4: 001ab517 auipc a0,0x1ab + 80020ad8: 5fc53503 ld a0,1532(a0) # 801cc0d0 <_ZL8DummyCHR> + 80020adc: 02050263 beqz a0,80020b00 <_ZL6MClosev+0x2c> + 80020ae0: ff010113 addi sp,sp,-16 + 80020ae4: 00113423 sd ra,8(sp) + 80020ae8: a59f10ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80020aec: 00813083 ld ra,8(sp) + 80020af0: 001ab797 auipc a5,0x1ab + 80020af4: 5e07b023 sd zero,1504(a5) # 801cc0d0 <_ZL8DummyCHR> + 80020af8: 01010113 addi sp,sp,16 + 80020afc: 00008067 ret + 80020b00: 001ab797 auipc a5,0x1ab + 80020b04: 5c07b823 sd zero,1488(a5) # 801cc0d0 <_ZL8DummyCHR> + 80020b08: 00008067 ret + +0000000080020b0c <_ZL6MPowerv>: + 80020b0c: ff010113 addi sp,sp,-16 + 80020b10: 001ab797 auipc a5,0x1ab + 80020b14: 5a078c23 sb zero,1464(a5) # 801cc0c8 <_ZL7datareg> + 80020b18: 00113423 sd ra,8(sp) + 80020b1c: 00813023 sd s0,0(sp) + 80020b20: 001ab797 auipc a5,0x1ab + 80020b24: 5a07b783 ld a5,1440(a5) # 801cc0c0 <_ZL4Sync> + 80020b28: 000780e7 jalr a5 + 80020b2c: 00000593 li a1,0 + 80020b30: 00008537 lui a0,0x8 + 80020b34: 924f00ef jal ra,80010c58 <_Z8setprg16jj> + 80020b38: fff00593 li a1,-1 + 80020b3c: 0000c537 lui a0,0xc + 80020b40: 918f00ef jal ra,80010c58 <_Z8setprg16jj> + 80020b44: 00010437 lui s0,0x10 + 80020b48: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80020b4c: 00000617 auipc a2,0x0 + 80020b50: f6860613 addi a2,a2,-152 # 80020ab4 <_ZL6MWritejh> + 80020b54: 00008537 lui a0,0x8 + 80020b58: 7d1120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80020b5c: fff40593 addi a1,s0,-1 + 80020b60: 00013403 ld s0,0(sp) + 80020b64: 00813083 ld ra,8(sp) + 80020b68: ffff0617 auipc a2,0xffff0 + 80020b6c: af860613 addi a2,a2,-1288 # 80010660 <_Z6CartBRj> + 80020b70: 00008537 lui a0,0x8 + 80020b74: 01010113 addi sp,sp,16 + 80020b78: 6a51206f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080020b7c <_ZL7Sync181v>: + 80020b7c: 001ab797 auipc a5,0x1ab + 80020b80: 54c7c783 lbu a5,1356(a5) # 801cc0c8 <_ZL7datareg> + 80020b84: 0017f793 andi a5,a5,1 + 80020b88: 00079663 bnez a5,80020b94 <_ZL7Sync181v+0x18> + 80020b8c: 00000513 li a0,0 + 80020b90: b74f006f j 80010f04 <_Z7setchr8j> + 80020b94: 00000593 li a1,0 + 80020b98: 01000513 li a0,16 + 80020b9c: ae8f006f j 80010e84 <_Z8setchr8rij> + +0000000080020ba0 <_ZL7Sync185v>: + 80020ba0: 001ab797 auipc a5,0x1ab + 80020ba4: 5287c783 lbu a5,1320(a5) # 801cc0c8 <_ZL7datareg> + 80020ba8: 0037f713 andi a4,a5,3 + 80020bac: 00070663 beqz a4,80020bb8 <_ZL7Sync185v+0x18> + 80020bb0: 01300713 li a4,19 + 80020bb4: 00e79863 bne a5,a4,80020bc4 <_ZL7Sync185v+0x24> + 80020bb8: 00000593 li a1,0 + 80020bbc: 01000513 li a0,16 + 80020bc0: ac4f006f j 80010e84 <_Z8setchr8rij> + 80020bc4: 00000513 li a0,0 + 80020bc8: b3cf006f j 80010f04 <_Z7setchr8j> + +0000000080020bcc <_Z14Mapper185_InitP8CartInfo>: + 80020bcc: ff010113 addi sp,sp,-16 + 80020bd0: 00113423 sd ra,8(sp) + 80020bd4: 00000797 auipc a5,0x0 + 80020bd8: f3878793 addi a5,a5,-200 # 80020b0c <_ZL6MPowerv> + 80020bdc: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80020be0: 00000797 auipc a5,0x0 + 80020be4: ef478793 addi a5,a5,-268 # 80020ad4 <_ZL6MClosev> + 80020be8: 00f53823 sd a5,16(a0) + 80020bec: 00000797 auipc a5,0x0 + 80020bf0: fb478793 addi a5,a5,-76 # 80020ba0 <_ZL7Sync185v> + 80020bf4: 001ab717 auipc a4,0x1ab + 80020bf8: 4cf73623 sd a5,1228(a4) # 801cc0c0 <_ZL4Sync> + 80020bfc: 00002537 lui a0,0x2 + 80020c00: 00000797 auipc a5,0x0 + 80020c04: ec878793 addi a5,a5,-312 # 80020ac8 <_ZL8MRestorei> + 80020c08: 001ac717 auipc a4,0x1ac + 80020c0c: a4f73823 sd a5,-1456(a4) # 801cc658 + 80020c10: 85df10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80020c14: 001ab797 auipc a5,0x1ab + 80020c18: 4aa7be23 sd a0,1212(a5) # 801cc0d0 <_ZL8DummyCHR> + 80020c1c: 00002737 lui a4,0x2 + 80020c20: 00050593 mv a1,a0 + 80020c24: 00050793 mv a5,a0 + 80020c28: 00e50733 add a4,a0,a4 + 80020c2c: fff00693 li a3,-1 + 80020c30: 00d78023 sb a3,0(a5) + 80020c34: 00178793 addi a5,a5,1 + 80020c38: fee79ce3 bne a5,a4,80020c30 <_Z14Mapper185_InitP8CartInfo+0x64> + 80020c3c: 00000693 li a3,0 + 80020c40: 00002637 lui a2,0x2 + 80020c44: 01000513 li a0,16 + 80020c48: 97def0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80020c4c: 00813083 ld ra,8(sp) + 80020c50: 00000693 li a3,0 + 80020c54: 00000613 li a2,0 + 80020c58: fff00593 li a1,-1 + 80020c5c: 0002a517 auipc a0,0x2a + 80020c60: 69450513 addi a0,a0,1684 # 8004b2f0 <_ZL9StateRegs> + 80020c64: 01010113 addi sp,sp,16 + 80020c68: ba8df06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080020c6c <_Z14Mapper181_InitP8CartInfo>: + 80020c6c: ff010113 addi sp,sp,-16 + 80020c70: 00113423 sd ra,8(sp) + 80020c74: 00000797 auipc a5,0x0 + 80020c78: e9878793 addi a5,a5,-360 # 80020b0c <_ZL6MPowerv> + 80020c7c: 00f53023 sd a5,0(a0) + 80020c80: 00000797 auipc a5,0x0 + 80020c84: e5478793 addi a5,a5,-428 # 80020ad4 <_ZL6MClosev> + 80020c88: 00f53823 sd a5,16(a0) + 80020c8c: 00000797 auipc a5,0x0 + 80020c90: ef078793 addi a5,a5,-272 # 80020b7c <_ZL7Sync181v> + 80020c94: 001ab717 auipc a4,0x1ab + 80020c98: 42f73623 sd a5,1068(a4) # 801cc0c0 <_ZL4Sync> + 80020c9c: 00002537 lui a0,0x2 + 80020ca0: 00000797 auipc a5,0x0 + 80020ca4: e2878793 addi a5,a5,-472 # 80020ac8 <_ZL8MRestorei> + 80020ca8: 001ac717 auipc a4,0x1ac + 80020cac: 9af73823 sd a5,-1616(a4) # 801cc658 + 80020cb0: fbcf10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80020cb4: 001ab797 auipc a5,0x1ab + 80020cb8: 40a7be23 sd a0,1052(a5) # 801cc0d0 <_ZL8DummyCHR> + 80020cbc: 00002737 lui a4,0x2 + 80020cc0: 00050593 mv a1,a0 + 80020cc4: 00050793 mv a5,a0 + 80020cc8: 00e50733 add a4,a0,a4 + 80020ccc: fff00693 li a3,-1 + 80020cd0: 00d78023 sb a3,0(a5) + 80020cd4: 00178793 addi a5,a5,1 + 80020cd8: fee79ce3 bne a5,a4,80020cd0 <_Z14Mapper181_InitP8CartInfo+0x64> + 80020cdc: 00000693 li a3,0 + 80020ce0: 00002637 lui a2,0x2 + 80020ce4: 01000513 li a0,16 + 80020ce8: 8ddef0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80020cec: 00813083 ld ra,8(sp) + 80020cf0: 00000693 li a3,0 + 80020cf4: 00000613 li a2,0 + 80020cf8: fff00593 li a1,-1 + 80020cfc: 0002a517 auipc a0,0x2a + 80020d00: 5f450513 addi a0,a0,1524 # 8004b2f0 <_ZL9StateRegs> + 80020d04: 01010113 addi sp,sp,16 + 80020d08: b08df06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080020d0c <_ZL4Syncv>: + 80020d0c: fd010113 addi sp,sp,-48 + 80020d10: 00000613 li a2,0 + 80020d14: 000065b7 lui a1,0x6 + 80020d18: 01000513 li a0,16 + 80020d1c: 02113423 sd ra,40(sp) + 80020d20: 02813023 sd s0,32(sp) + 80020d24: 00913c23 sd s1,24(sp) + 80020d28: 001ab417 auipc s0,0x1ab + 80020d2c: 3c840413 addi s0,s0,968 # 801cc0f0 <_ZL4preg> + 80020d30: 01213823 sd s2,16(sp) + 80020d34: 01313423 sd s3,8(sp) + 80020d38: bb1ef0ef jal ra,800108e8 <_Z8setprg8rijj> + 80020d3c: 00044583 lbu a1,0(s0) + 80020d40: 00008537 lui a0,0x8 + 80020d44: 001ab497 auipc s1,0x1ab + 80020d48: 3a448493 addi s1,s1,932 # 801cc0e8 <_ZL4creg> + 80020d4c: d51ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80020d50: 00144583 lbu a1,1(s0) + 80020d54: 0000a537 lui a0,0xa + 80020d58: 00000413 li s0,0 + 80020d5c: d41ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80020d60: ffe00593 li a1,-2 + 80020d64: 0000c537 lui a0,0xc + 80020d68: d35ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80020d6c: fff00593 li a1,-1 + 80020d70: 0000e537 lui a0,0xe + 80020d74: d29ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80020d78: 00100993 li s3,1 + 80020d7c: 00002937 lui s2,0x2 + 80020d80: 0180006f j 80020d98 <_ZL4Syncv+0x8c> + 80020d84: 00070593 mv a1,a4 + 80020d88: 4004041b addiw s0,s0,1024 + 80020d8c: 918f00ef jal ra,80010ea4 <_Z7setchr1jj> + 80020d90: 00148493 addi s1,s1,1 + 80020d94: 03240a63 beq s0,s2,80020dc8 <_ZL4Syncv+0xbc> + 80020d98: 0004c703 lbu a4,0(s1) + 80020d9c: 00040513 mv a0,s0 + 80020da0: 00040593 mv a1,s0 + 80020da4: ffa7079b addiw a5,a4,-6 + 80020da8: 0ff7f793 andi a5,a5,255 + 80020dac: 00177613 andi a2,a4,1 + 80020db0: fcf9eae3 bltu s3,a5,80020d84 <_ZL4Syncv+0x78> + 80020db4: 01000513 li a0,16 + 80020db8: 4004041b addiw s0,s0,1024 + 80020dbc: 868f00ef jal ra,80010e24 <_Z8setchr1rijj> + 80020dc0: 00148493 addi s1,s1,1 + 80020dc4: fd241ae3 bne s0,s2,80020d98 <_ZL4Syncv+0x8c> + 80020dc8: 02813083 ld ra,40(sp) + 80020dcc: 02013403 ld s0,32(sp) + 80020dd0: 01813483 ld s1,24(sp) + 80020dd4: 01013903 ld s2,16(sp) + 80020dd8: 00813983 ld s3,8(sp) + 80020ddc: 03010113 addi sp,sp,48 + 80020de0: 00008067 ret + +0000000080020de4 <_ZL12StateRestorei>: + 80020de4: f29ff06f j 80020d0c <_ZL4Syncv> + +0000000080020de8 <_ZL9M252Closev>: + 80020de8: ff010113 addi sp,sp,-16 + 80020dec: 00113423 sd ra,8(sp) + 80020df0: 001ab517 auipc a0,0x1ab + 80020df4: 2f053503 ld a0,752(a0) # 801cc0e0 <_ZL4WRAM> + 80020df8: 00050463 beqz a0,80020e00 <_ZL9M252Closev+0x18> + 80020dfc: f44f10ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80020e00: 001ab517 auipc a0,0x1ab + 80020e04: 2f853503 ld a0,760(a0) # 801cc0f8 <_ZL6CHRRAM> + 80020e08: 00050463 beqz a0,80020e10 <_ZL9M252Closev+0x28> + 80020e0c: f34f10ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80020e10: 00813083 ld ra,8(sp) + 80020e14: 001ab797 auipc a5,0x1ab + 80020e18: 2e07b223 sd zero,740(a5) # 801cc0f8 <_ZL6CHRRAM> + 80020e1c: 001ab797 auipc a5,0x1ab + 80020e20: 2c07b223 sd zero,708(a5) # 801cc0e0 <_ZL4WRAM> + 80020e24: 01010113 addi sp,sp,16 + 80020e28: 00008067 ret + +0000000080020e2c <_ZL9M252Powerv>: + 80020e2c: ff010113 addi sp,sp,-16 + 80020e30: 00113423 sd ra,8(sp) + 80020e34: 00813023 sd s0,0(sp) + 80020e38: ed5ff0ef jal ra,80020d0c <_ZL4Syncv> + 80020e3c: 00008437 lui s0,0x8 + 80020e40: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80020e44: ffff0617 auipc a2,0xffff0 + 80020e48: 81c60613 addi a2,a2,-2020 # 80010660 <_Z6CartBRj> + 80020e4c: 00006537 lui a0,0x6 + 80020e50: 3cd120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80020e54: fff40593 addi a1,s0,-1 + 80020e58: ffff0617 auipc a2,0xffff0 + 80020e5c: 83460613 addi a2,a2,-1996 # 8001068c <_Z6CartBWjh> + 80020e60: 00006537 lui a0,0x6 + 80020e64: 4c5120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80020e68: 00010437 lui s0,0x10 + 80020e6c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80020e70: fffef617 auipc a2,0xfffef + 80020e74: 7f060613 addi a2,a2,2032 # 80010660 <_Z6CartBRj> + 80020e78: 00008537 lui a0,0x8 + 80020e7c: 3a1120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80020e80: fff40593 addi a1,s0,-1 + 80020e84: 00000617 auipc a2,0x0 + 80020e88: 10460613 addi a2,a2,260 # 80020f88 <_ZL9M252Writejh> + 80020e8c: 00008537 lui a0,0x8 + 80020e90: 499120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80020e94: 00013403 ld s0,0(sp) + 80020e98: 00813083 ld ra,8(sp) + 80020e9c: 001ab517 auipc a0,0x1ab + 80020ea0: 27052503 lw a0,624(a0) # 801cc10c <_ZL8WRAMSIZE> + 80020ea4: 001ab617 auipc a2,0x1ab + 80020ea8: 23c63603 ld a2,572(a2) # 801cc0e0 <_ZL4WRAM> + 80020eac: 000065b7 lui a1,0x6 + 80020eb0: 00a5551b srliw a0,a0,0xa + 80020eb4: 01010113 addi sp,sp,16 + 80020eb8: 95cdf06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080020ebc <_ZL7M252IRQi>: + 80020ebc: 001ab797 auipc a5,0x1ab + 80020ec0: 2207a783 lw a5,544(a5) # 801cc0dc <_ZL4IRQa> + 80020ec4: 0c078063 beqz a5,80020f84 <_ZL7M252IRQi+0xc8> + 80020ec8: fd010113 addi sp,sp,-48 + 80020ecc: 00913c23 sd s1,24(sp) + 80020ed0: 001ab497 auipc s1,0x1ab + 80020ed4: 23048493 addi s1,s1,560 # 801cc100 <_ZL8IRQClock> + 80020ed8: 0004a703 lw a4,0(s1) + 80020edc: 0015179b slliw a5,a0,0x1 + 80020ee0: 00a7853b addw a0,a5,a0 + 80020ee4: 00e507bb addw a5,a0,a4 + 80020ee8: 02113423 sd ra,40(sp) + 80020eec: 02813023 sd s0,32(sp) + 80020ef0: 01213823 sd s2,16(sp) + 80020ef4: 01313423 sd s3,8(sp) + 80020ef8: 00f4a023 sw a5,0(s1) + 80020efc: 15400713 li a4,340 + 80020f00: 06f75463 bge a4,a5,80020f68 <_ZL7M252IRQi+0xac> + 80020f04: 001ab917 auipc s2,0x1ab + 80020f08: 20090913 addi s2,s2,512 # 801cc104 <_ZL8IRQCount> + 80020f0c: 00092703 lw a4,0(s2) + 80020f10: 15400413 li s0,340 + 80020f14: 001ab997 auipc s3,0x1ab + 80020f18: 1f498993 addi s3,s3,500 # 801cc108 <_ZL8IRQLatch> + 80020f1c: 00000613 li a2,0 + 80020f20: 02f45e63 bge s0,a5,80020f5c <_ZL7M252IRQi+0xa0> + 80020f24: 0017071b addiw a4,a4,1 + 80020f28: 10077693 andi a3,a4,256 + 80020f2c: eab7879b addiw a5,a5,-341 + 80020f30: 00100613 li a2,1 + 80020f34: fe0686e3 beqz a3,80020f20 <_ZL7M252IRQi+0x64> + 80020f38: 00100513 li a0,1 + 80020f3c: 00f4a023 sw a5,0(s1) + 80020f40: 00e92023 sw a4,0(s2) + 80020f44: df5df0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80020f48: 0009a703 lw a4,0(s3) + 80020f4c: 0004a783 lw a5,0(s1) + 80020f50: 00000613 li a2,0 + 80020f54: 00e92023 sw a4,0(s2) + 80020f58: fc9ff06f j 80020f20 <_ZL7M252IRQi+0x64> + 80020f5c: 00060663 beqz a2,80020f68 <_ZL7M252IRQi+0xac> + 80020f60: 00f4a023 sw a5,0(s1) + 80020f64: 00e92023 sw a4,0(s2) + 80020f68: 02813083 ld ra,40(sp) + 80020f6c: 02013403 ld s0,32(sp) + 80020f70: 01813483 ld s1,24(sp) + 80020f74: 01013903 ld s2,16(sp) + 80020f78: 00813983 ld s3,8(sp) + 80020f7c: 03010113 addi sp,sp,48 + 80020f80: 00008067 ret + 80020f84: 00008067 ret + +0000000080020f88 <_ZL9M252Writejh>: + 80020f88: ff010113 addi sp,sp,-16 + 80020f8c: ffff57b7 lui a5,0xffff5 + 80020f90: 00813023 sd s0,0(sp) + 80020f94: 00113423 sd ra,8(sp) + 80020f98: 00a787bb addw a5,a5,a0 + 80020f9c: 00004737 lui a4,0x4 + 80020fa0: 00058413 mv s0,a1 + 80020fa4: 0ee7e263 bltu a5,a4,80021088 <_ZL9M252Writejh+0x100> + 80020fa8: 0000f7b7 lui a5,0xf + 80020fac: 00c78713 addi a4,a5,12 # f00c <_entry_offset+0xf00c> + 80020fb0: 00e57533 and a0,a0,a4 + 80020fb4: 16f50c63 beq a0,a5,8002112c <_ZL9M252Writejh+0x1a4> + 80020fb8: 04a7f863 bgeu a5,a0,80021008 <_ZL9M252Writejh+0x80> + 80020fbc: 00478713 addi a4,a5,4 + 80020fc0: 12e50063 beq a0,a4,800210e0 <_ZL9M252Writejh+0x158> + 80020fc4: 00878793 addi a5,a5,8 + 80020fc8: 06f51a63 bne a0,a5,8002103c <_ZL9M252Writejh+0xb4> + 80020fcc: 00100513 li a0,1 + 80020fd0: d81df0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80020fd4: 001ab797 auipc a5,0x1ab + 80020fd8: 1207a623 sw zero,300(a5) # 801cc100 <_ZL8IRQClock> + 80020fdc: 001ab797 auipc a5,0x1ab + 80020fe0: 12c7a783 lw a5,300(a5) # 801cc108 <_ZL8IRQLatch> + 80020fe4: 00247413 andi s0,s0,2 + 80020fe8: 00813083 ld ra,8(sp) + 80020fec: 001ab717 auipc a4,0x1ab + 80020ff0: 10f72c23 sw a5,280(a4) # 801cc104 <_ZL8IRQCount> + 80020ff4: 001ab797 auipc a5,0x1ab + 80020ff8: 0e87a423 sw s0,232(a5) # 801cc0dc <_ZL4IRQa> + 80020ffc: 00013403 ld s0,0(sp) + 80021000: 01010113 addi sp,sp,16 + 80021004: 00008067 ret + 80021008: 000087b7 lui a5,0x8 + 8002100c: 00c78713 addi a4,a5,12 # 800c <_entry_offset+0x800c> + 80021010: 02a77e63 bgeu a4,a0,8002104c <_ZL9M252Writejh+0xc4> + 80021014: ffff67b7 lui a5,0xffff6 + 80021018: 00a787bb addw a5,a5,a0 + 8002101c: 00c00713 li a4,12 + 80021020: 00f76e63 bltu a4,a5,8002103c <_ZL9M252Writejh+0xb4> + 80021024: 00100513 li a0,1 + 80021028: 00f51533 sll a0,a0,a5 + 8002102c: 000017b7 lui a5,0x1 + 80021030: 11178793 addi a5,a5,273 # 1111 <_entry_offset+0x1111> + 80021034: 00f57533 and a0,a0,a5 + 80021038: 0c051e63 bnez a0,80021114 <_ZL9M252Writejh+0x18c> + 8002103c: 00813083 ld ra,8(sp) + 80021040: 00013403 ld s0,0(sp) + 80021044: 01010113 addi sp,sp,16 + 80021048: 00008067 ret + 8002104c: fef568e3 bltu a0,a5,8002103c <_ZL9M252Writejh+0xb4> + 80021050: ffff87b7 lui a5,0xffff8 + 80021054: 00a787bb addw a5,a5,a0 + 80021058: 00100513 li a0,1 + 8002105c: 00f51533 sll a0,a0,a5 + 80021060: 000017b7 lui a5,0x1 + 80021064: 11178793 addi a5,a5,273 # 1111 <_entry_offset+0x1111> + 80021068: 00f57533 and a0,a0,a5 + 8002106c: fc0508e3 beqz a0,8002103c <_ZL9M252Writejh+0xb4> + 80021070: 00013403 ld s0,0(sp) + 80021074: 00813083 ld ra,8(sp) + 80021078: 001ab797 auipc a5,0x1ab + 8002107c: 06b78c23 sb a1,120(a5) # 801cc0f0 <_ZL4preg> + 80021080: 01010113 addi sp,sp,16 + 80021084: c89ff06f j 80020d0c <_ZL4Syncv> + 80021088: 0085571b srliw a4,a0,0x8 + 8002108c: 00857793 andi a5,a0,8 + 80021090: 00e7e7b3 or a5,a5,a4 + 80021094: 0037d79b srliw a5,a5,0x3 + 80021098: 0027879b addiw a5,a5,2 + 8002109c: 001ab717 auipc a4,0x1ab + 800210a0: 04c70713 addi a4,a4,76 # 801cc0e8 <_ZL4creg> + 800210a4: 0077f793 andi a5,a5,7 + 800210a8: 00f707b3 add a5,a4,a5 + 800210ac: 0007c703 lbu a4,0(a5) + 800210b0: 00457513 andi a0,a0,4 + 800210b4: 0f000693 li a3,240 + 800210b8: 40a6d6bb sraw a3,a3,a0 + 800210bc: 00f5f413 andi s0,a1,15 + 800210c0: 00a4153b sllw a0,s0,a0 + 800210c4: 00d77733 and a4,a4,a3 + 800210c8: 00a76533 or a0,a4,a0 + 800210cc: 00a78023 sb a0,0(a5) + 800210d0: 00013403 ld s0,0(sp) + 800210d4: 00813083 ld ra,8(sp) + 800210d8: 01010113 addi sp,sp,16 + 800210dc: c31ff06f j 80020d0c <_ZL4Syncv> + 800210e0: 00100513 li a0,1 + 800210e4: c6ddf0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 800210e8: 001ab717 auipc a4,0x1ab + 800210ec: 02070713 addi a4,a4,32 # 801cc108 <_ZL8IRQLatch> + 800210f0: 00072783 lw a5,0(a4) + 800210f4: 0044141b slliw s0,s0,0x4 + 800210f8: 00813083 ld ra,8(sp) + 800210fc: 00f7f793 andi a5,a5,15 + 80021100: 0087e433 or s0,a5,s0 + 80021104: 00872023 sw s0,0(a4) + 80021108: 00013403 ld s0,0(sp) + 8002110c: 01010113 addi sp,sp,16 + 80021110: 00008067 ret + 80021114: 001ab797 auipc a5,0x1ab + 80021118: fc878ea3 sb s0,-35(a5) # 801cc0f1 <_ZL4preg+0x1> + 8002111c: 00013403 ld s0,0(sp) + 80021120: 00813083 ld ra,8(sp) + 80021124: 01010113 addi sp,sp,16 + 80021128: be5ff06f j 80020d0c <_ZL4Syncv> + 8002112c: 00100513 li a0,1 + 80021130: c21df0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80021134: 001ab717 auipc a4,0x1ab + 80021138: fd470713 addi a4,a4,-44 # 801cc108 <_ZL8IRQLatch> + 8002113c: 00072783 lw a5,0(a4) + 80021140: 00f47413 andi s0,s0,15 + 80021144: 00813083 ld ra,8(sp) + 80021148: 0f07f793 andi a5,a5,240 + 8002114c: 0087e433 or s0,a5,s0 + 80021150: 00872023 sw s0,0(a4) + 80021154: 00013403 ld s0,0(sp) + 80021158: 01010113 addi sp,sp,16 + 8002115c: 00008067 ret + +0000000080021160 <_Z14Mapper252_InitP8CartInfo>: + 80021160: fe010113 addi sp,sp,-32 + 80021164: 00113c23 sd ra,24(sp) + 80021168: 00813823 sd s0,16(sp) + 8002116c: 00913423 sd s1,8(sp) + 80021170: 01213023 sd s2,0(sp) + 80021174: 00000797 auipc a5,0x0 + 80021178: cb878793 addi a5,a5,-840 # 80020e2c <_ZL9M252Powerv> + 8002117c: 00f53023 sd a5,0(a0) + 80021180: 00000797 auipc a5,0x0 + 80021184: c6878793 addi a5,a5,-920 # 80020de8 <_ZL9M252Closev> + 80021188: 00f53823 sd a5,16(a0) + 8002118c: 00000797 auipc a5,0x0 + 80021190: d3078793 addi a5,a5,-720 # 80020ebc <_ZL7M252IRQi> + 80021194: 00050413 mv s0,a0 + 80021198: 001ab717 auipc a4,0x1ab + 8002119c: 9af73423 sd a5,-1624(a4) # 801cbb40 + 800211a0: 00001537 lui a0,0x1 + 800211a4: 000017b7 lui a5,0x1 + 800211a8: 8007879b addiw a5,a5,-2048 + 800211ac: 001ab497 auipc s1,0x1ab + 800211b0: f2c48493 addi s1,s1,-212 # 801cc0d8 <_ZL10CHRRAMSIZE> + 800211b4: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 800211b8: 00f4a023 sw a5,0(s1) + 800211bc: ab0f10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800211c0: 0004a603 lw a2,0(s1) + 800211c4: 00050593 mv a1,a0 + 800211c8: 001ab917 auipc s2,0x1ab + 800211cc: f3090913 addi s2,s2,-208 # 801cc0f8 <_ZL6CHRRAM> + 800211d0: 00100693 li a3,1 + 800211d4: 01000513 li a0,16 + 800211d8: 00b93023 sd a1,0(s2) + 800211dc: be8ef0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 800211e0: 0004a583 lw a1,0(s1) + 800211e4: 00093503 ld a0,0(s2) + 800211e8: 00024697 auipc a3,0x24 + 800211ec: 49068693 addi a3,a3,1168 # 80045678 <_ZL10prot_array+0x10> + 800211f0: 00000613 li a2,0 + 800211f4: e1dde0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800211f8: 000027b7 lui a5,0x2 + 800211fc: 001ab497 auipc s1,0x1ab + 80021200: f1048493 addi s1,s1,-240 # 801cc10c <_ZL8WRAMSIZE> + 80021204: 00002537 lui a0,0x2 + 80021208: 00f4a023 sw a5,0(s1) + 8002120c: a60f10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80021210: 0004a603 lw a2,0(s1) + 80021214: 00050593 mv a1,a0 + 80021218: 001ab917 auipc s2,0x1ab + 8002121c: ec890913 addi s2,s2,-312 # 801cc0e0 <_ZL4WRAM> + 80021220: 00100693 li a3,1 + 80021224: 01000513 li a0,16 + 80021228: 00b93023 sd a1,0(s2) + 8002122c: ac8ef0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80021230: 0004a583 lw a1,0(s1) + 80021234: 00093503 ld a0,0(s2) + 80021238: 00024697 auipc a3,0x24 + 8002123c: 0f068693 addi a3,a3,240 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80021240: 00000613 li a2,0 + 80021244: dcdde0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80021248: 04c42783 lw a5,76(s0) + 8002124c: 00078a63 beqz a5,80021260 <_Z14Mapper252_InitP8CartInfo+0x100> + 80021250: 00093703 ld a4,0(s2) + 80021254: 0004a783 lw a5,0(s1) + 80021258: 00e43c23 sd a4,24(s0) + 8002125c: 02f42c23 sw a5,56(s0) + 80021260: 01013403 ld s0,16(sp) + 80021264: 01813083 ld ra,24(sp) + 80021268: 00813483 ld s1,8(sp) + 8002126c: 00013903 ld s2,0(sp) + 80021270: 00000797 auipc a5,0x0 + 80021274: b7478793 addi a5,a5,-1164 # 80020de4 <_ZL12StateRestorei> + 80021278: 001ab717 auipc a4,0x1ab + 8002127c: 3ef73023 sd a5,992(a4) # 801cc658 + 80021280: 00000693 li a3,0 + 80021284: 00000613 li a2,0 + 80021288: fff00593 li a1,-1 + 8002128c: 0002a517 auipc a0,0x2a + 80021290: 09450513 addi a0,a0,148 # 8004b320 <_ZL9StateRegs> + 80021294: 02010113 addi sp,sp,32 + 80021298: d79de06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002129c <_ZL7M36Readj>: + 8002129c: 001ab517 auipc a0,0x1ab + 800212a0: e7554503 lbu a0,-395(a0) # 801cc111 <_ZL6latche> + 800212a4: 00008067 ret + +00000000800212a8 <_ZL4Syncv>: + 800212a8: ff010113 addi sp,sp,-16 + 800212ac: 00813023 sd s0,0(sp) + 800212b0: 001ab417 auipc s0,0x1ab + 800212b4: e6140413 addi s0,s0,-415 # 801cc111 <_ZL6latche> + 800212b8: 00044583 lbu a1,0(s0) + 800212bc: 00008537 lui a0,0x8 + 800212c0: 00113423 sd ra,8(sp) + 800212c4: 0045d593 srli a1,a1,0x4 + 800212c8: b4def0ef jal ra,80010e14 <_Z8setprg32jj> + 800212cc: 00044503 lbu a0,0(s0) + 800212d0: 00013403 ld s0,0(sp) + 800212d4: 00813083 ld ra,8(sp) + 800212d8: 00f57513 andi a0,a0,15 + 800212dc: 01010113 addi sp,sp,16 + 800212e0: c25ef06f j 80010f04 <_Z7setchr8j> + +00000000800212e4 <_ZL10M36Restorei>: + 800212e4: fc5ff06f j 800212a8 <_ZL4Syncv> + +00000000800212e8 <_ZL8M36Powerv>: + 800212e8: ff010113 addi sp,sp,-16 + 800212ec: 00113423 sd ra,8(sp) + 800212f0: 00813023 sd s0,0(sp) + 800212f4: 001ab797 auipc a5,0x1ab + 800212f8: e0078ea3 sb zero,-483(a5) # 801cc111 <_ZL6latche> + 800212fc: fadff0ef jal ra,800212a8 <_ZL4Syncv> + 80021300: 000045b7 lui a1,0x4 + 80021304: 10058593 addi a1,a1,256 # 4100 <_entry_offset+0x4100> + 80021308: 00058513 mv a0,a1 + 8002130c: 00000617 auipc a2,0x0 + 80021310: f9060613 addi a2,a2,-112 # 8002129c <_ZL7M36Readj> + 80021314: 708120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80021318: 00010437 lui s0,0x10 + 8002131c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80021320: fffef617 auipc a2,0xfffef + 80021324: 34060613 addi a2,a2,832 # 80010660 <_Z6CartBRj> + 80021328: 00008537 lui a0,0x8 + 8002132c: 6f0120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80021330: ffe40593 addi a1,s0,-2 + 80021334: 00013403 ld s0,0(sp) + 80021338: 00813083 ld ra,8(sp) + 8002133c: 00000617 auipc a2,0x0 + 80021340: 01460613 addi a2,a2,20 # 80021350 <_ZL8M36Writejh> + 80021344: 00008537 lui a0,0x8 + 80021348: 01010113 addi sp,sp,16 + 8002134c: 7dc1206f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080021350 <_ZL8M36Writejh>: + 80021350: ff010113 addi sp,sp,-16 + 80021354: 00c5551b srliw a0,a0,0xc + 80021358: 00813023 sd s0,0(sp) + 8002135c: 00113423 sd ra,8(sp) + 80021360: 00757513 andi a0,a0,7 + 80021364: 00058413 mv s0,a1 + 80021368: 02050a63 beqz a0,8002139c <_ZL8M36Writejh+0x4c> + 8002136c: 00400793 li a5,4 + 80021370: 00f51a63 bne a0,a5,80021384 <_ZL8M36Writejh+0x34> + 80021374: 00000513 li a0,0 + 80021378: 001ab797 auipc a5,0x1ab + 8002137c: d8078c23 sb zero,-616(a5) # 801cc110 <_ZL4mirr> + 80021380: cc9ef0ef jal ra,80011048 <_Z9setmirrori> + 80021384: 001ab797 auipc a5,0x1ab + 80021388: d88786a3 sb s0,-627(a5) # 801cc111 <_ZL6latche> + 8002138c: 00013403 ld s0,0(sp) + 80021390: 00813083 ld ra,8(sp) + 80021394: 01010113 addi sp,sp,16 + 80021398: f11ff06f j 800212a8 <_ZL4Syncv> + 8002139c: 00100793 li a5,1 + 800213a0: 00100513 li a0,1 + 800213a4: 001ab717 auipc a4,0x1ab + 800213a8: d6f70623 sb a5,-660(a4) # 801cc110 <_ZL4mirr> + 800213ac: c9def0ef jal ra,80011048 <_Z9setmirrori> + 800213b0: 001ab797 auipc a5,0x1ab + 800213b4: d68780a3 sb s0,-671(a5) # 801cc111 <_ZL6latche> + 800213b8: 00013403 ld s0,0(sp) + 800213bc: 00813083 ld ra,8(sp) + 800213c0: 01010113 addi sp,sp,16 + 800213c4: ee5ff06f j 800212a8 <_ZL4Syncv> + +00000000800213c8 <_Z13Mapper36_InitP8CartInfo>: + 800213c8: 00000797 auipc a5,0x0 + 800213cc: f2078793 addi a5,a5,-224 # 800212e8 <_ZL8M36Powerv> + 800213d0: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 800213d4: 00000797 auipc a5,0x0 + 800213d8: f1078793 addi a5,a5,-240 # 800212e4 <_ZL10M36Restorei> + 800213dc: 00000693 li a3,0 + 800213e0: 00000613 li a2,0 + 800213e4: fff00593 li a1,-1 + 800213e8: 0002a517 auipc a0,0x2a + 800213ec: fe050513 addi a0,a0,-32 # 8004b3c8 <_ZL9StateRegs> + 800213f0: 001ab717 auipc a4,0x1ab + 800213f4: 26f73423 sd a5,616(a4) # 801cc658 + 800213f8: c19de06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800213fc <_ZL14Mapper190_Syncv>: + 800213fc: ff010113 addi sp,sp,-16 + 80021400: 00000613 li a2,0 + 80021404: 000065b7 lui a1,0x6 + 80021408: 01000513 li a0,16 + 8002140c: 00113423 sd ra,8(sp) + 80021410: 00813023 sd s0,0(sp) + 80021414: cd4ef0ef jal ra,800108e8 <_Z8setprg8rijj> + 80021418: 001ab597 auipc a1,0x1ab + 8002141c: d0c5c583 lbu a1,-756(a1) # 801cc124 <_ZL4prgr> + 80021420: 00008537 lui a0,0x8 + 80021424: 835ef0ef jal ra,80010c58 <_Z8setprg16jj> + 80021428: 00000593 li a1,0 + 8002142c: 0000c537 lui a0,0xc + 80021430: 001ab417 auipc s0,0x1ab + 80021434: cf040413 addi s0,s0,-784 # 801cc120 <_ZL4chrr> + 80021438: 821ef0ef jal ra,80010c58 <_Z8setprg16jj> + 8002143c: 00044583 lbu a1,0(s0) + 80021440: 00000513 li a0,0 + 80021444: a81ef0ef jal ra,80010ec4 <_Z7setchr2jj> + 80021448: 00144583 lbu a1,1(s0) + 8002144c: 00001537 lui a0,0x1 + 80021450: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 80021454: a71ef0ef jal ra,80010ec4 <_Z7setchr2jj> + 80021458: 00244583 lbu a1,2(s0) + 8002145c: 00001537 lui a0,0x1 + 80021460: a65ef0ef jal ra,80010ec4 <_Z7setchr2jj> + 80021464: 00344583 lbu a1,3(s0) + 80021468: 00013403 ld s0,0(sp) + 8002146c: 00813083 ld ra,8(sp) + 80021470: 00002537 lui a0,0x2 + 80021474: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80021478: 01010113 addi sp,sp,16 + 8002147c: a49ef06f j 80010ec4 <_Z7setchr2jj> + +0000000080021480 <_ZL17Mapper190_Restorei>: + 80021480: f7dff06f j 800213fc <_ZL14Mapper190_Syncv> + +0000000080021484 <_ZL17Mapper190_WriteCDjh>: + 80021484: 0075f593 andi a1,a1,7 + 80021488: 0085e593 ori a1,a1,8 + 8002148c: 001ab797 auipc a5,0x1ab + 80021490: c8b78c23 sb a1,-872(a5) # 801cc124 <_ZL4prgr> + 80021494: f69ff06f j 800213fc <_ZL14Mapper190_Syncv> + +0000000080021498 <_ZL17Mapper190_WriteABjh>: + 80021498: 00357793 andi a5,a0,3 + 8002149c: 001ab517 auipc a0,0x1ab + 800214a0: c8450513 addi a0,a0,-892 # 801cc120 <_ZL4chrr> + 800214a4: 00f50533 add a0,a0,a5 + 800214a8: 03f5f593 andi a1,a1,63 + 800214ac: 00b50023 sb a1,0(a0) + 800214b0: f4dff06f j 800213fc <_ZL14Mapper190_Syncv> + +00000000800214b4 <_ZL17Mapper190_Write89jh>: + 800214b4: 0075f593 andi a1,a1,7 + 800214b8: 001ab797 auipc a5,0x1ab + 800214bc: c6b78623 sb a1,-916(a5) # 801cc124 <_ZL4prgr> + 800214c0: f3dff06f j 800213fc <_ZL14Mapper190_Syncv> + +00000000800214c4 <_ZL15Mapper190_Closev>: + 800214c4: ff010113 addi sp,sp,-16 + 800214c8: 00813023 sd s0,0(sp) + 800214cc: 001ab417 auipc s0,0x1ab + 800214d0: c4c40413 addi s0,s0,-948 # 801cc118 <_ZL4WRAM> + 800214d4: 00043503 ld a0,0(s0) + 800214d8: 00113423 sd ra,8(sp) + 800214dc: 864f10ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800214e0: 00813083 ld ra,8(sp) + 800214e4: 00043023 sd zero,0(s0) + 800214e8: 00013403 ld s0,0(sp) + 800214ec: 01010113 addi sp,sp,16 + 800214f0: 00008067 ret + +00000000800214f4 <_ZL15Mapper190_Powerv>: + 800214f4: ff010113 addi sp,sp,-16 + 800214f8: 001ab617 auipc a2,0x1ab + 800214fc: c2063603 ld a2,-992(a2) # 801cc118 <_ZL4WRAM> + 80021500: 000065b7 lui a1,0x6 + 80021504: 00800513 li a0,8 + 80021508: 00113423 sd ra,8(sp) + 8002150c: b09de0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80021510: 000105b7 lui a1,0x10 + 80021514: fffef617 auipc a2,0xfffef + 80021518: 14c60613 addi a2,a2,332 # 80010660 <_Z6CartBRj> + 8002151c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80021520: 00006537 lui a0,0x6 + 80021524: 4f8120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80021528: 000085b7 lui a1,0x8 + 8002152c: fffef617 auipc a2,0xfffef + 80021530: 16060613 addi a2,a2,352 # 8001068c <_Z6CartBWjh> + 80021534: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80021538: 00006537 lui a0,0x6 + 8002153c: 5ec120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021540: 0000a5b7 lui a1,0xa + 80021544: 00000617 auipc a2,0x0 + 80021548: f7060613 addi a2,a2,-144 # 800214b4 <_ZL17Mapper190_Write89jh> + 8002154c: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 80021550: 00008537 lui a0,0x8 + 80021554: 5d4120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021558: 0000c5b7 lui a1,0xc + 8002155c: 00000617 auipc a2,0x0 + 80021560: f3c60613 addi a2,a2,-196 # 80021498 <_ZL17Mapper190_WriteABjh> + 80021564: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80021568: 0000a537 lui a0,0xa + 8002156c: 5bc120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021570: 0000e5b7 lui a1,0xe + 80021574: 0000c537 lui a0,0xc + 80021578: 00000617 auipc a2,0x0 + 8002157c: f0c60613 addi a2,a2,-244 # 80021484 <_ZL17Mapper190_WriteCDjh> + 80021580: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 80021584: 5a4120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021588: e75ff0ef jal ra,800213fc <_ZL14Mapper190_Syncv> + 8002158c: 00813083 ld ra,8(sp) + 80021590: 00100513 li a0,1 + 80021594: 01010113 addi sp,sp,16 + 80021598: ab1ef06f j 80011048 <_Z9setmirrori> + +000000008002159c <_Z14Mapper190_InitP8CartInfo>: + 8002159c: fe010113 addi sp,sp,-32 + 800215a0: 00113c23 sd ra,24(sp) + 800215a4: 00813823 sd s0,16(sp) + 800215a8: 00913423 sd s1,8(sp) + 800215ac: 00000797 auipc a5,0x0 + 800215b0: f4878793 addi a5,a5,-184 # 800214f4 <_ZL15Mapper190_Powerv> + 800215b4: 00f53023 sd a5,0(a0) # c000 <_entry_offset+0xc000> + 800215b8: 00000797 auipc a5,0x0 + 800215bc: f0c78793 addi a5,a5,-244 # 800214c4 <_ZL15Mapper190_Closev> + 800215c0: 00f53823 sd a5,16(a0) + 800215c4: 00000797 auipc a5,0x0 + 800215c8: ebc78793 addi a5,a5,-324 # 80021480 <_ZL17Mapper190_Restorei> + 800215cc: 00002537 lui a0,0x2 + 800215d0: 001ab717 auipc a4,0x1ab + 800215d4: 08f73423 sd a5,136(a4) # 801cc658 + 800215d8: e95f00ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800215dc: 00050593 mv a1,a0 + 800215e0: 001ab417 auipc s0,0x1ab + 800215e4: b3840413 addi s0,s0,-1224 # 801cc118 <_ZL4WRAM> + 800215e8: 00100693 li a3,1 + 800215ec: 00002637 lui a2,0x2 + 800215f0: 01000513 li a0,16 + 800215f4: 00b43023 sd a1,0(s0) + 800215f8: efdee0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800215fc: 001ab517 auipc a0,0x1ab + 80021600: b2850513 addi a0,a0,-1240 # 801cc124 <_ZL4prgr> + 80021604: 001ab497 auipc s1,0x1ab + 80021608: b1c48493 addi s1,s1,-1252 # 801cc120 <_ZL4chrr> + 8002160c: 00024697 auipc a3,0x24 + 80021610: 59468693 addi a3,a3,1428 # 80045ba0 <_ZZL5Do5SQiE3tal+0x60> + 80021614: 00000613 li a2,0 + 80021618: 00100593 li a1,1 + 8002161c: 00050023 sb zero,0(a0) + 80021620: 0004a023 sw zero,0(s1) + 80021624: 9edde0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80021628: 00048513 mv a0,s1 + 8002162c: 00021697 auipc a3,0x21 + 80021630: b1c68693 addi a3,a3,-1252 # 80042148 <_ZL9C96000PAL+0x738> + 80021634: 00000613 li a2,0 + 80021638: 00400593 li a1,4 + 8002163c: 9d5de0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80021640: 00043503 ld a0,0(s0) + 80021644: 01013403 ld s0,16(sp) + 80021648: 01813083 ld ra,24(sp) + 8002164c: 00813483 ld s1,8(sp) + 80021650: 00024697 auipc a3,0x24 + 80021654: cd868693 addi a3,a3,-808 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80021658: 00000613 li a2,0 + 8002165c: 000025b7 lui a1,0x2 + 80021660: 02010113 addi sp,sp,32 + 80021664: 9adde06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080021668 <_ZL4Syncv>: + 80021668: ff010113 addi sp,sp,-16 + 8002166c: 001ab597 auipc a1,0x1ab + 80021670: ab95c583 lbu a1,-1351(a1) # 801cc125 <_ZL3reg> + 80021674: 00006537 lui a0,0x6 + 80021678: 00113423 sd ra,8(sp) + 8002167c: c20ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80021680: ffc00593 li a1,-4 + 80021684: 00008537 lui a0,0x8 + 80021688: c14ef0ef jal ra,80010a9c <_Z7setprg8jj> + 8002168c: ffd00593 li a1,-3 + 80021690: 0000a537 lui a0,0xa + 80021694: c08ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80021698: 00000613 li a2,0 + 8002169c: 0000c5b7 lui a1,0xc + 800216a0: 01000513 li a0,16 + 800216a4: a44ef0ef jal ra,800108e8 <_Z8setprg8rijj> + 800216a8: 0000e537 lui a0,0xe + 800216ac: fff00593 li a1,-1 + 800216b0: becef0ef jal ra,80010a9c <_Z7setprg8jj> + 800216b4: 00813083 ld ra,8(sp) + 800216b8: 00000513 li a0,0 + 800216bc: 01010113 addi sp,sp,16 + 800216c0: 845ef06f j 80010f04 <_Z7setchr8j> + +00000000800216c4 <_ZL12StateRestorei>: + 800216c4: fa5ff06f j 80021668 <_ZL4Syncv> + +00000000800216c8 <_ZL9LH32Writejh>: + 800216c8: 001ab797 auipc a5,0x1ab + 800216cc: a4b78ea3 sb a1,-1443(a5) # 801cc125 <_ZL3reg> + 800216d0: f99ff06f j 80021668 <_ZL4Syncv> + +00000000800216d4 <_ZL9LH32Closev>: + 800216d4: 001ab517 auipc a0,0x1ab + 800216d8: a5453503 ld a0,-1452(a0) # 801cc128 <_ZL4WRAM> + 800216dc: 02050263 beqz a0,80021700 <_ZL9LH32Closev+0x2c> + 800216e0: ff010113 addi sp,sp,-16 + 800216e4: 00113423 sd ra,8(sp) + 800216e8: e59f00ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800216ec: 00813083 ld ra,8(sp) + 800216f0: 001ab797 auipc a5,0x1ab + 800216f4: a207bc23 sd zero,-1480(a5) # 801cc128 <_ZL4WRAM> + 800216f8: 01010113 addi sp,sp,16 + 800216fc: 00008067 ret + 80021700: 001ab797 auipc a5,0x1ab + 80021704: a207b423 sd zero,-1496(a5) # 801cc128 <_ZL4WRAM> + 80021708: 00008067 ret + +000000008002170c <_ZL9LH32Powerv>: + 8002170c: ff010113 addi sp,sp,-16 + 80021710: 00113423 sd ra,8(sp) + 80021714: f55ff0ef jal ra,80021668 <_ZL4Syncv> + 80021718: 000105b7 lui a1,0x10 + 8002171c: fffef617 auipc a2,0xfffef + 80021720: f4460613 addi a2,a2,-188 # 80010660 <_Z6CartBRj> + 80021724: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80021728: 00006537 lui a0,0x6 + 8002172c: 2f0120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80021730: 0000e5b7 lui a1,0xe + 80021734: fffef617 auipc a2,0xfffef + 80021738: f5860613 addi a2,a2,-168 # 8001068c <_Z6CartBWjh> + 8002173c: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 80021740: 0000c537 lui a0,0xc + 80021744: 3e4120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021748: 00000617 auipc a2,0x0 + 8002174c: f8060613 addi a2,a2,-128 # 800216c8 <_ZL9LH32Writejh> + 80021750: 000065b7 lui a1,0x6 + 80021754: 00006537 lui a0,0x6 + 80021758: 3d0120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002175c: 00813083 ld ra,8(sp) + 80021760: 001ab517 auipc a0,0x1ab + 80021764: 9d052503 lw a0,-1584(a0) # 801cc130 <_ZL8WRAMSIZE> + 80021768: 001ab617 auipc a2,0x1ab + 8002176c: 9c063603 ld a2,-1600(a2) # 801cc128 <_ZL4WRAM> + 80021770: 000065b7 lui a1,0x6 + 80021774: 00a5551b srliw a0,a0,0xa + 80021778: 01010113 addi sp,sp,16 + 8002177c: 899de06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080021780 <_Z9LH32_InitP8CartInfo>: + 80021780: fe010113 addi sp,sp,-32 + 80021784: 00113c23 sd ra,24(sp) + 80021788: 00813823 sd s0,16(sp) + 8002178c: 00913423 sd s1,8(sp) + 80021790: 00000797 auipc a5,0x0 + 80021794: f7c78793 addi a5,a5,-132 # 8002170c <_ZL9LH32Powerv> + 80021798: 00f53023 sd a5,0(a0) + 8002179c: 00000797 auipc a5,0x0 + 800217a0: f3878793 addi a5,a5,-200 # 800216d4 <_ZL9LH32Closev> + 800217a4: 001ab417 auipc s0,0x1ab + 800217a8: 98c40413 addi s0,s0,-1652 # 801cc130 <_ZL8WRAMSIZE> + 800217ac: 00f53823 sd a5,16(a0) + 800217b0: 000027b7 lui a5,0x2 + 800217b4: 00002537 lui a0,0x2 + 800217b8: 00f42023 sw a5,0(s0) + 800217bc: cb1f00ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800217c0: 00042603 lw a2,0(s0) + 800217c4: 00050593 mv a1,a0 + 800217c8: 001ab497 auipc s1,0x1ab + 800217cc: 96048493 addi s1,s1,-1696 # 801cc128 <_ZL4WRAM> + 800217d0: 00100693 li a3,1 + 800217d4: 01000513 li a0,16 + 800217d8: 00b4b023 sd a1,0(s1) + 800217dc: d19ee0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800217e0: 00042583 lw a1,0(s0) + 800217e4: 0004b503 ld a0,0(s1) + 800217e8: 00024697 auipc a3,0x24 + 800217ec: b4068693 addi a3,a3,-1216 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800217f0: 00000613 li a2,0 + 800217f4: 81dde0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800217f8: 01013403 ld s0,16(sp) + 800217fc: 01813083 ld ra,24(sp) + 80021800: 00813483 ld s1,8(sp) + 80021804: 00000797 auipc a5,0x0 + 80021808: ec078793 addi a5,a5,-320 # 800216c4 <_ZL12StateRestorei> + 8002180c: 001ab717 auipc a4,0x1ab + 80021810: e4f73623 sd a5,-436(a4) # 801cc658 + 80021814: 00000693 li a3,0 + 80021818: 00000613 li a2,0 + 8002181c: fff00593 li a1,-1 + 80021820: 0002a517 auipc a0,0x2a + 80021824: bf050513 addi a0,a0,-1040 # 8004b410 <_ZL9StateRegs> + 80021828: 02010113 addi sp,sp,32 + 8002182c: fe4de06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080021830 <_ZL4Syncv>: + 80021830: fe010113 addi sp,sp,-32 + 80021834: 00813823 sd s0,16(sp) + 80021838: 00913423 sd s1,8(sp) + 8002183c: 01213023 sd s2,0(sp) + 80021840: 00113c23 sd ra,24(sp) + 80021844: 001ab497 auipc s1,0x1ab + 80021848: 8fc48493 addi s1,s1,-1796 # 801cc140 <_ZL4creg> + 8002184c: 00000413 li s0,0 + 80021850: 00002937 lui s2,0x2 + 80021854: 0004c583 lbu a1,0(s1) + 80021858: 00040513 mv a0,s0 + 8002185c: 4004041b addiw s0,s0,1024 + 80021860: e44ef0ef jal ra,80010ea4 <_Z7setchr1jj> + 80021864: 00148493 addi s1,s1,1 + 80021868: ff2416e3 bne s0,s2,80021854 <_ZL4Syncv+0x24> + 8002186c: 00000613 li a2,0 + 80021870: 000065b7 lui a1,0x6 + 80021874: 01000513 li a0,16 + 80021878: 870ef0ef jal ra,800108e8 <_Z8setprg8rijj> + 8002187c: 001ab417 auipc s0,0x1ab + 80021880: 8d440413 addi s0,s0,-1836 # 801cc150 <_ZL4preg> + 80021884: 00044583 lbu a1,0(s0) + 80021888: 00008537 lui a0,0x8 + 8002188c: a10ef0ef jal ra,80010a9c <_Z7setprg8jj> + 80021890: 00144583 lbu a1,1(s0) + 80021894: 0000a537 lui a0,0xa + 80021898: a04ef0ef jal ra,80010a9c <_Z7setprg8jj> + 8002189c: 00244583 lbu a1,2(s0) + 800218a0: 0000c537 lui a0,0xc + 800218a4: 9f8ef0ef jal ra,80010a9c <_Z7setprg8jj> + 800218a8: 0000e537 lui a0,0xe + 800218ac: fff00593 li a1,-1 + 800218b0: 9ecef0ef jal ra,80010a9c <_Z7setprg8jj> + 800218b4: 001ab517 auipc a0,0x1ab + 800218b8: 89454503 lbu a0,-1900(a0) # 801cc148 <_ZL4mirr> + 800218bc: 00257793 andi a5,a0,2 + 800218c0: 00157513 andi a0,a0,1 + 800218c4: 00078463 beqz a5,800218cc <_ZL4Syncv+0x9c> + 800218c8: 00200513 li a0,2 + 800218cc: 01013403 ld s0,16(sp) + 800218d0: 01813083 ld ra,24(sp) + 800218d4: 00813483 ld s1,8(sp) + 800218d8: 00013903 ld s2,0(sp) + 800218dc: 02010113 addi sp,sp,32 + 800218e0: f68ef06f j 80011048 <_Z9setmirrori> + +00000000800218e4 <_ZL12StateRestorei>: + 800218e4: f4dff06f j 80021830 <_ZL4Syncv> + +00000000800218e8 <_ZL11M18WriteChrjh>: + 800218e8: ffff6737 lui a4,0xffff6 + 800218ec: 0015579b srliw a5,a0,0x1 + 800218f0: 00a7073b addw a4,a4,a0 + 800218f4: 00b7571b srliw a4,a4,0xb + 800218f8: 0017f793 andi a5,a5,1 + 800218fc: 00e7e7b3 or a5,a5,a4 + 80021900: 0007879b sext.w a5,a5 + 80021904: 001ab717 auipc a4,0x1ab + 80021908: 83c70713 addi a4,a4,-1988 # 801cc140 <_ZL4creg> + 8002190c: 00f707b3 add a5,a4,a5 + 80021910: 0007c703 lbu a4,0(a5) + 80021914: 0025151b slliw a0,a0,0x2 + 80021918: 00457513 andi a0,a0,4 + 8002191c: 0f000693 li a3,240 + 80021920: 40a6d6bb sraw a3,a3,a0 + 80021924: 00f5f593 andi a1,a1,15 + 80021928: 00d77733 and a4,a4,a3 + 8002192c: 00a5953b sllw a0,a1,a0 + 80021930: 00a76533 or a0,a4,a0 + 80021934: 00a78023 sb a0,0(a5) + 80021938: ef9ff06f j 80021830 <_ZL4Syncv> + +000000008002193c <_ZL11M18WritePrgjh>: + 8002193c: ffff8737 lui a4,0xffff8 + 80021940: 0015579b srliw a5,a0,0x1 + 80021944: 00a7073b addw a4,a4,a0 + 80021948: 00b7571b srliw a4,a4,0xb + 8002194c: 0017f793 andi a5,a5,1 + 80021950: 00e7e7b3 or a5,a5,a4 + 80021954: 0007879b sext.w a5,a5 + 80021958: 001aa717 auipc a4,0x1aa + 8002195c: 7f870713 addi a4,a4,2040 # 801cc150 <_ZL4preg> + 80021960: 00f707b3 add a5,a4,a5 + 80021964: 0007c703 lbu a4,0(a5) + 80021968: 0025151b slliw a0,a0,0x2 + 8002196c: 00457513 andi a0,a0,4 + 80021970: 0f000693 li a3,240 + 80021974: 40a6d6bb sraw a3,a3,a0 + 80021978: 00f5f593 andi a1,a1,15 + 8002197c: 00d77733 and a4,a4,a3 + 80021980: 00a5953b sllw a0,a1,a0 + 80021984: 00a76533 or a0,a4,a0 + 80021988: 00a78023 sb a0,0(a5) + 8002198c: ea5ff06f j 80021830 <_ZL4Syncv> + +0000000080021990 <_ZL8M18Closev>: + 80021990: 001aa517 auipc a0,0x1aa + 80021994: 7a853503 ld a0,1960(a0) # 801cc138 <_ZL4WRAM> + 80021998: 02050263 beqz a0,800219bc <_ZL8M18Closev+0x2c> + 8002199c: ff010113 addi sp,sp,-16 + 800219a0: 00113423 sd ra,8(sp) + 800219a4: b9df00ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800219a8: 00813083 ld ra,8(sp) + 800219ac: 001aa797 auipc a5,0x1aa + 800219b0: 7807b623 sd zero,1932(a5) # 801cc138 <_ZL4WRAM> + 800219b4: 01010113 addi sp,sp,16 + 800219b8: 00008067 ret + 800219bc: 001aa797 auipc a5,0x1aa + 800219c0: 7607be23 sd zero,1916(a5) # 801cc138 <_ZL4WRAM> + 800219c4: 00008067 ret + +00000000800219c8 <_ZL8M18Powerv>: + 800219c8: 001aa797 auipc a5,0x1aa + 800219cc: 76078623 sb zero,1900(a5) # 801cc134 <_ZL4IRQa> + 800219d0: fffe07b7 lui a5,0xfffe0 + 800219d4: ff010113 addi sp,sp,-16 + 800219d8: 1007879b addiw a5,a5,256 + 800219dc: 00113423 sd ra,8(sp) + 800219e0: 00813023 sd s0,0(sp) + 800219e4: 001aa717 auipc a4,0x1aa + 800219e8: 76f72623 sw a5,1900(a4) # 801cc150 <_ZL4preg> + 800219ec: 00010437 lui s0,0x10 + 800219f0: e41ff0ef jal ra,80021830 <_ZL4Syncv> + 800219f4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800219f8: fffef617 auipc a2,0xfffef + 800219fc: c6860613 addi a2,a2,-920 # 80010660 <_Z6CartBRj> + 80021a00: 00006537 lui a0,0x6 + 80021a04: 018120ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80021a08: 000085b7 lui a1,0x8 + 80021a0c: fffef617 auipc a2,0xfffef + 80021a10: c8060613 addi a2,a2,-896 # 8001068c <_Z6CartBWjh> + 80021a14: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80021a18: 00006537 lui a0,0x6 + 80021a1c: 10c120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021a20: 0000a5b7 lui a1,0xa + 80021a24: 00000617 auipc a2,0x0 + 80021a28: f1860613 addi a2,a2,-232 # 8002193c <_ZL11M18WritePrgjh> + 80021a2c: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 80021a30: 00008537 lui a0,0x8 + 80021a34: 0f4120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021a38: 0000e5b7 lui a1,0xe + 80021a3c: 00000617 auipc a2,0x0 + 80021a40: eac60613 addi a2,a2,-340 # 800218e8 <_ZL11M18WriteChrjh> + 80021a44: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 80021a48: 0000a537 lui a0,0xa + 80021a4c: 0dc120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021a50: fff40593 addi a1,s0,-1 + 80021a54: 00000617 auipc a2,0x0 + 80021a58: 03860613 addi a2,a2,56 # 80021a8c <_ZL11M18WriteIRQjh> + 80021a5c: 0000e537 lui a0,0xe + 80021a60: 0c8120ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80021a64: 00013403 ld s0,0(sp) + 80021a68: 00813083 ld ra,8(sp) + 80021a6c: 001aa517 auipc a0,0x1aa + 80021a70: 6f052503 lw a0,1776(a0) # 801cc15c <_ZL8WRAMSIZE> + 80021a74: 001aa617 auipc a2,0x1aa + 80021a78: 6c463603 ld a2,1732(a2) # 801cc138 <_ZL4WRAM> + 80021a7c: 000065b7 lui a1,0x6 + 80021a80: 00a5551b srliw a0,a0,0xa + 80021a84: 01010113 addi sp,sp,16 + 80021a88: d8cde06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080021a8c <_ZL11M18WriteIRQjh>: + 80021a8c: 0000f7b7 lui a5,0xf + 80021a90: 00378693 addi a3,a5,3 # f003 <_entry_offset+0xf003> + 80021a94: 0000e737 lui a4,0xe + 80021a98: 00d57533 and a0,a0,a3 + 80021a9c: 00370693 addi a3,a4,3 # e003 <_entry_offset+0xe003> + 80021aa0: 0ad50a63 beq a0,a3,80021b54 <_ZL11M18WriteIRQjh+0xc8> + 80021aa4: 04a6e263 bltu a3,a0,80021ae8 <_ZL11M18WriteIRQjh+0x5c> + 80021aa8: 00170693 addi a3,a4,1 + 80021aac: 0cd50a63 beq a0,a3,80021b80 <_ZL11M18WriteIRQjh+0xf4> + 80021ab0: 00270693 addi a3,a4,2 + 80021ab4: 04d51a63 bne a0,a3,80021b08 <_ZL11M18WriteIRQjh+0x7c> + 80021ab8: 001aa697 auipc a3,0x1aa + 80021abc: 6a068693 addi a3,a3,1696 # 801cc158 <_ZL8IRQLatch> + 80021ac0: 0006a703 lw a4,0(a3) + 80021ac4: 0ff78793 addi a5,a5,255 + 80021ac8: 0085959b slliw a1,a1,0x8 + 80021acc: 00f777b3 and a5,a4,a5 + 80021ad0: 00001737 lui a4,0x1 + 80021ad4: f0070713 addi a4,a4,-256 # f00 <_entry_offset+0xf00> + 80021ad8: 00e5f5b3 and a1,a1,a4 + 80021adc: 00b7e5b3 or a1,a5,a1 + 80021ae0: 00b6a023 sw a1,0(a3) + 80021ae4: 00008067 ret + 80021ae8: 00178713 addi a4,a5,1 + 80021aec: 0ce50063 beq a0,a4,80021bac <_ZL11M18WriteIRQjh+0x120> + 80021af0: 00278713 addi a4,a5,2 + 80021af4: 04e51063 bne a0,a4,80021b34 <_ZL11M18WriteIRQjh+0xa8> + 80021af8: 0035f593 andi a1,a1,3 + 80021afc: 001aa797 auipc a5,0x1aa + 80021b00: 64b78623 sb a1,1612(a5) # 801cc148 <_ZL4mirr> + 80021b04: d2dff06f j 80021830 <_ZL4Syncv> + 80021b08: 04e51263 bne a0,a4,80021b4c <_ZL11M18WriteIRQjh+0xc0> + 80021b0c: 001aa697 auipc a3,0x1aa + 80021b10: 64c68693 addi a3,a3,1612 # 801cc158 <_ZL8IRQLatch> + 80021b14: 0006a783 lw a5,0(a3) + 80021b18: 00010737 lui a4,0x10 + 80021b1c: ff070713 addi a4,a4,-16 # fff0 <_entry_offset+0xfff0> + 80021b20: 00e7f7b3 and a5,a5,a4 + 80021b24: 00f5f593 andi a1,a1,15 + 80021b28: 00b7e5b3 or a1,a5,a1 + 80021b2c: 00b6a023 sw a1,0(a3) + 80021b30: 00008067 ret + 80021b34: 00f51e63 bne a0,a5,80021b50 <_ZL11M18WriteIRQjh+0xc4> + 80021b38: 001aa797 auipc a5,0x1aa + 80021b3c: 6207a783 lw a5,1568(a5) # 801cc158 <_ZL8IRQLatch> + 80021b40: 001aa717 auipc a4,0x1aa + 80021b44: 60f72a23 sw a5,1556(a4) # 801cc154 <_ZL8IRQCount> + 80021b48: 00008067 ret + 80021b4c: 00008067 ret + 80021b50: 00008067 ret + 80021b54: 001aa717 auipc a4,0x1aa + 80021b58: 60470713 addi a4,a4,1540 # 801cc158 <_ZL8IRQLatch> + 80021b5c: 00072783 lw a5,0(a4) + 80021b60: 00c5959b slliw a1,a1,0xc + 80021b64: 0000f6b7 lui a3,0xf + 80021b68: 03479793 slli a5,a5,0x34 + 80021b6c: 0347d793 srli a5,a5,0x34 + 80021b70: 00d5f5b3 and a1,a1,a3 + 80021b74: 00b7e5b3 or a1,a5,a1 + 80021b78: 00b72023 sw a1,0(a4) + 80021b7c: 00008067 ret + 80021b80: 001aa697 auipc a3,0x1aa + 80021b84: 5d868693 addi a3,a3,1496 # 801cc158 <_ZL8IRQLatch> + 80021b88: 0006a783 lw a5,0(a3) + 80021b8c: 00010737 lui a4,0x10 + 80021b90: 0045959b slliw a1,a1,0x4 + 80021b94: f0f70713 addi a4,a4,-241 # ff0f <_entry_offset+0xff0f> + 80021b98: 00e7f7b3 and a5,a5,a4 + 80021b9c: 0ff5f593 andi a1,a1,255 + 80021ba0: 00b7e5b3 or a1,a5,a1 + 80021ba4: 00b6a023 sw a1,0(a3) + 80021ba8: 00008067 ret + 80021bac: 0015f593 andi a1,a1,1 + 80021bb0: 00100513 li a0,1 + 80021bb4: 001aa797 auipc a5,0x1aa + 80021bb8: 58b78023 sb a1,1408(a5) # 801cc134 <_ZL4IRQa> + 80021bbc: 994df06f j 80000d50 <_Z12X6502_IRQEndi> + +0000000080021bc0 <_ZL10M18IRQHooki>: + 80021bc0: 001aa797 auipc a5,0x1aa + 80021bc4: 5747c783 lbu a5,1396(a5) # 801cc134 <_ZL4IRQa> + 80021bc8: 02078063 beqz a5,80021be8 <_ZL10M18IRQHooki+0x28> + 80021bcc: 001aa717 auipc a4,0x1aa + 80021bd0: 58870713 addi a4,a4,1416 # 801cc154 <_ZL8IRQCount> + 80021bd4: 00072783 lw a5,0(a4) + 80021bd8: 00078863 beqz a5,80021be8 <_ZL10M18IRQHooki+0x28> + 80021bdc: 40a787bb subw a5,a5,a0 + 80021be0: 00f72023 sw a5,0(a4) + 80021be4: 00f05463 blez a5,80021bec <_ZL10M18IRQHooki+0x2c> + 80021be8: 00008067 ret + 80021bec: ff010113 addi sp,sp,-16 + 80021bf0: 00100513 li a0,1 + 80021bf4: 00113423 sd ra,8(sp) + 80021bf8: 940df0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80021bfc: 00813083 ld ra,8(sp) + 80021c00: 001aa797 auipc a5,0x1aa + 80021c04: 5407aa23 sw zero,1364(a5) # 801cc154 <_ZL8IRQCount> + 80021c08: 001aa797 auipc a5,0x1aa + 80021c0c: 52078623 sb zero,1324(a5) # 801cc134 <_ZL4IRQa> + 80021c10: 01010113 addi sp,sp,16 + 80021c14: 00008067 ret + +0000000080021c18 <_Z13Mapper18_InitP8CartInfo>: + 80021c18: fe010113 addi sp,sp,-32 + 80021c1c: 00113c23 sd ra,24(sp) + 80021c20: 00813823 sd s0,16(sp) + 80021c24: 00913423 sd s1,8(sp) + 80021c28: 01213023 sd s2,0(sp) + 80021c2c: 00000797 auipc a5,0x0 + 80021c30: d9c78793 addi a5,a5,-612 # 800219c8 <_ZL8M18Powerv> + 80021c34: 00f53023 sd a5,0(a0) + 80021c38: 00000797 auipc a5,0x0 + 80021c3c: d5878793 addi a5,a5,-680 # 80021990 <_ZL8M18Closev> + 80021c40: 00f53823 sd a5,16(a0) + 80021c44: 00000797 auipc a5,0x0 + 80021c48: f7c78793 addi a5,a5,-132 # 80021bc0 <_ZL10M18IRQHooki> + 80021c4c: 001aa717 auipc a4,0x1aa + 80021c50: eef73a23 sd a5,-268(a4) # 801cbb40 + 80021c54: 00000797 auipc a5,0x0 + 80021c58: c9078793 addi a5,a5,-880 # 800218e4 <_ZL12StateRestorei> + 80021c5c: 001aa497 auipc s1,0x1aa + 80021c60: 50048493 addi s1,s1,1280 # 801cc15c <_ZL8WRAMSIZE> + 80021c64: 00050413 mv s0,a0 + 80021c68: 001ab717 auipc a4,0x1ab + 80021c6c: 9ef73823 sd a5,-1552(a4) # 801cc658 + 80021c70: 00002537 lui a0,0x2 + 80021c74: 000027b7 lui a5,0x2 + 80021c78: 00f4a023 sw a5,0(s1) + 80021c7c: ff0f00ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80021c80: 0004a603 lw a2,0(s1) + 80021c84: 00050593 mv a1,a0 + 80021c88: 001aa917 auipc s2,0x1aa + 80021c8c: 4b090913 addi s2,s2,1200 # 801cc138 <_ZL4WRAM> + 80021c90: 00100693 li a3,1 + 80021c94: 01000513 li a0,16 + 80021c98: 00b93023 sd a1,0(s2) + 80021c9c: 859ee0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80021ca0: 0004a583 lw a1,0(s1) + 80021ca4: 00093503 ld a0,0(s2) + 80021ca8: 00023697 auipc a3,0x23 + 80021cac: 68068693 addi a3,a3,1664 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80021cb0: 00000613 li a2,0 + 80021cb4: b5cde0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80021cb8: 04c42783 lw a5,76(s0) + 80021cbc: 00078a63 beqz a5,80021cd0 <_Z13Mapper18_InitP8CartInfo+0xb8> + 80021cc0: 00093703 ld a4,0(s2) + 80021cc4: 0004a783 lw a5,0(s1) + 80021cc8: 00e43c23 sd a4,24(s0) + 80021ccc: 02f42c23 sw a5,56(s0) + 80021cd0: 01013403 ld s0,16(sp) + 80021cd4: 01813083 ld ra,24(sp) + 80021cd8: 00813483 ld s1,8(sp) + 80021cdc: 00013903 ld s2,0(sp) + 80021ce0: 00000693 li a3,0 + 80021ce4: 00000613 li a2,0 + 80021ce8: fff00593 li a1,-1 + 80021cec: 00029517 auipc a0,0x29 + 80021cf0: 75450513 addi a0,a0,1876 # 8004b440 <_ZL9StateRegs> + 80021cf4: 02010113 addi sp,sp,32 + 80021cf8: b18de06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080021cfc <_ZL6DoSawVv>: + 80021cfc: 001aa797 auipc a5,0x1aa + 80021d00: ed47a783 lw a5,-300(a5) # 801cbbd0 + 80021d04: 001aa517 auipc a0,0x1aa + 80021d08: e4452503 lw a0,-444(a0) # 801cbb48 + 80021d0c: 00f5053b addw a0,a0,a5 + 80021d10: fe010113 addi sp,sp,-32 + 80021d14: 0105151b slliw a0,a0,0x10 + 80021d18: 00913423 sd s1,8(sp) + 80021d1c: 02051513 slli a0,a0,0x20 + 80021d20: 000a6497 auipc s1,0xa6 + 80021d24: 90848493 addi s1,s1,-1784 # 800c7628 <_ZL4cvbc> + 80021d28: 00813823 sd s0,16(sp) + 80021d2c: 001aa597 auipc a1,0x1aa + 80021d30: ea05e583 lwu a1,-352(a1) # 801cbbcc + 80021d34: 02055513 srli a0,a0,0x20 + 80021d38: 0084a403 lw s0,8(s1) + 80021d3c: 00113c23 sd ra,24(sp) + 80021d40: 01213023 sd s2,0(sp) + 80021d44: 0e4180ef jal ra,80039e28 <__udivdi3> + 80021d48: 0005051b sext.w a0,a0 + 80021d4c: 02a45063 bge s0,a0,80021d6c <_ZL6DoSawVv+0x70> + 80021d50: 001aa717 auipc a4,0x1aa + 80021d54: 44070713 addi a4,a4,1088 # 801cc190 <_ZL5vpsg2> + 80021d58: 00274783 lbu a5,2(a4) + 80021d5c: 00a4a423 sw a0,8(s1) + 80021d60: 0187969b slliw a3,a5,0x18 + 80021d64: 4186d69b sraiw a3,a3,0x18 + 80021d68: 0006ce63 bltz a3,80021d84 <_ZL6DoSawVv+0x88> + 80021d6c: 01813083 ld ra,24(sp) + 80021d70: 01013403 ld s0,16(sp) + 80021d74: 00813483 ld s1,8(sp) + 80021d78: 00013903 ld s2,0(sp) + 80021d7c: 02010113 addi sp,sp,32 + 80021d80: 00008067 ret + 80021d84: 00174803 lbu a6,1(a4) + 80021d88: 00074e03 lbu t3,0(a4) + 80021d8c: 00001737 lui a4,0x1 + 80021d90: 0087979b slliw a5,a5,0x8 + 80021d94: f0070713 addi a4,a4,-256 # f00 <_entry_offset+0xf00> + 80021d98: 00e7f7b3 and a5,a5,a4 + 80021d9c: 00f8083b addw a6,a6,a5 + 80021da0: 001aaf97 auipc t6,0x1aa + 80021da4: 40cf8f93 addi t6,t6,1036 # 801cc1ac <_ZZL6DoSawVvE12saw1phaseacc> + 80021da8: 001aa497 auipc s1,0x1aa + 80021dac: 41048493 addi s1,s1,1040 # 801cc1b8 <_ZZL6DoSawVvE8phaseacc> + 80021db0: 001aa397 auipc t2,0x1aa + 80021db4: 40038393 addi t2,t2,1024 # 801cc1b0 <_ZZL6DoSawVvE2b3> + 80021db8: 001aa297 auipc t0,0x1aa + 80021dbc: 3fc28293 addi t0,t0,1020 # 801cc1b4 <_ZZL6DoSawVvE4duff> + 80021dc0: 0018081b addiw a6,a6,1 + 80021dc4: 000fa603 lw a2,0(t6) + 80021dc8: 0004a583 lw a1,0(s1) + 80021dcc: 0003c783 lbu a5,0(t2) + 80021dd0: 0002a883 lw a7,0(t0) + 80021dd4: 001aaf17 auipc t5,0x1aa + 80021dd8: df0f2f03 lw t5,-528(t5) # 801cbbc4 + 80021ddc: 0128181b slliw a6,a6,0x12 + 80021de0: 03fe7e13 andi t3,t3,63 + 80021de4: 00000913 li s2,0 + 80021de8: 00036e97 auipc t4,0x36 + 80021dec: be0e8e93 addi t4,t4,-1056 # 800579c8 + 80021df0: 00700313 li t1,7 + 80021df4: 0240006f j 80021e18 <_ZL6DoSawVv+0x11c> + 80021df8: 40445713 srai a4,s0,0x4 + 80021dfc: 00271713 slli a4,a4,0x2 + 80021e00: 00ee8733 add a4,t4,a4 + 80021e04: 00072683 lw a3,0(a4) + 80021e08: 0014041b addiw s0,s0,1 + 80021e0c: 011686bb addw a3,a3,a7 + 80021e10: 00d72023 sw a3,0(a4) + 80021e14: 04850e63 beq a0,s0,80021e70 <_ZL6DoSawVv+0x174> + 80021e18: 41e6063b subw a2,a2,t5 + 80021e1c: fcc04ee3 bgtz a2,80021df8 <_ZL6DoSawVv+0xfc> + 80021e20: 0017879b addiw a5,a5,1 + 80021e24: 0ff7f793 andi a5,a5,255 + 80021e28: 00c8063b addw a2,a6,a2 + 80021e2c: 06678863 beq a5,t1,80021e9c <_ZL6DoSawVv+0x1a0> + 80021e30: 00be05bb addw a1,t3,a1 + 80021e34: fec056e3 blez a2,80021e20 <_ZL6DoSawVv+0x124> + 80021e38: 00159893 slli a7,a1,0x1 + 80021e3c: 1f08f713 andi a4,a7,496 + 80021e40: 0017189b slliw a7,a4,0x1 + 80021e44: 00e888bb addw a7,a7,a4 + 80021e48: 40445713 srai a4,s0,0x4 + 80021e4c: 00271713 slli a4,a4,0x2 + 80021e50: 00ee8733 add a4,t4,a4 + 80021e54: 00072683 lw a3,0(a4) + 80021e58: 0028d89b srliw a7,a7,0x2 + 80021e5c: 0014041b addiw s0,s0,1 + 80021e60: 011686bb addw a3,a3,a7 + 80021e64: 00d72023 sw a3,0(a4) + 80021e68: 00100913 li s2,1 + 80021e6c: fa8516e3 bne a0,s0,80021e18 <_ZL6DoSawVv+0x11c> + 80021e70: 00cfa023 sw a2,0(t6) + 80021e74: ee090ce3 beqz s2,80021d6c <_ZL6DoSawVv+0x70> + 80021e78: 01813083 ld ra,24(sp) + 80021e7c: 01013403 ld s0,16(sp) + 80021e80: 00b4a023 sw a1,0(s1) + 80021e84: 00f38023 sb a5,0(t2) + 80021e88: 0112a023 sw a7,0(t0) + 80021e8c: 00813483 ld s1,8(sp) + 80021e90: 00013903 ld s2,0(sp) + 80021e94: 02010113 addi sp,sp,32 + 80021e98: 00008067 ret + 80021e9c: 00000793 li a5,0 + 80021ea0: 00000593 li a1,0 + 80021ea4: f6c05ee3 blez a2,80021e20 <_ZL6DoSawVv+0x124> + 80021ea8: f91ff06f j 80021e38 <_ZL6DoSawVv+0x13c> + +0000000080021eac <_ZL8DoSawVHQv>: + 80021eac: 001aa697 auipc a3,0x1aa + 80021eb0: 2e468693 addi a3,a3,740 # 801cc190 <_ZL5vpsg2> + 80021eb4: 0026c783 lbu a5,2(a3) + 80021eb8: 001aa317 auipc t1,0x1aa + 80021ebc: c9032303 lw t1,-880(t1) # 801cbb48 + 80021ec0: 001aa717 auipc a4,0x1aa + 80021ec4: d1072703 lw a4,-752(a4) # 801cbbd0 + 80021ec8: 00e3063b addw a2,t1,a4 + 80021ecc: 0187971b slliw a4,a5,0x18 + 80021ed0: 4187571b sraiw a4,a4,0x18 + 80021ed4: 00060313 mv t1,a2 + 80021ed8: 000a5e97 auipc t4,0xa5 + 80021edc: 750e8e93 addi t4,t4,1872 # 800c7628 <_ZL4cvbc> + 80021ee0: 00074663 bltz a4,80021eec <_ZL8DoSawVHQv+0x40> + 80021ee4: 006ea423 sw t1,8(t4) + 80021ee8: 00008067 ret + 80021eec: 008ea703 lw a4,8(t4) + 80021ef0: fec75ae3 bge a4,a2,80021ee4 <_ZL8DoSawVHQv+0x38> + 80021ef4: fe010113 addi sp,sp,-32 + 80021ef8: 00813c23 sd s0,24(sp) + 80021efc: 01213423 sd s2,8(sp) + 80021f00: 00913823 sd s1,16(sp) + 80021f04: 0016c383 lbu t2,1(a3) + 80021f08: 0006c483 lbu s1,0(a3) + 80021f0c: fff6051b addiw a0,a2,-1 + 80021f10: 000016b7 lui a3,0x1 + 80021f14: 40e5053b subw a0,a0,a4 + 80021f18: 0087979b slliw a5,a5,0x8 + 80021f1c: f0068693 addi a3,a3,-256 # f00 <_entry_offset+0xf00> + 80021f20: 0013839b addiw t2,t2,1 + 80021f24: 02051513 slli a0,a0,0x20 + 80021f28: 00d7f7b3 and a5,a5,a3 + 80021f2c: 00f387bb addw a5,t2,a5 + 80021f30: 02055513 srli a0,a0,0x20 + 80021f34: 00e50533 add a0,a0,a4 + 80021f38: 0017939b slliw t2,a5,0x1 + 80021f3c: 00271713 slli a4,a4,0x2 + 80021f40: 0003b797 auipc a5,0x3b + 80021f44: a8878793 addi a5,a5,-1400 # 8005c9c8 + 80021f48: 001aa297 auipc t0,0x1aa + 80021f4c: 27828293 addi t0,t0,632 # 801cc1c0 <_ZZL8DoSawVHQvE8phaseacc> + 80021f50: 000a5f17 auipc t5,0xa5 + 80021f54: 700f0f13 addi t5,t5,1792 # 800c7650 <_ZL6vcount> + 80021f58: 001aaf97 auipc t6,0x1aa + 80021f5c: 264f8f93 addi t6,t6,612 # 801cc1bc <_ZZL8DoSawVHQvE2b3> + 80021f60: 00251513 slli a0,a0,0x2 + 80021f64: 00f70733 add a4,a4,a5 + 80021f68: 00002e37 lui t3,0x2 + 80021f6c: 0003b797 auipc a5,0x3b + 80021f70: a6078793 addi a5,a5,-1440 # 8005c9cc + 80021f74: 0002a883 lw a7,0(t0) + 80021f78: 008f2583 lw a1,8(t5) + 80021f7c: 000fc803 lbu a6,0(t6) + 80021f80: 03f4f493 andi s1,s1,63 + 80021f84: 00f50533 add a0,a0,a5 + 80021f88: 00000413 li s0,0 + 80021f8c: f00e0e13 addi t3,t3,-256 # 1f00 <_entry_offset+0x1f00> + 80021f90: 00700913 li s2,7 + 80021f94: 00c0006f j 80021fa0 <_ZL8DoSawVHQv+0xf4> + 80021f98: 00470713 addi a4,a4,4 + 80021f9c: 04e50863 beq a0,a4,80021fec <_ZL8DoSawVHQv+0x140> + 80021fa0: 00589793 slli a5,a7,0x5 + 80021fa4: 01c7f6b3 and a3,a5,t3 + 80021fa8: 0016979b slliw a5,a3,0x1 + 80021fac: 00072603 lw a2,0(a4) + 80021fb0: 00d787bb addw a5,a5,a3 + 80021fb4: 0017979b slliw a5,a5,0x1 + 80021fb8: 4037d79b sraiw a5,a5,0x3 + 80021fbc: 00f607bb addw a5,a2,a5 + 80021fc0: 00f72023 sw a5,0(a4) + 80021fc4: fff5859b addiw a1,a1,-1 + 80021fc8: 0018069b addiw a3,a6,1 + 80021fcc: fcb046e3 bgtz a1,80021f98 <_ZL8DoSawVHQv+0xec> + 80021fd0: 0ff6f813 andi a6,a3,255 + 80021fd4: 05280063 beq a6,s2,80022014 <_ZL8DoSawVHQv+0x168> + 80021fd8: 00470713 addi a4,a4,4 + 80021fdc: 011488bb addw a7,s1,a7 + 80021fe0: 00038593 mv a1,t2 + 80021fe4: 00100413 li s0,1 + 80021fe8: fae51ce3 bne a0,a4,80021fa0 <_ZL8DoSawVHQv+0xf4> + 80021fec: 00bf2423 sw a1,8(t5) + 80021ff0: 00040663 beqz s0,80021ffc <_ZL8DoSawVHQv+0x150> + 80021ff4: 0112a023 sw a7,0(t0) + 80021ff8: 010f8023 sb a6,0(t6) + 80021ffc: 01813403 ld s0,24(sp) + 80022000: 006ea423 sw t1,8(t4) + 80022004: 01013483 ld s1,16(sp) + 80022008: 00813903 ld s2,8(sp) + 8002200c: 02010113 addi sp,sp,32 + 80022010: 00008067 ret + 80022014: 00038593 mv a1,t2 + 80022018: 00000813 li a6,0 + 8002201c: 00100413 li s0,1 + 80022020: 00000893 li a7,0 + 80022024: f75ff06f j 80021f98 <_ZL8DoSawVHQv+0xec> + +0000000080022028 <_Z10VRC6SyncHQi>: + 80022028: 000a5797 auipc a5,0xa5 + 8002202c: 60078793 addi a5,a5,1536 # 800c7628 <_ZL4cvbc> + 80022030: 00a7a023 sw a0,0(a5) + 80022034: 00a7a223 sw a0,4(a5) + 80022038: 00a7a423 sw a0,8(a5) + 8002203c: 00008067 ret + +0000000080022040 <_ZL4Syncv>: + 80022040: fe010113 addi sp,sp,-32 + 80022044: 00113c23 sd ra,24(sp) + 80022048: 00813823 sd s0,16(sp) + 8002204c: 00913423 sd s1,8(sp) + 80022050: 01213023 sd s2,0(sp) + 80022054: 001aa797 auipc a5,0x1aa + 80022058: 12c7c783 lbu a5,300(a5) # 801cc180 <_ZL4is26> + 8002205c: 0a079063 bnez a5,800220fc <_ZL4Syncv+0xbc> + 80022060: 001aa417 auipc s0,0x1aa + 80022064: 11040413 addi s0,s0,272 # 801cc170 <_ZL3prg> + 80022068: 00044583 lbu a1,0(s0) + 8002206c: 00008537 lui a0,0x8 + 80022070: 001aa497 auipc s1,0x1aa + 80022074: 0f848493 addi s1,s1,248 # 801cc168 <_ZL3chr> + 80022078: be1ee0ef jal ra,80010c58 <_Z8setprg16jj> + 8002207c: 00144583 lbu a1,1(s0) + 80022080: 0000c537 lui a0,0xc + 80022084: 00000413 li s0,0 + 80022088: a15ee0ef jal ra,80010a9c <_Z7setprg8jj> + 8002208c: fff00593 li a1,-1 + 80022090: 0000e537 lui a0,0xe + 80022094: a09ee0ef jal ra,80010a9c <_Z7setprg8jj> + 80022098: 00002937 lui s2,0x2 + 8002209c: 0004c583 lbu a1,0(s1) + 800220a0: 00040513 mv a0,s0 + 800220a4: 4004041b addiw s0,s0,1024 + 800220a8: dfdee0ef jal ra,80010ea4 <_Z7setchr1jj> + 800220ac: 00148493 addi s1,s1,1 + 800220b0: ff2416e3 bne s0,s2,8002209c <_ZL4Syncv+0x5c> + 800220b4: 001aa797 auipc a5,0x1aa + 800220b8: 0cd7c783 lbu a5,205(a5) # 801cc181 <_ZL4mirr> + 800220bc: 0037f793 andi a5,a5,3 + 800220c0: 00200713 li a4,2 + 800220c4: 00200513 li a0,2 + 800220c8: 00e78e63 beq a5,a4,800220e4 <_ZL4Syncv+0xa4> + 800220cc: 00300713 li a4,3 + 800220d0: 00300513 li a0,3 + 800220d4: 00e78863 beq a5,a4,800220e4 <_ZL4Syncv+0xa4> + 800220d8: 00100713 li a4,1 + 800220dc: 00100513 li a0,1 + 800220e0: 02e78863 beq a5,a4,80022110 <_ZL4Syncv+0xd0> + 800220e4: 01013403 ld s0,16(sp) + 800220e8: 01813083 ld ra,24(sp) + 800220ec: 00813483 ld s1,8(sp) + 800220f0: 00013903 ld s2,0(sp) + 800220f4: 02010113 addi sp,sp,32 + 800220f8: f51ee06f j 80011048 <_Z9setmirrori> + 800220fc: 00000613 li a2,0 + 80022100: 000065b7 lui a1,0x6 + 80022104: 01000513 li a0,16 + 80022108: fe0ee0ef jal ra,800108e8 <_Z8setprg8rijj> + 8002210c: f55ff06f j 80022060 <_ZL4Syncv+0x20> + 80022110: 01013403 ld s0,16(sp) + 80022114: 01813083 ld ra,24(sp) + 80022118: 00813483 ld s1,8(sp) + 8002211c: 00013903 ld s2,0(sp) + 80022120: 00000513 li a0,0 + 80022124: 02010113 addi sp,sp,32 + 80022128: f21ee06f j 80011048 <_Z9setmirrori> + +000000008002212c <_ZL12StateRestorei>: + 8002212c: f15ff06f j 80022040 <_ZL4Syncv> + +0000000080022130 <_ZL9VRC6Powerv>: + 80022130: ff010113 addi sp,sp,-16 + 80022134: 00113423 sd ra,8(sp) + 80022138: 00813023 sd s0,0(sp) + 8002213c: f05ff0ef jal ra,80022040 <_ZL4Syncv> + 80022140: 00010437 lui s0,0x10 + 80022144: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80022148: fffee617 auipc a2,0xfffee + 8002214c: 51860613 addi a2,a2,1304 # 80010660 <_Z6CartBRj> + 80022150: 00006537 lui a0,0x6 + 80022154: 0c9110ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80022158: 000085b7 lui a1,0x8 + 8002215c: fffee617 auipc a2,0xfffee + 80022160: 53060613 addi a2,a2,1328 # 8001068c <_Z6CartBWjh> + 80022164: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80022168: 00006537 lui a0,0x6 + 8002216c: 1bd110ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80022170: fff40593 addi a1,s0,-1 + 80022174: 00000617 auipc a2,0x0 + 80022178: 27860613 addi a2,a2,632 # 800223ec <_ZL9VRC6Writejh> + 8002217c: 00008537 lui a0,0x8 + 80022180: 1a9110ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80022184: 00013403 ld s0,0(sp) + 80022188: 00813083 ld ra,8(sp) + 8002218c: 001aa517 auipc a0,0x1aa + 80022190: 01c52503 lw a0,28(a0) # 801cc1a8 <_ZL8WRAMSIZE> + 80022194: 001aa617 auipc a2,0x1aa + 80022198: fe463603 ld a2,-28(a2) # 801cc178 <_ZL4WRAM> + 8002219c: 000065b7 lui a1,0x6 + 800221a0: 00a5551b srliw a0,a0,0xa + 800221a4: 01010113 addi sp,sp,16 + 800221a8: e6ddd06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +00000000800221ac <_ZL8VRC6_ESIv>: + 800221ac: ff010113 addi sp,sp,-16 + 800221b0: 00035797 auipc a5,0x35 + 800221b4: 7d878793 addi a5,a5,2008 # 80057988 + 800221b8: 00113423 sd ra,8(sp) + 800221bc: 00000717 auipc a4,0x0 + 800221c0: ff070713 addi a4,a4,-16 # 800221ac <_ZL8VRC6_ESIv> + 800221c4: 02e7b023 sd a4,32(a5) + 800221c8: 00001717 auipc a4,0x1 + 800221cc: e2470713 addi a4,a4,-476 # 80022fec <_Z9VRC6Soundi> + 800221d0: 00e7b023 sd a4,0(a5) + 800221d4: 00000717 auipc a4,0x0 + 800221d8: 7cc70713 addi a4,a4,1996 # 800229a0 <_Z11VRC6SoundHQv> + 800221dc: 00e7b823 sd a4,16(a5) + 800221e0: 00c00613 li a2,12 + 800221e4: 00000717 auipc a4,0x0 + 800221e8: e4470713 addi a4,a4,-444 # 80022028 <_Z10VRC6SyncHQi> + 800221ec: 00000593 li a1,0 + 800221f0: 000a5517 auipc a0,0xa5 + 800221f4: 43850513 addi a0,a0,1080 # 800c7628 <_ZL4cvbc> + 800221f8: 00e7bc23 sd a4,24(a5) + 800221fc: 02d190ef jal ra,8003ba28 + 80022200: 00c00613 li a2,12 + 80022204: 00000593 li a1,0 + 80022208: 000a5517 auipc a0,0xa5 + 8002220c: 44850513 addi a0,a0,1096 # 800c7650 <_ZL6vcount> + 80022210: 019190ef jal ra,8003ba28 + 80022214: 00800613 li a2,8 + 80022218: 00000593 li a1,0 + 8002221c: 001aa517 auipc a0,0x1aa + 80022220: f7c50513 addi a0,a0,-132 # 801cc198 <_ZL6dcount> + 80022224: 005190ef jal ra,8003ba28 + 80022228: 001a7797 auipc a5,0x1a7 + 8002222c: ab878793 addi a5,a5,-1352 # 801c8ce0 + 80022230: 03c7a703 lw a4,60(a5) + 80022234: 0a070263 beqz a4,800222d8 <_ZL8VRC6_ESIv+0x12c> + 80022238: 0407a783 lw a5,64(a5) + 8002223c: 04f05863 blez a5,8002228c <_ZL8VRC6_ESIv+0xe0> + 80022240: 000a5797 auipc a5,0xa5 + 80022244: 3f878793 addi a5,a5,1016 # 800c7638 <_ZL4sfun> + 80022248: 00000717 auipc a4,0x0 + 8002224c: 43870713 addi a4,a4,1080 # 80022680 <_ZL8DoSQV1HQv> + 80022250: 00e7b023 sd a4,0(a5) + 80022254: 00000717 auipc a4,0x0 + 80022258: 5bc70713 addi a4,a4,1468 # 80022810 <_ZL8DoSQV2HQv> + 8002225c: 00813083 ld ra,8(sp) + 80022260: 00e7b423 sd a4,8(a5) + 80022264: 00000717 auipc a4,0x0 + 80022268: c4870713 addi a4,a4,-952 # 80021eac <_ZL8DoSawVHQv> + 8002226c: 00e7b823 sd a4,16(a5) + 80022270: 00000693 li a3,0 + 80022274: 00000613 li a2,0 + 80022278: fff00593 li a1,-1 + 8002227c: 0002b517 auipc a0,0x2b + 80022280: b7450513 addi a0,a0,-1164 # 8004cdf0 <_ZL10SStateRegs> + 80022284: 01010113 addi sp,sp,16 + 80022288: d89dd06f j 80000010 <_Z10AddExStatePvjiPKc> + 8002228c: 000a5797 auipc a5,0xa5 + 80022290: 3ac78793 addi a5,a5,940 # 800c7638 <_ZL4sfun> + 80022294: 00001717 auipc a4,0x1 + 80022298: a2070713 addi a4,a4,-1504 # 80022cb4 <_ZL6DoSQV1v> + 8002229c: 00e7b023 sd a4,0(a5) + 800222a0: 00001717 auipc a4,0x1 + 800222a4: bb070713 addi a4,a4,-1104 # 80022e50 <_ZL6DoSQV2v> + 800222a8: 00813083 ld ra,8(sp) + 800222ac: 00e7b423 sd a4,8(a5) + 800222b0: 00000717 auipc a4,0x0 + 800222b4: a4c70713 addi a4,a4,-1460 # 80021cfc <_ZL6DoSawVv> + 800222b8: 00e7b823 sd a4,16(a5) + 800222bc: 00000693 li a3,0 + 800222c0: 00000613 li a2,0 + 800222c4: fff00593 li a1,-1 + 800222c8: 0002b517 auipc a0,0x2b + 800222cc: b2850513 addi a0,a0,-1240 # 8004cdf0 <_ZL10SStateRegs> + 800222d0: 01010113 addi sp,sp,16 + 800222d4: d3ddd06f j 80000010 <_Z10AddExStatePvjiPKc> + 800222d8: 01800613 li a2,24 + 800222dc: 00000593 li a1,0 + 800222e0: 000a5517 auipc a0,0xa5 + 800222e4: 35850513 addi a0,a0,856 # 800c7638 <_ZL4sfun> + 800222e8: 740190ef jal ra,8003ba28 + 800222ec: 00813083 ld ra,8(sp) + 800222f0: 00000693 li a3,0 + 800222f4: 00000613 li a2,0 + 800222f8: fff00593 li a1,-1 + 800222fc: 0002b517 auipc a0,0x2b + 80022300: af450513 addi a0,a0,-1292 # 8004cdf0 <_ZL10SStateRegs> + 80022304: 01010113 addi sp,sp,16 + 80022308: d09dd06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002230c <_ZL9VRC6Closev>: + 8002230c: 001aa517 auipc a0,0x1aa + 80022310: e6c53503 ld a0,-404(a0) # 801cc178 <_ZL4WRAM> + 80022314: 02050263 beqz a0,80022338 <_ZL9VRC6Closev+0x2c> + 80022318: ff010113 addi sp,sp,-16 + 8002231c: 00113423 sd ra,8(sp) + 80022320: a20f00ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80022324: 00813083 ld ra,8(sp) + 80022328: 001aa797 auipc a5,0x1aa + 8002232c: e407b823 sd zero,-432(a5) # 801cc178 <_ZL4WRAM> + 80022330: 01010113 addi sp,sp,16 + 80022334: 00008067 ret + 80022338: 001aa797 auipc a5,0x1aa + 8002233c: e407b023 sd zero,-448(a5) # 801cc178 <_ZL4WRAM> + 80022340: 00008067 ret + +0000000080022344 <_ZL6VRC6SWjh>: + 80022344: 0000f7b7 lui a5,0xf + 80022348: 00378793 addi a5,a5,3 # f003 <_entry_offset+0xf003> + 8002234c: 00f577b3 and a5,a0,a5 + 80022350: ffff7737 lui a4,0xffff7 + 80022354: 00f7073b addw a4,a4,a5 + 80022358: 00200693 li a3,2 + 8002235c: 02e6ec63 bltu a3,a4,80022394 <_ZL6VRC6SWjh+0x50> + 80022360: 00357513 andi a0,a0,3 + 80022364: 001aa797 auipc a5,0x1aa + 80022368: e2478793 addi a5,a5,-476 # 801cc188 <_ZL5vpsg1> + 8002236c: 00a78533 add a0,a5,a0 + 80022370: 00b50023 sb a1,0(a0) + 80022374: 000a5317 auipc t1,0xa5 + 80022378: 2c433303 ld t1,708(t1) # 800c7638 <_ZL4sfun> + 8002237c: 00030a63 beqz t1,80022390 <_ZL6VRC6SWjh+0x4c> + 80022380: 00030067 jr t1 + 80022384: ffff5737 lui a4,0xffff5 + 80022388: 00f707bb addw a5,a4,a5 + 8002238c: 02f6fe63 bgeu a3,a5,800223c8 <_ZL6VRC6SWjh+0x84> + 80022390: 00008067 ret + 80022394: ffff6737 lui a4,0xffff6 + 80022398: 00f7073b addw a4,a4,a5 + 8002239c: fee6e4e3 bltu a3,a4,80022384 <_ZL6VRC6SWjh+0x40> + 800223a0: 00357513 andi a0,a0,3 + 800223a4: 00456513 ori a0,a0,4 + 800223a8: 001aa797 auipc a5,0x1aa + 800223ac: de078793 addi a5,a5,-544 # 801cc188 <_ZL5vpsg1> + 800223b0: 00a78533 add a0,a5,a0 + 800223b4: 00b50023 sb a1,0(a0) + 800223b8: 000a5317 auipc t1,0xa5 + 800223bc: 28833303 ld t1,648(t1) # 800c7640 <_ZL4sfun+0x8> + 800223c0: fc0308e3 beqz t1,80022390 <_ZL6VRC6SWjh+0x4c> + 800223c4: 00030067 jr t1 + 800223c8: 00357513 andi a0,a0,3 + 800223cc: 001aa797 auipc a5,0x1aa + 800223d0: dc478793 addi a5,a5,-572 # 801cc190 <_ZL5vpsg2> + 800223d4: 00a78533 add a0,a5,a0 + 800223d8: 00b50023 sb a1,0(a0) + 800223dc: 000a5317 auipc t1,0xa5 + 800223e0: 26c33303 ld t1,620(t1) # 800c7648 <_ZL4sfun+0x10> + 800223e4: f8031ee3 bnez t1,80022380 <_ZL6VRC6SWjh+0x3c> + 800223e8: fa9ff06f j 80022390 <_ZL6VRC6SWjh+0x4c> + +00000000800223ec <_ZL9VRC6Writejh>: + 800223ec: 001aa797 auipc a5,0x1aa + 800223f0: d947c783 lbu a5,-620(a5) # 801cc180 <_ZL4is26> + 800223f4: 02078463 beqz a5,8002241c <_ZL9VRC6Writejh+0x30> + 800223f8: 0015579b srliw a5,a0,0x1 + 800223fc: 0015171b slliw a4,a0,0x1 + 80022400: 000106b7 lui a3,0x10 + 80022404: 0017f793 andi a5,a5,1 + 80022408: 00277713 andi a4,a4,2 + 8002240c: ffc68693 addi a3,a3,-4 # fffc <_entry_offset+0xfffc> + 80022410: 00d57533 and a0,a0,a3 + 80022414: 00e7e7b3 or a5,a5,a4 + 80022418: 00f56533 or a0,a0,a5 + 8002241c: ffff7737 lui a4,0xffff7 + 80022420: 000027b7 lui a5,0x2 + 80022424: 00a7073b addw a4,a4,a0 + 80022428: 00278793 addi a5,a5,2 # 2002 <_entry_offset+0x2002> + 8002242c: 0ee7f663 bgeu a5,a4,80022518 <_ZL9VRC6Writejh+0x12c> + 80022430: 0000f7b7 lui a5,0xf + 80022434: 00378713 addi a4,a5,3 # f003 <_entry_offset+0xf003> + 80022438: 00e57533 and a0,a0,a4 + 8002243c: 0000e737 lui a4,0xe + 80022440: 12e50c63 beq a0,a4,80022578 <_ZL9VRC6Writejh+0x18c> + 80022444: 02a76663 bltu a4,a0,80022470 <_ZL9VRC6Writejh+0x84> + 80022448: 0000d7b7 lui a5,0xd + 8002244c: 14f50463 beq a0,a5,80022594 <_ZL9VRC6Writejh+0x1a8> + 80022450: 06a7f663 bgeu a5,a0,800224bc <_ZL9VRC6Writejh+0xd0> + 80022454: 00278713 addi a4,a5,2 # d002 <_entry_offset+0xd002> + 80022458: 14e50463 beq a0,a4,800225a0 <_ZL9VRC6Writejh+0x1b4> + 8002245c: 00378713 addi a4,a5,3 + 80022460: 06e51e63 bne a0,a4,800224dc <_ZL9VRC6Writejh+0xf0> + 80022464: 001aa797 auipc a5,0x1aa + 80022468: d0b783a3 sb a1,-761(a5) # 801cc16b <_ZL3chr+0x3> + 8002246c: bd5ff06f j 80022040 <_ZL4Syncv> + 80022470: 10f50a63 beq a0,a5,80022584 <_ZL9VRC6Writejh+0x198> + 80022474: 02a7f663 bgeu a5,a0,800224a0 <_ZL9VRC6Writejh+0xb4> + 80022478: 00178713 addi a4,a5,1 + 8002247c: 0ae50063 beq a0,a4,8002251c <_ZL9VRC6Writejh+0x130> + 80022480: 00278793 addi a5,a5,2 + 80022484: 12f51663 bne a0,a5,800225b0 <_ZL9VRC6Writejh+0x1c4> + 80022488: 001aa797 auipc a5,0x1aa + 8002248c: ceb7c783 lbu a5,-789(a5) # 801cc173 <_ZL4IRQd> + 80022490: 00100513 li a0,1 + 80022494: 001aa717 auipc a4,0x1aa + 80022498: ccf70f23 sb a5,-802(a4) # 801cc172 <_ZL4IRQa> + 8002249c: 8b5de06f j 80000d50 <_Z12X6502_IRQEndi> + 800224a0: 00270793 addi a5,a4,2 + 800224a4: 0af50a63 beq a0,a5,80022558 <_ZL9VRC6Writejh+0x16c> + 800224a8: 00370793 addi a5,a4,3 + 800224ac: 04f51263 bne a0,a5,800224f0 <_ZL9VRC6Writejh+0x104> + 800224b0: 001aa797 auipc a5,0x1aa + 800224b4: cab78fa3 sb a1,-833(a5) # 801cc16f <_ZL3chr+0x7> + 800224b8: b89ff06f j 80022040 <_ZL4Syncv> + 800224bc: 0000b7b7 lui a5,0xb + 800224c0: 00378793 addi a5,a5,3 # b003 <_entry_offset+0xb003> + 800224c4: 0af50063 beq a0,a5,80022564 <_ZL9VRC6Writejh+0x178> + 800224c8: 0000c7b7 lui a5,0xc + 800224cc: 02f51c63 bne a0,a5,80022504 <_ZL9VRC6Writejh+0x118> + 800224d0: 001aa797 auipc a5,0x1aa + 800224d4: cab780a3 sb a1,-863(a5) # 801cc171 <_ZL3prg+0x1> + 800224d8: b69ff06f j 80022040 <_ZL4Syncv> + 800224dc: 00178793 addi a5,a5,1 + 800224e0: 0cf51663 bne a0,a5,800225ac <_ZL9VRC6Writejh+0x1c0> + 800224e4: 001aa797 auipc a5,0x1aa + 800224e8: c8b782a3 sb a1,-891(a5) # 801cc169 <_ZL3chr+0x1> + 800224ec: b55ff06f j 80022040 <_ZL4Syncv> + 800224f0: 00170713 addi a4,a4,1 + 800224f4: 0ce51263 bne a0,a4,800225b8 <_ZL9VRC6Writejh+0x1cc> + 800224f8: 001aa797 auipc a5,0x1aa + 800224fc: c6b78aa3 sb a1,-907(a5) # 801cc16d <_ZL3chr+0x5> + 80022500: b41ff06f j 80022040 <_ZL4Syncv> + 80022504: 000087b7 lui a5,0x8 + 80022508: 0af51663 bne a0,a5,800225b4 <_ZL9VRC6Writejh+0x1c8> + 8002250c: 001aa797 auipc a5,0x1aa + 80022510: c6b78223 sb a1,-924(a5) # 801cc170 <_ZL3prg> + 80022514: b2dff06f j 80022040 <_ZL4Syncv> + 80022518: e2dff06f j 80022344 <_ZL6VRC6SWjh> + 8002251c: 0025f793 andi a5,a1,2 + 80022520: 0015f593 andi a1,a1,1 + 80022524: 001aa717 auipc a4,0x1aa + 80022528: c4f70723 sb a5,-946(a4) # 801cc172 <_ZL4IRQa> + 8002252c: 001aa717 auipc a4,0x1aa + 80022530: c4b703a3 sb a1,-953(a4) # 801cc173 <_ZL4IRQd> + 80022534: 00078a63 beqz a5,80022548 <_ZL9VRC6Writejh+0x15c> + 80022538: 001aa797 auipc a5,0x1aa + 8002253c: c6c7c783 lbu a5,-916(a5) # 801cc1a4 <_ZL8IRQLatch> + 80022540: 001aa717 auipc a4,0x1aa + 80022544: c6f72023 sw a5,-928(a4) # 801cc1a0 <_ZL8IRQCount> + 80022548: 00100513 li a0,1 + 8002254c: 001aa797 auipc a5,0x1aa + 80022550: c007aa23 sw zero,-1004(a5) # 801cc160 <_ZL10CycleCount> + 80022554: ffcde06f j 80000d50 <_Z12X6502_IRQEndi> + 80022558: 001aa797 auipc a5,0x1aa + 8002255c: c0b78b23 sb a1,-1002(a5) # 801cc16e <_ZL3chr+0x6> + 80022560: ae1ff06f j 80022040 <_ZL4Syncv> + 80022564: 4025d59b sraiw a1,a1,0x2 + 80022568: 0035f593 andi a1,a1,3 + 8002256c: 001aa797 auipc a5,0x1aa + 80022570: c0b78aa3 sb a1,-1003(a5) # 801cc181 <_ZL4mirr> + 80022574: acdff06f j 80022040 <_ZL4Syncv> + 80022578: 001aa797 auipc a5,0x1aa + 8002257c: beb78a23 sb a1,-1036(a5) # 801cc16c <_ZL3chr+0x4> + 80022580: ac1ff06f j 80022040 <_ZL4Syncv> + 80022584: 00100513 li a0,1 + 80022588: 001aa797 auipc a5,0x1aa + 8002258c: c0b78e23 sb a1,-996(a5) # 801cc1a4 <_ZL8IRQLatch> + 80022590: fc0de06f j 80000d50 <_Z12X6502_IRQEndi> + 80022594: 001aa797 auipc a5,0x1aa + 80022598: bcb78a23 sb a1,-1068(a5) # 801cc168 <_ZL3chr> + 8002259c: aa5ff06f j 80022040 <_ZL4Syncv> + 800225a0: 001aa797 auipc a5,0x1aa + 800225a4: bcb78523 sb a1,-1078(a5) # 801cc16a <_ZL3chr+0x2> + 800225a8: a99ff06f j 80022040 <_ZL4Syncv> + 800225ac: 00008067 ret + 800225b0: 00008067 ret + 800225b4: 00008067 ret + 800225b8: 00008067 ret + +00000000800225bc <_ZL11VRC6IRQHooki>: + 800225bc: 001aa797 auipc a5,0x1aa + 800225c0: bb67c783 lbu a5,-1098(a5) # 801cc172 <_ZL4IRQa> + 800225c4: 0a078c63 beqz a5,8002267c <_ZL11VRC6IRQHooki+0xc0> + 800225c8: fd010113 addi sp,sp,-48 + 800225cc: 01213823 sd s2,16(sp) + 800225d0: 001aa917 auipc s2,0x1aa + 800225d4: b9090913 addi s2,s2,-1136 # 801cc160 <_ZL10CycleCount> + 800225d8: 00092703 lw a4,0(s2) + 800225dc: 0015179b slliw a5,a0,0x1 + 800225e0: 00a7853b addw a0,a5,a0 + 800225e4: 00e507bb addw a5,a0,a4 + 800225e8: 02813023 sd s0,32(sp) + 800225ec: 00913c23 sd s1,24(sp) + 800225f0: 01313423 sd s3,8(sp) + 800225f4: 01413023 sd s4,0(sp) + 800225f8: 02113423 sd ra,40(sp) + 800225fc: 00f92023 sw a5,0(s2) + 80022600: 001aa997 auipc s3,0x1aa + 80022604: ba098993 addi s3,s3,-1120 # 801cc1a0 <_ZL8IRQCount> + 80022608: 15400413 li s0,340 + 8002260c: 10000493 li s1,256 + 80022610: 001aaa17 auipc s4,0x1aa + 80022614: b94a0a13 addi s4,s4,-1132 # 801cc1a4 <_ZL8IRQLatch> + 80022618: 0009a703 lw a4,0(s3) + 8002261c: 00000693 li a3,0 + 80022620: 02f45863 bge s0,a5,80022650 <_ZL11VRC6IRQHooki+0x94> + 80022624: 0017071b addiw a4,a4,1 + 80022628: eab7879b addiw a5,a5,-341 + 8002262c: 00100693 li a3,1 + 80022630: fe9718e3 bne a4,s1,80022620 <_ZL11VRC6IRQHooki+0x64> + 80022634: 000a4703 lbu a4,0(s4) + 80022638: 00100513 li a0,1 + 8002263c: 00f92023 sw a5,0(s2) + 80022640: 00e9a023 sw a4,0(s3) + 80022644: ef4de0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80022648: 00092783 lw a5,0(s2) + 8002264c: fcdff06f j 80022618 <_ZL11VRC6IRQHooki+0x5c> + 80022650: 00068663 beqz a3,8002265c <_ZL11VRC6IRQHooki+0xa0> + 80022654: 00f92023 sw a5,0(s2) + 80022658: 00e9a023 sw a4,0(s3) + 8002265c: 02813083 ld ra,40(sp) + 80022660: 02013403 ld s0,32(sp) + 80022664: 01813483 ld s1,24(sp) + 80022668: 01013903 ld s2,16(sp) + 8002266c: 00813983 ld s3,8(sp) + 80022670: 00013a03 ld s4,0(sp) + 80022674: 03010113 addi sp,sp,48 + 80022678: 00008067 ret + 8002267c: 00008067 ret + +0000000080022680 <_ZL8DoSQV1HQv>: + 80022680: 001aa517 auipc a0,0x1aa + 80022684: b0850513 addi a0,a0,-1272 # 801cc188 <_ZL5vpsg1> + 80022688: 00254603 lbu a2,2(a0) + 8002268c: 001a9717 auipc a4,0x1a9 + 80022690: 4bc72703 lw a4,1212(a4) # 801cbb48 + 80022694: 001a9797 auipc a5,0x1a9 + 80022698: 53c7a783 lw a5,1340(a5) # 801cbbd0 + 8002269c: 00f7083b addw a6,a4,a5 + 800226a0: 0186179b slliw a5,a2,0x18 + 800226a4: 4187d79b sraiw a5,a5,0x18 + 800226a8: 00080713 mv a4,a6 + 800226ac: 000a5317 auipc t1,0xa5 + 800226b0: f7c30313 addi t1,t1,-132 # 800c7628 <_ZL4cvbc> + 800226b4: 0007c663 bltz a5,800226c0 <_ZL8DoSQV1HQv+0x40> + 800226b8: 00e32023 sw a4,0(t1) + 800226bc: 00008067 ret + 800226c0: 00054583 lbu a1,0(a0) + 800226c4: 000018b7 lui a7,0x1 + 800226c8: f0088893 addi a7,a7,-256 # f00 <_entry_offset+0xf00> + 800226cc: 0085969b slliw a3,a1,0x8 + 800226d0: 0116f7b3 and a5,a3,a7 + 800226d4: 0017969b slliw a3,a5,0x1 + 800226d8: 00f686bb addw a3,a3,a5 + 800226dc: 01859e1b slliw t3,a1,0x18 + 800226e0: 0016969b slliw a3,a3,0x1 + 800226e4: 000a5317 auipc t1,0xa5 + 800226e8: f4430313 addi t1,t1,-188 # 800c7628 <_ZL4cvbc> + 800226ec: 418e5e1b sraiw t3,t3,0x18 + 800226f0: 00032783 lw a5,0(t1) + 800226f4: 0005859b sext.w a1,a1 + 800226f8: 4036d69b sraiw a3,a3,0x3 + 800226fc: 0c0e4063 bltz t3,800227bc <_ZL8DoSQV1HQv+0x13c> + 80022700: 4045d59b sraiw a1,a1,0x4 + 80022704: 0075fe13 andi t3,a1,7 + 80022708: fb07d8e3 bge a5,a6,800226b8 <_ZL8DoSQV1HQv+0x38> + 8002270c: fff8081b addiw a6,a6,-1 + 80022710: 00154503 lbu a0,1(a0) + 80022714: 40f8083b subw a6,a6,a5 + 80022718: 0086161b slliw a2,a2,0x8 + 8002271c: 02081813 slli a6,a6,0x20 + 80022720: 01167633 and a2,a2,a7 + 80022724: 001aa297 auipc t0,0x1aa + 80022728: a7428293 addi t0,t0,-1420 # 801cc198 <_ZL6dcount> + 8002272c: 000a5f97 auipc t6,0xa5 + 80022730: f24f8f93 addi t6,t6,-220 # 800c7650 <_ZL6vcount> + 80022734: 02085813 srli a6,a6,0x20 + 80022738: 00f80833 add a6,a6,a5 + 8002273c: 00a66633 or a2,a2,a0 + 80022740: 00279793 slli a5,a5,0x2 + 80022744: 0003a517 auipc a0,0x3a + 80022748: 28450513 addi a0,a0,644 # 8005c9c8 + 8002274c: 0002a883 lw a7,0(t0) + 80022750: 000fa583 lw a1,0(t6) + 80022754: 00281813 slli a6,a6,0x2 + 80022758: 00a787b3 add a5,a5,a0 + 8002275c: 0003a517 auipc a0,0x3a + 80022760: 27050513 addi a0,a0,624 # 8005c9cc + 80022764: 0016061b addiw a2,a2,1 + 80022768: 00a80833 add a6,a6,a0 + 8002276c: 00000f13 li t5,0 + 80022770: fff5859b addiw a1,a1,-1 + 80022774: 00188e9b addiw t4,a7,1 + 80022778: 011e5863 bge t3,a7,80022788 <_ZL8DoSQV1HQv+0x108> + 8002277c: 0007a503 lw a0,0(a5) + 80022780: 00d5053b addw a0,a0,a3 + 80022784: 00a7a023 sw a0,0(a5) + 80022788: 00478793 addi a5,a5,4 + 8002278c: 00b05e63 blez a1,800227a8 <_ZL8DoSQV1HQv+0x128> + 80022790: fef810e3 bne a6,a5,80022770 <_ZL8DoSQV1HQv+0xf0> + 80022794: 00bfa023 sw a1,0(t6) + 80022798: f20f00e3 beqz t5,800226b8 <_ZL8DoSQV1HQv+0x38> + 8002279c: 0112a023 sw a7,0(t0) + 800227a0: 00e32023 sw a4,0(t1) + 800227a4: 00008067 ret + 800227a8: 00fef893 andi a7,t4,15 + 800227ac: 00060593 mv a1,a2 + 800227b0: 00100f13 li t5,1 + 800227b4: faf81ee3 bne a6,a5,80022770 <_ZL8DoSQV1HQv+0xf0> + 800227b8: fddff06f j 80022794 <_ZL8DoSQV1HQv+0x114> + 800227bc: ef07dee3 bge a5,a6,800226b8 <_ZL8DoSQV1HQv+0x38> + 800227c0: fff8059b addiw a1,a6,-1 + 800227c4: 40f585bb subw a1,a1,a5 + 800227c8: 02059593 slli a1,a1,0x20 + 800227cc: 0205d593 srli a1,a1,0x20 + 800227d0: 00f585b3 add a1,a1,a5 + 800227d4: 0003a617 auipc a2,0x3a + 800227d8: 1f460613 addi a2,a2,500 # 8005c9c8 + 800227dc: 00279793 slli a5,a5,0x2 + 800227e0: 00259593 slli a1,a1,0x2 + 800227e4: 00c787b3 add a5,a5,a2 + 800227e8: 0003a617 auipc a2,0x3a + 800227ec: 1e460613 addi a2,a2,484 # 8005c9cc + 800227f0: 00c585b3 add a1,a1,a2 + 800227f4: 0007a603 lw a2,0(a5) + 800227f8: 00478793 addi a5,a5,4 + 800227fc: 00d6063b addw a2,a2,a3 + 80022800: fec7ae23 sw a2,-4(a5) + 80022804: fef598e3 bne a1,a5,800227f4 <_ZL8DoSQV1HQv+0x174> + 80022808: 00e32023 sw a4,0(t1) + 8002280c: 00008067 ret + +0000000080022810 <_ZL8DoSQV2HQv>: + 80022810: 001aa517 auipc a0,0x1aa + 80022814: 97850513 addi a0,a0,-1672 # 801cc188 <_ZL5vpsg1> + 80022818: 00654603 lbu a2,6(a0) + 8002281c: 001a9717 auipc a4,0x1a9 + 80022820: 32c72703 lw a4,812(a4) # 801cbb48 + 80022824: 001a9797 auipc a5,0x1a9 + 80022828: 3ac7a783 lw a5,940(a5) # 801cbbd0 + 8002282c: 00f7083b addw a6,a4,a5 + 80022830: 0186179b slliw a5,a2,0x18 + 80022834: 4187d79b sraiw a5,a5,0x18 + 80022838: 00080713 mv a4,a6 + 8002283c: 000a5317 auipc t1,0xa5 + 80022840: dec30313 addi t1,t1,-532 # 800c7628 <_ZL4cvbc> + 80022844: 0007c663 bltz a5,80022850 <_ZL8DoSQV2HQv+0x40> + 80022848: 00e32223 sw a4,4(t1) + 8002284c: 00008067 ret + 80022850: 00454583 lbu a1,4(a0) + 80022854: 000018b7 lui a7,0x1 + 80022858: f0088893 addi a7,a7,-256 # f00 <_entry_offset+0xf00> + 8002285c: 0085969b slliw a3,a1,0x8 + 80022860: 0116f7b3 and a5,a3,a7 + 80022864: 0017969b slliw a3,a5,0x1 + 80022868: 00f686bb addw a3,a3,a5 + 8002286c: 01859e1b slliw t3,a1,0x18 + 80022870: 0016969b slliw a3,a3,0x1 + 80022874: 000a5317 auipc t1,0xa5 + 80022878: db430313 addi t1,t1,-588 # 800c7628 <_ZL4cvbc> + 8002287c: 418e5e1b sraiw t3,t3,0x18 + 80022880: 00432783 lw a5,4(t1) + 80022884: 0005859b sext.w a1,a1 + 80022888: 4036d69b sraiw a3,a3,0x3 + 8002288c: 0c0e4063 bltz t3,8002294c <_ZL8DoSQV2HQv+0x13c> + 80022890: 4045d59b sraiw a1,a1,0x4 + 80022894: 0075fe13 andi t3,a1,7 + 80022898: fb07d8e3 bge a5,a6,80022848 <_ZL8DoSQV2HQv+0x38> + 8002289c: fff8081b addiw a6,a6,-1 + 800228a0: 00554503 lbu a0,5(a0) + 800228a4: 40f8083b subw a6,a6,a5 + 800228a8: 0086161b slliw a2,a2,0x8 + 800228ac: 02081813 slli a6,a6,0x20 + 800228b0: 01167633 and a2,a2,a7 + 800228b4: 001aa297 auipc t0,0x1aa + 800228b8: 8e428293 addi t0,t0,-1820 # 801cc198 <_ZL6dcount> + 800228bc: 000a5f97 auipc t6,0xa5 + 800228c0: d94f8f93 addi t6,t6,-620 # 800c7650 <_ZL6vcount> + 800228c4: 02085813 srli a6,a6,0x20 + 800228c8: 00f80833 add a6,a6,a5 + 800228cc: 00a66633 or a2,a2,a0 + 800228d0: 00279793 slli a5,a5,0x2 + 800228d4: 0003a517 auipc a0,0x3a + 800228d8: 0f450513 addi a0,a0,244 # 8005c9c8 + 800228dc: 0042a883 lw a7,4(t0) + 800228e0: 004fa583 lw a1,4(t6) + 800228e4: 00281813 slli a6,a6,0x2 + 800228e8: 00a787b3 add a5,a5,a0 + 800228ec: 0003a517 auipc a0,0x3a + 800228f0: 0e050513 addi a0,a0,224 # 8005c9cc + 800228f4: 0016061b addiw a2,a2,1 + 800228f8: 00a80833 add a6,a6,a0 + 800228fc: 00000f13 li t5,0 + 80022900: fff5859b addiw a1,a1,-1 + 80022904: 00188e9b addiw t4,a7,1 + 80022908: 011e5863 bge t3,a7,80022918 <_ZL8DoSQV2HQv+0x108> + 8002290c: 0007a503 lw a0,0(a5) + 80022910: 00d5053b addw a0,a0,a3 + 80022914: 00a7a023 sw a0,0(a5) + 80022918: 00478793 addi a5,a5,4 + 8002291c: 00b05e63 blez a1,80022938 <_ZL8DoSQV2HQv+0x128> + 80022920: fef810e3 bne a6,a5,80022900 <_ZL8DoSQV2HQv+0xf0> + 80022924: 00bfa223 sw a1,4(t6) + 80022928: f20f00e3 beqz t5,80022848 <_ZL8DoSQV2HQv+0x38> + 8002292c: 0112a223 sw a7,4(t0) + 80022930: 00e32223 sw a4,4(t1) + 80022934: 00008067 ret + 80022938: 00fef893 andi a7,t4,15 + 8002293c: 00060593 mv a1,a2 + 80022940: 00100f13 li t5,1 + 80022944: faf81ee3 bne a6,a5,80022900 <_ZL8DoSQV2HQv+0xf0> + 80022948: fddff06f j 80022924 <_ZL8DoSQV2HQv+0x114> + 8002294c: ef07dee3 bge a5,a6,80022848 <_ZL8DoSQV2HQv+0x38> + 80022950: fff8059b addiw a1,a6,-1 + 80022954: 40f585bb subw a1,a1,a5 + 80022958: 02059593 slli a1,a1,0x20 + 8002295c: 0205d593 srli a1,a1,0x20 + 80022960: 00f585b3 add a1,a1,a5 + 80022964: 0003a617 auipc a2,0x3a + 80022968: 06460613 addi a2,a2,100 # 8005c9c8 + 8002296c: 00279793 slli a5,a5,0x2 + 80022970: 00259593 slli a1,a1,0x2 + 80022974: 00c787b3 add a5,a5,a2 + 80022978: 0003a617 auipc a2,0x3a + 8002297c: 05460613 addi a2,a2,84 # 8005c9cc + 80022980: 00c585b3 add a1,a1,a2 + 80022984: 0007a603 lw a2,0(a5) + 80022988: 00478793 addi a5,a5,4 + 8002298c: 00d6063b addw a2,a2,a3 + 80022990: fec7ae23 sw a2,-4(a5) + 80022994: fef598e3 bne a1,a5,80022984 <_ZL8DoSQV2HQv+0x174> + 80022998: 00e32223 sw a4,4(t1) + 8002299c: 00008067 ret + +00000000800229a0 <_Z11VRC6SoundHQv>: + 800229a0: ff010113 addi sp,sp,-16 + 800229a4: 00813423 sd s0,8(sp) + 800229a8: 001a9597 auipc a1,0x1a9 + 800229ac: 7e058593 addi a1,a1,2016 # 801cc188 <_ZL5vpsg1> + 800229b0: 0025c603 lbu a2,2(a1) + 800229b4: 001a9717 auipc a4,0x1a9 + 800229b8: 19472703 lw a4,404(a4) # 801cbb48 + 800229bc: 001a9797 auipc a5,0x1a9 + 800229c0: 2147a783 lw a5,532(a5) # 801cbbd0 + 800229c4: 00f70f3b addw t5,a4,a5 + 800229c8: 0186179b slliw a5,a2,0x18 + 800229cc: 4187d79b sraiw a5,a5,0x18 + 800229d0: 000f0713 mv a4,t5 + 800229d4: 000a5817 auipc a6,0xa5 + 800229d8: c5480813 addi a6,a6,-940 # 800c7628 <_ZL4cvbc> + 800229dc: 0207c463 bltz a5,80022a04 <_Z11VRC6SoundHQv+0x64> + 800229e0: 0065c603 lbu a2,6(a1) + 800229e4: 00e82023 sw a4,0(a6) + 800229e8: 0186179b slliw a5,a2,0x18 + 800229ec: 4187d79b sraiw a5,a5,0x18 + 800229f0: 1007c463 bltz a5,80022af8 <_Z11VRC6SoundHQv+0x158> + 800229f4: 00813403 ld s0,8(sp) + 800229f8: 00e82223 sw a4,4(a6) + 800229fc: 01010113 addi sp,sp,16 + 80022a00: cacff06f j 80021eac <_ZL8DoSawVHQv> + 80022a04: 0005c503 lbu a0,0(a1) + 80022a08: 00001e37 lui t3,0x1 + 80022a0c: f00e0e13 addi t3,t3,-256 # f00 <_entry_offset+0xf00> + 80022a10: 0085169b slliw a3,a0,0x8 + 80022a14: 01c6f7b3 and a5,a3,t3 + 80022a18: 0017969b slliw a3,a5,0x1 + 80022a1c: 00f686bb addw a3,a3,a5 + 80022a20: 0185189b slliw a7,a0,0x18 + 80022a24: 0016969b slliw a3,a3,0x1 + 80022a28: 000a5817 auipc a6,0xa5 + 80022a2c: c0080813 addi a6,a6,-1024 # 800c7628 <_ZL4cvbc> + 80022a30: 4188d89b sraiw a7,a7,0x18 + 80022a34: 00082783 lw a5,0(a6) + 80022a38: 0005051b sext.w a0,a0 + 80022a3c: 4036d69b sraiw a3,a3,0x3 + 80022a40: 2208c263 bltz a7,80022c64 <_Z11VRC6SoundHQv+0x2c4> + 80022a44: 4045551b sraiw a0,a0,0x4 + 80022a48: 00757293 andi t0,a0,7 + 80022a4c: f9e7dae3 bge a5,t5,800229e0 <_Z11VRC6SoundHQv+0x40> + 80022a50: ffff0e9b addiw t4,t5,-1 + 80022a54: 40fe8ebb subw t4,t4,a5 + 80022a58: 0015c383 lbu t2,1(a1) + 80022a5c: 020e9e93 slli t4,t4,0x20 + 80022a60: 001a9317 auipc t1,0x1a9 + 80022a64: 73830313 addi t1,t1,1848 # 801cc198 <_ZL6dcount> + 80022a68: 000a5897 auipc a7,0xa5 + 80022a6c: be888893 addi a7,a7,-1048 # 800c7650 <_ZL6vcount> + 80022a70: 0086161b slliw a2,a2,0x8 + 80022a74: 020ede93 srli t4,t4,0x20 + 80022a78: 01c67633 and a2,a2,t3 + 80022a7c: 00fe8eb3 add t4,t4,a5 + 80022a80: 0003ae17 auipc t3,0x3a + 80022a84: f48e0e13 addi t3,t3,-184 # 8005c9c8 + 80022a88: 00279793 slli a5,a5,0x2 + 80022a8c: 00032f83 lw t6,0(t1) + 80022a90: 0008a503 lw a0,0(a7) + 80022a94: 00766633 or a2,a2,t2 + 80022a98: 002e9e93 slli t4,t4,0x2 + 80022a9c: 01c787b3 add a5,a5,t3 + 80022aa0: 0003ae17 auipc t3,0x3a + 80022aa4: f2ce0e13 addi t3,t3,-212 # 8005c9cc + 80022aa8: 0016061b addiw a2,a2,1 + 80022aac: 01ce8eb3 add t4,t4,t3 + 80022ab0: 00000413 li s0,0 + 80022ab4: fff5051b addiw a0,a0,-1 + 80022ab8: 001f839b addiw t2,t6,1 + 80022abc: 01f2d863 bge t0,t6,80022acc <_Z11VRC6SoundHQv+0x12c> + 80022ac0: 0007ae03 lw t3,0(a5) + 80022ac4: 00de0e3b addw t3,t3,a3 + 80022ac8: 01c7a023 sw t3,0(a5) + 80022acc: 00478793 addi a5,a5,4 + 80022ad0: 10a05863 blez a0,80022be0 <_Z11VRC6SoundHQv+0x240> + 80022ad4: ffd790e3 bne a5,t4,80022ab4 <_Z11VRC6SoundHQv+0x114> + 80022ad8: 00a8a023 sw a0,0(a7) + 80022adc: f00402e3 beqz s0,800229e0 <_Z11VRC6SoundHQv+0x40> + 80022ae0: 0065c603 lbu a2,6(a1) + 80022ae4: 01f32023 sw t6,0(t1) + 80022ae8: 00e82023 sw a4,0(a6) + 80022aec: 0186179b slliw a5,a2,0x18 + 80022af0: 4187d79b sraiw a5,a5,0x18 + 80022af4: f007d0e3 bgez a5,800229f4 <_Z11VRC6SoundHQv+0x54> + 80022af8: 0045c503 lbu a0,4(a1) + 80022afc: 00001eb7 lui t4,0x1 + 80022b00: f00e8e93 addi t4,t4,-256 # f00 <_entry_offset+0xf00> + 80022b04: 0085169b slliw a3,a0,0x8 + 80022b08: 01d6f7b3 and a5,a3,t4 + 80022b0c: 0017969b slliw a3,a5,0x1 + 80022b10: 00f686bb addw a3,a3,a5 + 80022b14: 0185189b slliw a7,a0,0x18 + 80022b18: 0016969b slliw a3,a3,0x1 + 80022b1c: 4188d89b sraiw a7,a7,0x18 + 80022b20: 00482783 lw a5,4(a6) + 80022b24: 0005051b sext.w a0,a0 + 80022b28: 4036d69b sraiw a3,a3,0x3 + 80022b2c: 0c08ce63 bltz a7,80022c08 <_Z11VRC6SoundHQv+0x268> + 80022b30: 4045551b sraiw a0,a0,0x4 + 80022b34: 00757f93 andi t6,a0,7 + 80022b38: ebe7dee3 bge a5,t5,800229f4 <_Z11VRC6SoundHQv+0x54> + 80022b3c: fff70e1b addiw t3,a4,-1 + 80022b40: 0055c503 lbu a0,5(a1) + 80022b44: 40fe0e3b subw t3,t3,a5 + 80022b48: 0086161b slliw a2,a2,0x8 + 80022b4c: 020e1e13 slli t3,t3,0x20 + 80022b50: 01d67633 and a2,a2,t4 + 80022b54: 001a9317 auipc t1,0x1a9 + 80022b58: 64430313 addi t1,t1,1604 # 801cc198 <_ZL6dcount> + 80022b5c: 000a5897 auipc a7,0xa5 + 80022b60: af488893 addi a7,a7,-1292 # 800c7650 <_ZL6vcount> + 80022b64: 020e5e13 srli t3,t3,0x20 + 80022b68: 00fe0e33 add t3,t3,a5 + 80022b6c: 00a66633 or a2,a2,a0 + 80022b70: 00279793 slli a5,a5,0x2 + 80022b74: 0003a517 auipc a0,0x3a + 80022b78: e5450513 addi a0,a0,-428 # 8005c9c8 + 80022b7c: 00432e83 lw t4,4(t1) + 80022b80: 0048a583 lw a1,4(a7) + 80022b84: 002e1e13 slli t3,t3,0x2 + 80022b88: 00a787b3 add a5,a5,a0 + 80022b8c: 0003a517 auipc a0,0x3a + 80022b90: e4050513 addi a0,a0,-448 # 8005c9cc + 80022b94: 0016061b addiw a2,a2,1 + 80022b98: 00ae0e33 add t3,t3,a0 + 80022b9c: 00000293 li t0,0 + 80022ba0: fff5859b addiw a1,a1,-1 + 80022ba4: 001e8f1b addiw t5,t4,1 + 80022ba8: 01dfd863 bge t6,t4,80022bb8 <_Z11VRC6SoundHQv+0x218> + 80022bac: 0007a503 lw a0,0(a5) + 80022bb0: 00d5053b addw a0,a0,a3 + 80022bb4: 00a7a023 sw a0,0(a5) + 80022bb8: 00478793 addi a5,a5,4 + 80022bbc: 02b05c63 blez a1,80022bf4 <_Z11VRC6SoundHQv+0x254> + 80022bc0: ffc790e3 bne a5,t3,80022ba0 <_Z11VRC6SoundHQv+0x200> + 80022bc4: 00b8a223 sw a1,4(a7) + 80022bc8: e20286e3 beqz t0,800229f4 <_Z11VRC6SoundHQv+0x54> + 80022bcc: 00813403 ld s0,8(sp) + 80022bd0: 01d32223 sw t4,4(t1) + 80022bd4: 00e82223 sw a4,4(a6) + 80022bd8: 01010113 addi sp,sp,16 + 80022bdc: ad0ff06f j 80021eac <_ZL8DoSawVHQv> + 80022be0: 00f3ff93 andi t6,t2,15 + 80022be4: 00060513 mv a0,a2 + 80022be8: 00100413 li s0,1 + 80022bec: edd794e3 bne a5,t4,80022ab4 <_Z11VRC6SoundHQv+0x114> + 80022bf0: ee9ff06f j 80022ad8 <_Z11VRC6SoundHQv+0x138> + 80022bf4: 00ff7e93 andi t4,t5,15 + 80022bf8: 00060593 mv a1,a2 + 80022bfc: 00100293 li t0,1 + 80022c00: fbc790e3 bne a5,t3,80022ba0 <_Z11VRC6SoundHQv+0x200> + 80022c04: fc1ff06f j 80022bc4 <_Z11VRC6SoundHQv+0x224> + 80022c08: dfe7d6e3 bge a5,t5,800229f4 <_Z11VRC6SoundHQv+0x54> + 80022c0c: fff7059b addiw a1,a4,-1 + 80022c10: 40f585bb subw a1,a1,a5 + 80022c14: 02059593 slli a1,a1,0x20 + 80022c18: 0205d593 srli a1,a1,0x20 + 80022c1c: 00f585b3 add a1,a1,a5 + 80022c20: 0003a617 auipc a2,0x3a + 80022c24: da860613 addi a2,a2,-600 # 8005c9c8 + 80022c28: 00279793 slli a5,a5,0x2 + 80022c2c: 00259593 slli a1,a1,0x2 + 80022c30: 00c787b3 add a5,a5,a2 + 80022c34: 0003a617 auipc a2,0x3a + 80022c38: d9860613 addi a2,a2,-616 # 8005c9cc + 80022c3c: 00c585b3 add a1,a1,a2 + 80022c40: 0007a603 lw a2,0(a5) + 80022c44: 00478793 addi a5,a5,4 + 80022c48: 00d6063b addw a2,a2,a3 + 80022c4c: fec7ae23 sw a2,-4(a5) + 80022c50: fef598e3 bne a1,a5,80022c40 <_Z11VRC6SoundHQv+0x2a0> + 80022c54: 00813403 ld s0,8(sp) + 80022c58: 00e82223 sw a4,4(a6) + 80022c5c: 01010113 addi sp,sp,16 + 80022c60: a4cff06f j 80021eac <_ZL8DoSawVHQv> + 80022c64: d7e7dee3 bge a5,t5,800229e0 <_Z11VRC6SoundHQv+0x40> + 80022c68: ffff051b addiw a0,t5,-1 + 80022c6c: 40f5053b subw a0,a0,a5 + 80022c70: 02051513 slli a0,a0,0x20 + 80022c74: 02055513 srli a0,a0,0x20 + 80022c78: 00f50533 add a0,a0,a5 + 80022c7c: 0003a617 auipc a2,0x3a + 80022c80: d4c60613 addi a2,a2,-692 # 8005c9c8 + 80022c84: 00279793 slli a5,a5,0x2 + 80022c88: 00251513 slli a0,a0,0x2 + 80022c8c: 00c787b3 add a5,a5,a2 + 80022c90: 0003a617 auipc a2,0x3a + 80022c94: d3c60613 addi a2,a2,-708 # 8005c9cc + 80022c98: 00c50533 add a0,a0,a2 + 80022c9c: 0007a603 lw a2,0(a5) + 80022ca0: 00478793 addi a5,a5,4 + 80022ca4: 00d6063b addw a2,a2,a3 + 80022ca8: fec7ae23 sw a2,-4(a5) + 80022cac: fea798e3 bne a5,a0,80022c9c <_Z11VRC6SoundHQv+0x2fc> + 80022cb0: d31ff06f j 800229e0 <_Z11VRC6SoundHQv+0x40> + +0000000080022cb4 <_ZL6DoSQV1v>: + 80022cb4: 001a9797 auipc a5,0x1a9 + 80022cb8: f1c7a783 lw a5,-228(a5) # 801cbbd0 + 80022cbc: 001a9517 auipc a0,0x1a9 + 80022cc0: e8c52503 lw a0,-372(a0) # 801cbb48 + 80022cc4: 00f5053b addw a0,a0,a5 + 80022cc8: fe010113 addi sp,sp,-32 + 80022ccc: 0105151b slliw a0,a0,0x10 + 80022cd0: 00913423 sd s1,8(sp) + 80022cd4: 02051513 slli a0,a0,0x20 + 80022cd8: 000a5497 auipc s1,0xa5 + 80022cdc: 95048493 addi s1,s1,-1712 # 800c7628 <_ZL4cvbc> + 80022ce0: 00813823 sd s0,16(sp) + 80022ce4: 001a9597 auipc a1,0x1a9 + 80022ce8: ee85e583 lwu a1,-280(a1) # 801cbbcc + 80022cec: 02055513 srli a0,a0,0x20 + 80022cf0: 0004a403 lw s0,0(s1) + 80022cf4: 00113c23 sd ra,24(sp) + 80022cf8: 130170ef jal ra,80039e28 <__udivdi3> + 80022cfc: 0005051b sext.w a0,a0 + 80022d00: 02a45263 bge s0,a0,80022d24 <_ZL6DoSQV1v+0x70> + 80022d04: 001a9697 auipc a3,0x1a9 + 80022d08: 48468693 addi a3,a3,1156 # 801cc188 <_ZL5vpsg1> + 80022d0c: 0026c783 lbu a5,2(a3) + 80022d10: 00a4a023 sw a0,0(s1) + 80022d14: 0006c703 lbu a4,0(a3) + 80022d18: 0187961b slliw a2,a5,0x18 + 80022d1c: 4186561b sraiw a2,a2,0x18 + 80022d20: 00064c63 bltz a2,80022d38 <_ZL6DoSQV1v+0x84> + 80022d24: 01813083 ld ra,24(sp) + 80022d28: 01013403 ld s0,16(sp) + 80022d2c: 00813483 ld s1,8(sp) + 80022d30: 02010113 addi sp,sp,32 + 80022d34: 00008067 ret + 80022d38: 00001637 lui a2,0x1 + 80022d3c: 0087159b slliw a1,a4,0x8 + 80022d40: f0060893 addi a7,a2,-256 # f00 <_entry_offset+0xf00> + 80022d44: 0115f833 and a6,a1,a7 + 80022d48: 0018159b slliw a1,a6,0x1 + 80022d4c: 010585bb addw a1,a1,a6 + 80022d50: 0187161b slliw a2,a4,0x18 + 80022d54: 0015959b slliw a1,a1,0x1 + 80022d58: 4186561b sraiw a2,a2,0x18 + 80022d5c: 0007081b sext.w a6,a4 + 80022d60: 4075d59b sraiw a1,a1,0x7 + 80022d64: 0a064863 bltz a2,80022e14 <_ZL6DoSQV1v+0x160> + 80022d68: 0016c703 lbu a4,1(a3) + 80022d6c: 0087961b slliw a2,a5,0x8 + 80022d70: 01167633 and a2,a2,a7 + 80022d74: 000a5f17 auipc t5,0xa5 + 80022d78: 8dcf0f13 addi t5,t5,-1828 # 800c7650 <_ZL6vcount> + 80022d7c: 001a9f97 auipc t6,0x1a9 + 80022d80: 41cf8f93 addi t6,t6,1052 # 801cc198 <_ZL6dcount> + 80022d84: 00e66633 or a2,a2,a4 + 80022d88: 000f2783 lw a5,0(t5) + 80022d8c: 000fa703 lw a4,0(t6) + 80022d90: 4048581b sraiw a6,a6,0x4 + 80022d94: 0016061b addiw a2,a2,1 + 80022d98: 00787813 andi a6,a6,7 + 80022d9c: 0116161b slliw a2,a2,0x11 + 80022da0: 001a9e17 auipc t3,0x1a9 + 80022da4: e24e2e03 lw t3,-476(t3) # 801cbbc4 + 80022da8: 00000313 li t1,0 + 80022dac: 00035e97 auipc t4,0x35 + 80022db0: c1ce8e93 addi t4,t4,-996 # 800579c8 + 80022db4: 00e85e63 bge a6,a4,80022dd0 <_ZL6DoSQV1v+0x11c> + 80022db8: 40445693 srai a3,s0,0x4 + 80022dbc: 00269693 slli a3,a3,0x2 + 80022dc0: 00de86b3 add a3,t4,a3 + 80022dc4: 0006a883 lw a7,0(a3) + 80022dc8: 00b888bb addw a7,a7,a1 + 80022dcc: 0116a023 sw a7,0(a3) + 80022dd0: 41c787bb subw a5,a5,t3 + 80022dd4: 00f04c63 bgtz a5,80022dec <_ZL6DoSQV1v+0x138> + 80022dd8: 0017071b addiw a4,a4,1 + 80022ddc: 00f607bb addw a5,a2,a5 + 80022de0: 00f77713 andi a4,a4,15 + 80022de4: fef05ae3 blez a5,80022dd8 <_ZL6DoSQV1v+0x124> + 80022de8: 00100313 li t1,1 + 80022dec: 0014041b addiw s0,s0,1 + 80022df0: fc8512e3 bne a0,s0,80022db4 <_ZL6DoSQV1v+0x100> + 80022df4: 00ff2023 sw a5,0(t5) + 80022df8: f20306e3 beqz t1,80022d24 <_ZL6DoSQV1v+0x70> + 80022dfc: 01813083 ld ra,24(sp) + 80022e00: 01013403 ld s0,16(sp) + 80022e04: 00efa023 sw a4,0(t6) + 80022e08: 00813483 ld s1,8(sp) + 80022e0c: 02010113 addi sp,sp,32 + 80022e10: 00008067 ret + 80022e14: 00035697 auipc a3,0x35 + 80022e18: bb468693 addi a3,a3,-1100 # 800579c8 + 80022e1c: 40445793 srai a5,s0,0x4 + 80022e20: 00279793 slli a5,a5,0x2 + 80022e24: 00f687b3 add a5,a3,a5 + 80022e28: 0007a703 lw a4,0(a5) + 80022e2c: 0014041b addiw s0,s0,1 + 80022e30: 00b7073b addw a4,a4,a1 + 80022e34: 00e7a023 sw a4,0(a5) + 80022e38: fe8512e3 bne a0,s0,80022e1c <_ZL6DoSQV1v+0x168> + 80022e3c: 01813083 ld ra,24(sp) + 80022e40: 01013403 ld s0,16(sp) + 80022e44: 00813483 ld s1,8(sp) + 80022e48: 02010113 addi sp,sp,32 + 80022e4c: 00008067 ret + +0000000080022e50 <_ZL6DoSQV2v>: + 80022e50: 001a9797 auipc a5,0x1a9 + 80022e54: d807a783 lw a5,-640(a5) # 801cbbd0 + 80022e58: 001a9517 auipc a0,0x1a9 + 80022e5c: cf052503 lw a0,-784(a0) # 801cbb48 + 80022e60: 00f5053b addw a0,a0,a5 + 80022e64: fe010113 addi sp,sp,-32 + 80022e68: 0105151b slliw a0,a0,0x10 + 80022e6c: 00913423 sd s1,8(sp) + 80022e70: 02051513 slli a0,a0,0x20 + 80022e74: 000a4497 auipc s1,0xa4 + 80022e78: 7b448493 addi s1,s1,1972 # 800c7628 <_ZL4cvbc> + 80022e7c: 00813823 sd s0,16(sp) + 80022e80: 001a9597 auipc a1,0x1a9 + 80022e84: d4c5e583 lwu a1,-692(a1) # 801cbbcc + 80022e88: 02055513 srli a0,a0,0x20 + 80022e8c: 0044a403 lw s0,4(s1) + 80022e90: 00113c23 sd ra,24(sp) + 80022e94: 795160ef jal ra,80039e28 <__udivdi3> + 80022e98: 0005051b sext.w a0,a0 + 80022e9c: 02a45263 bge s0,a0,80022ec0 <_ZL6DoSQV2v+0x70> + 80022ea0: 001a9697 auipc a3,0x1a9 + 80022ea4: 2e868693 addi a3,a3,744 # 801cc188 <_ZL5vpsg1> + 80022ea8: 0066c783 lbu a5,6(a3) + 80022eac: 00a4a223 sw a0,4(s1) + 80022eb0: 0046c703 lbu a4,4(a3) + 80022eb4: 0187961b slliw a2,a5,0x18 + 80022eb8: 4186561b sraiw a2,a2,0x18 + 80022ebc: 00064c63 bltz a2,80022ed4 <_ZL6DoSQV2v+0x84> + 80022ec0: 01813083 ld ra,24(sp) + 80022ec4: 01013403 ld s0,16(sp) + 80022ec8: 00813483 ld s1,8(sp) + 80022ecc: 02010113 addi sp,sp,32 + 80022ed0: 00008067 ret + 80022ed4: 00001637 lui a2,0x1 + 80022ed8: 0087159b slliw a1,a4,0x8 + 80022edc: f0060893 addi a7,a2,-256 # f00 <_entry_offset+0xf00> + 80022ee0: 0115f833 and a6,a1,a7 + 80022ee4: 0018159b slliw a1,a6,0x1 + 80022ee8: 010585bb addw a1,a1,a6 + 80022eec: 0187161b slliw a2,a4,0x18 + 80022ef0: 0015959b slliw a1,a1,0x1 + 80022ef4: 4186561b sraiw a2,a2,0x18 + 80022ef8: 0007081b sext.w a6,a4 + 80022efc: 4075d59b sraiw a1,a1,0x7 + 80022f00: 0a064863 bltz a2,80022fb0 <_ZL6DoSQV2v+0x160> + 80022f04: 0056c703 lbu a4,5(a3) + 80022f08: 0087961b slliw a2,a5,0x8 + 80022f0c: 01167633 and a2,a2,a7 + 80022f10: 000a4f17 auipc t5,0xa4 + 80022f14: 740f0f13 addi t5,t5,1856 # 800c7650 <_ZL6vcount> + 80022f18: 001a9f97 auipc t6,0x1a9 + 80022f1c: 280f8f93 addi t6,t6,640 # 801cc198 <_ZL6dcount> + 80022f20: 00e66633 or a2,a2,a4 + 80022f24: 004f2783 lw a5,4(t5) + 80022f28: 004fa703 lw a4,4(t6) + 80022f2c: 4048581b sraiw a6,a6,0x4 + 80022f30: 0016061b addiw a2,a2,1 + 80022f34: 00787813 andi a6,a6,7 + 80022f38: 0116161b slliw a2,a2,0x11 + 80022f3c: 001a9e17 auipc t3,0x1a9 + 80022f40: c88e2e03 lw t3,-888(t3) # 801cbbc4 + 80022f44: 00000313 li t1,0 + 80022f48: 00035e97 auipc t4,0x35 + 80022f4c: a80e8e93 addi t4,t4,-1408 # 800579c8 + 80022f50: 00e85e63 bge a6,a4,80022f6c <_ZL6DoSQV2v+0x11c> + 80022f54: 40445693 srai a3,s0,0x4 + 80022f58: 00269693 slli a3,a3,0x2 + 80022f5c: 00de86b3 add a3,t4,a3 + 80022f60: 0006a883 lw a7,0(a3) + 80022f64: 00b888bb addw a7,a7,a1 + 80022f68: 0116a023 sw a7,0(a3) + 80022f6c: 41c787bb subw a5,a5,t3 + 80022f70: 00f04c63 bgtz a5,80022f88 <_ZL6DoSQV2v+0x138> + 80022f74: 0017071b addiw a4,a4,1 + 80022f78: 00f607bb addw a5,a2,a5 + 80022f7c: 00f77713 andi a4,a4,15 + 80022f80: fef05ae3 blez a5,80022f74 <_ZL6DoSQV2v+0x124> + 80022f84: 00100313 li t1,1 + 80022f88: 0014041b addiw s0,s0,1 + 80022f8c: fc8512e3 bne a0,s0,80022f50 <_ZL6DoSQV2v+0x100> + 80022f90: 00ff2223 sw a5,4(t5) + 80022f94: f20306e3 beqz t1,80022ec0 <_ZL6DoSQV2v+0x70> + 80022f98: 01813083 ld ra,24(sp) + 80022f9c: 01013403 ld s0,16(sp) + 80022fa0: 00efa223 sw a4,4(t6) + 80022fa4: 00813483 ld s1,8(sp) + 80022fa8: 02010113 addi sp,sp,32 + 80022fac: 00008067 ret + 80022fb0: 00035697 auipc a3,0x35 + 80022fb4: a1868693 addi a3,a3,-1512 # 800579c8 + 80022fb8: 40445793 srai a5,s0,0x4 + 80022fbc: 00279793 slli a5,a5,0x2 + 80022fc0: 00f687b3 add a5,a3,a5 + 80022fc4: 0007a703 lw a4,0(a5) + 80022fc8: 0014041b addiw s0,s0,1 + 80022fcc: 00b7073b addw a4,a4,a1 + 80022fd0: 00e7a023 sw a4,0(a5) + 80022fd4: fe8512e3 bne a0,s0,80022fb8 <_ZL6DoSQV2v+0x168> + 80022fd8: 01813083 ld ra,24(sp) + 80022fdc: 01013403 ld s0,16(sp) + 80022fe0: 00813483 ld s1,8(sp) + 80022fe4: 02010113 addi sp,sp,32 + 80022fe8: 00008067 ret + +0000000080022fec <_Z9VRC6Soundi>: + 80022fec: 001a9717 auipc a4,0x1a9 + 80022ff0: be472703 lw a4,-1052(a4) # 801cbbd0 + 80022ff4: 001a9797 auipc a5,0x1a9 + 80022ff8: b547a783 lw a5,-1196(a5) # 801cbb48 + 80022ffc: 00e787bb addw a5,a5,a4 + 80023000: fe010113 addi sp,sp,-32 + 80023004: 0107979b slliw a5,a5,0x10 + 80023008: 00913423 sd s1,8(sp) + 8002300c: 01213023 sd s2,0(sp) + 80023010: 000a4497 auipc s1,0xa4 + 80023014: 61848493 addi s1,s1,1560 # 800c7628 <_ZL4cvbc> + 80023018: 00050913 mv s2,a0 + 8002301c: 02079513 slli a0,a5,0x20 + 80023020: 00813823 sd s0,16(sp) + 80023024: 001a9597 auipc a1,0x1a9 + 80023028: ba85e583 lwu a1,-1112(a1) # 801cbbcc + 8002302c: 02055513 srli a0,a0,0x20 + 80023030: 0004a403 lw s0,0(s1) + 80023034: 00113c23 sd ra,24(sp) + 80023038: 5f1160ef jal ra,80039e28 <__udivdi3> + 8002303c: 0005069b sext.w a3,a0 + 80023040: 02d45263 bge s0,a3,80023064 <_Z9VRC6Soundi+0x78> + 80023044: 001a9597 auipc a1,0x1a9 + 80023048: 14458593 addi a1,a1,324 # 801cc188 <_ZL5vpsg1> + 8002304c: 0025c783 lbu a5,2(a1) + 80023050: 00d4a023 sw a3,0(s1) + 80023054: 0005c703 lbu a4,0(a1) + 80023058: 0187961b slliw a2,a5,0x18 + 8002305c: 4186561b sraiw a2,a2,0x18 + 80023060: 12064063 bltz a2,80023180 <_Z9VRC6Soundi+0x194> + 80023064: 0044a603 lw a2,4(s1) + 80023068: 02d65263 bge a2,a3,8002308c <_Z9VRC6Soundi+0xa0> + 8002306c: 001a9517 auipc a0,0x1a9 + 80023070: 11c50513 addi a0,a0,284 # 801cc188 <_ZL5vpsg1> + 80023074: 00654783 lbu a5,6(a0) + 80023078: 00d4a223 sw a3,4(s1) + 8002307c: 00454703 lbu a4,4(a0) + 80023080: 0187959b slliw a1,a5,0x18 + 80023084: 4185d59b sraiw a1,a1,0x18 + 80023088: 0205c663 bltz a1,800230b4 <_Z9VRC6Soundi+0xc8> + 8002308c: c71fe0ef jal ra,80021cfc <_ZL6DoSawVv> + 80023090: 01813083 ld ra,24(sp) + 80023094: 01013403 ld s0,16(sp) + 80023098: 0124a023 sw s2,0(s1) + 8002309c: 0124a223 sw s2,4(s1) + 800230a0: 0124a423 sw s2,8(s1) + 800230a4: 00813483 ld s1,8(sp) + 800230a8: 00013903 ld s2,0(sp) + 800230ac: 02010113 addi sp,sp,32 + 800230b0: 00008067 ret + 800230b4: 000015b7 lui a1,0x1 + 800230b8: 00871e1b slliw t3,a4,0x8 + 800230bc: f0058893 addi a7,a1,-256 # f00 <_entry_offset+0xf00> + 800230c0: 011e7833 and a6,t3,a7 + 800230c4: 00181e1b slliw t3,a6,0x1 + 800230c8: 010e0e3b addw t3,t3,a6 + 800230cc: 0187159b slliw a1,a4,0x18 + 800230d0: 001e1e1b slliw t3,t3,0x1 + 800230d4: 4185d59b sraiw a1,a1,0x18 + 800230d8: 0007081b sext.w a6,a4 + 800230dc: 407e5e1b sraiw t3,t3,0x7 + 800230e0: 1805cc63 bltz a1,80023278 <_Z9VRC6Soundi+0x28c> + 800230e4: 00554703 lbu a4,5(a0) + 800230e8: 0087959b slliw a1,a5,0x8 + 800230ec: 0115f5b3 and a1,a1,a7 + 800230f0: 001a9317 auipc t1,0x1a9 + 800230f4: 0a830313 addi t1,t1,168 # 801cc198 <_ZL6dcount> + 800230f8: 000a4897 auipc a7,0xa4 + 800230fc: 55888893 addi a7,a7,1368 # 800c7650 <_ZL6vcount> + 80023100: 00e5e5b3 or a1,a1,a4 + 80023104: 00432783 lw a5,4(t1) + 80023108: 0048a703 lw a4,4(a7) + 8002310c: 4048581b sraiw a6,a6,0x4 + 80023110: 0015859b addiw a1,a1,1 + 80023114: 00787813 andi a6,a6,7 + 80023118: 0115959b slliw a1,a1,0x11 + 8002311c: 001a9f97 auipc t6,0x1a9 + 80023120: aa8faf83 lw t6,-1368(t6) # 801cbbc4 + 80023124: 00000f13 li t5,0 + 80023128: 00035297 auipc t0,0x35 + 8002312c: 8a028293 addi t0,t0,-1888 # 800579c8 + 80023130: 00f85e63 bge a6,a5,8002314c <_Z9VRC6Soundi+0x160> + 80023134: 40465513 srai a0,a2,0x4 + 80023138: 00251513 slli a0,a0,0x2 + 8002313c: 00a28533 add a0,t0,a0 + 80023140: 00052e83 lw t4,0(a0) + 80023144: 01ce8ebb addw t4,t4,t3 + 80023148: 01d52023 sw t4,0(a0) + 8002314c: 41f7073b subw a4,a4,t6 + 80023150: 00e04c63 bgtz a4,80023168 <_Z9VRC6Soundi+0x17c> + 80023154: 0017879b addiw a5,a5,1 + 80023158: 00e5873b addw a4,a1,a4 + 8002315c: 00f7f793 andi a5,a5,15 + 80023160: fee05ae3 blez a4,80023154 <_Z9VRC6Soundi+0x168> + 80023164: 00100f13 li t5,1 + 80023168: 0016061b addiw a2,a2,1 + 8002316c: fcd612e3 bne a2,a3,80023130 <_Z9VRC6Soundi+0x144> + 80023170: 00e8a223 sw a4,4(a7) + 80023174: f00f0ce3 beqz t5,8002308c <_Z9VRC6Soundi+0xa0> + 80023178: 00f32223 sw a5,4(t1) + 8002317c: f11ff06f j 8002308c <_Z9VRC6Soundi+0xa0> + 80023180: 00001637 lui a2,0x1 + 80023184: 0087181b slliw a6,a4,0x8 + 80023188: f0060893 addi a7,a2,-256 # f00 <_entry_offset+0xf00> + 8002318c: 01187533 and a0,a6,a7 + 80023190: 0015181b slliw a6,a0,0x1 + 80023194: 00a8083b addw a6,a6,a0 + 80023198: 0187161b slliw a2,a4,0x18 + 8002319c: 0018181b slliw a6,a6,0x1 + 800231a0: 4186561b sraiw a2,a2,0x18 + 800231a4: 0007051b sext.w a0,a4 + 800231a8: 4078581b sraiw a6,a6,0x7 + 800231ac: 0a064063 bltz a2,8002324c <_Z9VRC6Soundi+0x260> + 800231b0: 0015c703 lbu a4,1(a1) + 800231b4: 0087961b slliw a2,a5,0x8 + 800231b8: 01167633 and a2,a2,a7 + 800231bc: 001a9317 auipc t1,0x1a9 + 800231c0: fdc30313 addi t1,t1,-36 # 801cc198 <_ZL6dcount> + 800231c4: 000a4897 auipc a7,0xa4 + 800231c8: 48c88893 addi a7,a7,1164 # 800c7650 <_ZL6vcount> + 800231cc: 00e66633 or a2,a2,a4 + 800231d0: 00032783 lw a5,0(t1) + 800231d4: 0008a703 lw a4,0(a7) + 800231d8: 4045551b sraiw a0,a0,0x4 + 800231dc: 0016061b addiw a2,a2,1 + 800231e0: 00757513 andi a0,a0,7 + 800231e4: 0116161b slliw a2,a2,0x11 + 800231e8: 001a9f17 auipc t5,0x1a9 + 800231ec: 9dcf2f03 lw t5,-1572(t5) # 801cbbc4 + 800231f0: 00000e93 li t4,0 + 800231f4: 00034f97 auipc t6,0x34 + 800231f8: 7d4f8f93 addi t6,t6,2004 # 800579c8 + 800231fc: 00f55e63 bge a0,a5,80023218 <_Z9VRC6Soundi+0x22c> + 80023200: 40445593 srai a1,s0,0x4 + 80023204: 00259593 slli a1,a1,0x2 + 80023208: 00bf85b3 add a1,t6,a1 + 8002320c: 0005ae03 lw t3,0(a1) + 80023210: 010e0e3b addw t3,t3,a6 + 80023214: 01c5a023 sw t3,0(a1) + 80023218: 41e7073b subw a4,a4,t5 + 8002321c: 00e04c63 bgtz a4,80023234 <_Z9VRC6Soundi+0x248> + 80023220: 0017879b addiw a5,a5,1 + 80023224: 00c7073b addw a4,a4,a2 + 80023228: 00f7f793 andi a5,a5,15 + 8002322c: fee05ae3 blez a4,80023220 <_Z9VRC6Soundi+0x234> + 80023230: 00100e93 li t4,1 + 80023234: 0014041b addiw s0,s0,1 + 80023238: fc8692e3 bne a3,s0,800231fc <_Z9VRC6Soundi+0x210> + 8002323c: 00e8a023 sw a4,0(a7) + 80023240: e20e82e3 beqz t4,80023064 <_Z9VRC6Soundi+0x78> + 80023244: 00f32023 sw a5,0(t1) + 80023248: e1dff06f j 80023064 <_Z9VRC6Soundi+0x78> + 8002324c: 00034597 auipc a1,0x34 + 80023250: 77c58593 addi a1,a1,1916 # 800579c8 + 80023254: 40445793 srai a5,s0,0x4 + 80023258: 00279793 slli a5,a5,0x2 + 8002325c: 00f587b3 add a5,a1,a5 + 80023260: 0007a703 lw a4,0(a5) + 80023264: 0014041b addiw s0,s0,1 + 80023268: 0107073b addw a4,a4,a6 + 8002326c: 00e7a023 sw a4,0(a5) + 80023270: fe8692e3 bne a3,s0,80023254 <_Z9VRC6Soundi+0x268> + 80023274: df1ff06f j 80023064 <_Z9VRC6Soundi+0x78> + 80023278: 00034597 auipc a1,0x34 + 8002327c: 75058593 addi a1,a1,1872 # 800579c8 + 80023280: 40465793 srai a5,a2,0x4 + 80023284: 00279793 slli a5,a5,0x2 + 80023288: 00f587b3 add a5,a1,a5 + 8002328c: 0007a703 lw a4,0(a5) + 80023290: 0016061b addiw a2,a2,1 + 80023294: 01c7073b addw a4,a4,t3 + 80023298: 00e7a023 sw a4,0(a5) + 8002329c: fed612e3 bne a2,a3,80023280 <_Z9VRC6Soundi+0x294> + 800232a0: dedff06f j 8002308c <_Z9VRC6Soundi+0xa0> + +00000000800232a4 <_Z13Mapper24_InitP8CartInfo>: + 800232a4: ff010113 addi sp,sp,-16 + 800232a8: 00113423 sd ra,8(sp) + 800232ac: fffff797 auipc a5,0xfffff + 800232b0: e8478793 addi a5,a5,-380 # 80022130 <_ZL9VRC6Powerv> + 800232b4: 00f53023 sd a5,0(a0) + 800232b8: 001a9797 auipc a5,0x1a9 + 800232bc: ec078423 sb zero,-312(a5) # 801cc180 <_ZL4is26> + 800232c0: fffff797 auipc a5,0xfffff + 800232c4: 2fc78793 addi a5,a5,764 # 800225bc <_ZL11VRC6IRQHooki> + 800232c8: 001a9717 auipc a4,0x1a9 + 800232cc: 86f73c23 sd a5,-1928(a4) # 801cbb40 + 800232d0: eddfe0ef jal ra,800221ac <_ZL8VRC6_ESIv> + 800232d4: 00813083 ld ra,8(sp) + 800232d8: fffff797 auipc a5,0xfffff + 800232dc: e5478793 addi a5,a5,-428 # 8002212c <_ZL12StateRestorei> + 800232e0: 001a9717 auipc a4,0x1a9 + 800232e4: 36f73c23 sd a5,888(a4) # 801cc658 + 800232e8: 00000693 li a3,0 + 800232ec: 00000613 li a2,0 + 800232f0: fff00593 li a1,-1 + 800232f4: 00028517 auipc a0,0x28 + 800232f8: 1f450513 addi a0,a0,500 # 8004b4e8 <_ZL9StateRegs> + 800232fc: 01010113 addi sp,sp,16 + 80023300: d11dc06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080023304 <_Z13Mapper26_InitP8CartInfo>: + 80023304: fe010113 addi sp,sp,-32 + 80023308: 00113c23 sd ra,24(sp) + 8002330c: 00813823 sd s0,16(sp) + 80023310: 00913423 sd s1,8(sp) + 80023314: 01213023 sd s2,0(sp) + 80023318: fffff797 auipc a5,0xfffff + 8002331c: e1878793 addi a5,a5,-488 # 80022130 <_ZL9VRC6Powerv> + 80023320: 00f53023 sd a5,0(a0) + 80023324: fffff797 auipc a5,0xfffff + 80023328: fe878793 addi a5,a5,-24 # 8002230c <_ZL9VRC6Closev> + 8002332c: 00f53823 sd a5,16(a0) + 80023330: 00100793 li a5,1 + 80023334: 001a9717 auipc a4,0x1a9 + 80023338: e4f70623 sb a5,-436(a4) # 801cc180 <_ZL4is26> + 8002333c: fffff797 auipc a5,0xfffff + 80023340: 28078793 addi a5,a5,640 # 800225bc <_ZL11VRC6IRQHooki> + 80023344: 00050413 mv s0,a0 + 80023348: 001a8717 auipc a4,0x1a8 + 8002334c: 7ef73c23 sd a5,2040(a4) # 801cbb40 + 80023350: e5dfe0ef jal ra,800221ac <_ZL8VRC6_ESIv> + 80023354: fffff797 auipc a5,0xfffff + 80023358: dd878793 addi a5,a5,-552 # 8002212c <_ZL12StateRestorei> + 8002335c: 001a9497 auipc s1,0x1a9 + 80023360: e4c48493 addi s1,s1,-436 # 801cc1a8 <_ZL8WRAMSIZE> + 80023364: 001a9717 auipc a4,0x1a9 + 80023368: 2ef73a23 sd a5,756(a4) # 801cc658 + 8002336c: 00002537 lui a0,0x2 + 80023370: 000027b7 lui a5,0x2 + 80023374: 00f4a023 sw a5,0(s1) + 80023378: 8f4ef0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002337c: 0004a603 lw a2,0(s1) + 80023380: 00050593 mv a1,a0 + 80023384: 001a9917 auipc s2,0x1a9 + 80023388: df490913 addi s2,s2,-524 # 801cc178 <_ZL4WRAM> + 8002338c: 00100693 li a3,1 + 80023390: 01000513 li a0,16 + 80023394: 00b93023 sd a1,0(s2) + 80023398: 95ced0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002339c: 0004a583 lw a1,0(s1) + 800233a0: 00093503 ld a0,0(s2) + 800233a4: 00022697 auipc a3,0x22 + 800233a8: f8468693 addi a3,a3,-124 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800233ac: 00000613 li a2,0 + 800233b0: c61dc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800233b4: 04c42783 lw a5,76(s0) + 800233b8: 00078a63 beqz a5,800233cc <_Z13Mapper26_InitP8CartInfo+0xc8> + 800233bc: 00093703 ld a4,0(s2) + 800233c0: 0004a783 lw a5,0(s1) + 800233c4: 00e43c23 sd a4,24(s0) + 800233c8: 02f42c23 sw a5,56(s0) + 800233cc: 01013403 ld s0,16(sp) + 800233d0: 01813083 ld ra,24(sp) + 800233d4: 00813483 ld s1,8(sp) + 800233d8: 00013903 ld s2,0(sp) + 800233dc: 00000693 li a3,0 + 800233e0: 00000613 li a2,0 + 800233e4: fff00593 li a1,-1 + 800233e8: 00028517 auipc a0,0x28 + 800233ec: 10050513 addi a0,a0,256 # 8004b4e8 <_ZL9StateRegs> + 800233f0: 02010113 addi sp,sp,32 + 800233f4: c1ddc06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800233f8 <_ZL12M228RamWritejh>: + 800233f8: 00357793 andi a5,a0,3 + 800233fc: 001a9517 auipc a0,0x1a9 + 80023400: dcc50513 addi a0,a0,-564 # 801cc1c8 <_ZL4mram> + 80023404: 00f50533 add a0,a0,a5 + 80023408: 00f5f593 andi a1,a1,15 + 8002340c: 00b50023 sb a1,0(a0) + 80023410: 00008067 ret + +0000000080023414 <_ZL11M228RamReadj>: + 80023414: 00357793 andi a5,a0,3 + 80023418: 001a9517 auipc a0,0x1a9 + 8002341c: db050513 addi a0,a0,-592 # 801cc1c8 <_ZL4mram> + 80023420: 00f50533 add a0,a0,a5 + 80023424: 00054503 lbu a0,0(a0) + 80023428: 00008067 ret + +000000008002342c <_ZL4Syncv>: + 8002342c: fe010113 addi sp,sp,-32 + 80023430: 00913423 sd s1,8(sp) + 80023434: 001a9497 auipc s1,0x1a9 + 80023438: d9048493 addi s1,s1,-624 # 801cc1c4 <_ZL4areg> + 8002343c: 0004d503 lhu a0,0(s1) + 80023440: 00113c23 sd ra,24(sp) + 80023444: 00813823 sd s0,16(sp) + 80023448: 0005069b sext.w a3,a0 + 8002344c: 4076d79b sraiw a5,a3,0x7 + 80023450: 0307f613 andi a2,a5,48 + 80023454: 01213023 sd s2,0(sp) + 80023458: 03000713 li a4,48 + 8002345c: 03f7f793 andi a5,a5,63 + 80023460: 00e61463 bne a2,a4,80023468 <_ZL4Syncv+0x3c> + 80023464: ff07879b addiw a5,a5,-16 + 80023468: 4066d71b sraiw a4,a3,0x6 + 8002346c: 0055541b srliw s0,a0,0x5 + 80023470: 4056d69b sraiw a3,a3,0x5 + 80023474: 00d5551b srliw a0,a0,0xd + 80023478: 00d77733 and a4,a4,a3 + 8002347c: 00154513 xori a0,a0,1 + 80023480: 0017979b slliw a5,a5,0x1 + 80023484: 00177713 andi a4,a4,1 + 80023488: 00157513 andi a0,a0,1 + 8002348c: 00e7893b addw s2,a5,a4 + 80023490: 00144413 xori s0,s0,1 + 80023494: bb5ed0ef jal ra,80011048 <_Z9setmirrori> + 80023498: 00090593 mv a1,s2 + 8002349c: 00008537 lui a0,0x8 + 800234a0: 00147413 andi s0,s0,1 + 800234a4: fb4ed0ef jal ra,80010c58 <_Z8setprg16jj> + 800234a8: 0089043b addw s0,s2,s0 + 800234ac: 00040593 mv a1,s0 + 800234b0: 0000c537 lui a0,0xc + 800234b4: fa4ed0ef jal ra,80010c58 <_Z8setprg16jj> + 800234b8: 0004d783 lhu a5,0(s1) + 800234bc: 01013403 ld s0,16(sp) + 800234c0: 01813083 ld ra,24(sp) + 800234c4: 00813483 ld s1,8(sp) + 800234c8: 00013903 ld s2,0(sp) + 800234cc: 001a9517 auipc a0,0x1a9 + 800234d0: d0054503 lbu a0,-768(a0) # 801cc1cc <_ZL4vreg> + 800234d4: 0027979b slliw a5,a5,0x2 + 800234d8: 00357513 andi a0,a0,3 + 800234dc: 03c7f793 andi a5,a5,60 + 800234e0: 00f56533 or a0,a0,a5 + 800234e4: 02010113 addi sp,sp,32 + 800234e8: a1ded06f j 80010f04 <_Z7setchr8j> + +00000000800234ec <_ZL12StateRestorei>: + 800234ec: f41ff06f j 8002342c <_ZL4Syncv> + +00000000800234f0 <_ZL9M228Writejh>: + 800234f0: 001a9797 auipc a5,0x1a9 + 800234f4: cca79a23 sh a0,-812(a5) # 801cc1c4 <_ZL4areg> + 800234f8: 001a9797 auipc a5,0x1a9 + 800234fc: ccb78a23 sb a1,-812(a5) # 801cc1cc <_ZL4vreg> + 80023500: f2dff06f j 8002342c <_ZL4Syncv> + +0000000080023504 <_ZL9M228Resetv>: + 80023504: ff010113 addi sp,sp,-16 + 80023508: ffff87b7 lui a5,0xffff8 + 8002350c: 00400613 li a2,4 + 80023510: 00000593 li a1,0 + 80023514: 001a9517 auipc a0,0x1a9 + 80023518: cb450513 addi a0,a0,-844 # 801cc1c8 <_ZL4mram> + 8002351c: 00113423 sd ra,8(sp) + 80023520: 001a9717 auipc a4,0x1a9 + 80023524: caf71223 sh a5,-860(a4) # 801cc1c4 <_ZL4areg> + 80023528: 001a9797 auipc a5,0x1a9 + 8002352c: ca078223 sb zero,-860(a5) # 801cc1cc <_ZL4vreg> + 80023530: 4f8180ef jal ra,8003ba28 + 80023534: 00813083 ld ra,8(sp) + 80023538: 01010113 addi sp,sp,16 + 8002353c: ef1ff06f j 8002342c <_ZL4Syncv> + +0000000080023540 <_ZL9M228Powerv>: + 80023540: ff010113 addi sp,sp,-16 + 80023544: 00113423 sd ra,8(sp) + 80023548: 00813023 sd s0,0(sp) + 8002354c: fb9ff0ef jal ra,80023504 <_ZL9M228Resetv> + 80023550: 00006437 lui s0,0x6 + 80023554: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80023558: 00000617 auipc a2,0x0 + 8002355c: ebc60613 addi a2,a2,-324 # 80023414 <_ZL11M228RamReadj> + 80023560: 00005537 lui a0,0x5 + 80023564: 4b8100ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023568: fff40593 addi a1,s0,-1 + 8002356c: 00000617 auipc a2,0x0 + 80023570: e8c60613 addi a2,a2,-372 # 800233f8 <_ZL12M228RamWritejh> + 80023574: 00005537 lui a0,0x5 + 80023578: 5b0100ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002357c: 00010437 lui s0,0x10 + 80023580: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80023584: fffed617 auipc a2,0xfffed + 80023588: 0dc60613 addi a2,a2,220 # 80010660 <_Z6CartBRj> + 8002358c: 00008537 lui a0,0x8 + 80023590: 48c100ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023594: fff40593 addi a1,s0,-1 + 80023598: 00013403 ld s0,0(sp) + 8002359c: 00813083 ld ra,8(sp) + 800235a0: 00000617 auipc a2,0x0 + 800235a4: f5060613 addi a2,a2,-176 # 800234f0 <_ZL9M228Writejh> + 800235a8: 00008537 lui a0,0x8 + 800235ac: 01010113 addi sp,sp,16 + 800235b0: 5781006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800235b4 <_Z14Mapper228_InitP8CartInfo>: + 800235b4: 00000797 auipc a5,0x0 + 800235b8: f8c78793 addi a5,a5,-116 # 80023540 <_ZL9M228Powerv> + 800235bc: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 800235c0: 00000797 auipc a5,0x0 + 800235c4: f4478793 addi a5,a5,-188 # 80023504 <_ZL9M228Resetv> + 800235c8: 00f53423 sd a5,8(a0) + 800235cc: 00000797 auipc a5,0x0 + 800235d0: f2078793 addi a5,a5,-224 # 800234ec <_ZL12StateRestorei> + 800235d4: 00000693 li a3,0 + 800235d8: 00000613 li a2,0 + 800235dc: fff00593 li a1,-1 + 800235e0: 00028517 auipc a0,0x28 + 800235e4: fe050513 addi a0,a0,-32 # 8004b5c0 <_ZL9StateRegs> + 800235e8: 001a9717 auipc a4,0x1a9 + 800235ec: 06f73823 sd a5,112(a4) # 801cc658 + 800235f0: a21dc06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800235f4 <_ZL4Syncv>: + 800235f4: fc010113 addi sp,sp,-64 + 800235f8: 02913423 sd s1,40(sp) + 800235fc: 001a9497 auipc s1,0x1a9 + 80023600: bde48493 addi s1,s1,-1058 # 801cc1da <_ZL7latched> + 80023604: 0004c503 lbu a0,0(s1) + 80023608: 03213023 sd s2,32(sp) + 8002360c: 02113c23 sd ra,56(sp) + 80023610: 00655513 srli a0,a0,0x6 + 80023614: 00154513 xori a0,a0,1 + 80023618: 00157513 andi a0,a0,1 + 8002361c: 02813823 sd s0,48(sp) + 80023620: 01313c23 sd s3,24(sp) + 80023624: 01413823 sd s4,16(sp) + 80023628: 01513423 sd s5,8(sp) + 8002362c: 001a9917 auipc s2,0x1a9 + 80023630: bac90913 addi s2,s2,-1108 # 801cc1d8 <_ZL7latchea> + 80023634: a15ed0ef jal ra,80011048 <_Z9setmirrori> + 80023638: 00095783 lhu a5,0(s2) + 8002363c: 00200713 li a4,2 + 80023640: 0037f693 andi a3,a5,3 + 80023644: 06e68263 beq a3,a4,800236a8 <_ZL4Syncv+0xb4> + 80023648: 0017f793 andi a5,a5,1 + 8002364c: 08079863 bnez a5,800236dc <_ZL4Syncv+0xe8> + 80023650: 00000413 li s0,0 + 80023654: 000089b7 lui s3,0x8 + 80023658: 00400913 li s2,4 + 8002365c: 0004c583 lbu a1,0(s1) + 80023660: 00d4151b slliw a0,s0,0xd + 80023664: 0135053b addw a0,a0,s3 + 80023668: 0015959b slliw a1,a1,0x1 + 8002366c: 07e5f593 andi a1,a1,126 + 80023670: 008585bb addw a1,a1,s0 + 80023674: 0014041b addiw s0,s0,1 + 80023678: c24ed0ef jal ra,80010a9c <_Z7setprg8jj> + 8002367c: ff2410e3 bne s0,s2,8002365c <_ZL4Syncv+0x68> + 80023680: 03013403 ld s0,48(sp) + 80023684: 03813083 ld ra,56(sp) + 80023688: 02813483 ld s1,40(sp) + 8002368c: 02013903 ld s2,32(sp) + 80023690: 01813983 ld s3,24(sp) + 80023694: 01013a03 ld s4,16(sp) + 80023698: 00813a83 ld s5,8(sp) + 8002369c: 00000513 li a0,0 + 800236a0: 04010113 addi sp,sp,64 + 800236a4: 861ed06f j 80010f04 <_Z7setchr8j> + 800236a8: 00008437 lui s0,0x8 + 800236ac: 000029b7 lui s3,0x2 + 800236b0: 00010937 lui s2,0x10 + 800236b4: 0004c783 lbu a5,0(s1) + 800236b8: 00040513 mv a0,s0 + 800236bc: 0089843b addw s0,s3,s0 + 800236c0: 0017959b slliw a1,a5,0x1 + 800236c4: 07e5f593 andi a1,a1,126 + 800236c8: 4077d79b sraiw a5,a5,0x7 + 800236cc: 00b785bb addw a1,a5,a1 + 800236d0: bcced0ef jal ra,80010a9c <_Z7setprg8jj> + 800236d4: ff2410e3 bne s0,s2,800236b4 <_ZL4Syncv+0xc0> + 800236d8: fa9ff06f j 80023680 <_ZL4Syncv+0x8c> + 800236dc: 0004c583 lbu a1,0(s1) + 800236e0: 00000413 li s0,0 + 800236e4: 00008a37 lui s4,0x8 + 800236e8: 03f5f593 andi a1,a1,63 + 800236ec: 00400993 li s3,4 + 800236f0: 00100a93 li s5,1 + 800236f4: 00147793 andi a5,s0,1 + 800236f8: 00d4151b slliw a0,s0,0xd + 800236fc: 0015959b slliw a1,a1,0x1 + 80023700: 0014041b addiw s0,s0,1 + 80023704: 00f585bb addw a1,a1,a5 + 80023708: 0145053b addw a0,a0,s4 + 8002370c: b90ed0ef jal ra,80010a9c <_Z7setprg8jj> + 80023710: f73408e3 beq s0,s3,80023680 <_ZL4Syncv+0x8c> + 80023714: 0004c583 lbu a1,0(s1) + 80023718: 03f5f713 andi a4,a1,63 + 8002371c: 00070593 mv a1,a4 + 80023720: fd540ae3 beq s0,s5,800236f4 <_ZL4Syncv+0x100> + 80023724: 00095783 lhu a5,0(s2) # 10000 <_entry_offset+0x10000> + 80023728: 0027f793 andi a5,a5,2 + 8002372c: fc0794e3 bnez a5,800236f4 <_ZL4Syncv+0x100> + 80023730: 00776593 ori a1,a4,7 + 80023734: fc1ff06f j 800236f4 <_ZL4Syncv+0x100> + +0000000080023738 <_ZL12StateRestorei>: + 80023738: ebdff06f j 800235f4 <_ZL4Syncv> + +000000008002373c <_ZL8M15Resetv>: + 8002373c: ffff87b7 lui a5,0xffff8 + 80023740: 001a9717 auipc a4,0x1a9 + 80023744: a8f71c23 sh a5,-1384(a4) # 801cc1d8 <_ZL7latchea> + 80023748: 001a9797 auipc a5,0x1a9 + 8002374c: a8078923 sb zero,-1390(a5) # 801cc1da <_ZL7latched> + 80023750: ea5ff06f j 800235f4 <_ZL4Syncv> + +0000000080023754 <_ZL8M15Closev>: + 80023754: 001a9517 auipc a0,0x1a9 + 80023758: a7c53503 ld a0,-1412(a0) # 801cc1d0 <_ZL4WRAM> + 8002375c: 02050263 beqz a0,80023780 <_ZL8M15Closev+0x2c> + 80023760: ff010113 addi sp,sp,-16 + 80023764: 00113423 sd ra,8(sp) + 80023768: dd9ee0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002376c: 00813083 ld ra,8(sp) + 80023770: 001a9797 auipc a5,0x1a9 + 80023774: a607b023 sd zero,-1440(a5) # 801cc1d0 <_ZL4WRAM> + 80023778: 01010113 addi sp,sp,16 + 8002377c: 00008067 ret + 80023780: 001a9797 auipc a5,0x1a9 + 80023784: a407b823 sd zero,-1456(a5) # 801cc1d0 <_ZL4WRAM> + 80023788: 00008067 ret + +000000008002378c <_ZL8M15Powerv>: + 8002378c: ff010113 addi sp,sp,-16 + 80023790: ffff87b7 lui a5,0xffff8 + 80023794: 00000613 li a2,0 + 80023798: 000065b7 lui a1,0x6 + 8002379c: 01000513 li a0,16 + 800237a0: 00113423 sd ra,8(sp) + 800237a4: 001a9717 auipc a4,0x1a9 + 800237a8: a2f71a23 sh a5,-1484(a4) # 801cc1d8 <_ZL7latchea> + 800237ac: 00813023 sd s0,0(sp) + 800237b0: 001a9797 auipc a5,0x1a9 + 800237b4: a2078523 sb zero,-1494(a5) # 801cc1da <_ZL7latched> + 800237b8: 00008437 lui s0,0x8 + 800237bc: 92ced0ef jal ra,800108e8 <_Z8setprg8rijj> + 800237c0: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 800237c4: fffed617 auipc a2,0xfffed + 800237c8: e9c60613 addi a2,a2,-356 # 80010660 <_Z6CartBRj> + 800237cc: 00006537 lui a0,0x6 + 800237d0: 24c100ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800237d4: fff40593 addi a1,s0,-1 + 800237d8: fffed617 auipc a2,0xfffed + 800237dc: eb460613 addi a2,a2,-332 # 8001068c <_Z6CartBWjh> + 800237e0: 00006537 lui a0,0x6 + 800237e4: 344100ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800237e8: 00010437 lui s0,0x10 + 800237ec: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800237f0: 00000617 auipc a2,0x0 + 800237f4: 05060613 addi a2,a2,80 # 80023840 <_ZL8M15Writejh> + 800237f8: 00008537 lui a0,0x8 + 800237fc: 32c100ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80023800: fff40593 addi a1,s0,-1 + 80023804: fffed617 auipc a2,0xfffed + 80023808: e5c60613 addi a2,a2,-420 # 80010660 <_Z6CartBRj> + 8002380c: 00008537 lui a0,0x8 + 80023810: 20c100ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023814: 001a9517 auipc a0,0x1a9 + 80023818: 9c852503 lw a0,-1592(a0) # 801cc1dc <_ZL8WRAMSIZE> + 8002381c: 001a9617 auipc a2,0x1a9 + 80023820: 9b463603 ld a2,-1612(a2) # 801cc1d0 <_ZL4WRAM> + 80023824: 000065b7 lui a1,0x6 + 80023828: 00a5551b srliw a0,a0,0xa + 8002382c: fe8dc0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80023830: 00013403 ld s0,0(sp) + 80023834: 00813083 ld ra,8(sp) + 80023838: 01010113 addi sp,sp,16 + 8002383c: db9ff06f j 800235f4 <_ZL4Syncv> + +0000000080023840 <_ZL8M15Writejh>: + 80023840: 001a9797 auipc a5,0x1a9 + 80023844: 98a79c23 sh a0,-1640(a5) # 801cc1d8 <_ZL7latchea> + 80023848: 001a9797 auipc a5,0x1a9 + 8002384c: 98b78923 sb a1,-1646(a5) # 801cc1da <_ZL7latched> + 80023850: ff010113 addi sp,sp,-16 + 80023854: 00113423 sd ra,8(sp) + 80023858: 00357513 andi a0,a0,3 + 8002385c: 00300793 li a5,3 + 80023860: 0009f597 auipc a1,0x9f + 80023864: da05b583 ld a1,-608(a1) # 800c2600 + 80023868: 02f50063 beq a0,a5,80023888 <_ZL8M15Writejh+0x48> + 8002386c: 00100693 li a3,1 + 80023870: 00002637 lui a2,0x2 + 80023874: 00000513 li a0,0 + 80023878: d4dec0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002387c: 00813083 ld ra,8(sp) + 80023880: 01010113 addi sp,sp,16 + 80023884: d71ff06f j 800235f4 <_ZL4Syncv> + 80023888: 00000693 li a3,0 + 8002388c: 00002637 lui a2,0x2 + 80023890: 00000513 li a0,0 + 80023894: d31ec0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80023898: 00813083 ld ra,8(sp) + 8002389c: 01010113 addi sp,sp,16 + 800238a0: d55ff06f j 800235f4 <_ZL4Syncv> + +00000000800238a4 <_Z13Mapper15_InitP8CartInfo>: + 800238a4: fe010113 addi sp,sp,-32 + 800238a8: 00113c23 sd ra,24(sp) + 800238ac: 00813823 sd s0,16(sp) + 800238b0: 00913423 sd s1,8(sp) + 800238b4: 01213023 sd s2,0(sp) + 800238b8: 00000797 auipc a5,0x0 + 800238bc: ed478793 addi a5,a5,-300 # 8002378c <_ZL8M15Powerv> + 800238c0: 00f53023 sd a5,0(a0) + 800238c4: 00000797 auipc a5,0x0 + 800238c8: e7878793 addi a5,a5,-392 # 8002373c <_ZL8M15Resetv> + 800238cc: 00f53423 sd a5,8(a0) + 800238d0: 00000797 auipc a5,0x0 + 800238d4: e8478793 addi a5,a5,-380 # 80023754 <_ZL8M15Closev> + 800238d8: 00f53823 sd a5,16(a0) + 800238dc: 00000797 auipc a5,0x0 + 800238e0: e5c78793 addi a5,a5,-420 # 80023738 <_ZL12StateRestorei> + 800238e4: 001a9497 auipc s1,0x1a9 + 800238e8: 8f848493 addi s1,s1,-1800 # 801cc1dc <_ZL8WRAMSIZE> + 800238ec: 00050413 mv s0,a0 + 800238f0: 001a9717 auipc a4,0x1a9 + 800238f4: d6f73423 sd a5,-664(a4) # 801cc658 + 800238f8: 00002537 lui a0,0x2 + 800238fc: 000027b7 lui a5,0x2 + 80023900: 00f4a023 sw a5,0(s1) + 80023904: b69ee0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80023908: 0004a603 lw a2,0(s1) + 8002390c: 00050593 mv a1,a0 + 80023910: 001a9917 auipc s2,0x1a9 + 80023914: 8c090913 addi s2,s2,-1856 # 801cc1d0 <_ZL4WRAM> + 80023918: 01000513 li a0,16 + 8002391c: 00100693 li a3,1 + 80023920: 00b93023 sd a1,0(s2) + 80023924: bd1ec0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80023928: 04c42783 lw a5,76(s0) + 8002392c: 00093503 ld a0,0(s2) + 80023930: 0004a583 lw a1,0(s1) + 80023934: 00078663 beqz a5,80023940 <_Z13Mapper15_InitP8CartInfo+0x9c> + 80023938: 00a43c23 sd a0,24(s0) + 8002393c: 02b42c23 sw a1,56(s0) + 80023940: 00022697 auipc a3,0x22 + 80023944: 9e868693 addi a3,a3,-1560 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80023948: 00000613 li a2,0 + 8002394c: ec4dc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80023950: 01013403 ld s0,16(sp) + 80023954: 01813083 ld ra,24(sp) + 80023958: 00813483 ld s1,8(sp) + 8002395c: 00013903 ld s2,0(sp) + 80023960: 00000693 li a3,0 + 80023964: 00000613 li a2,0 + 80023968: fff00593 li a1,-1 + 8002396c: 00028517 auipc a0,0x28 + 80023970: cb450513 addi a0,a0,-844 # 8004b620 <_ZL9StateRegs> + 80023974: 02010113 addi sp,sp,32 + 80023978: e98dc06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002397c <_ZL10M178SndClki>: + 8002397c: 001a9697 auipc a3,0x1a9 + 80023980: 86868693 addi a3,a3,-1944 # 801cc1e4 <_ZL11SensorDelay> + 80023984: 0006a783 lw a5,0(a3) + 80023988: 00032737 lui a4,0x32 + 8002398c: 76870713 addi a4,a4,1896 # 32768 <_entry_offset+0x32768> + 80023990: 00a7863b addw a2,a5,a0 + 80023994: 00c74663 blt a4,a2,800239a0 <_ZL10M178SndClki+0x24> + 80023998: 00c6a023 sw a2,0(a3) + 8002399c: 00008067 ret + 800239a0: ff010113 addi sp,sp,-16 + 800239a4: 00813023 sd s0,0(sp) + 800239a8: ffff8737 lui a4,0xffff8 + 800239ac: 000a4417 auipc s0,0xa4 + 800239b0: cb440413 addi s0,s0,-844 # 800c7660 <_ZL9MouseData> + 800239b4: 00c707bb addw a5,a4,a2 + 800239b8: 00113423 sd ra,8(sp) + 800239bc: 00040513 mv a0,s0 + 800239c0: 00f6a023 sw a5,0(a3) + 800239c4: edcea0ef jal ra,8000e0a0 <_Z12GetMouseDataRA3_j> + 800239c8: 00842783 lw a5,8(s0) + 800239cc: 001a9717 auipc a4,0x1a9 + 800239d0: 82c70713 addi a4,a4,-2004 # 801cc1f8 <_ZL5click> + 800239d4: 00072683 lw a3,0(a4) + 800239d8: 0017f793 andi a5,a5,1 + 800239dc: 00f72023 sw a5,0(a4) + 800239e0: 00068e63 beqz a3,800239fc <_ZL10M178SndClki+0x80> + 800239e4: 00079c63 bnez a5,800239fc <_ZL10M178SndClki+0x80> + 800239e8: 00013403 ld s0,0(sp) + 800239ec: 00813083 ld ra,8(sp) + 800239f0: 00100513 li a0,1 + 800239f4: 01010113 addi sp,sp,16 + 800239f8: b40dd06f j 80000d38 <_Z14X6502_IRQBegini> + 800239fc: 00813083 ld ra,8(sp) + 80023a00: 00013403 ld s0,0(sp) + 80023a04: 01010113 addi sp,sp,16 + 80023a08: 00008067 ret + +0000000080023a0c <_ZL4Syncv>: + 80023a0c: fd010113 addi sp,sp,-48 + 80023a10: 00913c23 sd s1,24(sp) + 80023a14: 00000513 li a0,0 + 80023a18: 001a8497 auipc s1,0x1a8 + 80023a1c: 7d048493 addi s1,s1,2000 # 801cc1e8 <_ZL3reg> + 80023a20: 02113423 sd ra,40(sp) + 80023a24: 02813023 sd s0,32(sp) + 80023a28: 01213823 sd s2,16(sp) + 80023a2c: 0014c403 lbu s0,1(s1) + 80023a30: 0024c903 lbu s2,2(s1) + 80023a34: cd0ed0ef jal ra,80010f04 <_Z7setchr8j> + 80023a38: 0034c603 lbu a2,3(s1) + 80023a3c: 000065b7 lui a1,0x6 + 80023a40: 01000513 li a0,16 + 80023a44: 00367613 andi a2,a2,3 + 80023a48: ea1ec0ef jal ra,800108e8 <_Z8setprg8rijj> + 80023a4c: 0004c783 lbu a5,0(s1) + 80023a50: 00747413 andi s0,s0,7 + 80023a54: 0039191b slliw s2,s2,0x3 + 80023a58: 012465b3 or a1,s0,s2 + 80023a5c: 0027f713 andi a4,a5,2 + 80023a60: 0005859b sext.w a1,a1 + 80023a64: 04071c63 bnez a4,80023abc <_ZL4Syncv+0xb0> + 80023a68: 0047f793 andi a5,a5,4 + 80023a6c: 02079a63 bnez a5,80023aa0 <_ZL4Syncv+0x94> + 80023a70: 0015d593 srli a1,a1,0x1 + 80023a74: 00008537 lui a0,0x8 + 80023a78: b9ced0ef jal ra,80010e14 <_Z8setprg32jj> + 80023a7c: 0004c503 lbu a0,0(s1) + 80023a80: 02013403 ld s0,32(sp) + 80023a84: 02813083 ld ra,40(sp) + 80023a88: 01813483 ld s1,24(sp) + 80023a8c: 01013903 ld s2,16(sp) + 80023a90: fff54513 not a0,a0 + 80023a94: 00157513 andi a0,a0,1 + 80023a98: 03010113 addi sp,sp,48 + 80023a9c: daced06f j 80011048 <_Z9setmirrori> + 80023aa0: 00008537 lui a0,0x8 + 80023aa4: 00b13423 sd a1,8(sp) + 80023aa8: 9b0ed0ef jal ra,80010c58 <_Z8setprg16jj> + 80023aac: 00813583 ld a1,8(sp) + 80023ab0: 0000c537 lui a0,0xc + 80023ab4: 9a4ed0ef jal ra,80010c58 <_Z8setprg16jj> + 80023ab8: fc5ff06f j 80023a7c <_ZL4Syncv+0x70> + 80023abc: 00008537 lui a0,0x8 + 80023ac0: 998ed0ef jal ra,80010c58 <_Z8setprg16jj> + 80023ac4: 0004c783 lbu a5,0(s1) + 80023ac8: 0047f793 andi a5,a5,4 + 80023acc: 02078263 beqz a5,80023af0 <_ZL4Syncv+0xe4> + 80023ad0: 0014c583 lbu a1,1(s1) + 80023ad4: 0000c537 lui a0,0xc + 80023ad8: 0015f593 andi a1,a1,1 + 80023adc: 0125e5b3 or a1,a1,s2 + 80023ae0: 0065e593 ori a1,a1,6 + 80023ae4: 0005859b sext.w a1,a1 + 80023ae8: 970ed0ef jal ra,80010c58 <_Z8setprg16jj> + 80023aec: f91ff06f j 80023a7c <_ZL4Syncv+0x70> + 80023af0: 00796593 ori a1,s2,7 + 80023af4: 0005859b sext.w a1,a1 + 80023af8: 0000c537 lui a0,0xc + 80023afc: 95ced0ef jal ra,80010c58 <_Z8setprg16jj> + 80023b00: f7dff06f j 80023a7c <_ZL4Syncv+0x70> + +0000000080023b04 <_ZL12StateRestorei>: + 80023b04: f09ff06f j 80023a0c <_ZL4Syncv> + +0000000080023b08 <_ZL9M178Writejh>: + 80023b08: 00357793 andi a5,a0,3 + 80023b0c: 001a8517 auipc a0,0x1a8 + 80023b10: 6dc50513 addi a0,a0,1756 # 801cc1e8 <_ZL3reg> + 80023b14: 00f50533 add a0,a0,a5 + 80023b18: 00b50023 sb a1,0(a0) + 80023b1c: ef1ff06f j 80023a0c <_ZL4Syncv> + +0000000080023b20 <_ZL9M178Closev>: + 80023b20: 001a8517 auipc a0,0x1a8 + 80023b24: 6d053503 ld a0,1744(a0) # 801cc1f0 <_ZL4WRAM> + 80023b28: 02050263 beqz a0,80023b4c <_ZL9M178Closev+0x2c> + 80023b2c: ff010113 addi sp,sp,-16 + 80023b30: 00113423 sd ra,8(sp) + 80023b34: a0dee0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80023b38: 00813083 ld ra,8(sp) + 80023b3c: 001a8797 auipc a5,0x1a8 + 80023b40: 6a07ba23 sd zero,1716(a5) # 801cc1f0 <_ZL4WRAM> + 80023b44: 01010113 addi sp,sp,16 + 80023b48: 00008067 ret + 80023b4c: 001a8797 auipc a5,0x1a8 + 80023b50: 6a07b223 sd zero,1700(a5) # 801cc1f0 <_ZL4WRAM> + 80023b54: 00008067 ret + +0000000080023b58 <_ZL9M178Powerv>: + 80023b58: ff010113 addi sp,sp,-16 + 80023b5c: 00113423 sd ra,8(sp) + 80023b60: 00813023 sd s0,0(sp) + 80023b64: 001a8797 auipc a5,0x1a8 + 80023b68: 6807a023 sw zero,1664(a5) # 801cc1e4 <_ZL11SensorDelay> + 80023b6c: 001a8797 auipc a5,0x1a8 + 80023b70: 6607ae23 sw zero,1660(a5) # 801cc1e8 <_ZL3reg> + 80023b74: e99ff0ef jal ra,80023a0c <_ZL4Syncv> + 80023b78: 00005537 lui a0,0x5 + 80023b7c: fff50593 addi a1,a0,-1 # 4fff <_entry_offset+0x4fff> + 80023b80: 00000617 auipc a2,0x0 + 80023b84: f8860613 addi a2,a2,-120 # 80023b08 <_ZL9M178Writejh> + 80023b88: 80050513 addi a0,a0,-2048 + 80023b8c: 79d0f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80023b90: 00006437 lui s0,0x6 + 80023b94: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80023b98: 80040513 addi a0,s0,-2048 + 80023b9c: 00000617 auipc a2,0x0 + 80023ba0: 0c060613 addi a2,a2,192 # 80023c5c <_ZL12M178WriteSndjh> + 80023ba4: 7850f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80023ba8: fff40593 addi a1,s0,-1 + 80023bac: 80040513 addi a0,s0,-2048 + 80023bb0: 00000617 auipc a2,0x0 + 80023bb4: 0e060613 addi a2,a2,224 # 80023c90 <_ZL11M178ReadSndj> + 80023bb8: 6650f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023bbc: 00000617 auipc a2,0x0 + 80023bc0: 08060613 addi a2,a2,128 # 80023c3c <_ZL14M178ReadSensorj> + 80023bc4: 000055b7 lui a1,0x5 + 80023bc8: 00005537 lui a0,0x5 + 80023bcc: 6510f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023bd0: 00008437 lui s0,0x8 + 80023bd4: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80023bd8: fffed617 auipc a2,0xfffed + 80023bdc: a8860613 addi a2,a2,-1400 # 80010660 <_Z6CartBRj> + 80023be0: 00006537 lui a0,0x6 + 80023be4: 6390f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023be8: fff40593 addi a1,s0,-1 + 80023bec: fffed617 auipc a2,0xfffed + 80023bf0: aa060613 addi a2,a2,-1376 # 8001068c <_Z6CartBWjh> + 80023bf4: 00006537 lui a0,0x6 + 80023bf8: 7310f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80023bfc: 000105b7 lui a1,0x10 + 80023c00: fffed617 auipc a2,0xfffed + 80023c04: a6060613 addi a2,a2,-1440 # 80010660 <_Z6CartBRj> + 80023c08: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80023c0c: 00008537 lui a0,0x8 + 80023c10: 60d0f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80023c14: 00013403 ld s0,0(sp) + 80023c18: 00813083 ld ra,8(sp) + 80023c1c: 001a8517 auipc a0,0x1a8 + 80023c20: 5e052503 lw a0,1504(a0) # 801cc1fc <_ZL8WRAMSIZE> + 80023c24: 001a8617 auipc a2,0x1a8 + 80023c28: 5cc63603 ld a2,1484(a2) # 801cc1f0 <_ZL4WRAM> + 80023c2c: 000065b7 lui a1,0x6 + 80023c30: 00a5551b srliw a0,a0,0xa + 80023c34: 01010113 addi sp,sp,16 + 80023c38: bdcdc06f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080023c3c <_ZL14M178ReadSensorj>: + 80023c3c: ff010113 addi sp,sp,-16 + 80023c40: 00100513 li a0,1 + 80023c44: 00113423 sd ra,8(sp) + 80023c48: 908dd0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80023c4c: 00813083 ld ra,8(sp) + 80023c50: 00000513 li a0,0 + 80023c54: 01010113 addi sp,sp,16 + 80023c58: 00008067 ret + +0000000080023c5c <_ZL12M178WriteSndjh>: + 80023c5c: 000067b7 lui a5,0x6 + 80023c60: 80078793 addi a5,a5,-2048 # 5800 <_entry_offset+0x5800> + 80023c64: 00f50463 beq a0,a5,80023c6c <_ZL12M178WriteSndjh+0x10> + 80023c68: 00008067 ret + 80023c6c: 0f05f593 andi a1,a1,240 + 80023c70: 00058a63 beqz a1,80023c84 <_ZL12M178WriteSndjh+0x28> + 80023c74: 00100793 li a5,1 + 80023c78: 001a8717 auipc a4,0x1a8 + 80023c7c: 56f70423 sb a5,1384(a4) # 801cc1e0 <_ZL10pcm_enable> + 80023c80: 00008067 ret + 80023c84: 001a8797 auipc a5,0x1a8 + 80023c88: 54078e23 sb zero,1372(a5) # 801cc1e0 <_ZL10pcm_enable> + 80023c8c: 00008067 ret + +0000000080023c90 <_ZL11M178ReadSndj>: + 80023c90: 00006737 lui a4,0x6 + 80023c94: 80070713 addi a4,a4,-2048 # 5800 <_entry_offset+0x5800> + 80023c98: 00034797 auipc a5,0x34 + 80023c9c: bd07c783 lbu a5,-1072(a5) # 80057868 + 80023ca0: 02e51063 bne a0,a4,80023cc0 <_ZL11M178ReadSndj+0x30> + 80023ca4: 001a8517 auipc a0,0x1a8 + 80023ca8: 53c54503 lbu a0,1340(a0) # 801cc1e0 <_ZL10pcm_enable> + 80023cac: 00154513 xori a0,a0,1 + 80023cb0: fbf7f793 andi a5,a5,-65 + 80023cb4: 0065151b slliw a0,a0,0x6 + 80023cb8: 00a7e7b3 or a5,a5,a0 + 80023cbc: 0ff7f793 andi a5,a5,255 + 80023cc0: 00078513 mv a0,a5 + 80023cc4: 00008067 ret + +0000000080023cc8 <_Z14Mapper178_InitP8CartInfo>: + 80023cc8: fe010113 addi sp,sp,-32 + 80023ccc: 00113c23 sd ra,24(sp) + 80023cd0: 00813823 sd s0,16(sp) + 80023cd4: 00913423 sd s1,8(sp) + 80023cd8: 01213023 sd s2,0(sp) + 80023cdc: 00000797 auipc a5,0x0 + 80023ce0: e7c78793 addi a5,a5,-388 # 80023b58 <_ZL9M178Powerv> + 80023ce4: 00f53023 sd a5,0(a0) + 80023ce8: 00000797 auipc a5,0x0 + 80023cec: e3878793 addi a5,a5,-456 # 80023b20 <_ZL9M178Closev> + 80023cf0: 00f53823 sd a5,16(a0) + 80023cf4: 00000797 auipc a5,0x0 + 80023cf8: e1078793 addi a5,a5,-496 # 80023b04 <_ZL12StateRestorei> + 80023cfc: 001a9717 auipc a4,0x1a9 + 80023d00: 94f73e23 sd a5,-1700(a4) # 801cc658 + 80023d04: 00000797 auipc a5,0x0 + 80023d08: c7878793 addi a5,a5,-904 # 8002397c <_ZL10M178SndClki> + 80023d0c: 001a8497 auipc s1,0x1a8 + 80023d10: 4f048493 addi s1,s1,1264 # 801cc1fc <_ZL8WRAMSIZE> + 80023d14: 00050413 mv s0,a0 + 80023d18: 001a8717 auipc a4,0x1a8 + 80023d1c: e2f73423 sd a5,-472(a4) # 801cbb40 + 80023d20: 00008537 lui a0,0x8 + 80023d24: 000087b7 lui a5,0x8 + 80023d28: 00f4a023 sw a5,0(s1) + 80023d2c: f40ee0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80023d30: 0004a603 lw a2,0(s1) + 80023d34: 00050593 mv a1,a0 + 80023d38: 001a8917 auipc s2,0x1a8 + 80023d3c: 4b890913 addi s2,s2,1208 # 801cc1f0 <_ZL4WRAM> + 80023d40: 01000513 li a0,16 + 80023d44: 00100693 li a3,1 + 80023d48: 00b93023 sd a1,0(s2) + 80023d4c: fa8ec0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80023d50: 04c42783 lw a5,76(s0) + 80023d54: 00093503 ld a0,0(s2) + 80023d58: 0004a583 lw a1,0(s1) + 80023d5c: 00078663 beqz a5,80023d68 <_Z14Mapper178_InitP8CartInfo+0xa0> + 80023d60: 00a43c23 sd a0,24(s0) + 80023d64: 02b42c23 sw a1,56(s0) + 80023d68: 00021697 auipc a3,0x21 + 80023d6c: 5c068693 addi a3,a3,1472 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80023d70: 00000613 li a2,0 + 80023d74: a9cdc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80023d78: 01013403 ld s0,16(sp) + 80023d7c: 01813083 ld ra,24(sp) + 80023d80: 00813483 ld s1,8(sp) + 80023d84: 00013903 ld s2,0(sp) + 80023d88: 00000693 li a3,0 + 80023d8c: 00000613 li a2,0 + 80023d90: fff00593 li a1,-1 + 80023d94: 00028517 auipc a0,0x28 + 80023d98: 8d450513 addi a0,a0,-1836 # 8004b668 <_ZL9StateRegs> + 80023d9c: 02010113 addi sp,sp,32 + 80023da0: a70dc06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080023da4 <_ZL12UNL8237Powerv>: + 80023da4: ff010113 addi sp,sp,-16 + 80023da8: 00113423 sd ra,8(sp) + 80023dac: 001a8797 auipc a5,0x1a8 + 80023db0: 4a478793 addi a5,a5,1188 # 801cc250 + 80023db4: 00300713 li a4,3 + 80023db8: 00078123 sb zero,2(a5) + 80023dbc: 00078023 sb zero,0(a5) + 80023dc0: 00e780a3 sb a4,1(a5) + 80023dc4: 3fc020ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80023dc8: 000105b7 lui a1,0x10 + 80023dcc: 00000617 auipc a2,0x0 + 80023dd0: 03460613 addi a2,a2,52 # 80023e00 <_ZL12UNL8237Writejh> + 80023dd4: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80023dd8: 00008537 lui a0,0x8 + 80023ddc: 54d0f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80023de0: 00813083 ld ra,8(sp) + 80023de4: 000085b7 lui a1,0x8 + 80023de8: 00000617 auipc a2,0x0 + 80023dec: 1ac60613 addi a2,a2,428 # 80023f94 <_ZL14UNL8237ExWritejh> + 80023df0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80023df4: 00005537 lui a0,0x5 + 80023df8: 01010113 addi sp,sp,16 + 80023dfc: 52d0f06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080023e00 <_ZL12UNL8237Writejh>: + 80023e00: 00c5579b srliw a5,a0,0xc + 80023e04: 001a8697 auipc a3,0x1a8 + 80023e08: 44e6c683 lbu a3,1102(a3) # 801cc252 + 80023e0c: 00157513 andi a0,a0,1 + 80023e10: 0067f793 andi a5,a5,6 + 80023e14: 00a7e7b3 or a5,a5,a0 + 80023e18: 00369693 slli a3,a3,0x3 + 80023e1c: 00022517 auipc a0,0x22 + 80023e20: dbc50513 addi a0,a0,-580 # 80045bd8 <_ZL7adrperm> + 80023e24: 00d50533 add a0,a0,a3 + 80023e28: 00f50533 add a0,a0,a5 + 80023e2c: 00054703 lbu a4,0(a0) + 80023e30: 000067b7 lui a5,0x6 + 80023e34: 00300613 li a2,3 + 80023e38: 00c71513 slli a0,a4,0xc + 80023e3c: 00f57533 and a0,a0,a5 + 80023e40: 00177793 andi a5,a4,1 + 80023e44: 00f56533 or a0,a0,a5 + 80023e48: ffff87b7 lui a5,0xffff8 + 80023e4c: 00f56533 or a0,a0,a5 + 80023e50: 0105151b slliw a0,a0,0x10 + 80023e54: 0105551b srliw a0,a0,0x10 + 80023e58: 00058793 mv a5,a1 + 80023e5c: 02e66863 bltu a2,a4,80023e8c <_ZL12UNL8237Writejh+0x8c> + 80023e60: 02071263 bnez a4,80023e84 <_ZL12UNL8237Writejh+0x84> + 80023e64: 00022717 auipc a4,0x22 + 80023e68: db470713 addi a4,a4,-588 # 80045c18 <_ZL7regperm> + 80023e6c: 00d706b3 add a3,a4,a3 + 80023e70: 0075f613 andi a2,a1,7 + 80023e74: 00c686b3 add a3,a3,a2 + 80023e78: 0006c703 lbu a4,0(a3) + 80023e7c: fc05f793 andi a5,a1,-64 + 80023e80: 00e7e7b3 or a5,a5,a4 + 80023e84: 00078593 mv a1,a5 + 80023e88: 23d0206f j 800268c4 <_Z13MMC3_CMDWritejh> + 80023e8c: 4090106f j 80025a94 <_Z13MMC3_IRQWritejh> + +0000000080023e90 <_ZL9UNL8237PWjh>: + 80023e90: ff010113 addi sp,sp,-16 + 80023e94: 001a8797 auipc a5,0x1a8 + 80023e98: 3bc78793 addi a5,a5,956 # 801cc250 + 80023e9c: 00113423 sd ra,8(sp) + 80023ea0: 00813023 sd s0,0(sp) + 80023ea4: 0007c683 lbu a3,0(a5) + 80023ea8: 0017c703 lbu a4,1(a5) + 80023eac: 0186961b slliw a2,a3,0x18 + 80023eb0: 0406f813 andi a6,a3,64 + 80023eb4: 4186561b sraiw a2,a2,0x18 + 80023eb8: 0007079b sext.w a5,a4 + 80023ebc: 06080a63 beqz a6,80023f30 <_ZL9UNL8237PWjh+0xa0> + 80023ec0: 01077713 andi a4,a4,16 + 80023ec4: 0ff77813 andi a6,a4,255 + 80023ec8: 04065263 bgez a2,80023f0c <_ZL9UNL8237PWjh+0x7c> + 80023ecc: 00479593 slli a1,a5,0x4 + 80023ed0: 0305f593 andi a1,a1,48 + 80023ed4: 4018581b sraiw a6,a6,0x1 + 80023ed8: 0105e5b3 or a1,a1,a6 + 80023edc: 00767613 andi a2,a2,7 + 80023ee0: 00c5e5b3 or a1,a1,a2 + 80023ee4: 0185959b slliw a1,a1,0x18 + 80023ee8: 0206f693 andi a3,a3,32 + 80023eec: 4185d59b sraiw a1,a1,0x18 + 80023ef0: 04068e63 beqz a3,80023f4c <_ZL9UNL8237PWjh+0xbc> + 80023ef4: 00013403 ld s0,0(sp) + 80023ef8: 00813083 ld ra,8(sp) + 80023efc: 0015d593 srli a1,a1,0x1 + 80023f00: 00008537 lui a0,0x8 + 80023f04: 01010113 addi sp,sp,16 + 80023f08: f0dec06f j 80010e14 <_Z8setprg32jj> + 80023f0c: 00013403 ld s0,0(sp) + 80023f10: 0057979b slliw a5,a5,0x5 + 80023f14: 00813083 ld ra,8(sp) + 80023f18: 0607f793 andi a5,a5,96 + 80023f1c: 00f76733 or a4,a4,a5 + 80023f20: 00f5f593 andi a1,a1,15 + 80023f24: 00e5e5b3 or a1,a1,a4 + 80023f28: 01010113 addi sp,sp,16 + 80023f2c: b71ec06f j 80010a9c <_Z7setprg8jj> + 80023f30: 04065263 bgez a2,80023f74 <_ZL9UNL8237PWjh+0xe4> + 80023f34: 00479593 slli a1,a5,0x4 + 80023f38: 0305f593 andi a1,a1,48 + 80023f3c: 00f67613 andi a2,a2,15 + 80023f40: 0206f693 andi a3,a3,32 + 80023f44: 00c5e5b3 or a1,a1,a2 + 80023f48: fa0696e3 bnez a3,80023ef4 <_ZL9UNL8237PWjh+0x64> + 80023f4c: 0005841b sext.w s0,a1 + 80023f50: 00040593 mv a1,s0 + 80023f54: 00008537 lui a0,0x8 + 80023f58: d01ec0ef jal ra,80010c58 <_Z8setprg16jj> + 80023f5c: 00040593 mv a1,s0 + 80023f60: 00013403 ld s0,0(sp) + 80023f64: 00813083 ld ra,8(sp) + 80023f68: 0000c537 lui a0,0xc + 80023f6c: 01010113 addi sp,sp,16 + 80023f70: ce9ec06f j 80010c58 <_Z8setprg16jj> + 80023f74: 00013403 ld s0,0(sp) + 80023f78: 00813083 ld ra,8(sp) + 80023f7c: 0057979b slliw a5,a5,0x5 + 80023f80: 0607f793 andi a5,a5,96 + 80023f84: 01f5f593 andi a1,a1,31 + 80023f88: 00f5e5b3 or a1,a1,a5 + 80023f8c: 01010113 addi sp,sp,16 + 80023f90: b0dec06f j 80010a9c <_Z7setprg8jj> + +0000000080023f94 <_ZL14UNL8237ExWritejh>: + 80023f94: 000057b7 lui a5,0x5 + 80023f98: 00178713 addi a4,a5,1 # 5001 <_entry_offset+0x5001> + 80023f9c: 02e50a63 beq a0,a4,80023fd0 <_ZL14UNL8237ExWritejh+0x3c> + 80023fa0: 00778713 addi a4,a5,7 + 80023fa4: 02e50063 beq a0,a4,80023fc4 <_ZL14UNL8237ExWritejh+0x30> + 80023fa8: 00f50463 beq a0,a5,80023fb0 <_ZL14UNL8237ExWritejh+0x1c> + 80023fac: 00008067 ret + 80023fb0: 001a8517 auipc a0,0x1a8 + 80023fb4: 2ac54503 lbu a0,684(a0) # 801cc25c + 80023fb8: 001a8797 auipc a5,0x1a8 + 80023fbc: 28b78c23 sb a1,664(a5) # 801cc250 + 80023fc0: 6ad0106f j 80025e6c <_Z10FixMMC3PRGi> + 80023fc4: 001a8797 auipc a5,0x1a8 + 80023fc8: 28b78723 sb a1,654(a5) # 801cc252 + 80023fcc: 00008067 ret + 80023fd0: ff010113 addi sp,sp,-16 + 80023fd4: 00813023 sd s0,0(sp) + 80023fd8: 001a8417 auipc s0,0x1a8 + 80023fdc: 28440413 addi s0,s0,644 # 801cc25c + 80023fe0: 00044503 lbu a0,0(s0) + 80023fe4: 00113423 sd ra,8(sp) + 80023fe8: 001a8797 auipc a5,0x1a8 + 80023fec: 26b784a3 sb a1,617(a5) # 801cc251 + 80023ff0: 67d010ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80023ff4: 00044503 lbu a0,0(s0) + 80023ff8: 00013403 ld s0,0(sp) + 80023ffc: 00813083 ld ra,8(sp) + 80024000: 01010113 addi sp,sp,16 + 80024004: 0240206f j 80026028 <_Z10FixMMC3CHRi> + +0000000080024008 <_ZL9UNL8237CWjh>: + 80024008: 001a8797 auipc a5,0x1a8 + 8002400c: 24878793 addi a5,a5,584 # 801cc250 + 80024010: 0007c683 lbu a3,0(a5) + 80024014: 0017c703 lbu a4,1(a5) + 80024018: 0406f693 andi a3,a3,64 + 8002401c: 0067179b slliw a5,a4,0x6 + 80024020: 3007f793 andi a5,a5,768 + 80024024: 00068e63 beqz a3,80024040 <_ZL9UNL8237CWjh+0x38> + 80024028: 0027171b slliw a4,a4,0x2 + 8002402c: 08077713 andi a4,a4,128 + 80024030: 00e7e7b3 or a5,a5,a4 + 80024034: 07f5f593 andi a1,a1,127 + 80024038: 00f5e5b3 or a1,a1,a5 + 8002403c: e69ec06f j 80010ea4 <_Z7setchr1jj> + 80024040: 00b7e5b3 or a1,a5,a1 + 80024044: e61ec06f j 80010ea4 <_Z7setchr1jj> + +0000000080024048 <_Z12UNL8237_InitP8CartInfo>: + 80024048: ff010113 addi sp,sp,-16 + 8002404c: 00000713 li a4,0 + 80024050: 00000693 li a3,0 + 80024054: 10000613 li a2,256 + 80024058: 10000593 li a1,256 + 8002405c: 00113423 sd ra,8(sp) + 80024060: 00813023 sd s0,0(sp) + 80024064: 00050413 mv s0,a0 + 80024068: 7b1020ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002406c: 00000797 auipc a5,0x0 + 80024070: f9c78793 addi a5,a5,-100 # 80024008 <_ZL9UNL8237CWjh> + 80024074: 001a8717 auipc a4,0x1a8 + 80024078: 20f73623 sd a5,524(a4) # 801cc280 + 8002407c: 00000797 auipc a5,0x0 + 80024080: e1478793 addi a5,a5,-492 # 80023e90 <_ZL9UNL8237PWjh> + 80024084: 001a8717 auipc a4,0x1a8 + 80024088: 20f73a23 sd a5,532(a4) # 801cc298 + 8002408c: 00000797 auipc a5,0x0 + 80024090: d1878793 addi a5,a5,-744 # 80023da4 <_ZL12UNL8237Powerv> + 80024094: 00021697 auipc a3,0x21 + 80024098: 45c68693 addi a3,a3,1116 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8002409c: 00000613 li a2,0 + 800240a0: 00300593 li a1,3 + 800240a4: 001a8517 auipc a0,0x1a8 + 800240a8: 1ac50513 addi a0,a0,428 # 801cc250 + 800240ac: 00f43023 sd a5,0(s0) + 800240b0: f61db0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800240b4: 00013403 ld s0,0(sp) + 800240b8: 00813083 ld ra,8(sp) + 800240bc: 00022697 auipc a3,0x22 + 800240c0: b1468693 addi a3,a3,-1260 # 80045bd0 <_ZZL5Do5SQiE3tal+0x90> + 800240c4: 00000613 li a2,0 + 800240c8: 00100593 li a1,1 + 800240cc: 001a8517 auipc a0,0x1a8 + 800240d0: 13450513 addi a0,a0,308 # 801cc200 <_ZL5cmdin> + 800240d4: 01010113 addi sp,sp,16 + 800240d8: f39db06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800240dc <_ZL13BMCFK23CResetv>: + 800240dc: 001a8797 auipc a5,0x1a8 + 800240e0: 12878793 addi a5,a5,296 # 801cc204 <_ZL9dipswitch> + 800240e4: 0007a583 lw a1,0(a5) + 800240e8: ff010113 addi sp,sp,-16 + 800240ec: 00113423 sd ra,8(sp) + 800240f0: 0015859b addiw a1,a1,1 + 800240f4: 00813023 sd s0,0(sp) + 800240f8: 0075f593 andi a1,a1,7 + 800240fc: 00022517 auipc a0,0x22 + 80024100: b5c50513 addi a0,a0,-1188 # 80045c58 <_ZL7regperm+0x40> + 80024104: 00b7a023 sw a1,0(a5) + 80024108: 440170ef jal ra,8003b548 + 8002410c: 001a8797 auipc a5,0x1a8 + 80024110: 14478793 addi a5,a5,324 # 801cc250 + 80024114: fff00713 li a4,-1 + 80024118: 001a8417 auipc s0,0x1a8 + 8002411c: 14440413 addi s0,s0,324 # 801cc25c + 80024120: 000781a3 sb zero,3(a5) + 80024124: 00078123 sb zero,2(a5) + 80024128: 000780a3 sb zero,1(a5) + 8002412c: 00078023 sb zero,0(a5) + 80024130: 00e783a3 sb a4,7(a5) + 80024134: 00e78323 sb a4,6(a5) + 80024138: 00e782a3 sb a4,5(a5) + 8002413c: 00e78223 sb a4,4(a5) + 80024140: 028020ef jal ra,80026168 <_Z12MMC3RegResetv> + 80024144: 00044503 lbu a0,0(s0) + 80024148: 525010ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 8002414c: 00044503 lbu a0,0(s0) + 80024150: 00013403 ld s0,0(sp) + 80024154: 00813083 ld ra,8(sp) + 80024158: 01010113 addi sp,sp,16 + 8002415c: 6cd0106f j 80026028 <_Z10FixMMC3CHRi> + +0000000080024160 <_ZL13BMCFK23CPowerv>: + 80024160: ff010113 addi sp,sp,-16 + 80024164: 00113423 sd ra,8(sp) + 80024168: 00813023 sd s0,0(sp) + 8002416c: 054020ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80024170: 001a8417 auipc s0,0x1a8 + 80024174: 0ec40413 addi s0,s0,236 # 801cc25c + 80024178: 00044503 lbu a0,0(s0) + 8002417c: 001a8797 auipc a5,0x1a8 + 80024180: 0d478793 addi a5,a5,212 # 801cc250 + 80024184: fff00713 li a4,-1 + 80024188: 000781a3 sb zero,3(a5) + 8002418c: 00078123 sb zero,2(a5) + 80024190: 000780a3 sb zero,1(a5) + 80024194: 00078023 sb zero,0(a5) + 80024198: 00e783a3 sb a4,7(a5) + 8002419c: 00e78323 sb a4,6(a5) + 800241a0: 00e782a3 sb a4,5(a5) + 800241a4: 00e78223 sb a4,4(a5) + 800241a8: 001a8697 auipc a3,0x1a8 + 800241ac: 0406ae23 sw zero,92(a3) # 801cc204 <_ZL9dipswitch> + 800241b0: 4bd010ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 800241b4: 00044503 lbu a0,0(s0) + 800241b8: 671010ef jal ra,80026028 <_Z10FixMMC3CHRi> + 800241bc: 000065b7 lui a1,0x6 + 800241c0: 00000617 auipc a2,0x0 + 800241c4: 12860613 addi a2,a2,296 # 800242e8 <_ZL13BMCFK23CWritejh> + 800241c8: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 800241cc: 00005537 lui a0,0x5 + 800241d0: 1590f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800241d4: 00013403 ld s0,0(sp) + 800241d8: 00813083 ld ra,8(sp) + 800241dc: 000105b7 lui a1,0x10 + 800241e0: 00000617 auipc a2,0x0 + 800241e4: 1d460613 addi a2,a2,468 # 800243b4 <_ZL15BMCFK23CHiWritejh> + 800241e8: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800241ec: 00008537 lui a0,0x8 + 800241f0: 01010113 addi sp,sp,16 + 800241f4: 1350f06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800241f8 <_ZL10BMCFK23CPWjh>: + 800241f8: ff010113 addi sp,sp,-16 + 800241fc: 00813023 sd s0,0(sp) + 80024200: 001a8417 auipc s0,0x1a8 + 80024204: 05040413 addi s0,s0,80 # 801cc250 + 80024208: 00044783 lbu a5,0(s0) + 8002420c: 00113423 sd ra,8(sp) + 80024210: 00400693 li a3,4 + 80024214: 0077f713 andi a4,a5,7 + 80024218: 0ad70063 beq a4,a3,800242b8 <_ZL10BMCFK23CPWjh+0xc0> + 8002421c: 00300693 li a3,3 + 80024220: 0ad70663 beq a4,a3,800242cc <_ZL10BMCFK23CPWjh+0xd4> + 80024224: 0037f793 andi a5,a5,3 + 80024228: 02079e63 bnez a5,80024264 <_ZL10BMCFK23CPWjh+0x6c> + 8002422c: 00000593 li a1,0 + 80024230: 86dec0ef jal ra,80010a9c <_Z7setprg8jj> + 80024234: 00344783 lbu a5,3(s0) + 80024238: 0027f793 andi a5,a5,2 + 8002423c: 06079063 bnez a5,8002429c <_ZL10BMCFK23CPWjh+0xa4> + 80024240: 00013403 ld s0,0(sp) + 80024244: 00813083 ld ra,8(sp) + 80024248: 001a8617 auipc a2,0x1a8 + 8002424c: feb64603 lbu a2,-21(a2) # 801cc233 + 80024250: 00367613 andi a2,a2,3 + 80024254: 000065b7 lui a1,0x6 + 80024258: 01000513 li a0,16 + 8002425c: 01010113 addi sp,sp,16 + 80024260: e88ec06f j 800108e8 <_Z8setprg8rijj> + 80024264: 00600693 li a3,6 + 80024268: 40f686bb subw a3,a3,a5 + 8002426c: 00144703 lbu a4,1(s0) + 80024270: 00100793 li a5,1 + 80024274: 00d797bb sllw a5,a5,a3 + 80024278: fff7879b addiw a5,a5,-1 + 8002427c: 00f5f5b3 and a1,a1,a5 + 80024280: 0017179b slliw a5,a4,0x1 + 80024284: 00f5e5b3 or a1,a1,a5 + 80024288: 0ff5f593 andi a1,a1,255 + 8002428c: 811ec0ef jal ra,80010a9c <_Z7setprg8jj> + 80024290: 00344783 lbu a5,3(s0) + 80024294: 0027f793 andi a5,a5,2 + 80024298: fa0784e3 beqz a5,80024240 <_ZL10BMCFK23CPWjh+0x48> + 8002429c: 00444583 lbu a1,4(s0) + 800242a0: 0000c537 lui a0,0xc + 800242a4: ff8ec0ef jal ra,80010a9c <_Z7setprg8jj> + 800242a8: 00544583 lbu a1,5(s0) + 800242ac: 0000e537 lui a0,0xe + 800242b0: fecec0ef jal ra,80010a9c <_Z7setprg8jj> + 800242b4: f8dff06f j 80024240 <_ZL10BMCFK23CPWjh+0x48> + 800242b8: 00144583 lbu a1,1(s0) + 800242bc: 00008537 lui a0,0x8 + 800242c0: 0015d593 srli a1,a1,0x1 + 800242c4: b51ec0ef jal ra,80010e14 <_Z8setprg32jj> + 800242c8: f79ff06f j 80024240 <_ZL10BMCFK23CPWjh+0x48> + 800242cc: 00144583 lbu a1,1(s0) + 800242d0: 00008537 lui a0,0x8 + 800242d4: 985ec0ef jal ra,80010c58 <_Z8setprg16jj> + 800242d8: 00144583 lbu a1,1(s0) + 800242dc: 0000c537 lui a0,0xc + 800242e0: 979ec0ef jal ra,80010c58 <_Z8setprg16jj> + 800242e4: f5dff06f j 80024240 <_ZL10BMCFK23CPWjh+0x48> + +00000000800242e8 <_ZL13BMCFK23CWritejh>: + 800242e8: 001a8797 auipc a5,0x1a8 + 800242ec: f1c7a783 lw a5,-228(a5) # 801cc204 <_ZL9dipswitch> + 800242f0: 0047869b addiw a3,a5,4 + 800242f4: 00100793 li a5,1 + 800242f8: 00d797bb sllw a5,a5,a3 + 800242fc: 00f577b3 and a5,a0,a5 + 80024300: 0007879b sext.w a5,a5 + 80024304: 02079c63 bnez a5,8002433c <_ZL13BMCFK23CWritejh+0x54> + 80024308: 001a8797 auipc a5,0x1a8 + 8002430c: ef97c783 lbu a5,-263(a5) # 801cc201 <_ZL12is_BMCFK23CA> + 80024310: 02078463 beqz a5,80024338 <_ZL13BMCFK23CWritejh+0x50> + 80024314: 001a8717 auipc a4,0x1a8 + 80024318: f3c70713 addi a4,a4,-196 # 801cc250 + 8002431c: 00374783 lbu a5,3(a4) + 80024320: 0027f793 andi a5,a5,2 + 80024324: 00078a63 beqz a5,80024338 <_ZL13BMCFK23CWritejh+0x50> + 80024328: 00074783 lbu a5,0(a4) + 8002432c: ff87f793 andi a5,a5,-8 + 80024330: 00f70023 sb a5,0(a4) + 80024334: 00008067 ret + 80024338: 00008067 ret + 8002433c: ff010113 addi sp,sp,-16 + 80024340: 00813023 sd s0,0(sp) + 80024344: 001a8417 auipc s0,0x1a8 + 80024348: f1840413 addi s0,s0,-232 # 801cc25c + 8002434c: 00050713 mv a4,a0 + 80024350: 00044503 lbu a0,0(s0) + 80024354: 001a8797 auipc a5,0x1a8 + 80024358: efc78793 addi a5,a5,-260 # 801cc250 + 8002435c: 00377713 andi a4,a4,3 + 80024360: 00e78733 add a4,a5,a4 + 80024364: 00113423 sd ra,8(sp) + 80024368: 00b70023 sb a1,0(a4) + 8002436c: 301010ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80024370: 00044503 lbu a0,0(s0) + 80024374: 4b5010ef jal ra,80026028 <_Z10FixMMC3CHRi> + 80024378: 001a8797 auipc a5,0x1a8 + 8002437c: e897c783 lbu a5,-375(a5) # 801cc201 <_ZL12is_BMCFK23CA> + 80024380: 02078263 beqz a5,800243a4 <_ZL13BMCFK23CWritejh+0xbc> + 80024384: 001a8717 auipc a4,0x1a8 + 80024388: ecc70713 addi a4,a4,-308 # 801cc250 + 8002438c: 00374783 lbu a5,3(a4) + 80024390: 0027f793 andi a5,a5,2 + 80024394: 00078863 beqz a5,800243a4 <_ZL13BMCFK23CWritejh+0xbc> + 80024398: 00074783 lbu a5,0(a4) + 8002439c: ff87f793 andi a5,a5,-8 + 800243a0: 00f70023 sb a5,0(a4) + 800243a4: 00813083 ld ra,8(sp) + 800243a8: 00013403 ld s0,0(sp) + 800243ac: 01010113 addi sp,sp,16 + 800243b0: 00008067 ret + +00000000800243b4 <_ZL15BMCFK23CHiWritejh>: + 800243b4: 001a8617 auipc a2,0x1a8 + 800243b8: e9c60613 addi a2,a2,-356 # 801cc250 + 800243bc: 00064683 lbu a3,0(a2) + 800243c0: 04000813 li a6,64 + 800243c4: 00058713 mv a4,a1 + 800243c8: 0606f893 andi a7,a3,96 + 800243cc: 0b088c63 beq a7,a6,80024484 <_ZL15BMCFK23CHiWritejh+0xd0> + 800243d0: ff010113 addi sp,sp,-16 + 800243d4: 000086b7 lui a3,0x8 + 800243d8: 00113423 sd ra,8(sp) + 800243dc: 00813023 sd s0,0(sp) + 800243e0: 00168813 addi a6,a3,1 # 8001 <_entry_offset+0x8001> + 800243e4: 00050793 mv a5,a0 + 800243e8: 05050a63 beq a0,a6,8002443c <_ZL15BMCFK23CHiWritejh+0x88> + 800243ec: 0000c637 lui a2,0xc + 800243f0: 0ac57463 bgeu a0,a2,80024498 <_ZL15BMCFK23CHiWritejh+0xe4> + 800243f4: 001a7617 auipc a2,0x1a7 + 800243f8: 6ec63603 ld a2,1772(a2) # 801cbae0 + 800243fc: 001a8417 auipc s0,0x1a8 + 80024400: e6040413 addi s0,s0,-416 # 801cc25c + 80024404: 00060c63 beqz a2,8002441c <_ZL15BMCFK23CHiWritejh+0x68> + 80024408: 00d51a63 bne a0,a3,8002441c <_ZL15BMCFK23CHiWritejh+0x68> + 8002440c: 04600693 li a3,70 + 80024410: 0ad58c63 beq a1,a3,800244c8 <_ZL15BMCFK23CHiWritejh+0x114> + 80024414: 04700693 li a3,71 + 80024418: 0ad58463 beq a1,a3,800244c0 <_ZL15BMCFK23CHiWritejh+0x10c> + 8002441c: 00078513 mv a0,a5 + 80024420: 00070593 mv a1,a4 + 80024424: 4a0020ef jal ra,800268c4 <_Z13MMC3_CMDWritejh> + 80024428: 00044503 lbu a0,0(s0) + 8002442c: 00013403 ld s0,0(sp) + 80024430: 00813083 ld ra,8(sp) + 80024434: 01010113 addi sp,sp,16 + 80024438: 2350106f j 80025e6c <_Z10FixMMC3PRGi> + 8002443c: 00364683 lbu a3,3(a2) + 80024440: 001a8417 auipc s0,0x1a8 + 80024444: e1c40413 addi s0,s0,-484 # 801cc25c + 80024448: 0026f693 andi a3,a3,2 + 8002444c: fc0688e3 beqz a3,8002441c <_ZL15BMCFK23CHiWritejh+0x68> + 80024450: 00044503 lbu a0,0(s0) + 80024454: 00857693 andi a3,a0,8 + 80024458: fc0682e3 beqz a3,8002441c <_ZL15BMCFK23CHiWritejh+0x68> + 8002445c: 00357793 andi a5,a0,3 + 80024460: 0047e793 ori a5,a5,4 + 80024464: 00f60633 add a2,a2,a5 + 80024468: 00b60023 sb a1,0(a2) + 8002446c: 201010ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80024470: 00044503 lbu a0,0(s0) + 80024474: 00013403 ld s0,0(sp) + 80024478: 00813083 ld ra,8(sp) + 8002447c: 01010113 addi sp,sp,16 + 80024480: 3a90106f j 80026028 <_Z10FixMMC3CHRi> + 80024484: 0306f693 andi a3,a3,48 + 80024488: 02068063 beqz a3,800244a8 <_ZL15BMCFK23CHiWritejh+0xf4> + 8002448c: 001a8797 auipc a5,0x1a8 + 80024490: d6078b23 sb zero,-650(a5) # 801cc202 <_ZL8unromchr> + 80024494: 00008067 ret + 80024498: 00013403 ld s0,0(sp) + 8002449c: 00813083 ld ra,8(sp) + 800244a0: 01010113 addi sp,sp,16 + 800244a4: 5f00106f j 80025a94 <_Z13MMC3_IRQWritejh> + 800244a8: 0035f593 andi a1,a1,3 + 800244ac: 001a8517 auipc a0,0x1a8 + 800244b0: db054503 lbu a0,-592(a0) # 801cc25c + 800244b4: 001a8797 auipc a5,0x1a8 + 800244b8: d4b78723 sb a1,-690(a5) # 801cc202 <_ZL8unromchr> + 800244bc: 36d0106f j 80026028 <_Z10FixMMC3CHRi> + 800244c0: 04600713 li a4,70 + 800244c4: f59ff06f j 8002441c <_ZL15BMCFK23CHiWritejh+0x68> + 800244c8: 04700713 li a4,71 + 800244cc: f51ff06f j 8002441c <_ZL15BMCFK23CHiWritejh+0x68> + +00000000800244d0 <_ZL10BMCFK23CCWjh>: + 800244d0: fd010113 addi sp,sp,-48 + 800244d4: 02813023 sd s0,32(sp) + 800244d8: 001a8417 auipc s0,0x1a8 + 800244dc: d7840413 addi s0,s0,-648 # 801cc250 + 800244e0: 00044783 lbu a5,0(s0) + 800244e4: 02113423 sd ra,40(sp) + 800244e8: 00913c23 sd s1,24(sp) + 800244ec: 01213823 sd s2,16(sp) + 800244f0: 01313423 sd s3,8(sp) + 800244f4: 01413023 sd s4,0(sp) + 800244f8: 0407f693 andi a3,a5,64 + 800244fc: 0c069e63 bnez a3,800245d8 <_ZL10BMCFK23CCWjh+0x108> + 80024500: 0207f793 andi a5,a5,32 + 80024504: 0a079463 bnez a5,800245ac <_ZL10BMCFK23CCWjh+0xdc> + 80024508: 00244483 lbu s1,2(s0) + 8002450c: 00344783 lbu a5,3(s0) + 80024510: 0034949b slliw s1,s1,0x3 + 80024514: 3f84f493 andi s1,s1,1016 + 80024518: 0027f793 andi a5,a5,2 + 8002451c: 00b4e5b3 or a1,s1,a1 + 80024520: 06078663 beqz a5,8002458c <_ZL10BMCFK23CCWjh+0xbc> + 80024524: 001a8917 auipc s2,0x1a8 + 80024528: d3894903 lbu s2,-712(s2) # 801cc25c + 8002452c: 000017b7 lui a5,0x1 + 80024530: 0059191b slliw s2,s2,0x5 + 80024534: 00f97933 and s2,s2,a5 + 80024538: 001a8a17 auipc s4,0x1a8 + 8002453c: d10a0a13 addi s4,s4,-752 # 801cc248 + 80024540: 965ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024544: 000a4583 lbu a1,0(s4) + 80024548: 00090513 mv a0,s2 + 8002454c: 000019b7 lui s3,0x1 + 80024550: 00b4e5b3 or a1,s1,a1 + 80024554: 951ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024558: 00644583 lbu a1,6(s0) + 8002455c: 40096513 ori a0,s2,1024 + 80024560: 00b4e5b3 or a1,s1,a1 + 80024564: 941ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024568: 001a4583 lbu a1,1(s4) + 8002456c: 80098513 addi a0,s3,-2048 # 800 <_entry_offset+0x800> + 80024570: 00a94533 xor a0,s2,a0 + 80024574: 00b4e5b3 or a1,s1,a1 + 80024578: 92dec0ef jal ra,80010ea4 <_Z7setchr1jj> + 8002457c: 00744583 lbu a1,7(s0) + 80024580: c0098513 addi a0,s3,-1024 + 80024584: 00a94533 xor a0,s2,a0 + 80024588: 00b4e5b3 or a1,s1,a1 + 8002458c: 02013403 ld s0,32(sp) + 80024590: 02813083 ld ra,40(sp) + 80024594: 01813483 ld s1,24(sp) + 80024598: 01013903 ld s2,16(sp) + 8002459c: 00813983 ld s3,8(sp) + 800245a0: 00013a03 ld s4,0(sp) + 800245a4: 03010113 addi sp,sp,48 + 800245a8: 8fdec06f j 80010ea4 <_Z7setchr1jj> + 800245ac: 02013403 ld s0,32(sp) + 800245b0: 02813083 ld ra,40(sp) + 800245b4: 01813483 ld s1,24(sp) + 800245b8: 01013903 ld s2,16(sp) + 800245bc: 00813983 ld s3,8(sp) + 800245c0: 00013a03 ld s4,0(sp) + 800245c4: 00058613 mv a2,a1 + 800245c8: 00050593 mv a1,a0 + 800245cc: 03010113 addi sp,sp,48 + 800245d0: 01000513 li a0,16 + 800245d4: 851ec06f j 80010e24 <_Z8setchr1rijj> + 800245d8: 00244503 lbu a0,2(s0) + 800245dc: 02013403 ld s0,32(sp) + 800245e0: 02813083 ld ra,40(sp) + 800245e4: 01813483 ld s1,24(sp) + 800245e8: 01013903 ld s2,16(sp) + 800245ec: 00813983 ld s3,8(sp) + 800245f0: 00013a03 ld s4,0(sp) + 800245f4: 001a8797 auipc a5,0x1a8 + 800245f8: c0e7c783 lbu a5,-1010(a5) # 801cc202 <_ZL8unromchr> + 800245fc: 00f56533 or a0,a0,a5 + 80024600: 0ff57513 andi a0,a0,255 + 80024604: 03010113 addi sp,sp,48 + 80024608: 8fdec06f j 80010f04 <_Z7setchr8j> + +000000008002460c <_Z13BMCFK23C_InitP8CartInfo>: + 8002460c: ff010113 addi sp,sp,-16 + 80024610: 00000713 li a4,0 + 80024614: 00800693 li a3,8 + 80024618: 10000613 li a2,256 + 8002461c: 20000593 li a1,512 + 80024620: 00113423 sd ra,8(sp) + 80024624: 00813023 sd s0,0(sp) + 80024628: 001a8797 auipc a5,0x1a8 + 8002462c: bc078ca3 sb zero,-1063(a5) # 801cc201 <_ZL12is_BMCFK23CA> + 80024630: 00050413 mv s0,a0 + 80024634: 1e5020ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80024638: 00000797 auipc a5,0x0 + 8002463c: e9878793 addi a5,a5,-360 # 800244d0 <_ZL10BMCFK23CCWjh> + 80024640: 001a8717 auipc a4,0x1a8 + 80024644: c4f73023 sd a5,-960(a4) # 801cc280 + 80024648: 00000797 auipc a5,0x0 + 8002464c: bb078793 addi a5,a5,-1104 # 800241f8 <_ZL10BMCFK23CPWjh> + 80024650: 001a8717 auipc a4,0x1a8 + 80024654: c4f73423 sd a5,-952(a4) # 801cc298 + 80024658: 00000797 auipc a5,0x0 + 8002465c: b0878793 addi a5,a5,-1272 # 80024160 <_ZL13BMCFK23CPowerv> + 80024660: 00f43023 sd a5,0(s0) + 80024664: 00000797 auipc a5,0x0 + 80024668: a7878793 addi a5,a5,-1416 # 800240dc <_ZL13BMCFK23CResetv> + 8002466c: 00f43423 sd a5,8(s0) + 80024670: 00021697 auipc a3,0x21 + 80024674: e8068693 addi a3,a3,-384 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80024678: 00000613 li a2,0 + 8002467c: 00800593 li a1,8 + 80024680: 001a8517 auipc a0,0x1a8 + 80024684: bd050513 addi a0,a0,-1072 # 801cc250 + 80024688: 989db0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002468c: 00021697 auipc a3,0x21 + 80024690: 1d468693 addi a3,a3,468 # 80045860 <_ZL6bs_tbl+0xf0> + 80024694: 00000613 li a2,0 + 80024698: 00100593 li a1,1 + 8002469c: 001a8517 auipc a0,0x1a8 + 800246a0: b6650513 addi a0,a0,-1178 # 801cc202 <_ZL8unromchr> + 800246a4: 96ddb0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800246a8: 00021697 auipc a3,0x21 + 800246ac: 1c068693 addi a3,a3,448 # 80045868 <_ZL6bs_tbl+0xf8> + 800246b0: 00000613 li a2,0 + 800246b4: 00100593 li a1,1 + 800246b8: 001a8517 auipc a0,0x1a8 + 800246bc: b4c50513 addi a0,a0,-1204 # 801cc204 <_ZL9dipswitch> + 800246c0: 951db0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800246c4: 0ff00613 li a2,255 + 800246c8: 00021597 auipc a1,0x21 + 800246cc: 5b058593 addi a1,a1,1456 # 80045c78 <_ZL7regperm+0x60> + 800246d0: 00018517 auipc a0,0x18 + 800246d4: 64050513 addi a0,a0,1600 # 8003cd10 <_etext+0x2c> + 800246d8: 671160ef jal ra,8003b548 + 800246dc: 00100513 li a0,1 + 800246e0: 694150ef jal ra,80039d74 + +00000000800246e4 <_ZL4Syncv>: + 800246e4: fe010113 addi sp,sp,-32 + 800246e8: 001a8517 auipc a0,0x1a8 + 800246ec: b2254503 lbu a0,-1246(a0) # 801cc20a <_ZL4mirr> + 800246f0: 00113c23 sd ra,24(sp) + 800246f4: 00813823 sd s0,16(sp) + 800246f8: 00913423 sd s1,8(sp) + 800246fc: 001a8417 auipc s0,0x1a8 + 80024700: b1440413 addi s0,s0,-1260 # 801cc210 <_ZL4regs> + 80024704: 01213023 sd s2,0(sp) + 80024708: 941ec0ef jal ra,80011048 <_Z9setmirrori> + 8002470c: 00044583 lbu a1,0(s0) + 80024710: 00008537 lui a0,0x8 + 80024714: 00001937 lui s2,0x1 + 80024718: b84ec0ef jal ra,80010a9c <_Z7setprg8jj> + 8002471c: 00144583 lbu a1,1(s0) + 80024720: 0000a537 lui a0,0xa + 80024724: 000024b7 lui s1,0x2 + 80024728: b74ec0ef jal ra,80010a9c <_Z7setprg8jj> + 8002472c: ffe00593 li a1,-2 + 80024730: 0000c537 lui a0,0xc + 80024734: b68ec0ef jal ra,80010a9c <_Z7setprg8jj> + 80024738: fff00593 li a1,-1 + 8002473c: 0000e537 lui a0,0xe + 80024740: b5cec0ef jal ra,80010a9c <_Z7setprg8jj> + 80024744: 00244583 lbu a1,2(s0) + 80024748: 00000513 li a0,0 + 8002474c: f78ec0ef jal ra,80010ec4 <_Z7setchr2jj> + 80024750: 00344583 lbu a1,3(s0) + 80024754: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 80024758: f6cec0ef jal ra,80010ec4 <_Z7setchr2jj> + 8002475c: 00444583 lbu a1,4(s0) + 80024760: 00001537 lui a0,0x1 + 80024764: f40ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024768: 00544583 lbu a1,5(s0) + 8002476c: 40090513 addi a0,s2,1024 + 80024770: f34ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024774: 00644583 lbu a1,6(s0) + 80024778: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 8002477c: f28ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024780: 00744583 lbu a1,7(s0) + 80024784: 01013403 ld s0,16(sp) + 80024788: 01813083 ld ra,24(sp) + 8002478c: 00013903 ld s2,0(sp) + 80024790: c0048513 addi a0,s1,-1024 + 80024794: 00813483 ld s1,8(sp) + 80024798: 02010113 addi sp,sp,32 + 8002479c: f08ec06f j 80010ea4 <_Z7setchr1jj> + +00000000800247a0 <_ZL12StateRestorei>: + 800247a0: f45ff06f j 800246e4 <_ZL4Syncv> + +00000000800247a4 <_ZL8M33Powerv>: + 800247a4: ff010113 addi sp,sp,-16 + 800247a8: 00113423 sd ra,8(sp) + 800247ac: 00813023 sd s0,0(sp) + 800247b0: f35ff0ef jal ra,800246e4 <_ZL4Syncv> + 800247b4: 00010437 lui s0,0x10 + 800247b8: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 800247bc: fffec617 auipc a2,0xfffec + 800247c0: ea460613 addi a2,a2,-348 # 80010660 <_Z6CartBRj> + 800247c4: 00008537 lui a0,0x8 + 800247c8: 2540f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800247cc: fff40593 addi a1,s0,-1 + 800247d0: 00013403 ld s0,0(sp) + 800247d4: 00813083 ld ra,8(sp) + 800247d8: 00000617 auipc a2,0x0 + 800247dc: 07460613 addi a2,a2,116 # 8002484c <_ZL8M33Writejh> + 800247e0: 00008537 lui a0,0x8 + 800247e4: 01010113 addi sp,sp,16 + 800247e8: 3400f06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800247ec <_ZL8M48Powerv>: + 800247ec: ff010113 addi sp,sp,-16 + 800247f0: 00113423 sd ra,8(sp) + 800247f4: 00813023 sd s0,0(sp) + 800247f8: eedff0ef jal ra,800246e4 <_ZL4Syncv> + 800247fc: 00010437 lui s0,0x10 + 80024800: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80024804: fffec617 auipc a2,0xfffec + 80024808: e5c60613 addi a2,a2,-420 # 80010660 <_Z6CartBRj> + 8002480c: 00008537 lui a0,0x8 + 80024810: 20c0f0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80024814: 0000c5b7 lui a1,0xc + 80024818: 00000617 auipc a2,0x0 + 8002481c: 03460613 addi a2,a2,52 # 8002484c <_ZL8M33Writejh> + 80024820: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80024824: 00008537 lui a0,0x8 + 80024828: 3000f0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002482c: fff40593 addi a1,s0,-1 + 80024830: 00013403 ld s0,0(sp) + 80024834: 00813083 ld ra,8(sp) + 80024838: 00000617 auipc a2,0x0 + 8002483c: 15460613 addi a2,a2,340 # 8002498c <_ZL8M48Writejh> + 80024840: 0000c537 lui a0,0xc + 80024844: 01010113 addi sp,sp,16 + 80024848: 2e00f06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002484c <_ZL8M33Writejh>: + 8002484c: 0000f7b7 lui a5,0xf + 80024850: 00378793 addi a5,a5,3 # f003 <_entry_offset+0xf003> + 80024854: 00f57533 and a0,a0,a5 + 80024858: 0000a7b7 lui a5,0xa + 8002485c: 0af50c63 beq a0,a5,80024914 <_ZL8M33Writejh+0xc8> + 80024860: 02a7e463 bltu a5,a0,80024888 <_ZL8M33Writejh+0x3c> + 80024864: 000087b7 lui a5,0x8 + 80024868: 00278713 addi a4,a5,2 # 8002 <_entry_offset+0x8002> + 8002486c: 0ae50a63 beq a0,a4,80024920 <_ZL8M33Writejh+0xd4> + 80024870: 02a77a63 bgeu a4,a0,800248a4 <_ZL8M33Writejh+0x58> + 80024874: 00378793 addi a5,a5,3 + 80024878: 04f51e63 bne a0,a5,800248d4 <_ZL8M33Writejh+0x88> + 8002487c: 001a8797 auipc a5,0x1a8 + 80024880: 98b78ba3 sb a1,-1641(a5) # 801cc213 <_ZL4regs+0x3> + 80024884: e61ff06f j 800246e4 <_ZL4Syncv> + 80024888: 00278713 addi a4,a5,2 + 8002488c: 04e50663 beq a0,a4,800248d8 <_ZL8M33Writejh+0x8c> + 80024890: 00378713 addi a4,a5,3 + 80024894: 02e51663 bne a0,a4,800248c0 <_ZL8M33Writejh+0x74> + 80024898: 001a8797 auipc a5,0x1a8 + 8002489c: 96b78fa3 sb a1,-1665(a5) # 801cc217 <_ZL4regs+0x7> + 800248a0: e45ff06f j 800246e4 <_ZL4Syncv> + 800248a4: 04f50063 beq a0,a5,800248e4 <_ZL8M33Writejh+0x98> + 800248a8: 00178793 addi a5,a5,1 + 800248ac: 08f51063 bne a0,a5,8002492c <_ZL8M33Writejh+0xe0> + 800248b0: 03f5f593 andi a1,a1,63 + 800248b4: 001a8797 auipc a5,0x1a8 + 800248b8: 94b78ea3 sb a1,-1699(a5) # 801cc211 <_ZL4regs+0x1> + 800248bc: e29ff06f j 800246e4 <_ZL4Syncv> + 800248c0: 00178793 addi a5,a5,1 + 800248c4: 06f51663 bne a0,a5,80024930 <_ZL8M33Writejh+0xe4> + 800248c8: 001a8797 auipc a5,0x1a8 + 800248cc: 94b786a3 sb a1,-1715(a5) # 801cc215 <_ZL4regs+0x5> + 800248d0: e15ff06f j 800246e4 <_ZL4Syncv> + 800248d4: 00008067 ret + 800248d8: 001a8797 auipc a5,0x1a8 + 800248dc: 92b78f23 sb a1,-1730(a5) # 801cc216 <_ZL4regs+0x6> + 800248e0: e05ff06f j 800246e4 <_ZL4Syncv> + 800248e4: 03f5f793 andi a5,a1,63 + 800248e8: 001a8717 auipc a4,0x1a8 + 800248ec: 92f70423 sb a5,-1752(a4) # 801cc210 <_ZL4regs> + 800248f0: 001a8797 auipc a5,0x1a8 + 800248f4: 9197c783 lbu a5,-1767(a5) # 801cc209 <_ZL4is48> + 800248f8: fa0794e3 bnez a5,800248a0 <_ZL8M33Writejh+0x54> + 800248fc: 0065d59b srliw a1,a1,0x6 + 80024900: 0015c793 xori a5,a1,1 + 80024904: 0017f793 andi a5,a5,1 + 80024908: 001a8717 auipc a4,0x1a8 + 8002490c: 90f70123 sb a5,-1790(a4) # 801cc20a <_ZL4mirr> + 80024910: f91ff06f j 800248a0 <_ZL8M33Writejh+0x54> + 80024914: 001a8797 auipc a5,0x1a8 + 80024918: 90b78023 sb a1,-1792(a5) # 801cc214 <_ZL4regs+0x4> + 8002491c: dc9ff06f j 800246e4 <_ZL4Syncv> + 80024920: 001a8797 auipc a5,0x1a8 + 80024924: 8eb78923 sb a1,-1806(a5) # 801cc212 <_ZL4regs+0x2> + 80024928: dbdff06f j 800246e4 <_ZL4Syncv> + 8002492c: 00008067 ret + 80024930: 00008067 ret + +0000000080024934 <_ZL6M48IRQv>: + 80024934: 001a8797 auipc a5,0x1a8 + 80024938: 8d47c783 lbu a5,-1836(a5) # 801cc208 <_ZL4IRQa> + 8002493c: 02078463 beqz a5,80024964 <_ZL6M48IRQv+0x30> + 80024940: 001a8717 auipc a4,0x1a8 + 80024944: 8d870713 addi a4,a4,-1832 # 801cc218 <_ZL8IRQCount> + 80024948: 00075783 lhu a5,0(a4) + 8002494c: 10000693 li a3,256 + 80024950: 0017879b addiw a5,a5,1 + 80024954: 0107979b slliw a5,a5,0x10 + 80024958: 4107d79b sraiw a5,a5,0x10 + 8002495c: 00f71023 sh a5,0(a4) + 80024960: 00d78463 beq a5,a3,80024968 <_ZL6M48IRQv+0x34> + 80024964: 00008067 ret + 80024968: ff010113 addi sp,sp,-16 + 8002496c: 00100513 li a0,1 + 80024970: 00113423 sd ra,8(sp) + 80024974: bc4dc0ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80024978: 00813083 ld ra,8(sp) + 8002497c: 001a8797 auipc a5,0x1a8 + 80024980: 88078623 sb zero,-1908(a5) # 801cc208 <_ZL4IRQa> + 80024984: 01010113 addi sp,sp,16 + 80024988: 00008067 ret + +000000008002498c <_ZL8M48Writejh>: + 8002498c: 0000f737 lui a4,0xf + 80024990: 00370713 addi a4,a4,3 # f003 <_entry_offset+0xf003> + 80024994: 0000c7b7 lui a5,0xc + 80024998: 00e57533 and a0,a0,a4 + 8002499c: 00278713 addi a4,a5,2 # c002 <_entry_offset+0xc002> + 800249a0: 04e50863 beq a0,a4,800249f0 <_ZL8M48Writejh+0x64> + 800249a4: 02a77663 bgeu a4,a0,800249d0 <_ZL8M48Writejh+0x44> + 800249a8: 00378793 addi a5,a5,3 + 800249ac: 04f50a63 beq a0,a5,80024a00 <_ZL8M48Writejh+0x74> + 800249b0: 0000e7b7 lui a5,0xe + 800249b4: 06f51463 bne a0,a5,80024a1c <_ZL8M48Writejh+0x90> + 800249b8: 0065d59b srliw a1,a1,0x6 + 800249bc: 0015c593 xori a1,a1,1 + 800249c0: 0015f593 andi a1,a1,1 + 800249c4: 001a8797 auipc a5,0x1a8 + 800249c8: 84b78323 sb a1,-1978(a5) # 801cc20a <_ZL4mirr> + 800249cc: d19ff06f j 800246e4 <_ZL4Syncv> + 800249d0: 04f50063 beq a0,a5,80024a10 <_ZL8M48Writejh+0x84> + 800249d4: 00178793 addi a5,a5,1 + 800249d8: 04f51463 bne a0,a5,80024a20 <_ZL8M48Writejh+0x94> + 800249dc: 001a8797 auipc a5,0x1a8 + 800249e0: 83e7d783 lhu a5,-1986(a5) # 801cc21a <_ZL8IRQLatch> + 800249e4: 001a8717 auipc a4,0x1a8 + 800249e8: 82f71a23 sh a5,-1996(a4) # 801cc218 <_ZL8IRQCount> + 800249ec: 00008067 ret + 800249f0: 00100793 li a5,1 + 800249f4: 001a8717 auipc a4,0x1a8 + 800249f8: 80f70a23 sb a5,-2028(a4) # 801cc208 <_ZL4IRQa> + 800249fc: 00008067 ret + 80024a00: 00100513 li a0,1 + 80024a04: 001a8797 auipc a5,0x1a8 + 80024a08: 80078223 sb zero,-2044(a5) # 801cc208 <_ZL4IRQa> + 80024a0c: b44dc06f j 80000d50 <_Z12X6502_IRQEndi> + 80024a10: 001a8797 auipc a5,0x1a8 + 80024a14: 80b79523 sh a1,-2038(a5) # 801cc21a <_ZL8IRQLatch> + 80024a18: 00008067 ret + 80024a1c: 00008067 ret + 80024a20: 00008067 ret + +0000000080024a24 <_Z13Mapper33_InitP8CartInfo>: + 80024a24: 00000797 auipc a5,0x0 + 80024a28: d8078793 addi a5,a5,-640 # 800247a4 <_ZL8M33Powerv> + 80024a2c: 00f53023 sd a5,0(a0) # c000 <_entry_offset+0xc000> + 80024a30: 001a7797 auipc a5,0x1a7 + 80024a34: 7c078ca3 sb zero,2009(a5) # 801cc209 <_ZL4is48> + 80024a38: 00000797 auipc a5,0x0 + 80024a3c: d6878793 addi a5,a5,-664 # 800247a0 <_ZL12StateRestorei> + 80024a40: 00000693 li a3,0 + 80024a44: 00000613 li a2,0 + 80024a48: fff00593 li a1,-1 + 80024a4c: 00027517 auipc a0,0x27 + 80024a50: c4c50513 addi a0,a0,-948 # 8004b698 <_ZL9StateRegs> + 80024a54: 001a8717 auipc a4,0x1a8 + 80024a58: c0f73223 sd a5,-1020(a4) # 801cc658 + 80024a5c: db4db06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080024a60 <_Z13Mapper48_InitP8CartInfo>: + 80024a60: 00000797 auipc a5,0x0 + 80024a64: d8c78793 addi a5,a5,-628 # 800247ec <_ZL8M48Powerv> + 80024a68: 00f53023 sd a5,0(a0) + 80024a6c: 00100793 li a5,1 + 80024a70: 001a7717 auipc a4,0x1a7 + 80024a74: 78f70ca3 sb a5,1945(a4) # 801cc209 <_ZL4is48> + 80024a78: 00000797 auipc a5,0x0 + 80024a7c: ebc78793 addi a5,a5,-324 # 80024934 <_ZL6M48IRQv> + 80024a80: 001a8717 auipc a4,0x1a8 + 80024a84: c4f73023 sd a5,-960(a4) # 801cc6c0 + 80024a88: 00000797 auipc a5,0x0 + 80024a8c: d1878793 addi a5,a5,-744 # 800247a0 <_ZL12StateRestorei> + 80024a90: 00000693 li a3,0 + 80024a94: 00000613 li a2,0 + 80024a98: fff00593 li a1,-1 + 80024a9c: 00027517 auipc a0,0x27 + 80024aa0: bfc50513 addi a0,a0,-1028 # 8004b698 <_ZL9StateRegs> + 80024aa4: 001a8717 auipc a4,0x1a8 + 80024aa8: baf73a23 sd a5,-1100(a4) # 801cc658 + 80024aac: d64db06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080024ab0 <_ZL4Syncv>: + 80024ab0: fe010113 addi sp,sp,-32 + 80024ab4: 00813823 sd s0,16(sp) + 80024ab8: 00113c23 sd ra,24(sp) + 80024abc: 001a7417 auipc s0,0x1a7 + 80024ac0: 76140413 addi s0,s0,1889 # 801cc21d <_ZL6latche> + 80024ac4: 00913423 sd s1,8(sp) + 80024ac8: 001a7797 auipc a5,0x1a7 + 80024acc: 7547c783 lbu a5,1876(a5) # 801cc21c <_ZL5reset> + 80024ad0: 00044583 lbu a1,0(s0) + 80024ad4: 06079263 bnez a5,80024b38 <_ZL4Syncv+0x88> + 80024ad8: 01f5f713 andi a4,a1,31 + 80024adc: 0205f793 andi a5,a1,32 + 80024ae0: 0087049b addiw s1,a4,8 + 80024ae4: 02079c63 bnez a5,80024b1c <_ZL4Syncv+0x6c> + 80024ae8: 0014d59b srliw a1,s1,0x1 + 80024aec: 00008537 lui a0,0x8 + 80024af0: b24ec0ef jal ra,80010e14 <_Z8setprg32jj> + 80024af4: 00044503 lbu a0,0(s0) + 80024af8: 4065551b sraiw a0,a0,0x6 + 80024afc: 00157513 andi a0,a0,1 + 80024b00: d48ec0ef jal ra,80011048 <_Z9setmirrori> + 80024b04: 01013403 ld s0,16(sp) + 80024b08: 01813083 ld ra,24(sp) + 80024b0c: 00813483 ld s1,8(sp) + 80024b10: 00000513 li a0,0 + 80024b14: 02010113 addi sp,sp,32 + 80024b18: becec06f j 80010f04 <_Z7setchr8j> + 80024b1c: 00048593 mv a1,s1 + 80024b20: 00008537 lui a0,0x8 + 80024b24: 934ec0ef jal ra,80010c58 <_Z8setprg16jj> + 80024b28: 00048593 mv a1,s1 + 80024b2c: 0000c537 lui a0,0xc + 80024b30: 928ec0ef jal ra,80010c58 <_Z8setprg16jj> + 80024b34: fc1ff06f j 80024af4 <_ZL4Syncv+0x44> + 80024b38: 0075f593 andi a1,a1,7 + 80024b3c: 00008537 lui a0,0x8 + 80024b40: 918ec0ef jal ra,80010c58 <_Z8setprg16jj> + 80024b44: 00700593 li a1,7 + 80024b48: 0000c537 lui a0,0xc + 80024b4c: 90cec0ef jal ra,80010c58 <_Z8setprg16jj> + 80024b50: 00100513 li a0,1 + 80024b54: cf4ec0ef jal ra,80011048 <_Z9setmirrori> + 80024b58: 01013403 ld s0,16(sp) + 80024b5c: 01813083 ld ra,24(sp) + 80024b60: 00813483 ld s1,8(sp) + 80024b64: 00000513 li a0,0 + 80024b68: 02010113 addi sp,sp,32 + 80024b6c: b98ec06f j 80010f04 <_Z7setchr8j> + +0000000080024b70 <_ZL12StateRestorei>: + 80024b70: f41ff06f j 80024ab0 <_ZL4Syncv> + +0000000080024b74 <_ZL9M230Resetv>: + 80024b74: 001a7717 auipc a4,0x1a7 + 80024b78: 6a870713 addi a4,a4,1704 # 801cc21c <_ZL5reset> + 80024b7c: 00074783 lbu a5,0(a4) + 80024b80: 0017c793 xori a5,a5,1 + 80024b84: 00f70023 sb a5,0(a4) + 80024b88: f29ff06f j 80024ab0 <_ZL4Syncv> + +0000000080024b8c <_ZL9M230Writejh>: + 80024b8c: 001a7797 auipc a5,0x1a7 + 80024b90: 68b788a3 sb a1,1681(a5) # 801cc21d <_ZL6latche> + 80024b94: f1dff06f j 80024ab0 <_ZL4Syncv> + +0000000080024b98 <_ZL9M230Powerv>: + 80024b98: ff010113 addi sp,sp,-16 + 80024b9c: 00113423 sd ra,8(sp) + 80024ba0: 00813023 sd s0,0(sp) + 80024ba4: 001a7797 auipc a5,0x1a7 + 80024ba8: 66078c23 sb zero,1656(a5) # 801cc21c <_ZL5reset> + 80024bac: 00010437 lui s0,0x10 + 80024bb0: 001a7797 auipc a5,0x1a7 + 80024bb4: 660786a3 sb zero,1645(a5) # 801cc21d <_ZL6latche> + 80024bb8: ef9ff0ef jal ra,80024ab0 <_ZL4Syncv> + 80024bbc: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80024bc0: 00000617 auipc a2,0x0 + 80024bc4: fcc60613 addi a2,a2,-52 # 80024b8c <_ZL9M230Writejh> + 80024bc8: 00008537 lui a0,0x8 + 80024bcc: 75d0e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80024bd0: fff40593 addi a1,s0,-1 + 80024bd4: 00013403 ld s0,0(sp) + 80024bd8: 00813083 ld ra,8(sp) + 80024bdc: fffec617 auipc a2,0xfffec + 80024be0: a8460613 addi a2,a2,-1404 # 80010660 <_Z6CartBRj> + 80024be4: 00008537 lui a0,0x8 + 80024be8: 01010113 addi sp,sp,16 + 80024bec: 6310e06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080024bf0 <_Z14Mapper230_InitP8CartInfo>: + 80024bf0: ff010113 addi sp,sp,-16 + 80024bf4: 00113423 sd ra,8(sp) + 80024bf8: 00000797 auipc a5,0x0 + 80024bfc: fa078793 addi a5,a5,-96 # 80024b98 <_ZL9M230Powerv> + 80024c00: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80024c04: 00000797 auipc a5,0x0 + 80024c08: f7078793 addi a5,a5,-144 # 80024b74 <_ZL9M230Resetv> + 80024c0c: 00f53423 sd a5,8(a0) + 80024c10: 00000693 li a3,0 + 80024c14: 00000613 li a2,0 + 80024c18: fff00593 li a1,-1 + 80024c1c: 00027517 auipc a0,0x27 + 80024c20: b0c50513 addi a0,a0,-1268 # 8004b728 <_ZL9StateRegs> + 80024c24: becdb0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80024c28: 00813083 ld ra,8(sp) + 80024c2c: 00000797 auipc a5,0x0 + 80024c30: f4478793 addi a5,a5,-188 # 80024b70 <_ZL12StateRestorei> + 80024c34: 001a8717 auipc a4,0x1a8 + 80024c38: a2f73223 sd a5,-1500(a4) # 801cc658 + 80024c3c: 01010113 addi sp,sp,16 + 80024c40: 00008067 ret + +0000000080024c44 <_ZL11UNLSL1632CWjh>: + 80024c44: fc010113 addi sp,sp,-64 + 80024c48: 01313c23 sd s3,24(sp) + 80024c4c: 001a7997 auipc s3,0x1a7 + 80024c50: 5fc98993 addi s3,s3,1532 # 801cc248 + 80024c54: 0009c583 lbu a1,0(s3) + 80024c58: 02813823 sd s0,48(sp) + 80024c5c: 001a7797 auipc a5,0x1a7 + 80024c60: 5c27c783 lbu a5,1474(a5) # 801cc21e <_ZL4bbrk> + 80024c64: 001a7417 auipc s0,0x1a7 + 80024c68: 5f844403 lbu s0,1528(s0) # 801cc25c + 80024c6c: 02913423 sd s1,40(sp) + 80024c70: 01613023 sd s6,0(sp) + 80024c74: 0057949b slliw s1,a5,0x5 + 80024c78: 00001b37 lui s6,0x1 + 80024c7c: 0054141b slliw s0,s0,0x5 + 80024c80: 01647433 and s0,s0,s6 + 80024c84: 1004f493 andi s1,s1,256 + 80024c88: 0fe5f593 andi a1,a1,254 + 80024c8c: 0095e5b3 or a1,a1,s1 + 80024c90: 0004051b sext.w a0,s0 + 80024c94: 02113c23 sd ra,56(sp) + 80024c98: 03213023 sd s2,32(sp) + 80024c9c: 01413823 sd s4,16(sp) + 80024ca0: 01513423 sd s5,8(sp) + 80024ca4: 00050413 mv s0,a0 + 80024ca8: 00379a1b slliw s4,a5,0x3 + 80024cac: 0017991b slliw s2,a5,0x1 + 80024cb0: 9f4ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024cb4: 0009c583 lbu a1,0(s3) + 80024cb8: 0004849b sext.w s1,s1 + 80024cbc: 40046513 ori a0,s0,1024 + 80024cc0: 00b4e5b3 or a1,s1,a1 + 80024cc4: 0015e593 ori a1,a1,1 + 80024cc8: 0005859b sext.w a1,a1 + 80024ccc: 9d8ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024cd0: 0019c583 lbu a1,1(s3) + 80024cd4: 00001ab7 lui s5,0x1 + 80024cd8: 800a8513 addi a0,s5,-2048 # 800 <_entry_offset+0x800> + 80024cdc: ffe5f593 andi a1,a1,-2 + 80024ce0: 00b4e5b3 or a1,s1,a1 + 80024ce4: 00a44533 xor a0,s0,a0 + 80024ce8: 0005859b sext.w a1,a1 + 80024cec: 9b8ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024cf0: 0019c583 lbu a1,1(s3) + 80024cf4: c00a8513 addi a0,s5,-1024 + 80024cf8: 00a44533 xor a0,s0,a0 + 80024cfc: 00b4e5b3 or a1,s1,a1 + 80024d00: 0015e593 ori a1,a1,1 + 80024d04: 0005859b sext.w a1,a1 + 80024d08: 99cec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024d0c: 0029c583 lbu a1,2(s3) + 80024d10: 100a7a13 andi s4,s4,256 + 80024d14: 000a0a1b sext.w s4,s4 + 80024d18: 01644533 xor a0,s0,s6 + 80024d1c: 00ba65b3 or a1,s4,a1 + 80024d20: 0005051b sext.w a0,a0 + 80024d24: 980ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024d28: 0039c583 lbu a1,3(s3) + 80024d2c: 400a8513 addi a0,s5,1024 + 80024d30: 00a44533 xor a0,s0,a0 + 80024d34: 00ba65b3 or a1,s4,a1 + 80024d38: 96cec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024d3c: 0049c583 lbu a1,4(s3) + 80024d40: 000024b7 lui s1,0x2 + 80024d44: 10097913 andi s2,s2,256 + 80024d48: 0009091b sext.w s2,s2 + 80024d4c: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 80024d50: 00b965b3 or a1,s2,a1 + 80024d54: 00a44533 xor a0,s0,a0 + 80024d58: 94cec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024d5c: 0059c583 lbu a1,5(s3) + 80024d60: c0048513 addi a0,s1,-1024 + 80024d64: 00a44533 xor a0,s0,a0 + 80024d68: 03013403 ld s0,48(sp) + 80024d6c: 03813083 ld ra,56(sp) + 80024d70: 02813483 ld s1,40(sp) + 80024d74: 01813983 ld s3,24(sp) + 80024d78: 01013a03 ld s4,16(sp) + 80024d7c: 00813a83 ld s5,8(sp) + 80024d80: 00013b03 ld s6,0(sp) + 80024d84: 00b965b3 or a1,s2,a1 + 80024d88: 02013903 ld s2,32(sp) + 80024d8c: 04010113 addi sp,sp,64 + 80024d90: 914ec06f j 80010ea4 <_Z7setchr1jj> + +0000000080024d94 <_ZL4Syncv>: + 80024d94: fe010113 addi sp,sp,-32 + 80024d98: 001a7597 auipc a1,0x1a7 + 80024d9c: 4885c583 lbu a1,1160(a1) # 801cc220 <_ZL4prg0> + 80024da0: 00008537 lui a0,0x8 + 80024da4: 00113c23 sd ra,24(sp) + 80024da8: 00813823 sd s0,16(sp) + 80024dac: 00913423 sd s1,8(sp) + 80024db0: 01213023 sd s2,0(sp) + 80024db4: ce9eb0ef jal ra,80010a9c <_Z7setprg8jj> + 80024db8: 001a7597 auipc a1,0x1a7 + 80024dbc: 4695c583 lbu a1,1129(a1) # 801cc221 <_ZL4prg1> + 80024dc0: 0000a537 lui a0,0xa + 80024dc4: cd9eb0ef jal ra,80010a9c <_Z7setprg8jj> + 80024dc8: ffe00593 li a1,-2 + 80024dcc: 0000c537 lui a0,0xc + 80024dd0: ccdeb0ef jal ra,80010a9c <_Z7setprg8jj> + 80024dd4: fff00593 li a1,-1 + 80024dd8: 0000e537 lui a0,0xe + 80024ddc: cc1eb0ef jal ra,80010a9c <_Z7setprg8jj> + 80024de0: 001a7497 auipc s1,0x1a7 + 80024de4: 44848493 addi s1,s1,1096 # 801cc228 <_ZL6chrcmd> + 80024de8: 00000413 li s0,0 + 80024dec: 00002937 lui s2,0x2 + 80024df0: 0004c583 lbu a1,0(s1) + 80024df4: 00040513 mv a0,s0 + 80024df8: 4004041b addiw s0,s0,1024 + 80024dfc: 8a8ec0ef jal ra,80010ea4 <_Z7setchr1jj> + 80024e00: 00148493 addi s1,s1,1 + 80024e04: ff2416e3 bne s0,s2,80024df0 <_ZL4Syncv+0x5c> + 80024e08: 01013403 ld s0,16(sp) + 80024e0c: 01813083 ld ra,24(sp) + 80024e10: 00813483 ld s1,8(sp) + 80024e14: 00013903 ld s2,0(sp) + 80024e18: 001a7517 auipc a0,0x1a7 + 80024e1c: 40754503 lbu a0,1031(a0) # 801cc21f <_ZL4mirr> + 80024e20: 00154513 xori a0,a0,1 + 80024e24: 02010113 addi sp,sp,32 + 80024e28: a20ec06f j 80011048 <_Z9setmirrori> + +0000000080024e2c <_ZL14UNLSL1632Powerv>: + 80024e2c: ff010113 addi sp,sp,-16 + 80024e30: 00113423 sd ra,8(sp) + 80024e34: 38c010ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80024e38: 00813083 ld ra,8(sp) + 80024e3c: 000105b7 lui a1,0x10 + 80024e40: 00004537 lui a0,0x4 + 80024e44: 00000617 auipc a2,0x0 + 80024e48: 05c60613 addi a2,a2,92 # 80024ea0 <_ZL17UNLSL1632CMDWritejh> + 80024e4c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80024e50: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 80024e54: 01010113 addi sp,sp,16 + 80024e58: 4d10e06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080024e5c <_ZL12StateRestorei>: + 80024e5c: 001a7797 auipc a5,0x1a7 + 80024e60: 3c27c783 lbu a5,962(a5) # 801cc21e <_ZL4bbrk> + 80024e64: 0027f793 andi a5,a5,2 + 80024e68: 02078a63 beqz a5,80024e9c <_ZL12StateRestorei+0x40> + 80024e6c: ff010113 addi sp,sp,-16 + 80024e70: 00813023 sd s0,0(sp) + 80024e74: 001a7417 auipc s0,0x1a7 + 80024e78: 3e840413 addi s0,s0,1000 # 801cc25c + 80024e7c: 00044503 lbu a0,0(s0) + 80024e80: 00113423 sd ra,8(sp) + 80024e84: 7e9000ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80024e88: 00044503 lbu a0,0(s0) + 80024e8c: 00013403 ld s0,0(sp) + 80024e90: 00813083 ld ra,8(sp) + 80024e94: 01010113 addi sp,sp,16 + 80024e98: 1900106f j 80026028 <_Z10FixMMC3CHRi> + 80024e9c: ef9ff06f j 80024d94 <_ZL4Syncv> + +0000000080024ea0 <_ZL17UNLSL1632CMDWritejh>: + 80024ea0: fd010113 addi sp,sp,-48 + 80024ea4: 0000a7b7 lui a5,0xa + 80024ea8: 02813023 sd s0,32(sp) + 80024eac: 02113423 sd ra,40(sp) + 80024eb0: 00913c23 sd s1,24(sp) + 80024eb4: 13178793 addi a5,a5,305 # a131 <_entry_offset+0xa131> + 80024eb8: 00050413 mv s0,a0 + 80024ebc: 0cf50463 beq a0,a5,80024f84 <_ZL17UNLSL1632CMDWritejh+0xe4> + 80024ec0: 001a7797 auipc a5,0x1a7 + 80024ec4: 35e7c783 lbu a5,862(a5) # 801cc21e <_ZL4bbrk> + 80024ec8: 0027f793 andi a5,a5,2 + 80024ecc: 06079c63 bnez a5,80024f44 <_ZL17UNLSL1632CMDWritejh+0xa4> + 80024ed0: ffff56b7 lui a3,0xffff5 + 80024ed4: 000037b7 lui a5,0x3 + 80024ed8: 00a686bb addw a3,a3,a0 + 80024edc: 00378793 addi a5,a5,3 # 3003 <_entry_offset+0x3003> + 80024ee0: 0ad7ea63 bltu a5,a3,80024f94 <_ZL17UNLSL1632CMDWritejh+0xf4> + 80024ee4: 00a5571b srliw a4,a0,0xa + 80024ee8: 00257793 andi a5,a0,2 + 80024eec: 00e7e7b3 or a5,a5,a4 + 80024ef0: 0017d79b srliw a5,a5,0x1 + 80024ef4: 0027879b addiw a5,a5,2 + 80024ef8: 001a7697 auipc a3,0x1a7 + 80024efc: 33068693 addi a3,a3,816 # 801cc228 <_ZL6chrcmd> + 80024f00: 0077f793 andi a5,a5,7 + 80024f04: 00f687b3 add a5,a3,a5 + 80024f08: 0007c703 lbu a4,0(a5) + 80024f0c: 0025141b slliw s0,a0,0x2 + 80024f10: 00447413 andi s0,s0,4 + 80024f14: 0f000693 li a3,240 + 80024f18: 4086d6bb sraw a3,a3,s0 + 80024f1c: 00f5f593 andi a1,a1,15 + 80024f20: 00d77733 and a4,a4,a3 + 80024f24: 0085943b sllw s0,a1,s0 + 80024f28: 00876733 or a4,a4,s0 + 80024f2c: 00e78023 sb a4,0(a5) + 80024f30: 02013403 ld s0,32(sp) + 80024f34: 02813083 ld ra,40(sp) + 80024f38: 01813483 ld s1,24(sp) + 80024f3c: 03010113 addi sp,sp,48 + 80024f40: e55ff06f j 80024d94 <_ZL4Syncv> + 80024f44: 001a7497 auipc s1,0x1a7 + 80024f48: 31848493 addi s1,s1,792 # 801cc25c + 80024f4c: 0004c503 lbu a0,0(s1) + 80024f50: 00b13423 sd a1,8(sp) + 80024f54: 719000ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80024f58: 0004c503 lbu a0,0(s1) + 80024f5c: 0cc010ef jal ra,80026028 <_Z10FixMMC3CHRi> + 80024f60: 0000c7b7 lui a5,0xc + 80024f64: 00813583 ld a1,8(sp) + 80024f68: 06f46e63 bltu s0,a5,80024fe4 <_ZL17UNLSL1632CMDWritejh+0x144> + 80024f6c: 00040513 mv a0,s0 + 80024f70: 02013403 ld s0,32(sp) + 80024f74: 02813083 ld ra,40(sp) + 80024f78: 01813483 ld s1,24(sp) + 80024f7c: 03010113 addi sp,sp,48 + 80024f80: 3150006f j 80025a94 <_Z13MMC3_IRQWritejh> + 80024f84: 001a7797 auipc a5,0x1a7 + 80024f88: 28b78d23 sb a1,666(a5) # 801cc21e <_ZL4bbrk> + 80024f8c: 0025f793 andi a5,a1,2 + 80024f90: 02079a63 bnez a5,80024fc4 <_ZL17UNLSL1632CMDWritejh+0x124> + 80024f94: 0000f537 lui a0,0xf + 80024f98: 00350513 addi a0,a0,3 # f003 <_entry_offset+0xf003> + 80024f9c: 00a47433 and s0,s0,a0 + 80024fa0: 000097b7 lui a5,0x9 + 80024fa4: 06f40263 beq s0,a5,80025008 <_ZL17UNLSL1632CMDWritejh+0x168> + 80024fa8: 0000a7b7 lui a5,0xa + 80024fac: 04f40863 beq s0,a5,80024ffc <_ZL17UNLSL1632CMDWritejh+0x15c> + 80024fb0: 000087b7 lui a5,0x8 + 80024fb4: f6f41ee3 bne s0,a5,80024f30 <_ZL17UNLSL1632CMDWritejh+0x90> + 80024fb8: 001a7797 auipc a5,0x1a7 + 80024fbc: 26b78423 sb a1,616(a5) # 801cc220 <_ZL4prg0> + 80024fc0: f71ff06f j 80024f30 <_ZL17UNLSL1632CMDWritejh+0x90> + 80024fc4: 001a7497 auipc s1,0x1a7 + 80024fc8: 29848493 addi s1,s1,664 # 801cc25c + 80024fcc: 0004c503 lbu a0,0(s1) + 80024fd0: 00b13423 sd a1,8(sp) + 80024fd4: 699000ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80024fd8: 0004c503 lbu a0,0(s1) + 80024fdc: 04c010ef jal ra,80026028 <_Z10FixMMC3CHRi> + 80024fe0: 00813583 ld a1,8(sp) + 80024fe4: 00040513 mv a0,s0 + 80024fe8: 02013403 ld s0,32(sp) + 80024fec: 02813083 ld ra,40(sp) + 80024ff0: 01813483 ld s1,24(sp) + 80024ff4: 03010113 addi sp,sp,48 + 80024ff8: 0cd0106f j 800268c4 <_Z13MMC3_CMDWritejh> + 80024ffc: 001a7797 auipc a5,0x1a7 + 80025000: 22b782a3 sb a1,549(a5) # 801cc221 <_ZL4prg1> + 80025004: f2dff06f j 80024f30 <_ZL17UNLSL1632CMDWritejh+0x90> + 80025008: 0015f593 andi a1,a1,1 + 8002500c: 001a7797 auipc a5,0x1a7 + 80025010: 20b789a3 sb a1,531(a5) # 801cc21f <_ZL4mirr> + 80025014: f1dff06f j 80024f30 <_ZL17UNLSL1632CMDWritejh+0x90> + +0000000080025018 <_Z14UNLSL1632_InitP8CartInfo>: + 80025018: ff010113 addi sp,sp,-16 + 8002501c: 00000713 li a4,0 + 80025020: 00000693 li a3,0 + 80025024: 20000613 li a2,512 + 80025028: 10000593 li a1,256 + 8002502c: 00813023 sd s0,0(sp) + 80025030: 00113423 sd ra,8(sp) + 80025034: 00050413 mv s0,a0 + 80025038: 7e1010ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002503c: 00000797 auipc a5,0x0 + 80025040: c0878793 addi a5,a5,-1016 # 80024c44 <_ZL11UNLSL1632CWjh> + 80025044: 001a7717 auipc a4,0x1a7 + 80025048: 22f73e23 sd a5,572(a4) # 801cc280 + 8002504c: 00000797 auipc a5,0x0 + 80025050: de078793 addi a5,a5,-544 # 80024e2c <_ZL14UNLSL1632Powerv> + 80025054: 00f43023 sd a5,0(s0) + 80025058: 00013403 ld s0,0(sp) + 8002505c: 00813083 ld ra,8(sp) + 80025060: 00000797 auipc a5,0x0 + 80025064: dfc78793 addi a5,a5,-516 # 80024e5c <_ZL12StateRestorei> + 80025068: 001a7717 auipc a4,0x1a7 + 8002506c: 5ef73823 sd a5,1520(a4) # 801cc658 + 80025070: 00000693 li a3,0 + 80025074: 00000613 li a2,0 + 80025078: fff00593 li a1,-1 + 8002507c: 00026517 auipc a0,0x26 + 80025080: 6f450513 addi a0,a0,1780 # 8004b770 <_ZL9StateRegs> + 80025084: 01010113 addi sp,sp,16 + 80025088: f89da06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002508c <_ZL4Syncv>: + 8002508c: ff010113 addi sp,sp,-16 + 80025090: 00008537 lui a0,0x8 + 80025094: 001a7597 auipc a1,0x1a7 + 80025098: 19d5c583 lbu a1,413(a1) # 801cc231 <_ZL4preg> + 8002509c: 00113423 sd ra,8(sp) + 800250a0: d75eb0ef jal ra,80010e14 <_Z8setprg32jj> + 800250a4: 00813083 ld ra,8(sp) + 800250a8: 001a7517 auipc a0,0x1a7 + 800250ac: 18854503 lbu a0,392(a0) # 801cc230 <_ZL4creg> + 800250b0: 01010113 addi sp,sp,16 + 800250b4: e51eb06f j 80010f04 <_Z7setchr8j> + +00000000800250b8 <_ZL12StateRestorei>: + 800250b8: fd5ff06f j 8002508c <_ZL4Syncv> + +00000000800250bc <_ZL9M244Writejh>: + 800250bc: 0085f713 andi a4,a1,8 + 800250c0: 0045d793 srli a5,a1,0x4 + 800250c4: 02070863 beqz a4,800250f4 <_ZL9M244Writejh+0x38> + 800250c8: 0077f793 andi a5,a5,7 + 800250cc: 00021717 auipc a4,0x21 + 800250d0: c0470713 addi a4,a4,-1020 # 80045cd0 <_ZL8chr_perm> + 800250d4: 00379793 slli a5,a5,0x3 + 800250d8: 00f707b3 add a5,a4,a5 + 800250dc: 0075f593 andi a1,a1,7 + 800250e0: 00b785b3 add a1,a5,a1 + 800250e4: 0005c783 lbu a5,0(a1) + 800250e8: 001a7717 auipc a4,0x1a7 + 800250ec: 14f70423 sb a5,328(a4) # 801cc230 <_ZL4creg> + 800250f0: f9dff06f j 8002508c <_ZL4Syncv> + 800250f4: 0037f793 andi a5,a5,3 + 800250f8: 00021717 auipc a4,0x21 + 800250fc: c1870713 addi a4,a4,-1000 # 80045d10 <_ZL8prg_perm> + 80025100: 00279793 slli a5,a5,0x2 + 80025104: 00f707b3 add a5,a4,a5 + 80025108: 0035f593 andi a1,a1,3 + 8002510c: 00b785b3 add a1,a5,a1 + 80025110: 0005c783 lbu a5,0(a1) + 80025114: 001a7717 auipc a4,0x1a7 + 80025118: 10f70ea3 sb a5,285(a4) # 801cc231 <_ZL4preg> + 8002511c: f71ff06f j 8002508c <_ZL4Syncv> + +0000000080025120 <_ZL9M244Powerv>: + 80025120: ff010113 addi sp,sp,-16 + 80025124: 00113423 sd ra,8(sp) + 80025128: 00813023 sd s0,0(sp) + 8002512c: 001a7797 auipc a5,0x1a7 + 80025130: 10078223 sb zero,260(a5) # 801cc230 <_ZL4creg> + 80025134: 00010437 lui s0,0x10 + 80025138: 001a7797 auipc a5,0x1a7 + 8002513c: 0e078ca3 sb zero,249(a5) # 801cc231 <_ZL4preg> + 80025140: f4dff0ef jal ra,8002508c <_ZL4Syncv> + 80025144: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80025148: 00000617 auipc a2,0x0 + 8002514c: f7460613 addi a2,a2,-140 # 800250bc <_ZL9M244Writejh> + 80025150: 00008537 lui a0,0x8 + 80025154: 1d50e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80025158: fff40593 addi a1,s0,-1 + 8002515c: 00013403 ld s0,0(sp) + 80025160: 00813083 ld ra,8(sp) + 80025164: fffeb617 auipc a2,0xfffeb + 80025168: 4fc60613 addi a2,a2,1276 # 80010660 <_Z6CartBRj> + 8002516c: 00008537 lui a0,0x8 + 80025170: 01010113 addi sp,sp,16 + 80025174: 0a90e06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080025178 <_Z14Mapper244_InitP8CartInfo>: + 80025178: ff010113 addi sp,sp,-16 + 8002517c: 00113423 sd ra,8(sp) + 80025180: 00000797 auipc a5,0x0 + 80025184: fa078793 addi a5,a5,-96 # 80025120 <_ZL9M244Powerv> + 80025188: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002518c: 00000693 li a3,0 + 80025190: 00000613 li a2,0 + 80025194: fff00593 li a1,-1 + 80025198: 00026517 auipc a0,0x26 + 8002519c: 68050513 addi a0,a0,1664 # 8004b818 <_ZL9StateRegs> + 800251a0: e71da0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800251a4: 00813083 ld ra,8(sp) + 800251a8: 00000797 auipc a5,0x0 + 800251ac: f1078793 addi a5,a5,-240 # 800250b8 <_ZL12StateRestorei> + 800251b0: 001a7717 auipc a4,0x1a7 + 800251b4: 4af73423 sd a5,1192(a4) # 801cc658 + 800251b8: 01010113 addi sp,sp,16 + 800251bc: 00008067 ret + +00000000800251c0 <_ZL15UNL158BProtReadj>: + 800251c0: 00757793 andi a5,a0,7 + 800251c4: 00022517 auipc a0,0x22 + 800251c8: 81450513 addi a0,a0,-2028 # 800469d8 <_ZL3lut> + 800251cc: 00f50533 add a0,a0,a5 + 800251d0: 00054503 lbu a0,0(a0) + 800251d4: 00032797 auipc a5,0x32 + 800251d8: 6947c783 lbu a5,1684(a5) # 80057868 + 800251dc: 00f56533 or a0,a0,a5 + 800251e0: 0ff57513 andi a0,a0,255 + 800251e4: 00008067 ret + +00000000800251e8 <_ZL12UNL158BPowerv>: + 800251e8: ff010113 addi sp,sp,-16 + 800251ec: 00113423 sd ra,8(sp) + 800251f0: 00813023 sd s0,0(sp) + 800251f4: 7cd000ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800251f8: 00006437 lui s0,0x6 + 800251fc: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80025200: 00000617 auipc a2,0x0 + 80025204: 0a460613 addi a2,a2,164 # 800252a4 <_ZL16UNL158BProtWritejh> + 80025208: 00005537 lui a0,0x5 + 8002520c: 11d0e0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80025210: fff40593 addi a1,s0,-1 + 80025214: 00013403 ld s0,0(sp) + 80025218: 00813083 ld ra,8(sp) + 8002521c: 00000617 auipc a2,0x0 + 80025220: fa460613 addi a2,a2,-92 # 800251c0 <_ZL15UNL158BProtReadj> + 80025224: 00005537 lui a0,0x5 + 80025228: 01010113 addi sp,sp,16 + 8002522c: 7f00e06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080025230 <_ZL9UNL158BPWjh>: + 80025230: 001a7797 auipc a5,0x1a7 + 80025234: 0207c783 lbu a5,32(a5) # 801cc250 + 80025238: 0187971b slliw a4,a5,0x18 + 8002523c: 4187571b sraiw a4,a4,0x18 + 80025240: 04075e63 bgez a4,8002529c <_ZL9UNL158BPWjh+0x6c> + 80025244: ff010113 addi sp,sp,-16 + 80025248: 00813023 sd s0,0(sp) + 8002524c: 00113423 sd ra,8(sp) + 80025250: 0207f713 andi a4,a5,32 + 80025254: 0077f593 andi a1,a5,7 + 80025258: 0077f413 andi s0,a5,7 + 8002525c: 00070e63 beqz a4,80025278 <_ZL9UNL158BPWjh+0x48> + 80025260: 00013403 ld s0,0(sp) + 80025264: 00813083 ld ra,8(sp) + 80025268: 0015d59b srliw a1,a1,0x1 + 8002526c: 00008537 lui a0,0x8 + 80025270: 01010113 addi sp,sp,16 + 80025274: ba1eb06f j 80010e14 <_Z8setprg32jj> + 80025278: 00040593 mv a1,s0 + 8002527c: 00008537 lui a0,0x8 + 80025280: 9d9eb0ef jal ra,80010c58 <_Z8setprg16jj> + 80025284: 00040593 mv a1,s0 + 80025288: 00013403 ld s0,0(sp) + 8002528c: 00813083 ld ra,8(sp) + 80025290: 0000c537 lui a0,0xc + 80025294: 01010113 addi sp,sp,16 + 80025298: 9c1eb06f j 80010c58 <_Z8setprg16jj> + 8002529c: 00f5f593 andi a1,a1,15 + 800252a0: ffceb06f j 80010a9c <_Z7setprg8jj> + +00000000800252a4 <_ZL16UNL158BProtWritejh>: + 800252a4: 00757713 andi a4,a0,7 + 800252a8: 001a7797 auipc a5,0x1a7 + 800252ac: fa878793 addi a5,a5,-88 # 801cc250 + 800252b0: 00e787b3 add a5,a5,a4 + 800252b4: 00b78023 sb a1,0(a5) + 800252b8: 00070e63 beqz a4,800252d4 <_ZL16UNL158BProtWritejh+0x30> + 800252bc: 00700793 li a5,7 + 800252c0: 00f71863 bne a4,a5,800252d0 <_ZL16UNL158BProtWritejh+0x2c> + 800252c4: 00021517 auipc a0,0x21 + 800252c8: a5c50513 addi a0,a0,-1444 # 80045d20 <_ZL8prg_perm+0x10> + 800252cc: 27c1606f j 8003b548 + 800252d0: 00008067 ret + 800252d4: 001a7517 auipc a0,0x1a7 + 800252d8: f8854503 lbu a0,-120(a0) # 801cc25c + 800252dc: 3910006f j 80025e6c <_Z10FixMMC3PRGi> + +00000000800252e0 <_Z12UNL158B_InitP8CartInfo>: + 800252e0: ff010113 addi sp,sp,-16 + 800252e4: 00000693 li a3,0 + 800252e8: 08000613 li a2,128 + 800252ec: 08000593 li a1,128 + 800252f0: 00000713 li a4,0 + 800252f4: 00813023 sd s0,0(sp) + 800252f8: 00113423 sd ra,8(sp) + 800252fc: 00050413 mv s0,a0 + 80025300: 519010ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80025304: 00000797 auipc a5,0x0 + 80025308: f2c78793 addi a5,a5,-212 # 80025230 <_ZL9UNL158BPWjh> + 8002530c: 001a7717 auipc a4,0x1a7 + 80025310: f8f73623 sd a5,-116(a4) # 801cc298 + 80025314: 00000797 auipc a5,0x0 + 80025318: ed478793 addi a5,a5,-300 # 800251e8 <_ZL12UNL158BPowerv> + 8002531c: 00f43023 sd a5,0(s0) + 80025320: 00013403 ld s0,0(sp) + 80025324: 00813083 ld ra,8(sp) + 80025328: 00020697 auipc a3,0x20 + 8002532c: 1c868693 addi a3,a3,456 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80025330: 00000613 li a2,0 + 80025334: 00800593 li a1,8 + 80025338: 001a7517 auipc a0,0x1a7 + 8002533c: f1850513 addi a0,a0,-232 # 801cc250 + 80025340: 01010113 addi sp,sp,16 + 80025344: ccdda06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080025348 <_ZL10GENNOMWRAPh>: + 80025348: 001a7797 auipc a5,0x1a7 + 8002534c: eea78523 sb a0,-278(a5) # 801cc232 + 80025350: 00008067 ret + +0000000080025354 <_ZL10MBWRAMMMC6jh>: + 80025354: 3ff57793 andi a5,a0,1023 + 80025358: 001a7517 auipc a0,0x1a7 + 8002535c: f0853503 ld a0,-248(a0) # 801cc260 + 80025360: 00f50533 add a0,a0,a5 + 80025364: 00b50023 sb a1,0(a0) + 80025368: 00008067 ret + +000000008002536c <_ZL10MAWRAMMMC6j>: + 8002536c: 3ff57793 andi a5,a0,1023 + 80025370: 001a7517 auipc a0,0x1a7 + 80025374: ef053503 ld a0,-272(a0) # 801cc260 + 80025378: 00f50533 add a0,a0,a5 + 8002537c: 00054503 lbu a0,0(a0) + 80025380: 00008067 ret + +0000000080025384 <_ZL8M12Writejh>: + 80025384: 4045d79b sraiw a5,a1,0x4 + 80025388: 001a7717 auipc a4,0x1a7 + 8002538c: ec870713 addi a4,a4,-312 # 801cc250 + 80025390: 0015f593 andi a1,a1,1 + 80025394: 0017f793 andi a5,a5,1 + 80025398: 00b70023 sb a1,0(a4) + 8002539c: 00f700a3 sb a5,1(a4) + 800253a0: 00008067 ret + +00000000800253a4 <_ZL7M12Readj>: + 800253a4: 001a7517 auipc a0,0x1a7 + 800253a8: eae54503 lbu a0,-338(a0) # 801cc252 + 800253ac: 00008067 ret + +00000000800253b0 <_ZL7M45Readj>: + 800253b0: 001a7717 auipc a4,0x1a7 + 800253b4: ea574703 lbu a4,-347(a4) # 801cc255 + 800253b8: 00100793 li a5,1 + 800253bc: 0047071b addiw a4,a4,4 + 800253c0: 00e7973b sllw a4,a5,a4 + 800253c4: fff7079b addiw a5,a4,-1 + 800253c8: 00e7e7b3 or a5,a5,a4 + 800253cc: 00a7f7b3 and a5,a5,a0 + 800253d0: 0007879b sext.w a5,a5 + 800253d4: 00032517 auipc a0,0x32 + 800253d8: 49454503 lbu a0,1172(a0) # 80057868 + 800253dc: 00078463 beqz a5,800253e4 <_ZL7M45Readj+0x34> + 800253e0: 00156513 ori a0,a0,1 + 800253e4: 00008067 ret + +00000000800253e8 <_ZL8GENMWRAPh>: + 800253e8: 00050793 mv a5,a0 + 800253ec: fff54513 not a0,a0 + 800253f0: 00157513 andi a0,a0,1 + 800253f4: 001a7717 auipc a4,0x1a7 + 800253f8: e2f70f23 sb a5,-450(a4) # 801cc232 + 800253fc: c4deb06f j 80011048 <_Z9setmirrori> + +0000000080025400 <_ZL6TKSPPUj>: + 80025400: 00a5579b srliw a5,a0,0xa + 80025404: 0077f793 andi a5,a5,7 + 80025408: 001a7717 auipc a4,0x1a7 + 8002540c: e6870713 addi a4,a4,-408 # 801cc270 <_ZL6TKSMIR> + 80025410: 00f70733 add a4,a4,a5 + 80025414: 00074503 lbu a0,0(a4) + 80025418: 001a7717 auipc a4,0x1a7 + 8002541c: e6f70223 sb a5,-412(a4) # 801cc27c <_ZL9PPUCHRBus> + 80025420: 0025051b addiw a0,a0,2 + 80025424: c25eb06f j 80011048 <_Z9setmirrori> + +0000000080025428 <_ZL12GenMMC3Closev>: + 80025428: ff010113 addi sp,sp,-16 + 8002542c: 00113423 sd ra,8(sp) + 80025430: 001a7517 auipc a0,0x1a7 + 80025434: e0853503 ld a0,-504(a0) # 801cc238 + 80025438: 00050463 beqz a0,80025440 <_ZL12GenMMC3Closev+0x18> + 8002543c: 904ed0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80025440: 001a7517 auipc a0,0x1a7 + 80025444: e2053503 ld a0,-480(a0) # 801cc260 + 80025448: 00050463 beqz a0,80025450 <_ZL12GenMMC3Closev+0x28> + 8002544c: 8f4ed0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80025450: 00813083 ld ra,8(sp) + 80025454: 001a7797 auipc a5,0x1a7 + 80025458: e007b623 sd zero,-500(a5) # 801cc260 + 8002545c: 001a7797 auipc a5,0x1a7 + 80025460: dc07be23 sd zero,-548(a5) # 801cc238 + 80025464: 01010113 addi sp,sp,16 + 80025468: 00008067 ret + +000000008002546c <_ZL8GENCWRAPjh>: + 8002546c: a39eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025470 <_ZL5M12CWjh>: + 80025470: 00c5579b srliw a5,a0,0xc + 80025474: 0017f793 andi a5,a5,1 + 80025478: 001a7717 auipc a4,0x1a7 + 8002547c: dd870713 addi a4,a4,-552 # 801cc250 + 80025480: 00f707b3 add a5,a4,a5 + 80025484: 0007c783 lbu a5,0(a5) + 80025488: 0087979b slliw a5,a5,0x8 + 8002548c: 00b785bb addw a1,a5,a1 + 80025490: a15eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025494 <_ZL5M37CWjh>: + 80025494: 001a7797 auipc a5,0x1a7 + 80025498: dbc7c783 lbu a5,-580(a5) # 801cc250 + 8002549c: 07f5f593 andi a1,a1,127 + 800254a0: 0067979b slliw a5,a5,0x6 + 800254a4: 00b7e5b3 or a1,a5,a1 + 800254a8: 0005859b sext.w a1,a1 + 800254ac: 9f9eb06f j 80010ea4 <_Z7setchr1jj> + +00000000800254b0 <_ZL5M44CWjh>: + 800254b0: 001a7797 auipc a5,0x1a7 + 800254b4: da07c783 lbu a5,-608(a5) # 801cc250 + 800254b8: 00500713 li a4,5 + 800254bc: 00f76463 bltu a4,a5,800254c4 <_ZL5M44CWjh+0x14> + 800254c0: 07f5f593 andi a1,a1,127 + 800254c4: 00779793 slli a5,a5,0x7 + 800254c8: 00b7e5b3 or a1,a5,a1 + 800254cc: 9d9eb06f j 80010ea4 <_Z7setchr1jj> + +00000000800254d0 <_ZL5M47CWjh>: + 800254d0: 001a7797 auipc a5,0x1a7 + 800254d4: d807c783 lbu a5,-640(a5) # 801cc250 + 800254d8: 07f5f593 andi a1,a1,127 + 800254dc: 0077979b slliw a5,a5,0x7 + 800254e0: 00b7e5b3 or a1,a5,a1 + 800254e4: 0005859b sext.w a1,a1 + 800254e8: 9bdeb06f j 80010ea4 <_Z7setchr1jj> + +00000000800254ec <_ZL5M49CWjh>: + 800254ec: 001a7797 auipc a5,0x1a7 + 800254f0: d647c783 lbu a5,-668(a5) # 801cc250 + 800254f4: 0017979b slliw a5,a5,0x1 + 800254f8: 1807f793 andi a5,a5,384 + 800254fc: 07f5f593 andi a1,a1,127 + 80025500: 00f5e5b3 or a1,a1,a5 + 80025504: 9a1eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025508 <_ZL5M52CWjh>: + 80025508: 001a7617 auipc a2,0x1a7 + 8002550c: d4864603 lbu a2,-696(a2) # 801cc250 + 80025510: 0006079b sext.w a5,a2 + 80025514: 00465693 srli a3,a2,0x4 + 80025518: 4067d81b sraiw a6,a5,0x6 + 8002551c: 0026f713 andi a4,a3,2 + 80025520: 00467613 andi a2,a2,4 + 80025524: 0106f6b3 and a3,a3,a6 + 80025528: 0017979b slliw a5,a5,0x1 + 8002552c: 00c76733 or a4,a4,a2 + 80025530: 0016f693 andi a3,a3,1 + 80025534: 0807f793 andi a5,a5,128 + 80025538: 00d76733 or a4,a4,a3 + 8002553c: 0ff7c793 xori a5,a5,255 + 80025540: 00b7f5b3 and a1,a5,a1 + 80025544: 00771713 slli a4,a4,0x7 + 80025548: 00b765b3 or a1,a4,a1 + 8002554c: 959eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025550 <_ZL6M115CWjh>: + 80025550: 001a7797 auipc a5,0x1a7 + 80025554: d017c783 lbu a5,-767(a5) # 801cc251 + 80025558: 0087979b slliw a5,a5,0x8 + 8002555c: 1007f793 andi a5,a5,256 + 80025560: 00b7e5b3 or a1,a5,a1 + 80025564: 941eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025568 <_ZL6M134CWjh>: + 80025568: 001a7797 auipc a5,0x1a7 + 8002556c: ce87c783 lbu a5,-792(a5) # 801cc250 + 80025570: 0037979b slliw a5,a5,0x3 + 80025574: 1007f793 andi a5,a5,256 + 80025578: 00b7e5b3 or a1,a5,a1 + 8002557c: 929eb06f j 80010ea4 <_Z7setchr1jj> + +0000000080025580 <_ZL6M205CWjh>: + 80025580: 001a7797 auipc a5,0x1a7 + 80025584: cd07c783 lbu a5,-816(a5) # 801cc250 + 80025588: 0037979b slliw a5,a5,0x3 + 8002558c: 07f5f593 andi a1,a1,127 + 80025590: 00b7e5b3 or a1,a5,a1 + 80025594: 0005859b sext.w a1,a1 + 80025598: 90deb06f j 80010ea4 <_Z7setchr1jj> + +000000008002559c <_ZL6M249CWjh>: + 8002559c: 001a7797 auipc a5,0x1a7 + 800255a0: cb47c783 lbu a5,-844(a5) # 801cc250 + 800255a4: 0027f793 andi a5,a5,2 + 800255a8: 04078463 beqz a5,800255f0 <_ZL6M249CWjh+0x54> + 800255ac: 0015d793 srli a5,a1,0x1 + 800255b0: 0045d713 srli a4,a1,0x4 + 800255b4: 00877713 andi a4,a4,8 + 800255b8: 0047f793 andi a5,a5,4 + 800255bc: 0035f613 andi a2,a1,3 + 800255c0: 0025d693 srli a3,a1,0x2 + 800255c4: 00e7e7b3 or a5,a5,a4 + 800255c8: 00c7e7b3 or a5,a5,a2 + 800255cc: 00359713 slli a4,a1,0x3 + 800255d0: 0106f693 andi a3,a3,16 + 800255d4: 00259593 slli a1,a1,0x2 + 800255d8: 00d7e7b3 or a5,a5,a3 + 800255dc: 02077713 andi a4,a4,32 + 800255e0: 00e7e7b3 or a5,a5,a4 + 800255e4: fc05f593 andi a1,a1,-64 + 800255e8: 00b7e5b3 or a1,a5,a1 + 800255ec: 0ff5f593 andi a1,a1,255 + 800255f0: 8b5eb06f j 80010ea4 <_Z7setchr1jj> + +00000000800255f4 <_ZL7TKSWRAPjh>: + 800255f4: fe010113 addi sp,sp,-32 + 800255f8: 00a5561b srliw a2,a0,0xa + 800255fc: 001a7717 auipc a4,0x1a7 + 80025600: c7470713 addi a4,a4,-908 # 801cc270 <_ZL6TKSMIR> + 80025604: 00058793 mv a5,a1 + 80025608: 00813823 sd s0,16(sp) + 8002560c: 00913423 sd s1,8(sp) + 80025610: 0075d693 srli a3,a1,0x7 + 80025614: 00113c23 sd ra,24(sp) + 80025618: 00c70733 add a4,a4,a2 + 8002561c: 07f5f593 andi a1,a1,127 + 80025620: 4077d41b sraiw s0,a5,0x7 + 80025624: 00060493 mv s1,a2 + 80025628: 00d70023 sb a3,0(a4) + 8002562c: 879eb0ef jal ra,80010ea4 <_Z7setchr1jj> + 80025630: 001a7797 auipc a5,0x1a7 + 80025634: c4c7c783 lbu a5,-948(a5) # 801cc27c <_ZL9PPUCHRBus> + 80025638: 00978c63 beq a5,s1,80025650 <_ZL7TKSWRAPjh+0x5c> + 8002563c: 01813083 ld ra,24(sp) + 80025640: 01013403 ld s0,16(sp) + 80025644: 00813483 ld s1,8(sp) + 80025648: 02010113 addi sp,sp,32 + 8002564c: 00008067 ret + 80025650: 0024051b addiw a0,s0,2 + 80025654: 01013403 ld s0,16(sp) + 80025658: 01813083 ld ra,24(sp) + 8002565c: 00813483 ld s1,8(sp) + 80025660: 02010113 addi sp,sp,32 + 80025664: 9e5eb06f j 80011048 <_Z9setmirrori> + +0000000080025668 <_ZL8GENPWRAPjh>: + 80025668: 001a7797 auipc a5,0x1a7 + 8002566c: c207c783 lbu a5,-992(a5) # 801cc288 + 80025670: 0047979b slliw a5,a5,0x4 + 80025674: 0407f793 andi a5,a5,64 + 80025678: 07f5f593 andi a1,a1,127 + 8002567c: 00f5e5b3 or a1,a1,a5 + 80025680: c1ceb06f j 80010a9c <_Z7setprg8jj> + +0000000080025684 <_ZL5M37PWjh>: + 80025684: 001a7797 auipc a5,0x1a7 + 80025688: bcc7c783 lbu a5,-1076(a5) # 801cc250 + 8002568c: 00200693 li a3,2 + 80025690: 00f5f713 andi a4,a1,15 + 80025694: 00d78463 beq a5,a3,8002569c <_ZL5M37PWjh+0x18> + 80025698: 0075f713 andi a4,a1,7 + 8002569c: 00379793 slli a5,a5,0x3 + 800256a0: 00f767b3 or a5,a4,a5 + 800256a4: 0ff7f593 andi a1,a5,255 + 800256a8: bf4eb06f j 80010a9c <_Z7setprg8jj> + +00000000800256ac <_ZL5M44PWjh>: + 800256ac: 001a7797 auipc a5,0x1a7 + 800256b0: ba47c783 lbu a5,-1116(a5) # 801cc250 + 800256b4: 00500693 li a3,5 + 800256b8: 00f5f713 andi a4,a1,15 + 800256bc: 00f6f463 bgeu a3,a5,800256c4 <_ZL5M44PWjh+0x18> + 800256c0: 01f5f713 andi a4,a1,31 + 800256c4: 00479793 slli a5,a5,0x4 + 800256c8: 00e7e5b3 or a1,a5,a4 + 800256cc: bd0eb06f j 80010a9c <_Z7setprg8jj> + +00000000800256d0 <_ZL5M45PWjh>: + 800256d0: 001a7717 auipc a4,0x1a7 + 800256d4: b8070713 addi a4,a4,-1152 # 801cc250 + 800256d8: 00374783 lbu a5,3(a4) + 800256dc: 00174683 lbu a3,1(a4) + 800256e0: fff7c793 not a5,a5 + 800256e4: 00f5f5b3 and a1,a1,a5 + 800256e8: 03f5f593 andi a1,a1,63 + 800256ec: 00d5e633 or a2,a1,a3 + 800256f0: 001a6797 auipc a5,0x1a6 + 800256f4: 3f07b783 ld a5,1008(a5) # 801cbae0 + 800256f8: 00060593 mv a1,a2 + 800256fc: 00078c63 beqz a5,80025714 <_ZL5M45PWjh+0x44> + 80025700: 00274583 lbu a1,2(a4) + 80025704: 0025959b slliw a1,a1,0x2 + 80025708: 1005f593 andi a1,a1,256 + 8002570c: 00c5e5b3 or a1,a1,a2 + 80025710: 0005859b sext.w a1,a1 + 80025714: b88eb06f j 80010a9c <_Z7setprg8jj> + +0000000080025718 <_ZL5M47PWjh>: + 80025718: 001a7797 auipc a5,0x1a7 + 8002571c: b387c783 lbu a5,-1224(a5) # 801cc250 + 80025720: 00f5f593 andi a1,a1,15 + 80025724: 0047979b slliw a5,a5,0x4 + 80025728: 00f5e5b3 or a1,a1,a5 + 8002572c: 0ff5f593 andi a1,a1,255 + 80025730: b6ceb06f j 80010a9c <_Z7setprg8jj> + +0000000080025734 <_ZL5M52PWjh>: + 80025734: 001a7697 auipc a3,0x1a7 + 80025738: b1c6c683 lbu a3,-1252(a3) # 801cc250 + 8002573c: 0006879b sext.w a5,a3 + 80025740: 4037d71b sraiw a4,a5,0x3 + 80025744: 00e7f733 and a4,a5,a4 + 80025748: 0017979b slliw a5,a5,0x1 + 8002574c: 00177713 andi a4,a4,1 + 80025750: 0066f693 andi a3,a3,6 + 80025754: 0107f793 andi a5,a5,16 + 80025758: 00d76733 or a4,a4,a3 + 8002575c: 01f7c793 xori a5,a5,31 + 80025760: 0047171b slliw a4,a4,0x4 + 80025764: 00b7f7b3 and a5,a5,a1 + 80025768: 00e7e5b3 or a1,a5,a4 + 8002576c: 0005859b sext.w a1,a1 + 80025770: b2ceb06f j 80010a9c <_Z7setprg8jj> + +0000000080025774 <_ZL6M134PWjh>: + 80025774: 001a7797 auipc a5,0x1a7 + 80025778: adc7c783 lbu a5,-1316(a5) # 801cc250 + 8002577c: 0047979b slliw a5,a5,0x4 + 80025780: 0207f793 andi a5,a5,32 + 80025784: 01f5f593 andi a1,a1,31 + 80025788: 00f5e5b3 or a1,a1,a5 + 8002578c: b10eb06f j 80010a9c <_Z7setprg8jj> + +0000000080025790 <_ZL6M198PWjh>: + 80025790: 04f00793 li a5,79 + 80025794: 00b7f663 bgeu a5,a1,800257a0 <_ZL6M198PWjh+0x10> + 80025798: 04f5f593 andi a1,a1,79 + 8002579c: b00eb06f j 80010a9c <_Z7setprg8jj> + 800257a0: afceb06f j 80010a9c <_Z7setprg8jj> + +00000000800257a4 <_ZL6M205PWjh>: + 800257a4: 00f5f593 andi a1,a1,15 + 800257a8: 001a7797 auipc a5,0x1a7 + 800257ac: aa87c783 lbu a5,-1368(a5) # 801cc250 + 800257b0: 00f5e5b3 or a1,a1,a5 + 800257b4: ae8eb06f j 80010a9c <_Z7setprg8jj> + +00000000800257b8 <_ZL6M245PWjh>: + 800257b8: 001a7797 auipc a5,0x1a7 + 800257bc: a987c783 lbu a5,-1384(a5) # 801cc250 + 800257c0: 0057979b slliw a5,a5,0x5 + 800257c4: 0407f793 andi a5,a5,64 + 800257c8: 03f5f593 andi a1,a1,63 + 800257cc: 00f5e5b3 or a1,a1,a5 + 800257d0: acceb06f j 80010a9c <_Z7setprg8jj> + +00000000800257d4 <_ZL6M249PWjh>: + 800257d4: 001a7797 auipc a5,0x1a7 + 800257d8: a7c7c783 lbu a5,-1412(a5) # 801cc250 + 800257dc: 0027f793 andi a5,a5,2 + 800257e0: 04078063 beqz a5,80025820 <_ZL6M249PWjh+0x4c> + 800257e4: 01f00793 li a5,31 + 800257e8: 02b7ee63 bltu a5,a1,80025824 <_ZL6M249PWjh+0x50> + 800257ec: 0035d793 srli a5,a1,0x3 + 800257f0: 0015d693 srli a3,a1,0x1 + 800257f4: 0027f793 andi a5,a5,2 + 800257f8: 0046f693 andi a3,a3,4 + 800257fc: 0025971b slliw a4,a1,0x2 + 80025800: 00d7e7b3 or a5,a5,a3 + 80025804: 0015f593 andi a1,a1,1 + 80025808: 00b7e5b3 or a1,a5,a1 + 8002580c: 00877793 andi a5,a4,8 + 80025810: 00f5e5b3 or a1,a1,a5 + 80025814: 01077713 andi a4,a4,16 + 80025818: 00e5e5b3 or a1,a1,a4 + 8002581c: 0ff5f593 andi a1,a1,255 + 80025820: a7ceb06f j 80010a9c <_Z7setprg8jj> + 80025824: fe05859b addiw a1,a1,-32 + 80025828: 0ff5f713 andi a4,a1,255 + 8002582c: 4017579b sraiw a5,a4,0x1 + 80025830: 4047569b sraiw a3,a4,0x4 + 80025834: 0086f693 andi a3,a3,8 + 80025838: 0047f793 andi a5,a5,4 + 8002583c: 00d7e7b3 or a5,a5,a3 + 80025840: 0035f593 andi a1,a1,3 + 80025844: 4027569b sraiw a3,a4,0x2 + 80025848: 00b7e5b3 or a1,a5,a1 + 8002584c: 0106f693 andi a3,a3,16 + 80025850: 00371793 slli a5,a4,0x3 + 80025854: 00d5e5b3 or a1,a1,a3 + 80025858: 0207f793 andi a5,a5,32 + 8002585c: 00271713 slli a4,a4,0x2 + 80025860: 00f5e5b3 or a1,a1,a5 + 80025864: fc077713 andi a4,a4,-64 + 80025868: 00e5e5b3 or a1,a1,a4 + 8002586c: 0ff5f593 andi a1,a1,255 + 80025870: a2ceb06f j 80010a9c <_Z7setprg8jj> + +0000000080025874 <_ZL5M49PWjh>: + 80025874: 001a7797 auipc a5,0x1a7 + 80025878: 9dc7c783 lbu a5,-1572(a5) # 801cc250 + 8002587c: 0017f713 andi a4,a5,1 + 80025880: 0007879b sext.w a5,a5 + 80025884: 00070c63 beqz a4,8002589c <_ZL5M49PWjh+0x28> + 80025888: 4027d79b sraiw a5,a5,0x2 + 8002588c: 0307f793 andi a5,a5,48 + 80025890: 00f5f593 andi a1,a1,15 + 80025894: 00b7e5b3 or a1,a5,a1 + 80025898: a04eb06f j 80010a9c <_Z7setprg8jj> + 8002589c: 4047d79b sraiw a5,a5,0x4 + 800258a0: 0037f593 andi a1,a5,3 + 800258a4: 00008537 lui a0,0x8 + 800258a8: d6ceb06f j 80010e14 <_Z8setprg32jj> + +00000000800258ac <_ZL5M74CWjh>: + 800258ac: ff85879b addiw a5,a1,-8 + 800258b0: 00058613 mv a2,a1 + 800258b4: 0ff7f793 andi a5,a5,255 + 800258b8: 00100713 li a4,1 + 800258bc: 00050593 mv a1,a0 + 800258c0: 0006061b sext.w a2,a2 + 800258c4: 00f77663 bgeu a4,a5,800258d0 <_ZL5M74CWjh+0x24> + 800258c8: 00000513 li a0,0 + 800258cc: d58eb06f j 80010e24 <_Z8setchr1rijj> + 800258d0: 01000513 li a0,16 + 800258d4: d50eb06f j 80010e24 <_Z8setchr1rijj> + +00000000800258d8 <_ZL6TQWRAPjh>: + 800258d8: 00058613 mv a2,a1 + 800258dc: 00050593 mv a1,a0 + 800258e0: 4026551b sraiw a0,a2,0x2 + 800258e4: 01057513 andi a0,a0,16 + 800258e8: 03f67613 andi a2,a2,63 + 800258ec: d38eb06f j 80010e24 <_Z8setchr1rijj> + +00000000800258f0 <_ZL6M191CWjh>: + 800258f0: 00058613 mv a2,a1 + 800258f4: 00050593 mv a1,a0 + 800258f8: 4036551b sraiw a0,a2,0x3 + 800258fc: 01057513 andi a0,a0,16 + 80025900: d24eb06f j 80010e24 <_Z8setchr1rijj> + +0000000080025904 <_ZL6M192CWjh>: + 80025904: ff85879b addiw a5,a1,-8 + 80025908: 00058613 mv a2,a1 + 8002590c: 0ff7f793 andi a5,a5,255 + 80025910: 00300713 li a4,3 + 80025914: 00050593 mv a1,a0 + 80025918: 0006061b sext.w a2,a2 + 8002591c: 00f76663 bltu a4,a5,80025928 <_ZL6M192CWjh+0x24> + 80025920: 01000513 li a0,16 + 80025924: d00eb06f j 80010e24 <_Z8setchr1rijj> + 80025928: 00000513 li a0,0 + 8002592c: cf8eb06f j 80010e24 <_Z8setchr1rijj> + +0000000080025930 <_ZL6M194CWjh>: + 80025930: 00058793 mv a5,a1 + 80025934: 00100713 li a4,1 + 80025938: 00050593 mv a1,a0 + 8002593c: 0007861b sext.w a2,a5 + 80025940: 00f77663 bgeu a4,a5,8002594c <_ZL6M194CWjh+0x1c> + 80025944: 00000513 li a0,0 + 80025948: cdceb06f j 80010e24 <_Z8setchr1rijj> + 8002594c: 01000513 li a0,16 + 80025950: cd4eb06f j 80010e24 <_Z8setchr1rijj> + +0000000080025954 <_ZL6M195CWjh>: + 80025954: 00058793 mv a5,a1 + 80025958: 00300713 li a4,3 + 8002595c: 00050593 mv a1,a0 + 80025960: 0007861b sext.w a2,a5 + 80025964: 00f76663 bltu a4,a5,80025970 <_ZL6M195CWjh+0x1c> + 80025968: 01000513 li a0,16 + 8002596c: cb8eb06f j 80010e24 <_Z8setchr1rijj> + 80025970: 00000513 li a0,0 + 80025974: cb0eb06f j 80010e24 <_Z8setchr1rijj> + +0000000080025978 <_ZL9M114PWRAPjh>: + 80025978: ff010113 addi sp,sp,-16 + 8002597c: 00813023 sd s0,0(sp) + 80025980: 001a7417 auipc s0,0x1a7 + 80025984: 8d040413 addi s0,s0,-1840 # 801cc250 + 80025988: 00044783 lbu a5,0(s0) + 8002598c: 00113423 sd ra,8(sp) + 80025990: 0187971b slliw a4,a5,0x18 + 80025994: 4187571b sraiw a4,a4,0x18 + 80025998: 00074c63 bltz a4,800259b0 <_ZL9M114PWRAPjh+0x38> + 8002599c: 00013403 ld s0,0(sp) + 800259a0: 00813083 ld ra,8(sp) + 800259a4: 03f5f593 andi a1,a1,63 + 800259a8: 01010113 addi sp,sp,16 + 800259ac: 8f0eb06f j 80010a9c <_Z7setprg8jj> + 800259b0: 00f7f593 andi a1,a5,15 + 800259b4: 00008537 lui a0,0x8 + 800259b8: aa0eb0ef jal ra,80010c58 <_Z8setprg16jj> + 800259bc: 00044583 lbu a1,0(s0) + 800259c0: 00013403 ld s0,0(sp) + 800259c4: 00813083 ld ra,8(sp) + 800259c8: 0000c537 lui a0,0xc + 800259cc: 00f5f593 andi a1,a1,15 + 800259d0: 01010113 addi sp,sp,16 + 800259d4: a84eb06f j 80010c58 <_Z8setprg16jj> + +00000000800259d8 <_ZL6M115PWjh>: + 800259d8: ff010113 addi sp,sp,-16 + 800259dc: 00813023 sd s0,0(sp) + 800259e0: 001a7417 auipc s0,0x1a7 + 800259e4: 87040413 addi s0,s0,-1936 # 801cc250 + 800259e8: 00044783 lbu a5,0(s0) + 800259ec: 00113423 sd ra,8(sp) + 800259f0: 0187971b slliw a4,a5,0x18 + 800259f4: 4187571b sraiw a4,a4,0x18 + 800259f8: 04075863 bgez a4,80025a48 <_ZL6M115PWjh+0x70> + 800259fc: 0207f713 andi a4,a5,32 + 80025a00: 02070063 beqz a4,80025a20 <_ZL6M115PWjh+0x48> + 80025a04: 00013403 ld s0,0(sp) + 80025a08: 00813083 ld ra,8(sp) + 80025a0c: 4017d59b sraiw a1,a5,0x1 + 80025a10: 0075f593 andi a1,a1,7 + 80025a14: 00008537 lui a0,0x8 + 80025a18: 01010113 addi sp,sp,16 + 80025a1c: bf8eb06f j 80010e14 <_Z8setprg32jj> + 80025a20: 00f7f593 andi a1,a5,15 + 80025a24: 00008537 lui a0,0x8 + 80025a28: a30eb0ef jal ra,80010c58 <_Z8setprg16jj> + 80025a2c: 00044583 lbu a1,0(s0) + 80025a30: 00013403 ld s0,0(sp) + 80025a34: 00813083 ld ra,8(sp) + 80025a38: 0000c537 lui a0,0xc + 80025a3c: 00f5f593 andi a1,a1,15 + 80025a40: 01010113 addi sp,sp,16 + 80025a44: a14eb06f j 80010c58 <_Z8setprg16jj> + 80025a48: 00013403 ld s0,0(sp) + 80025a4c: 00813083 ld ra,8(sp) + 80025a50: 01010113 addi sp,sp,16 + 80025a54: 848eb06f j 80010a9c <_Z7setprg8jj> + +0000000080025a58 <_ZL9MR254WRAMj>: + 80025a58: ffffa7b7 lui a5,0xffffa + 80025a5c: 00a787bb addw a5,a5,a0 + 80025a60: 001a6717 auipc a4,0x1a6 + 80025a64: 7f070713 addi a4,a4,2032 # 801cc250 + 80025a68: 02079793 slli a5,a5,0x20 + 80025a6c: 00074683 lbu a3,0(a4) + 80025a70: 001a6517 auipc a0,0x1a6 + 80025a74: 7f053503 ld a0,2032(a0) # 801cc260 + 80025a78: 0207d793 srli a5,a5,0x20 + 80025a7c: 00f507b3 add a5,a0,a5 + 80025a80: 0007c503 lbu a0,0(a5) # ffffffffffffa000 <_end+0xffffffff7fe25000> + 80025a84: 00069663 bnez a3,80025a90 <_ZL9MR254WRAMj+0x38> + 80025a88: 00174783 lbu a5,1(a4) + 80025a8c: 00f54533 xor a0,a0,a5 + 80025a90: 00008067 ret + +0000000080025a94 <_Z13MMC3_IRQWritejh>: + 80025a94: 0000e737 lui a4,0xe + 80025a98: 00170793 addi a5,a4,1 # e001 <_entry_offset+0xe001> + 80025a9c: 00f57533 and a0,a0,a5 + 80025aa0: 02e50463 beq a0,a4,80025ac8 <_Z13MMC3_IRQWritejh+0x34> + 80025aa4: 04f50463 beq a0,a5,80025aec <_Z13MMC3_IRQWritejh+0x58> + 80025aa8: 0000c7b7 lui a5,0xc + 80025aac: 04f50863 beq a0,a5,80025afc <_Z13MMC3_IRQWritejh+0x68> + 80025ab0: 00178793 addi a5,a5,1 # c001 <_entry_offset+0xc001> + 80025ab4: 04f51a63 bne a0,a5,80025b08 <_Z13MMC3_IRQWritejh+0x74> + 80025ab8: 00100793 li a5,1 + 80025abc: 001a6717 auipc a4,0x1a6 + 80025ac0: 78f70f23 sb a5,1950(a4) # 801cc25a + 80025ac4: 00008067 ret + 80025ac8: ff010113 addi sp,sp,-16 + 80025acc: 00100513 li a0,1 + 80025ad0: 00113423 sd ra,8(sp) + 80025ad4: a7cdb0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80025ad8: 00813083 ld ra,8(sp) + 80025adc: 001a6797 auipc a5,0x1a6 + 80025ae0: 76078fa3 sb zero,1919(a5) # 801cc25b + 80025ae4: 01010113 addi sp,sp,16 + 80025ae8: 00008067 ret + 80025aec: 00100793 li a5,1 + 80025af0: 001a6717 auipc a4,0x1a6 + 80025af4: 76f705a3 sb a5,1899(a4) # 801cc25b + 80025af8: 00008067 ret + 80025afc: 001a6797 auipc a5,0x1a6 + 80025b00: 74b78ea3 sb a1,1885(a5) # 801cc259 + 80025b04: 00008067 ret + 80025b08: 00008067 ret + +0000000080025b0c <_ZL12M250IRQWritejh>: + 80025b0c: 00a5579b srliw a5,a0,0xa + 80025b10: 0000e737 lui a4,0xe + 80025b14: 00e57733 and a4,a0,a4 + 80025b18: 0017f793 andi a5,a5,1 + 80025b1c: 00e7e7b3 or a5,a5,a4 + 80025b20: 0ff57593 andi a1,a0,255 + 80025b24: 0007851b sext.w a0,a5 + 80025b28: f6dff06f j 80025a94 <_Z13MMC3_IRQWritejh> + +0000000080025b2c <_ZL16ClockMMC3Counterv>: + 80025b2c: 001a6717 auipc a4,0x1a6 + 80025b30: 72c70713 addi a4,a4,1836 # 801cc258 + 80025b34: 00074783 lbu a5,0(a4) + 80025b38: 02078c63 beqz a5,80025b70 <_ZL16ClockMMC3Counterv+0x44> + 80025b3c: 001a6697 auipc a3,0x1a6 + 80025b40: 71e6c683 lbu a3,1822(a3) # 801cc25a + 80025b44: 04068863 beqz a3,80025b94 <_ZL16ClockMMC3Counterv+0x68> + 80025b48: 001a6797 auipc a5,0x1a6 + 80025b4c: 7117c783 lbu a5,1809(a5) # 801cc259 + 80025b50: 00f70023 sb a5,0(a4) + 80025b54: 001a6717 auipc a4,0x1a6 + 80025b58: 70070323 sb zero,1798(a4) # 801cc25a + 80025b5c: 00079863 bnez a5,80025b6c <_ZL16ClockMMC3Counterv+0x40> + 80025b60: 001a6797 auipc a5,0x1a6 + 80025b64: 6fb7c783 lbu a5,1787(a5) # 801cc25b + 80025b68: 04079063 bnez a5,80025ba8 <_ZL16ClockMMC3Counterv+0x7c> + 80025b6c: 00008067 ret + 80025b70: 001a6797 auipc a5,0x1a6 + 80025b74: 6e97c783 lbu a5,1769(a5) # 801cc259 + 80025b78: 00f70023 sb a5,0(a4) + 80025b7c: 001a6717 auipc a4,0x1a6 + 80025b80: 6c070f23 sb zero,1758(a4) # 801cc25a + 80025b84: 00027717 auipc a4,0x27 + 80025b88: 3d472703 lw a4,980(a4) # 8004cf58 <_ZL6isRevB> + 80025b8c: fc0718e3 bnez a4,80025b5c <_ZL16ClockMMC3Counterv+0x30> + 80025b90: 00008067 ret + 80025b94: fff7879b addiw a5,a5,-1 + 80025b98: 0ff7f793 andi a5,a5,255 + 80025b9c: 00f70023 sb a5,0(a4) + 80025ba0: fc0796e3 bnez a5,80025b6c <_ZL16ClockMMC3Counterv+0x40> + 80025ba4: fbdff06f j 80025b60 <_ZL16ClockMMC3Counterv+0x34> + 80025ba8: 00100513 li a0,1 + 80025bac: 98cdb06f j 80000d38 <_Z14X6502_IRQBegini> + +0000000080025bb0 <_ZL7MMC3_hbv>: + 80025bb0: f7dff06f j 80025b2c <_ZL16ClockMMC3Counterv> + +0000000080025bb4 <_ZL23MMC3_hb_PALStarWarsHackv>: + 80025bb4: 001a7717 auipc a4,0x1a7 + 80025bb8: bec72703 lw a4,-1044(a4) # 801cc7a0 + 80025bbc: 0f000793 li a5,240 + 80025bc0: 00f70463 beq a4,a5,80025bc8 <_ZL23MMC3_hb_PALStarWarsHackv+0x14> + 80025bc4: f69ff06f j 80025b2c <_ZL16ClockMMC3Counterv> + 80025bc8: ff010113 addi sp,sp,-16 + 80025bcc: 00113423 sd ra,8(sp) + 80025bd0: f5dff0ef jal ra,80025b2c <_ZL16ClockMMC3Counterv> + 80025bd4: 00813083 ld ra,8(sp) + 80025bd8: 01010113 addi sp,sp,16 + 80025bdc: f51ff06f j 80025b2c <_ZL16ClockMMC3Counterv> + +0000000080025be0 <_ZL22MMC3_hb_KickMasterHackv>: + 80025be0: 001a7717 auipc a4,0x1a7 + 80025be4: bc072703 lw a4,-1088(a4) # 801cc7a0 + 80025be8: 0ee00793 li a5,238 + 80025bec: 00f70463 beq a4,a5,80025bf4 <_ZL22MMC3_hb_KickMasterHackv+0x14> + 80025bf0: f3dff06f j 80025b2c <_ZL16ClockMMC3Counterv> + 80025bf4: ff010113 addi sp,sp,-16 + 80025bf8: 00113423 sd ra,8(sp) + 80025bfc: f31ff0ef jal ra,80025b2c <_ZL16ClockMMC3Counterv> + 80025c00: 00813083 ld ra,8(sp) + 80025c04: 01010113 addi sp,sp,16 + 80025c08: f25ff06f j 80025b2c <_ZL16ClockMMC3Counterv> + +0000000080025c0c <_ZL5M45CWjh>: + 80025c0c: 001a6797 auipc a5,0x1a6 + 80025c10: ed47b783 ld a5,-300(a5) # 801cbae0 + 80025c14: 00050693 mv a3,a0 + 80025c18: 00078463 beqz a5,80025c20 <_ZL5M45CWjh+0x14> + 80025c1c: a88eb06f j 80010ea4 <_Z7setchr1jj> + 80025c20: 001a6617 auipc a2,0x1a6 + 80025c24: 63060613 addi a2,a2,1584 # 801cc250 + 80025c28: 00264703 lbu a4,2(a2) + 80025c2c: 00877513 andi a0,a4,8 + 80025c30: 0007079b sext.w a5,a4 + 80025c34: 04050263 beqz a0,80025c78 <_ZL5M45CWjh+0x6c> + 80025c38: 00777713 andi a4,a4,7 + 80025c3c: 0017071b addiw a4,a4,1 + 80025c40: 00100513 li a0,1 + 80025c44: 00e5173b sllw a4,a0,a4 + 80025c48: fff7071b addiw a4,a4,-1 + 80025c4c: 00e5f5b3 and a1,a1,a4 + 80025c50: 00064603 lbu a2,0(a2) + 80025c54: 00001737 lui a4,0x1 + 80025c58: 0047979b slliw a5,a5,0x4 + 80025c5c: f0070713 addi a4,a4,-256 # f00 <_entry_offset+0xf00> + 80025c60: 00e7f7b3 and a5,a5,a4 + 80025c64: 00c7e7b3 or a5,a5,a2 + 80025c68: 00b7e5b3 or a1,a5,a1 + 80025c6c: 0005859b sext.w a1,a1 + 80025c70: 00068513 mv a0,a3 + 80025c74: a30eb06f j 80010ea4 <_Z7setchr1jj> + 80025c78: 00173713 seqz a4,a4 + 80025c7c: 40e00733 neg a4,a4 + 80025c80: 00e5f5b3 and a1,a1,a4 + 80025c84: fcdff06f j 80025c50 <_ZL5M45CWjh+0x44> + +0000000080025c88 <_ZL6M196PWjh>: + 80025c88: 001a6797 auipc a5,0x1a6 + 80025c8c: 5c878793 addi a5,a5,1480 # 801cc250 + 80025c90: 0007c703 lbu a4,0(a5) + 80025c94: 00070863 beqz a4,80025ca4 <_ZL6M196PWjh+0x1c> + 80025c98: 0017c583 lbu a1,1(a5) + 80025c9c: 00008537 lui a0,0x8 + 80025ca0: 974eb06f j 80010e14 <_Z8setprg32jj> + 80025ca4: df9ea06f j 80010a9c <_Z7setprg8jj> + +0000000080025ca8 <_ZL5M76CWjh>: + 80025ca8: 000017b7 lui a5,0x1 + 80025cac: 00f57463 bgeu a0,a5,80025cb4 <_ZL5M76CWjh+0xc> + 80025cb0: 00008067 ret + 80025cb4: 000027b7 lui a5,0x2 + 80025cb8: 0015151b slliw a0,a0,0x1 + 80025cbc: 80078793 addi a5,a5,-2048 # 1800 <_entry_offset+0x1800> + 80025cc0: 00f57533 and a0,a0,a5 + 80025cc4: 0005051b sext.w a0,a0 + 80025cc8: 9fceb06f j 80010ec4 <_Z7setchr2jj> + +0000000080025ccc <_ZL6M165CWjh>: + 80025ccc: 00058793 mv a5,a1 + 80025cd0: 00050593 mv a1,a0 + 80025cd4: 00079863 bnez a5,80025ce4 <_ZL6M165CWjh+0x18> + 80025cd8: 00000613 li a2,0 + 80025cdc: 01000513 li a0,16 + 80025ce0: 984eb06f j 80010e64 <_Z8setchr4rijj> + 80025ce4: 0027d593 srli a1,a5,0x2 + 80025ce8: 9fceb06f j 80010ee4 <_Z7setchr4jj> + +0000000080025cec <_ZL9M165PPUFDv.part.0>: + 80025cec: ff010113 addi sp,sp,-16 + 80025cf0: 00813023 sd s0,0(sp) + 80025cf4: 001a6417 auipc s0,0x1a6 + 80025cf8: 55440413 addi s0,s0,1364 # 801cc248 + 80025cfc: 00044583 lbu a1,0(s0) + 80025d00: 00000513 li a0,0 + 80025d04: 00113423 sd ra,8(sp) + 80025d08: fc5ff0ef jal ra,80025ccc <_ZL6M165CWjh> + 80025d0c: 00244583 lbu a1,2(s0) + 80025d10: 00013403 ld s0,0(sp) + 80025d14: 00813083 ld ra,8(sp) + 80025d18: 00001537 lui a0,0x1 + 80025d1c: 01010113 addi sp,sp,16 + 80025d20: fadff06f j 80025ccc <_ZL6M165CWjh> + +0000000080025d24 <_ZL9M165PPUFEv.part.0>: + 80025d24: ff010113 addi sp,sp,-16 + 80025d28: 00813023 sd s0,0(sp) + 80025d2c: 001a6417 auipc s0,0x1a6 + 80025d30: 51c40413 addi s0,s0,1308 # 801cc248 + 80025d34: 00144583 lbu a1,1(s0) + 80025d38: 00000513 li a0,0 + 80025d3c: 00113423 sd ra,8(sp) + 80025d40: f8dff0ef jal ra,80025ccc <_ZL6M165CWjh> + 80025d44: 00444583 lbu a1,4(s0) + 80025d48: 00013403 ld s0,0(sp) + 80025d4c: 00813083 ld ra,8(sp) + 80025d50: 00001537 lui a0,0x1 + 80025d54: 01010113 addi sp,sp,16 + 80025d58: f75ff06f j 80025ccc <_ZL6M165CWjh> + +0000000080025d5c <_ZL7M165PPUj>: + 80025d5c: 000027b7 lui a5,0x2 + 80025d60: ff078713 addi a4,a5,-16 # 1ff0 <_entry_offset+0x1ff0> + 80025d64: 00e57533 and a0,a0,a4 + 80025d68: fd078713 addi a4,a5,-48 + 80025d6c: 00e50863 beq a0,a4,80025d7c <_ZL7M165PPUj+0x20> + 80025d70: fe078793 addi a5,a5,-32 + 80025d74: 00f50c63 beq a0,a5,80025d8c <_ZL7M165PPUj+0x30> + 80025d78: 00008067 ret + 80025d7c: ffd00793 li a5,-3 + 80025d80: 001a6717 auipc a4,0x1a6 + 80025d84: 4cf70823 sb a5,1232(a4) # 801cc250 + 80025d88: f65ff06f j 80025cec <_ZL9M165PPUFDv.part.0> + 80025d8c: ffe00793 li a5,-2 + 80025d90: 001a6717 auipc a4,0x1a6 + 80025d94: 4cf70023 sb a5,1216(a4) # 801cc250 + 80025d98: f8dff06f j 80025d24 <_ZL9M165PPUFEv.part.0> + +0000000080025d9c <_ZL7M165CWMjh>: + 80025d9c: ff010113 addi sp,sp,-16 + 80025da0: 00813023 sd s0,0(sp) + 80025da4: 001a6417 auipc s0,0x1a6 + 80025da8: 4b840413 addi s0,s0,1208 # 801cc25c + 80025dac: 00044783 lbu a5,0(s0) + 80025db0: 00113423 sd ra,8(sp) + 80025db4: 0057f713 andi a4,a5,5 + 80025db8: 02070863 beqz a4,80025de8 <_ZL7M165CWMjh+0x4c> + 80025dbc: 0077f793 andi a5,a5,7 + 80025dc0: 00100713 li a4,1 + 80025dc4: 04e79463 bne a5,a4,80025e0c <_ZL7M165CWMjh+0x70> + 80025dc8: 001a6717 auipc a4,0x1a6 + 80025dcc: 48874703 lbu a4,1160(a4) # 801cc250 + 80025dd0: 0fe00793 li a5,254 + 80025dd4: 04f70863 beq a4,a5,80025e24 <_ZL7M165CWMjh+0x88> + 80025dd8: 00813083 ld ra,8(sp) + 80025ddc: 00013403 ld s0,0(sp) + 80025de0: 01010113 addi sp,sp,16 + 80025de4: 00008067 ret + 80025de8: 001a6717 auipc a4,0x1a6 + 80025dec: 46874703 lbu a4,1128(a4) # 801cc250 + 80025df0: 0fd00793 li a5,253 + 80025df4: fef712e3 bne a4,a5,80025dd8 <_ZL7M165CWMjh+0x3c> + 80025df8: ef5ff0ef jal ra,80025cec <_ZL9M165PPUFDv.part.0> + 80025dfc: 00044783 lbu a5,0(s0) + 80025e00: 00100713 li a4,1 + 80025e04: 0077f793 andi a5,a5,7 + 80025e08: fce780e3 beq a5,a4,80025dc8 <_ZL7M165CWMjh+0x2c> + 80025e0c: 00400713 li a4,4 + 80025e10: fce794e3 bne a5,a4,80025dd8 <_ZL7M165CWMjh+0x3c> + 80025e14: 001a6717 auipc a4,0x1a6 + 80025e18: 43c74703 lbu a4,1084(a4) # 801cc250 + 80025e1c: 0fe00793 li a5,254 + 80025e20: faf71ce3 bne a4,a5,80025dd8 <_ZL7M165CWMjh+0x3c> + 80025e24: 00013403 ld s0,0(sp) + 80025e28: 00813083 ld ra,8(sp) + 80025e2c: 01010113 addi sp,sp,16 + 80025e30: ef5ff06f j 80025d24 <_ZL9M165PPUFEv.part.0> + +0000000080025e34 <_ZL6M197CWjh>: + 80025e34: 00050c63 beqz a0,80025e4c <_ZL6M197CWjh+0x18> + 80025e38: 00001737 lui a4,0x1 + 80025e3c: 02e50063 beq a0,a4,80025e5c <_ZL6M197CWjh+0x28> + 80025e40: 40070713 addi a4,a4,1024 # 1400 <_entry_offset+0x1400> + 80025e44: 00e50863 beq a0,a4,80025e54 <_ZL6M197CWjh+0x20> + 80025e48: 00008067 ret + 80025e4c: 0015d593 srli a1,a1,0x1 + 80025e50: 894eb06f j 80010ee4 <_Z7setchr4jj> + 80025e54: 00002537 lui a0,0x2 + 80025e58: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80025e5c: 868eb06f j 80010ec4 <_Z7setchr2jj> + +0000000080025e60 <_ZL8M115Readj>: + 80025e60: 001a6517 auipc a0,0x1a6 + 80025e64: 3f254503 lbu a0,1010(a0) # 801cc252 + 80025e68: 00008067 ret + +0000000080025e6c <_Z10FixMMC3PRGi>: + 80025e6c: fe010113 addi sp,sp,-32 + 80025e70: 00813823 sd s0,16(sp) + 80025e74: 00913423 sd s1,8(sp) + 80025e78: 001a6417 auipc s0,0x1a6 + 80025e7c: 42040413 addi s0,s0,1056 # 801cc298 + 80025e80: 001a6497 auipc s1,0x1a6 + 80025e84: 3c848493 addi s1,s1,968 # 801cc248 + 80025e88: 00113c23 sd ra,24(sp) + 80025e8c: 04057513 andi a0,a0,64 + 80025e90: 00043783 ld a5,0(s0) + 80025e94: 0064c583 lbu a1,6(s1) + 80025e98: 04050663 beqz a0,80025ee4 <_Z10FixMMC3PRGi+0x78> + 80025e9c: 0000c537 lui a0,0xc + 80025ea0: 000780e7 jalr a5 + 80025ea4: 00043783 ld a5,0(s0) + 80025ea8: 0fe00593 li a1,254 + 80025eac: 00008537 lui a0,0x8 + 80025eb0: 000780e7 jalr a5 + 80025eb4: 0074c583 lbu a1,7(s1) + 80025eb8: 00043783 ld a5,0(s0) + 80025ebc: 0000a537 lui a0,0xa + 80025ec0: 000780e7 jalr a5 + 80025ec4: 00043303 ld t1,0(s0) + 80025ec8: 01013403 ld s0,16(sp) + 80025ecc: 01813083 ld ra,24(sp) + 80025ed0: 00813483 ld s1,8(sp) + 80025ed4: 0ff00593 li a1,255 + 80025ed8: 0000e537 lui a0,0xe + 80025edc: 02010113 addi sp,sp,32 + 80025ee0: 00030067 jr t1 + 80025ee4: 00008537 lui a0,0x8 + 80025ee8: 000780e7 jalr a5 + 80025eec: 00043783 ld a5,0(s0) + 80025ef0: 0fe00593 li a1,254 + 80025ef4: 0000c537 lui a0,0xc + 80025ef8: 000780e7 jalr a5 + 80025efc: fb9ff06f j 80025eb4 <_Z10FixMMC3PRGi+0x48> + +0000000080025f00 <_Z14KT008HackWritejh>: + 80025f00: 00357513 andi a0,a0,3 + 80025f04: 02051063 bnez a0,80025f24 <_Z14KT008HackWritejh+0x24> + 80025f08: 02700793 li a5,39 + 80025f0c: 00f58e63 beq a1,a5,80025f28 <_Z14KT008HackWritejh+0x28> + 80025f10: 001a6797 auipc a5,0x1a6 + 80025f14: 36b78c23 sb a1,888(a5) # 801cc288 + 80025f18: 001a6517 auipc a0,0x1a6 + 80025f1c: 34454503 lbu a0,836(a0) # 801cc25c + 80025f20: f4dff06f j 80025e6c <_Z10FixMMC3PRGi> + 80025f24: 00008067 ret + 80025f28: 001a6797 auipc a5,0x1a6 + 80025f2c: 36078023 sb zero,864(a5) # 801cc288 + 80025f30: 001a6517 auipc a0,0x1a6 + 80025f34: 32c54503 lbu a0,812(a0) # 801cc25c + 80025f38: f35ff06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080025f3c <_ZL11M114ExWritejh>: + 80025f3c: 000087b7 lui a5,0x8 + 80025f40: 00f56463 bltu a0,a5,80025f48 <_ZL11M114ExWritejh+0xc> + 80025f44: 00008067 ret + 80025f48: 001a6517 auipc a0,0x1a6 + 80025f4c: 31454503 lbu a0,788(a0) # 801cc25c + 80025f50: 001a6797 auipc a5,0x1a6 + 80025f54: 30b78023 sb a1,768(a5) # 801cc250 + 80025f58: f15ff06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080025f5c <_ZL9M115Writejh>: + 80025f5c: 000057b7 lui a5,0x5 + 80025f60: 08078793 addi a5,a5,128 # 5080 <_entry_offset+0x5080> + 80025f64: 02f50e63 beq a0,a5,80025fa0 <_ZL9M115Writejh+0x44> + 80025f68: 000067b7 lui a5,0x6 + 80025f6c: 02f50063 beq a0,a5,80025f8c <_ZL9M115Writejh+0x30> + 80025f70: 00178793 addi a5,a5,1 # 6001 <_entry_offset+0x6001> + 80025f74: 02f51063 bne a0,a5,80025f94 <_ZL9M115Writejh+0x38> + 80025f78: 001a6797 auipc a5,0x1a6 + 80025f7c: 2cb78ca3 sb a1,729(a5) # 801cc251 + 80025f80: 001a6517 auipc a0,0x1a6 + 80025f84: 2dc54503 lbu a0,732(a0) # 801cc25c + 80025f88: ee5ff06f j 80025e6c <_Z10FixMMC3PRGi> + 80025f8c: 001a6797 auipc a5,0x1a6 + 80025f90: 2cb78223 sb a1,708(a5) # 801cc250 + 80025f94: 001a6517 auipc a0,0x1a6 + 80025f98: 2c854503 lbu a0,712(a0) # 801cc25c + 80025f9c: ed1ff06f j 80025e6c <_Z10FixMMC3PRGi> + 80025fa0: 001a6797 auipc a5,0x1a6 + 80025fa4: 2ab78923 sb a1,690(a5) # 801cc252 + 80025fa8: 001a6517 auipc a0,0x1a6 + 80025fac: 2b454503 lbu a0,692(a0) # 801cc25c + 80025fb0: ebdff06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080025fb4 <_ZL16Mapper196WriteLojh>: + 80025fb4: 00f5f793 andi a5,a1,15 + 80025fb8: 4045d59b sraiw a1,a1,0x4 + 80025fbc: 001a6717 auipc a4,0x1a6 + 80025fc0: 29470713 addi a4,a4,660 # 801cc250 + 80025fc4: 00b7e5b3 or a1,a5,a1 + 80025fc8: 001a6517 auipc a0,0x1a6 + 80025fcc: 29454503 lbu a0,660(a0) # 801cc25c + 80025fd0: 00100793 li a5,1 + 80025fd4: 00f70023 sb a5,0(a4) + 80025fd8: 00b700a3 sb a1,1(a4) + 80025fdc: e91ff06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080025fe0 <_ZL6M245CWjh>: + 80025fe0: ff010113 addi sp,sp,-16 + 80025fe4: 00813023 sd s0,0(sp) + 80025fe8: 00113423 sd ra,8(sp) + 80025fec: 001a6797 auipc a5,0x1a6 + 80025ff0: af47b783 ld a5,-1292(a5) # 801cbae0 + 80025ff4: 00058413 mv s0,a1 + 80025ff8: 02078263 beqz a5,8002601c <_ZL6M245CWjh+0x3c> + 80025ffc: 001a6797 auipc a5,0x1a6 + 80026000: 24878a23 sb s0,596(a5) # 801cc250 + 80026004: 00013403 ld s0,0(sp) + 80026008: 00813083 ld ra,8(sp) + 8002600c: 001a6517 auipc a0,0x1a6 + 80026010: 25054503 lbu a0,592(a0) # 801cc25c + 80026014: 01010113 addi sp,sp,16 + 80026018: e55ff06f j 80025e6c <_Z10FixMMC3PRGi> + 8002601c: 0075f593 andi a1,a1,7 + 80026020: e85ea0ef jal ra,80010ea4 <_Z7setchr1jj> + 80026024: fd9ff06f j 80025ffc <_ZL6M245CWjh+0x1c> + +0000000080026028 <_Z10FixMMC3CHRi>: + 80026028: fd010113 addi sp,sp,-48 + 8002602c: 01213823 sd s2,16(sp) + 80026030: 001a6917 auipc s2,0x1a6 + 80026034: 21890913 addi s2,s2,536 # 801cc248 + 80026038: 00094583 lbu a1,0(s2) + 8002603c: 00913c23 sd s1,24(sp) + 80026040: 001a6497 auipc s1,0x1a6 + 80026044: 24048493 addi s1,s1,576 # 801cc280 + 80026048: 0004b783 ld a5,0(s1) + 8002604c: 02813023 sd s0,32(sp) + 80026050: 01413023 sd s4,0(sp) + 80026054: 0055141b slliw s0,a0,0x5 + 80026058: 00001a37 lui s4,0x1 + 8002605c: 01447433 and s0,s0,s4 + 80026060: 02113423 sd ra,40(sp) + 80026064: 0004051b sext.w a0,s0 + 80026068: 01313423 sd s3,8(sp) + 8002606c: 0fe5f593 andi a1,a1,254 + 80026070: 00050413 mv s0,a0 + 80026074: 000780e7 jalr a5 + 80026078: 00094583 lbu a1,0(s2) + 8002607c: 0004b783 ld a5,0(s1) + 80026080: 40046513 ori a0,s0,1024 + 80026084: 0015e593 ori a1,a1,1 + 80026088: 000780e7 jalr a5 + 8002608c: 00194583 lbu a1,1(s2) + 80026090: 000019b7 lui s3,0x1 + 80026094: 0004b783 ld a5,0(s1) + 80026098: 80098513 addi a0,s3,-2048 # 800 <_entry_offset+0x800> + 8002609c: 0fe5f593 andi a1,a1,254 + 800260a0: 00a44533 xor a0,s0,a0 + 800260a4: 000780e7 jalr a5 + 800260a8: 00194583 lbu a1,1(s2) + 800260ac: 0004b783 ld a5,0(s1) + 800260b0: c0098513 addi a0,s3,-1024 + 800260b4: 0015e593 ori a1,a1,1 + 800260b8: 00a44533 xor a0,s0,a0 + 800260bc: 000780e7 jalr a5 + 800260c0: 00294583 lbu a1,2(s2) + 800260c4: 0004b783 ld a5,0(s1) + 800260c8: 01444533 xor a0,s0,s4 + 800260cc: 0005051b sext.w a0,a0 + 800260d0: 000780e7 jalr a5 + 800260d4: 00394583 lbu a1,3(s2) + 800260d8: 0004b783 ld a5,0(s1) + 800260dc: 40098513 addi a0,s3,1024 + 800260e0: 00a44533 xor a0,s0,a0 + 800260e4: 000780e7 jalr a5 + 800260e8: 00494583 lbu a1,4(s2) + 800260ec: 0004b783 ld a5,0(s1) + 800260f0: 000029b7 lui s3,0x2 + 800260f4: 80098513 addi a0,s3,-2048 # 1800 <_entry_offset+0x1800> + 800260f8: 00a44533 xor a0,s0,a0 + 800260fc: 000780e7 jalr a5 + 80026100: 00594583 lbu a1,5(s2) + 80026104: 0004b783 ld a5,0(s1) + 80026108: c0098513 addi a0,s3,-1024 + 8002610c: 00a44533 xor a0,s0,a0 + 80026110: 000780e7 jalr a5 + 80026114: 001a6317 auipc t1,0x1a6 + 80026118: 17c33303 ld t1,380(t1) # 801cc290 + 8002611c: 02030663 beqz t1,80026148 <_Z10FixMMC3CHRi+0x120> + 80026120: 02013403 ld s0,32(sp) + 80026124: 02813083 ld ra,40(sp) + 80026128: 01813483 ld s1,24(sp) + 8002612c: 01013903 ld s2,16(sp) + 80026130: 00813983 ld s3,8(sp) + 80026134: 00013a03 ld s4,0(sp) + 80026138: 001a6517 auipc a0,0x1a6 + 8002613c: 0fa54503 lbu a0,250(a0) # 801cc232 + 80026140: 03010113 addi sp,sp,48 + 80026144: 00030067 jr t1 + 80026148: 02813083 ld ra,40(sp) + 8002614c: 02013403 ld s0,32(sp) + 80026150: 01813483 ld s1,24(sp) + 80026154: 01013903 ld s2,16(sp) + 80026158: 00813983 ld s3,8(sp) + 8002615c: 00013a03 ld s4,0(sp) + 80026160: 03010113 addi sp,sp,48 + 80026164: 00008067 ret + +0000000080026168 <_Z12MMC3RegResetv>: + 80026168: 001a6797 auipc a5,0x1a6 + 8002616c: 0e078a23 sb zero,244(a5) # 801cc25c + 80026170: 001a6797 auipc a5,0x1a6 + 80026174: 0e0785a3 sb zero,235(a5) # 801cc25b + 80026178: 001a6797 auipc a5,0x1a6 + 8002617c: 0e0780a3 sb zero,225(a5) # 801cc259 + 80026180: 001a6797 auipc a5,0x1a6 + 80026184: 0c078c23 sb zero,216(a5) # 801cc258 + 80026188: ff010113 addi sp,sp,-16 + 8002618c: 00021797 auipc a5,0x21 + 80026190: 8147b783 ld a5,-2028(a5) # 800469a0 + 80026194: 00000513 li a0,0 + 80026198: 00113423 sd ra,8(sp) + 8002619c: 001a6717 auipc a4,0x1a6 + 800261a0: 0af73623 sd a5,172(a4) # 801cc248 + 800261a4: 001a6797 auipc a5,0x1a6 + 800261a8: 0e078223 sb zero,228(a5) # 801cc288 + 800261ac: cc1ff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 800261b0: 00813083 ld ra,8(sp) + 800261b4: 00000513 li a0,0 + 800261b8: 01010113 addi sp,sp,16 + 800261bc: e6dff06f j 80026028 <_Z10FixMMC3CHRi> + +00000000800261c0 <_Z12GenMMC3Powerv>: + 800261c0: fd010113 addi sp,sp,-48 + 800261c4: 02113423 sd ra,40(sp) + 800261c8: 02813023 sd s0,32(sp) + 800261cc: 00913c23 sd s1,24(sp) + 800261d0: 01213823 sd s2,16(sp) + 800261d4: 01313423 sd s3,8(sp) + 800261d8: 01413023 sd s4,0(sp) + 800261dc: 001a6797 auipc a5,0x1a6 + 800261e0: 9047b783 ld a5,-1788(a5) # 801cbae0 + 800261e4: 00078663 beqz a5,800261f0 <_Z12GenMMC3Powerv+0x30> + 800261e8: 00000513 li a0,0 + 800261ec: d19ea0ef jal ra,80010f04 <_Z7setchr8j> + 800261f0: 0000c5b7 lui a1,0xc + 800261f4: 00000617 auipc a2,0x0 + 800261f8: 6d060613 addi a2,a2,1744 # 800268c4 <_Z13MMC3_CMDWritejh> + 800261fc: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80026200: 00008537 lui a0,0x8 + 80026204: 1250d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026208: 00010437 lui s0,0x10 + 8002620c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80026210: 00000617 auipc a2,0x0 + 80026214: 88460613 addi a2,a2,-1916 # 80025a94 <_Z13MMC3_IRQWritejh> + 80026218: 0000c537 lui a0,0xc + 8002621c: 10d0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026220: fff40593 addi a1,s0,-1 + 80026224: fffea617 auipc a2,0xfffea + 80026228: 43c60613 addi a2,a2,1084 # 80010660 <_Z6CartBRj> + 8002622c: 00008537 lui a0,0x8 + 80026230: 7ec0d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80026234: 000065b7 lui a1,0x6 + 80026238: 00000617 auipc a2,0x0 + 8002623c: cc860613 addi a2,a2,-824 # 80025f00 <_Z14KT008HackWritejh> + 80026240: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 80026244: 00005537 lui a0,0x5 + 80026248: 0e10d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002624c: 00100513 li a0,1 + 80026250: 001a6797 auipc a5,0x1a6 + 80026254: fe078123 sb zero,-30(a5) # 801cc232 + 80026258: 001a6797 auipc a5,0x1a6 + 8002625c: fc078da3 sb zero,-37(a5) # 801cc233 + 80026260: 001a6417 auipc s0,0x1a6 + 80026264: 02940413 addi s0,s0,41 # 801cc289 + 80026268: de1ea0ef jal ra,80011048 <_Z9setmirrori> + 8002626c: 00044783 lbu a5,0(s0) + 80026270: 0017f793 andi a5,a5,1 + 80026274: 08078c63 beqz a5,8002630c <_Z12GenMMC3Powerv+0x14c> + 80026278: 001a6497 auipc s1,0x1a6 + 8002627c: ff048493 addi s1,s1,-16 # 801cc268 + 80026280: 0004a503 lw a0,0(s1) + 80026284: 001a6997 auipc s3,0x1a6 + 80026288: fdc98993 addi s3,s3,-36 # 801cc260 + 8002628c: 40000793 li a5,1024 + 80026290: 0009b603 ld a2,0(s3) + 80026294: 0cf50a63 beq a0,a5,80026368 <_Z12GenMMC3Powerv+0x1a8> + 80026298: 000065b7 lui a1,0x6 + 8002629c: 00a5551b srliw a0,a0,0xa + 800262a0: d75d90ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 800262a4: 0004a583 lw a1,0(s1) + 800262a8: 00002937 lui s2,0x2 + 800262ac: fff90913 addi s2,s2,-1 # 1fff <_entry_offset+0x1fff> + 800262b0: fff5859b addiw a1,a1,-1 + 800262b4: 00006a37 lui s4,0x6 + 800262b8: 0125f5b3 and a1,a1,s2 + 800262bc: fffea617 auipc a2,0xfffea + 800262c0: 3d060613 addi a2,a2,976 # 8001068c <_Z6CartBWjh> + 800262c4: 00ba05bb addw a1,s4,a1 + 800262c8: 00006537 lui a0,0x6 + 800262cc: 05d0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800262d0: 0004a583 lw a1,0(s1) + 800262d4: fffea617 auipc a2,0xfffea + 800262d8: 38c60613 addi a2,a2,908 # 80010660 <_Z6CartBRj> + 800262dc: 00006537 lui a0,0x6 + 800262e0: fff5859b addiw a1,a1,-1 + 800262e4: 0125f5b3 and a1,a1,s2 + 800262e8: 00ba05bb addw a1,s4,a1 + 800262ec: 7300d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800262f0: 00000613 li a2,0 + 800262f4: 000065b7 lui a1,0x6 + 800262f8: 01000513 li a0,16 + 800262fc: decea0ef jal ra,800108e8 <_Z8setprg8rijj> + 80026300: 00044783 lbu a5,0(s0) + 80026304: 0027f793 andi a5,a5,2 + 80026308: 0a078263 beqz a5,800263ac <_Z12GenMMC3Powerv+0x1ec> + 8002630c: e5dff0ef jal ra,80026168 <_Z12MMC3RegResetv> + 80026310: 001a6517 auipc a0,0x1a6 + 80026314: f2853503 ld a0,-216(a0) # 801cc238 + 80026318: 02050863 beqz a0,80026348 <_Z12GenMMC3Powerv+0x188> + 8002631c: 02013403 ld s0,32(sp) + 80026320: 02813083 ld ra,40(sp) + 80026324: 01813483 ld s1,24(sp) + 80026328: 01013903 ld s2,16(sp) + 8002632c: 00813983 ld s3,8(sp) + 80026330: 00013a03 ld s4,0(sp) + 80026334: 001a6597 auipc a1,0x1a6 + 80026338: f0c5a583 lw a1,-244(a1) # 801cc240 + 8002633c: 00100613 li a2,1 + 80026340: 03010113 addi sp,sp,48 + 80026344: 4110d06f j 80033f54 <_Z15FCEU_MemoryRandPhjb> + 80026348: 02813083 ld ra,40(sp) + 8002634c: 02013403 ld s0,32(sp) + 80026350: 01813483 ld s1,24(sp) + 80026354: 01013903 ld s2,16(sp) + 80026358: 00813983 ld s3,8(sp) + 8002635c: 00013a03 ld s4,0(sp) + 80026360: 03010113 addi sp,sp,48 + 80026364: 00008067 ret + 80026368: 000075b7 lui a1,0x7 + 8002636c: 00100513 li a0,1 + 80026370: ca5d90ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80026374: 00008937 lui s2,0x8 + 80026378: fff90593 addi a1,s2,-1 # 7fff <_entry_offset+0x7fff> + 8002637c: fffff617 auipc a2,0xfffff + 80026380: ff060613 addi a2,a2,-16 # 8002536c <_ZL10MAWRAMMMC6j> + 80026384: 00007537 lui a0,0x7 + 80026388: 6940d0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002638c: fffff617 auipc a2,0xfffff + 80026390: fc860613 addi a2,a2,-56 # 80025354 <_ZL10MBWRAMMMC6jh> + 80026394: fff90593 addi a1,s2,-1 + 80026398: 00007537 lui a0,0x7 + 8002639c: 78c0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800263a0: 00044783 lbu a5,0(s0) + 800263a4: 0027f793 andi a5,a5,2 + 800263a8: f60792e3 bnez a5,8002630c <_Z12GenMMC3Powerv+0x14c> + 800263ac: 0004a583 lw a1,0(s1) + 800263b0: 0009b503 ld a0,0(s3) + 800263b4: 00100613 li a2,1 + 800263b8: 39d0d0ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 800263bc: f51ff06f j 8002630c <_Z12GenMMC3Powerv+0x14c> + +00000000800263c0 <_ZL7M4Powerv>: + 800263c0: ff010113 addi sp,sp,-16 + 800263c4: 00113423 sd ra,8(sp) + 800263c8: df9ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800263cc: 001a6517 auipc a0,0x1a6 + 800263d0: eac52503 lw a0,-340(a0) # 801cc278 <_ZL6hackm4> + 800263d4: fff54793 not a5,a0 + 800263d8: 00813083 ld ra,8(sp) + 800263dc: 0017f793 andi a5,a5,1 + 800263e0: 001a6717 auipc a4,0x1a6 + 800263e4: e4f70923 sb a5,-430(a4) # 801cc232 + 800263e8: 01010113 addi sp,sp,16 + 800263ec: c5dea06f j 80011048 <_Z9setmirrori> + +00000000800263f0 <_ZL8M12Powerv>: + 800263f0: fe010113 addi sp,sp,-32 + 800263f4: 00113c23 sd ra,24(sp) + 800263f8: 00813823 sd s0,16(sp) + 800263fc: 00913423 sd s1,8(sp) + 80026400: 001a6797 auipc a5,0x1a6 + 80026404: e5078793 addi a5,a5,-432 # 801cc250 + 80026408: 00100713 li a4,1 + 8002640c: 00079023 sh zero,0(a5) + 80026410: 000064b7 lui s1,0x6 + 80026414: 00004437 lui s0,0x4 + 80026418: 00e78123 sb a4,2(a5) + 8002641c: da5ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026420: fff48593 addi a1,s1,-1 # 5fff <_entry_offset+0x5fff> + 80026424: 10040513 addi a0,s0,256 # 4100 <_entry_offset+0x4100> + 80026428: fffff617 auipc a2,0xfffff + 8002642c: f5c60613 addi a2,a2,-164 # 80025384 <_ZL8M12Writejh> + 80026430: 6f80d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026434: 10040513 addi a0,s0,256 + 80026438: 01013403 ld s0,16(sp) + 8002643c: 01813083 ld ra,24(sp) + 80026440: fff48593 addi a1,s1,-1 + 80026444: 00813483 ld s1,8(sp) + 80026448: fffff617 auipc a2,0xfffff + 8002644c: f5c60613 addi a2,a2,-164 # 800253a4 <_ZL7M12Readj> + 80026450: 02010113 addi sp,sp,32 + 80026454: 5c80d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080026458 <_ZL8M37Powerv>: + 80026458: ff010113 addi sp,sp,-16 + 8002645c: 00113423 sd ra,8(sp) + 80026460: 001a6797 auipc a5,0x1a6 + 80026464: de078823 sb zero,-528(a5) # 801cc250 + 80026468: d59ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002646c: 00813083 ld ra,8(sp) + 80026470: 000085b7 lui a1,0x8 + 80026474: 00001617 auipc a2,0x1 + 80026478: 89060613 addi a2,a2,-1904 # 80026d04 <_ZL8M37Writejh> + 8002647c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80026480: 00006537 lui a0,0x6 + 80026484: 01010113 addi sp,sp,16 + 80026488: 6a00d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002648c <_ZL8M44Powerv>: + 8002648c: ff010113 addi sp,sp,-16 + 80026490: 00113423 sd ra,8(sp) + 80026494: 001a6797 auipc a5,0x1a6 + 80026498: da078e23 sb zero,-580(a5) # 801cc250 + 8002649c: d25ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800264a0: 00813083 ld ra,8(sp) + 800264a4: 0000c5b7 lui a1,0xc + 800264a8: 00001617 auipc a2,0x1 + 800264ac: 89c60613 addi a2,a2,-1892 # 80026d44 <_ZL8M44Writejh> + 800264b0: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 800264b4: 0000a537 lui a0,0xa + 800264b8: 01010113 addi sp,sp,16 + 800264bc: 66c0d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800264c0 <_ZL8M45Powerv>: + 800264c0: ff010113 addi sp,sp,-16 + 800264c4: 00113423 sd ra,8(sp) + 800264c8: cf9ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800264cc: 001a6797 auipc a5,0x1a6 + 800264d0: d8478793 addi a5,a5,-636 # 801cc250 + 800264d4: 000085b7 lui a1,0x8 + 800264d8: 00001617 auipc a2,0x1 + 800264dc: 8b460613 addi a2,a2,-1868 # 80026d8c <_ZL8M45Writejh> + 800264e0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800264e4: 00005537 lui a0,0x5 + 800264e8: 0007a023 sw zero,0(a5) + 800264ec: 00079223 sh zero,4(a5) + 800264f0: 6380d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800264f4: 00813083 ld ra,8(sp) + 800264f8: 000065b7 lui a1,0x6 + 800264fc: fffff617 auipc a2,0xfffff + 80026500: eb460613 addi a2,a2,-332 # 800253b0 <_ZL7M45Readj> + 80026504: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 80026508: 00005537 lui a0,0x5 + 8002650c: 01010113 addi sp,sp,16 + 80026510: 50c0d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080026514 <_ZL8M47Powerv>: + 80026514: ff010113 addi sp,sp,-16 + 80026518: 00113423 sd ra,8(sp) + 8002651c: 001a6797 auipc a5,0x1a6 + 80026520: d2078a23 sb zero,-716(a5) # 801cc250 + 80026524: c9dff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026528: 00813083 ld ra,8(sp) + 8002652c: 000085b7 lui a1,0x8 + 80026530: 00001617 auipc a2,0x1 + 80026534: 8e060613 addi a2,a2,-1824 # 80026e10 <_ZL8M47Writejh> + 80026538: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8002653c: 00006537 lui a0,0x6 + 80026540: 01010113 addi sp,sp,16 + 80026544: 5e40d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080026548 <_ZL9M114Powerv>: + 80026548: ff010113 addi sp,sp,-16 + 8002654c: 00113423 sd ra,8(sp) + 80026550: c71ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026554: 000105b7 lui a1,0x10 + 80026558: 00000617 auipc a2,0x0 + 8002655c: 5d060613 addi a2,a2,1488 # 80026b28 <_ZL9M114Writejh> + 80026560: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80026564: 00008537 lui a0,0x8 + 80026568: 5c00d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002656c: 00813083 ld ra,8(sp) + 80026570: 000085b7 lui a1,0x8 + 80026574: 00000617 auipc a2,0x0 + 80026578: 9c860613 addi a2,a2,-1592 # 80025f3c <_ZL11M114ExWritejh> + 8002657c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80026580: 00005537 lui a0,0x5 + 80026584: 01010113 addi sp,sp,16 + 80026588: 5a00d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002658c <_ZL9M115Powerv>: + 8002658c: ff010113 addi sp,sp,-16 + 80026590: 00113423 sd ra,8(sp) + 80026594: c2dff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026598: 000085b7 lui a1,0x8 + 8002659c: 00004537 lui a0,0x4 + 800265a0: 00000617 auipc a2,0x0 + 800265a4: 9bc60613 addi a2,a2,-1604 # 80025f5c <_ZL9M115Writejh> + 800265a8: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800265ac: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 800265b0: 5780d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800265b4: 00813083 ld ra,8(sp) + 800265b8: 000065b7 lui a1,0x6 + 800265bc: 00000617 auipc a2,0x0 + 800265c0: 8a460613 addi a2,a2,-1884 # 80025e60 <_ZL8M115Readj> + 800265c4: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 800265c8: 00005537 lui a0,0x5 + 800265cc: 01010113 addi sp,sp,16 + 800265d0: 44c0d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +00000000800265d4 <_ZL9M134Powerv>: + 800265d4: ff010113 addi sp,sp,-16 + 800265d8: 00113423 sd ra,8(sp) + 800265dc: 001a6797 auipc a5,0x1a6 + 800265e0: c6078a23 sb zero,-908(a5) # 801cc250 + 800265e4: bddff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800265e8: 00813083 ld ra,8(sp) + 800265ec: 000065b7 lui a1,0x6 + 800265f0: 00158593 addi a1,a1,1 # 6001 <_entry_offset+0x6001> + 800265f4: 00001617 auipc a2,0x1 + 800265f8: 91060613 addi a2,a2,-1776 # 80026f04 <_ZL9M134Writejh> + 800265fc: 00058513 mv a0,a1 + 80026600: 01010113 addi sp,sp,16 + 80026604: 5240d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080026608 <_ZL9M165Powerv>: + 80026608: ffd00793 li a5,-3 + 8002660c: 001a6717 auipc a4,0x1a6 + 80026610: c4f70223 sb a5,-956(a4) # 801cc250 + 80026614: badff06f j 800261c0 <_Z12GenMMC3Powerv> + +0000000080026618 <_ZL9M195Powerv>: + 80026618: ff010113 addi sp,sp,-16 + 8002661c: 00113423 sd ra,8(sp) + 80026620: 00813023 sd s0,0(sp) + 80026624: b9dff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026628: 00200613 li a2,2 + 8002662c: 000055b7 lui a1,0x5 + 80026630: 01000513 li a0,16 + 80026634: 9a8ea0ef jal ra,800107dc <_Z8setprg4rijj> + 80026638: 00006437 lui s0,0x6 + 8002663c: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80026640: fffea617 auipc a2,0xfffea + 80026644: 04c60613 addi a2,a2,76 # 8001068c <_Z6CartBWjh> + 80026648: 00005537 lui a0,0x5 + 8002664c: 4dc0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026650: fff40593 addi a1,s0,-1 + 80026654: 00013403 ld s0,0(sp) + 80026658: 00813083 ld ra,8(sp) + 8002665c: fffea617 auipc a2,0xfffea + 80026660: 00460613 addi a2,a2,4 # 80010660 <_Z6CartBRj> + 80026664: 00005537 lui a0,0x5 + 80026668: 01010113 addi sp,sp,16 + 8002666c: 3b00d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080026670 <_ZL14Mapper196Powerv>: + 80026670: ff010113 addi sp,sp,-16 + 80026674: 00113423 sd ra,8(sp) + 80026678: b49ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002667c: 000075b7 lui a1,0x7 + 80026680: 00000617 auipc a2,0x0 + 80026684: 93460613 addi a2,a2,-1740 # 80025fb4 <_ZL16Mapper196WriteLojh> + 80026688: fff58593 addi a1,a1,-1 # 6fff <_entry_offset+0x6fff> + 8002668c: 00006537 lui a0,0x6 + 80026690: 001a6797 auipc a5,0x1a6 + 80026694: bc079023 sh zero,-1088(a5) # 801cc250 + 80026698: 4900d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002669c: 00813083 ld ra,8(sp) + 800266a0: 000105b7 lui a1,0x10 + 800266a4: 00000617 auipc a2,0x0 + 800266a8: 5a060613 addi a2,a2,1440 # 80026c44 <_ZL14Mapper196Writejh> + 800266ac: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800266b0: 00008537 lui a0,0x8 + 800266b4: 01010113 addi sp,sp,16 + 800266b8: 4700d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800266bc <_ZL9M205Powerv>: + 800266bc: ff010113 addi sp,sp,-16 + 800266c0: 00113423 sd ra,8(sp) + 800266c4: afdff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800266c8: 000075b7 lui a1,0x7 + 800266cc: 00001617 auipc a2,0x1 + 800266d0: 8bc60613 addi a2,a2,-1860 # 80026f88 <_ZL10M205Write0jh> + 800266d4: fff58593 addi a1,a1,-1 # 6fff <_entry_offset+0x6fff> + 800266d8: 00006537 lui a0,0x6 + 800266dc: 44c0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800266e0: 00813083 ld ra,8(sp) + 800266e4: 000085b7 lui a1,0x8 + 800266e8: 00001617 auipc a2,0x1 + 800266ec: 85460613 addi a2,a2,-1964 # 80026f3c <_ZL10M205Write1jh> + 800266f0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800266f4: 00007537 lui a0,0x7 + 800266f8: 01010113 addi sp,sp,16 + 800266fc: 42c0d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080026700 <_ZL9M245Powerv>: + 80026700: 001a6797 auipc a5,0x1a6 + 80026704: b4078823 sb zero,-1200(a5) # 801cc250 + 80026708: ab9ff06f j 800261c0 <_Z12GenMMC3Powerv> + +000000008002670c <_ZL9M249Powerv>: + 8002670c: ff010113 addi sp,sp,-16 + 80026710: 00113423 sd ra,8(sp) + 80026714: 001a6797 auipc a5,0x1a6 + 80026718: b2078e23 sb zero,-1220(a5) # 801cc250 + 8002671c: aa5ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026720: 00813083 ld ra,8(sp) + 80026724: 00001617 auipc a2,0x1 + 80026728: 8bc60613 addi a2,a2,-1860 # 80026fe0 <_ZL9M249Writejh> + 8002672c: 000055b7 lui a1,0x5 + 80026730: 00005537 lui a0,0x5 + 80026734: 01010113 addi sp,sp,16 + 80026738: 3f00d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002673c <_ZL10M250_Powerv>: + 8002673c: ff010113 addi sp,sp,-16 + 80026740: 00113423 sd ra,8(sp) + 80026744: a7dff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026748: 0000c5b7 lui a1,0xc + 8002674c: 00000617 auipc a2,0x0 + 80026750: 53860613 addi a2,a2,1336 # 80026c84 <_ZL9M250Writejh> + 80026754: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80026758: 00008537 lui a0,0x8 + 8002675c: 3cc0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026760: 00813083 ld ra,8(sp) + 80026764: 000105b7 lui a1,0x10 + 80026768: fffff617 auipc a2,0xfffff + 8002676c: 3a460613 addi a2,a2,932 # 80025b0c <_ZL12M250IRQWritejh> + 80026770: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80026774: 0000c537 lui a0,0xc + 80026778: 01010113 addi sp,sp,16 + 8002677c: 3ac0d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080026780 <_ZL10M254_Powerv>: + 80026780: ff010113 addi sp,sp,-16 + 80026784: 00113423 sd ra,8(sp) + 80026788: a39ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002678c: 0000c5b7 lui a1,0xc + 80026790: 00000617 auipc a2,0x0 + 80026794: 51460613 addi a2,a2,1300 # 80026ca4 <_ZL9M254Writejh> + 80026798: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8002679c: 00008537 lui a0,0x8 + 800267a0: 3880d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800267a4: 00813083 ld ra,8(sp) + 800267a8: 000085b7 lui a1,0x8 + 800267ac: fffff617 auipc a2,0xfffff + 800267b0: 2ac60613 addi a2,a2,684 # 80025a58 <_ZL9MR254WRAMj> + 800267b4: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800267b8: 00006537 lui a0,0x6 + 800267bc: 01010113 addi sp,sp,16 + 800267c0: 25c0d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +00000000800267c4 <_ZL8M12Resetv>: + 800267c4: 001a6797 auipc a5,0x1a6 + 800267c8: a8c78793 addi a5,a5,-1396 # 801cc250 + 800267cc: 0027c703 lbu a4,2(a5) + 800267d0: 00079023 sh zero,0(a5) + 800267d4: 00174713 xori a4,a4,1 + 800267d8: 00e78123 sb a4,2(a5) + 800267dc: 98dff06f j 80026168 <_Z12MMC3RegResetv> + +00000000800267e0 <_ZL8M37Resetv>: + 800267e0: 001a6797 auipc a5,0x1a6 + 800267e4: a6078823 sb zero,-1424(a5) # 801cc250 + 800267e8: 981ff06f j 80026168 <_Z12MMC3RegResetv> + +00000000800267ec <_ZL8M49Resetv>: + 800267ec: ff5ff06f j 800267e0 <_ZL8M37Resetv> + +00000000800267f0 <_ZL9M114Resetv>: + 800267f0: ff1ff06f j 800267e0 <_ZL8M37Resetv> + +00000000800267f4 <_ZL9M134Resetv>: + 800267f4: fedff06f j 800267e0 <_ZL8M37Resetv> + +00000000800267f8 <_ZL8M45Resetv>: + 800267f8: 001a6717 auipc a4,0x1a6 + 800267fc: a5870713 addi a4,a4,-1448 # 801cc250 + 80026800: 00574783 lbu a5,5(a4) + 80026804: 00072023 sw zero,0(a4) + 80026808: 00070223 sb zero,4(a4) + 8002680c: 0017879b addiw a5,a5,1 + 80026810: 0077f793 andi a5,a5,7 + 80026814: 00f702a3 sb a5,5(a4) + 80026818: 951ff06f j 80026168 <_Z12MMC3RegResetv> + +000000008002681c <_ZL8M49Powerv>: + 8002681c: ff010113 addi sp,sp,-16 + 80026820: 00113423 sd ra,8(sp) + 80026824: 00813023 sd s0,0(sp) + 80026828: 001a6797 auipc a5,0x1a6 + 8002682c: a2078423 sb zero,-1496(a5) # 801cc250 + 80026830: 00008437 lui s0,0x8 + 80026834: 935ff0ef jal ra,80026168 <_Z12MMC3RegResetv> + 80026838: 989ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002683c: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80026840: 00000617 auipc a2,0x0 + 80026844: 60c60613 addi a2,a2,1548 # 80026e4c <_ZL8M49Writejh> + 80026848: 00006537 lui a0,0x6 + 8002684c: 2dc0d0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80026850: fff40593 addi a1,s0,-1 + 80026854: 00013403 ld s0,0(sp) + 80026858: 00813083 ld ra,8(sp) + 8002685c: 00000613 li a2,0 + 80026860: 00006537 lui a0,0x6 + 80026864: 01010113 addi sp,sp,16 + 80026868: 1b40d06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008002686c <_ZL8M52Resetv>: + 8002686c: 001a6797 auipc a5,0x1a6 + 80026870: 9e079223 sh zero,-1564(a5) # 801cc250 + 80026874: 8f5ff06f j 80026168 <_Z12MMC3RegResetv> + +0000000080026878 <_ZL8M52Powerv>: + 80026878: ff010113 addi sp,sp,-16 + 8002687c: 00113423 sd ra,8(sp) + 80026880: 001a6797 auipc a5,0x1a6 + 80026884: 9c079823 sh zero,-1584(a5) # 801cc250 + 80026888: 8e1ff0ef jal ra,80026168 <_Z12MMC3RegResetv> + 8002688c: 935ff0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80026890: 00813083 ld ra,8(sp) + 80026894: 000085b7 lui a1,0x8 + 80026898: 00000617 auipc a2,0x0 + 8002689c: 5fc60613 addi a2,a2,1532 # 80026e94 <_ZL8M52Writejh> + 800268a0: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800268a4: 00006537 lui a0,0x6 + 800268a8: 01010113 addi sp,sp,16 + 800268ac: 27c0d06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800268b0 <_ZL9M205Resetv>: + 800268b0: 001a6797 auipc a5,0x1a6 + 800268b4: 9a078793 addi a5,a5,-1632 # 801cc250 + 800268b8: 00078123 sb zero,2(a5) + 800268bc: 00078023 sb zero,0(a5) + 800268c0: 8a9ff06f j 80026168 <_Z12MMC3RegResetv> + +00000000800268c4 <_Z13MMC3_CMDWritejh>: + 800268c4: 0000e7b7 lui a5,0xe + 800268c8: fd010113 addi sp,sp,-48 + 800268cc: 00178793 addi a5,a5,1 # e001 <_entry_offset+0xe001> + 800268d0: 02813023 sd s0,32(sp) + 800268d4: 00f57533 and a0,a0,a5 + 800268d8: 02113423 sd ra,40(sp) + 800268dc: 00913c23 sd s1,24(sp) + 800268e0: 01213823 sd s2,16(sp) + 800268e4: 01313423 sd s3,8(sp) + 800268e8: 0000a7b7 lui a5,0xa + 800268ec: 00058413 mv s0,a1 + 800268f0: 0cf50863 beq a0,a5,800269c0 <_Z13MMC3_CMDWritejh+0xfc> + 800268f4: 04a7ee63 bltu a5,a0,80026950 <_Z13MMC3_CMDWritejh+0x8c> + 800268f8: 000087b7 lui a5,0x8 + 800268fc: 08f50063 beq a0,a5,8002697c <_Z13MMC3_CMDWritejh+0xb8> + 80026900: 00178793 addi a5,a5,1 # 8001 <_entry_offset+0x8001> + 80026904: 04f51e63 bne a0,a5,80026960 <_Z13MMC3_CMDWritejh+0x9c> + 80026908: 001a6717 auipc a4,0x1a6 + 8002690c: 95474703 lbu a4,-1708(a4) # 801cc25c + 80026910: 00777793 andi a5,a4,7 + 80026914: 0001f617 auipc a2,0x1f + 80026918: 41c60613 addi a2,a2,1052 # 80045d30 <_ZL8prg_perm+0x20> + 8002691c: 00279793 slli a5,a5,0x2 + 80026920: 00c787b3 add a5,a5,a2 + 80026924: 0007a783 lw a5,0(a5) + 80026928: 00777593 andi a1,a4,7 + 8002692c: 001a6697 auipc a3,0x1a6 + 80026930: 91c68693 addi a3,a3,-1764 # 801cc248 + 80026934: 00571493 slli s1,a4,0x5 + 80026938: 00b686b3 add a3,a3,a1 + 8002693c: 00001537 lui a0,0x1 + 80026940: 00c787b3 add a5,a5,a2 + 80026944: 00a4f4b3 and s1,s1,a0 + 80026948: 00868023 sb s0,0(a3) + 8002694c: 00078067 jr a5 + 80026950: 00178793 addi a5,a5,1 + 80026954: 00f51663 bne a0,a5,80026960 <_Z13MMC3_CMDWritejh+0x9c> + 80026958: 001a6797 auipc a5,0x1a6 + 8002695c: 8cb78da3 sb a1,-1829(a5) # 801cc233 + 80026960: 02813083 ld ra,40(sp) + 80026964: 02013403 ld s0,32(sp) + 80026968: 01813483 ld s1,24(sp) + 8002696c: 01013903 ld s2,16(sp) + 80026970: 00813983 ld s3,8(sp) + 80026974: 03010113 addi sp,sp,48 + 80026978: 00008067 ret + 8002697c: 001a6497 auipc s1,0x1a6 + 80026980: 8e048493 addi s1,s1,-1824 # 801cc25c + 80026984: 0004c783 lbu a5,0(s1) + 80026988: 00f5c7b3 xor a5,a1,a5 + 8002698c: 0407f713 andi a4,a5,64 + 80026990: 04071e63 bnez a4,800269ec <_Z13MMC3_CMDWritejh+0x128> + 80026994: 0187979b slliw a5,a5,0x18 + 80026998: 4187d79b sraiw a5,a5,0x18 + 8002699c: 0607c263 bltz a5,80026a00 <_Z13MMC3_CMDWritejh+0x13c> + 800269a0: 00848023 sb s0,0(s1) + 800269a4: 02813083 ld ra,40(sp) + 800269a8: 02013403 ld s0,32(sp) + 800269ac: 01813483 ld s1,24(sp) + 800269b0: 01013903 ld s2,16(sp) + 800269b4: 00813983 ld s3,8(sp) + 800269b8: 03010113 addi sp,sp,48 + 800269bc: 00008067 ret + 800269c0: 001a6317 auipc t1,0x1a6 + 800269c4: 8d033303 ld t1,-1840(t1) # 801cc290 + 800269c8: f8030ce3 beqz t1,80026960 <_Z13MMC3_CMDWritejh+0x9c> + 800269cc: 02013403 ld s0,32(sp) + 800269d0: 02813083 ld ra,40(sp) + 800269d4: 01813483 ld s1,24(sp) + 800269d8: 01013903 ld s2,16(sp) + 800269dc: 00813983 ld s3,8(sp) + 800269e0: 00058513 mv a0,a1 + 800269e4: 03010113 addi sp,sp,48 + 800269e8: 00030067 jr t1 + 800269ec: 00058513 mv a0,a1 + 800269f0: c7cff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 800269f4: 0004c783 lbu a5,0(s1) + 800269f8: 00f447b3 xor a5,s0,a5 + 800269fc: f99ff06f j 80026994 <_Z13MMC3_CMDWritejh+0xd0> + 80026a00: 00040513 mv a0,s0 + 80026a04: e24ff0ef jal ra,80026028 <_Z10FixMMC3CHRi> + 80026a08: 00848023 sb s0,0(s1) + 80026a0c: f99ff06f j 800269a4 <_Z13MMC3_CMDWritejh+0xe0> + 80026a10: 001a6997 auipc s3,0x1a6 + 80026a14: 87098993 addi s3,s3,-1936 # 801cc280 + 80026a18: 00001937 lui s2,0x1 + 80026a1c: 0009b783 ld a5,0(s3) + 80026a20: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 80026a24: 0fe47593 andi a1,s0,254 + 80026a28: 00a4c533 xor a0,s1,a0 + 80026a2c: 000780e7 jalr a5 + 80026a30: 0009b303 ld t1,0(s3) + 80026a34: c0090513 addi a0,s2,-1024 + 80026a38: 00146593 ori a1,s0,1 + 80026a3c: 00a4c533 xor a0,s1,a0 + 80026a40: 02013403 ld s0,32(sp) + 80026a44: 02813083 ld ra,40(sp) + 80026a48: 01813483 ld s1,24(sp) + 80026a4c: 01013903 ld s2,16(sp) + 80026a50: 00813983 ld s3,8(sp) + 80026a54: 03010113 addi sp,sp,48 + 80026a58: 00030067 jr t1 + 80026a5c: 00040593 mv a1,s0 + 80026a60: 0000a537 lui a0,0xa + 80026a64: 001a6317 auipc t1,0x1a6 + 80026a68: 83433303 ld t1,-1996(t1) # 801cc298 + 80026a6c: fd5ff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026a70: 04077713 andi a4,a4,64 + 80026a74: 001a6317 auipc t1,0x1a6 + 80026a78: 82433303 ld t1,-2012(t1) # 801cc298 + 80026a7c: 00040593 mv a1,s0 + 80026a80: 0000c537 lui a0,0xc + 80026a84: fa071ee3 bnez a4,80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026a88: 00008537 lui a0,0x8 + 80026a8c: fb5ff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026a90: 00002537 lui a0,0x2 + 80026a94: c0050513 addi a0,a0,-1024 # 1c00 <_entry_offset+0x1c00> + 80026a98: 00040593 mv a1,s0 + 80026a9c: 00a4c533 xor a0,s1,a0 + 80026aa0: 001a5317 auipc t1,0x1a5 + 80026aa4: 7e033303 ld t1,2016(t1) # 801cc280 + 80026aa8: f99ff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026aac: 00002537 lui a0,0x2 + 80026ab0: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80026ab4: 00040593 mv a1,s0 + 80026ab8: 00a4c533 xor a0,s1,a0 + 80026abc: 001a5317 auipc t1,0x1a5 + 80026ac0: 7c433303 ld t1,1988(t1) # 801cc280 + 80026ac4: f7dff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026ac8: 00001537 lui a0,0x1 + 80026acc: 40050513 addi a0,a0,1024 # 1400 <_entry_offset+0x1400> + 80026ad0: 00040593 mv a1,s0 + 80026ad4: 00a4c533 xor a0,s1,a0 + 80026ad8: 001a5317 auipc t1,0x1a5 + 80026adc: 7a833303 ld t1,1960(t1) # 801cc280 + 80026ae0: f61ff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026ae4: 00001537 lui a0,0x1 + 80026ae8: 00a4c533 xor a0,s1,a0 + 80026aec: 00040593 mv a1,s0 + 80026af0: 0005051b sext.w a0,a0 + 80026af4: 001a5317 auipc t1,0x1a5 + 80026af8: 78c33303 ld t1,1932(t1) # 801cc280 + 80026afc: f45ff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + 80026b00: 001a5917 auipc s2,0x1a5 + 80026b04: 78090913 addi s2,s2,1920 # 801cc280 + 80026b08: 00093783 ld a5,0(s2) + 80026b0c: 0fe47593 andi a1,s0,254 + 80026b10: 00048513 mv a0,s1 + 80026b14: 000780e7 jalr a5 + 80026b18: 00093303 ld t1,0(s2) + 80026b1c: 00146593 ori a1,s0,1 + 80026b20: 4004e513 ori a0,s1,1024 + 80026b24: f1dff06f j 80026a40 <_Z13MMC3_CMDWritejh+0x17c> + +0000000080026b28 <_ZL9M114Writejh>: + 80026b28: 0000e637 lui a2,0xe + 80026b2c: ff010113 addi sp,sp,-16 + 80026b30: 00160693 addi a3,a2,1 # e001 <_entry_offset+0xe001> + 80026b34: 00113423 sd ra,8(sp) + 80026b38: 00d57533 and a0,a0,a3 + 80026b3c: 0000c7b7 lui a5,0xc + 80026b40: 08f50463 beq a0,a5,80026bc8 <_ZL9M114Writejh+0xa0> + 80026b44: 04a7e263 bltu a5,a0,80026b88 <_ZL9M114Writejh+0x60> + 80026b48: 0000a7b7 lui a5,0xa + 80026b4c: 0af50063 beq a0,a5,80026bec <_ZL9M114Writejh+0xc4> + 80026b50: 00178793 addi a5,a5,1 # a001 <_entry_offset+0xa001> + 80026b54: 00f51c63 bne a0,a5,80026b6c <_ZL9M114Writejh+0x44> + 80026b58: 001a5797 auipc a5,0x1a5 + 80026b5c: 70b780a3 sb a1,1793(a5) # 801cc259 + 80026b60: 00813083 ld ra,8(sp) + 80026b64: 01010113 addi sp,sp,16 + 80026b68: 00008067 ret + 80026b6c: 000087b7 lui a5,0x8 + 80026b70: 00178793 addi a5,a5,1 # 8001 <_entry_offset+0x8001> + 80026b74: fef516e3 bne a0,a5,80026b60 <_ZL9M114Writejh+0x38> + 80026b78: 00813083 ld ra,8(sp) + 80026b7c: 0000a537 lui a0,0xa + 80026b80: 01010113 addi sp,sp,16 + 80026b84: d41ff06f j 800268c4 <_Z13MMC3_CMDWritejh> + 80026b88: 0ac50063 beq a0,a2,80026c28 <_ZL9M114Writejh+0x100> + 80026b8c: 00d51e63 bne a0,a3,80026ba8 <_ZL9M114Writejh+0x80> + 80026b90: 00813083 ld ra,8(sp) + 80026b94: 00100793 li a5,1 + 80026b98: 001a5717 auipc a4,0x1a5 + 80026b9c: 6cf701a3 sb a5,1731(a4) # 801cc25b + 80026ba0: 01010113 addi sp,sp,16 + 80026ba4: 00008067 ret + 80026ba8: 00178793 addi a5,a5,1 + 80026bac: faf51ae3 bne a0,a5,80026b60 <_ZL9M114Writejh+0x38> + 80026bb0: 00813083 ld ra,8(sp) + 80026bb4: 00100793 li a5,1 + 80026bb8: 001a5717 auipc a4,0x1a5 + 80026bbc: 6af70123 sb a5,1698(a4) # 801cc25a + 80026bc0: 01010113 addi sp,sp,16 + 80026bc4: 00008067 ret + 80026bc8: 001a5797 auipc a5,0x1a5 + 80026bcc: 6a47c783 lbu a5,1700(a5) # 801cc26c <_ZL5cmdin> + 80026bd0: f80788e3 beqz a5,80026b60 <_ZL9M114Writejh+0x38> + 80026bd4: 00008537 lui a0,0x8 + 80026bd8: 00150513 addi a0,a0,1 # 8001 <_entry_offset+0x8001> + 80026bdc: ce9ff0ef jal ra,800268c4 <_Z13MMC3_CMDWritejh> + 80026be0: 001a5797 auipc a5,0x1a5 + 80026be4: 68078623 sb zero,1676(a5) # 801cc26c <_ZL5cmdin> + 80026be8: f79ff06f j 80026b60 <_ZL9M114Writejh+0x38> + 80026bec: 0075f693 andi a3,a1,7 + 80026bf0: 00026797 auipc a5,0x26 + 80026bf4: 37078793 addi a5,a5,880 # 8004cf60 + 80026bf8: 00d787b3 add a5,a5,a3 + 80026bfc: 0007c783 lbu a5,0(a5) + 80026c00: fc05f593 andi a1,a1,-64 + 80026c04: 00008537 lui a0,0x8 + 80026c08: 00f5e5b3 or a1,a1,a5 + 80026c0c: cb9ff0ef jal ra,800268c4 <_Z13MMC3_CMDWritejh> + 80026c10: 00813083 ld ra,8(sp) + 80026c14: 00100793 li a5,1 + 80026c18: 001a5717 auipc a4,0x1a5 + 80026c1c: 64f70a23 sb a5,1620(a4) # 801cc26c <_ZL5cmdin> + 80026c20: 01010113 addi sp,sp,16 + 80026c24: 00008067 ret + 80026c28: 00100513 li a0,1 + 80026c2c: 924da0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80026c30: 00813083 ld ra,8(sp) + 80026c34: 001a5797 auipc a5,0x1a5 + 80026c38: 620783a3 sb zero,1575(a5) # 801cc25b + 80026c3c: 01010113 addi sp,sp,16 + 80026c40: 00008067 ret + +0000000080026c44 <_ZL14Mapper196Writejh>: + 80026c44: 00050793 mv a5,a0 + 80026c48: 0037d71b srliw a4,a5,0x3 + 80026c4c: 0025551b srliw a0,a0,0x2 + 80026c50: 00e56533 or a0,a0,a4 + 80026c54: 00010737 lui a4,0x10 + 80026c58: ffe70713 addi a4,a4,-2 # fffe <_entry_offset+0xfffe> + 80026c5c: 00157513 andi a0,a0,1 + 80026c60: 00e7f733 and a4,a5,a4 + 80026c64: 0000c6b7 lui a3,0xc + 80026c68: 00e56533 or a0,a0,a4 + 80026c6c: 00d7e463 bltu a5,a3,80026c74 <_ZL14Mapper196Writejh+0x30> + 80026c70: e25fe06f j 80025a94 <_Z13MMC3_IRQWritejh> + 80026c74: 0017d79b srliw a5,a5,0x1 + 80026c78: 0017f793 andi a5,a5,1 + 80026c7c: 00a7e533 or a0,a5,a0 + 80026c80: c45ff06f j 800268c4 <_Z13MMC3_CMDWritejh> + +0000000080026c84 <_ZL9M250Writejh>: + 80026c84: 00a5579b srliw a5,a0,0xa + 80026c88: 0000e737 lui a4,0xe + 80026c8c: 00e57733 and a4,a0,a4 + 80026c90: 0017f793 andi a5,a5,1 + 80026c94: 00e7e7b3 or a5,a5,a4 + 80026c98: 0ff57593 andi a1,a0,255 + 80026c9c: 0007851b sext.w a0,a5 + 80026ca0: c25ff06f j 800268c4 <_Z13MMC3_CMDWritejh> + +0000000080026ca4 <_ZL9M254Writejh>: + 80026ca4: 000087b7 lui a5,0x8 + 80026ca8: 00f50e63 beq a0,a5,80026cc4 <_ZL9M254Writejh+0x20> + 80026cac: 0000a7b7 lui a5,0xa + 80026cb0: 00178793 addi a5,a5,1 # a001 <_entry_offset+0xa001> + 80026cb4: 00f51663 bne a0,a5,80026cc0 <_ZL9M254Writejh+0x1c> + 80026cb8: 001a5797 auipc a5,0x1a5 + 80026cbc: 58b78ca3 sb a1,1433(a5) # 801cc251 + 80026cc0: c05ff06f j 800268c4 <_Z13MMC3_CMDWritejh> + 80026cc4: fff00793 li a5,-1 + 80026cc8: 001a5717 auipc a4,0x1a5 + 80026ccc: 58f70423 sb a5,1416(a4) # 801cc250 + 80026cd0: bf5ff06f j 800268c4 <_Z13MMC3_CMDWritejh> + +0000000080026cd4 <_Z14GenMMC3Restorei>: + 80026cd4: ff010113 addi sp,sp,-16 + 80026cd8: 00813023 sd s0,0(sp) + 80026cdc: 001a5417 auipc s0,0x1a5 + 80026ce0: 58040413 addi s0,s0,1408 # 801cc25c + 80026ce4: 00044503 lbu a0,0(s0) + 80026ce8: 00113423 sd ra,8(sp) + 80026cec: 980ff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026cf0: 00044503 lbu a0,0(s0) + 80026cf4: 00013403 ld s0,0(sp) + 80026cf8: 00813083 ld ra,8(sp) + 80026cfc: 01010113 addi sp,sp,16 + 80026d00: b28ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026d04 <_ZL8M37Writejh>: + 80026d04: ff010113 addi sp,sp,-16 + 80026d08: 00813023 sd s0,0(sp) + 80026d0c: 001a5417 auipc s0,0x1a5 + 80026d10: 55040413 addi s0,s0,1360 # 801cc25c + 80026d14: 00044503 lbu a0,0(s0) + 80026d18: 0015d593 srli a1,a1,0x1 + 80026d1c: 0035f593 andi a1,a1,3 + 80026d20: 00113423 sd ra,8(sp) + 80026d24: 001a5797 auipc a5,0x1a5 + 80026d28: 52b78623 sb a1,1324(a5) # 801cc250 + 80026d2c: 940ff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026d30: 00044503 lbu a0,0(s0) + 80026d34: 00013403 ld s0,0(sp) + 80026d38: 00813083 ld ra,8(sp) + 80026d3c: 01010113 addi sp,sp,16 + 80026d40: ae8ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026d44 <_ZL8M44Writejh>: + 80026d44: 00157713 andi a4,a0,1 + 80026d48: 04070063 beqz a4,80026d88 <_ZL8M44Writejh+0x44> + 80026d4c: ff010113 addi sp,sp,-16 + 80026d50: 00813023 sd s0,0(sp) + 80026d54: 001a5417 auipc s0,0x1a5 + 80026d58: 50840413 addi s0,s0,1288 # 801cc25c + 80026d5c: 00044503 lbu a0,0(s0) + 80026d60: 0075f593 andi a1,a1,7 + 80026d64: 00113423 sd ra,8(sp) + 80026d68: 001a5797 auipc a5,0x1a5 + 80026d6c: 4eb78423 sb a1,1256(a5) # 801cc250 + 80026d70: 8fcff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026d74: 00044503 lbu a0,0(s0) + 80026d78: 00013403 ld s0,0(sp) + 80026d7c: 00813083 ld ra,8(sp) + 80026d80: 01010113 addi sp,sp,16 + 80026d84: aa4ff06f j 80026028 <_Z10FixMMC3CHRi> + 80026d88: b3dff06f j 800268c4 <_Z13MMC3_CMDWritejh> + +0000000080026d8c <_ZL8M45Writejh>: + 80026d8c: 001a5717 auipc a4,0x1a5 + 80026d90: 4c470713 addi a4,a4,1220 # 801cc250 + 80026d94: 00374783 lbu a5,3(a4) + 80026d98: 0407f793 andi a5,a5,64 + 80026d9c: 02078463 beqz a5,80026dc4 <_ZL8M45Writejh+0x38> + 80026da0: ffffa7b7 lui a5,0xffffa + 80026da4: 00a7853b addw a0,a5,a0 + 80026da8: 02051513 slli a0,a0,0x20 + 80026dac: 001a5797 auipc a5,0x1a5 + 80026db0: 4b47b783 ld a5,1204(a5) # 801cc260 + 80026db4: 02055513 srli a0,a0,0x20 + 80026db8: 00a78533 add a0,a5,a0 + 80026dbc: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 80026dc0: 00008067 ret + 80026dc4: ff010113 addi sp,sp,-16 + 80026dc8: 00813023 sd s0,0(sp) + 80026dcc: 00113423 sd ra,8(sp) + 80026dd0: 00474783 lbu a5,4(a4) + 80026dd4: 001a5417 auipc s0,0x1a5 + 80026dd8: 48840413 addi s0,s0,1160 # 801cc25c + 80026ddc: 00044503 lbu a0,0(s0) + 80026de0: 00f707b3 add a5,a4,a5 + 80026de4: 00b78023 sb a1,0(a5) + 80026de8: 00474783 lbu a5,4(a4) + 80026dec: 0017879b addiw a5,a5,1 + 80026df0: 0037f793 andi a5,a5,3 + 80026df4: 00f70223 sb a5,4(a4) + 80026df8: 874ff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026dfc: 00044503 lbu a0,0(s0) + 80026e00: 00013403 ld s0,0(sp) + 80026e04: 00813083 ld ra,8(sp) + 80026e08: 01010113 addi sp,sp,16 + 80026e0c: a1cff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026e10 <_ZL8M47Writejh>: + 80026e10: ff010113 addi sp,sp,-16 + 80026e14: 00813023 sd s0,0(sp) + 80026e18: 001a5417 auipc s0,0x1a5 + 80026e1c: 44440413 addi s0,s0,1092 # 801cc25c + 80026e20: 00044503 lbu a0,0(s0) + 80026e24: 0015f593 andi a1,a1,1 + 80026e28: 00113423 sd ra,8(sp) + 80026e2c: 001a5797 auipc a5,0x1a5 + 80026e30: 42b78223 sb a1,1060(a5) # 801cc250 + 80026e34: 838ff0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026e38: 00044503 lbu a0,0(s0) + 80026e3c: 00013403 ld s0,0(sp) + 80026e40: 00813083 ld ra,8(sp) + 80026e44: 01010113 addi sp,sp,16 + 80026e48: 9e0ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026e4c <_ZL8M49Writejh>: + 80026e4c: 001a5797 auipc a5,0x1a5 + 80026e50: 3e778783 lb a5,999(a5) # 801cc233 + 80026e54: 0007c463 bltz a5,80026e5c <_ZL8M49Writejh+0x10> + 80026e58: 00008067 ret + 80026e5c: ff010113 addi sp,sp,-16 + 80026e60: 00813023 sd s0,0(sp) + 80026e64: 001a5417 auipc s0,0x1a5 + 80026e68: 3f840413 addi s0,s0,1016 # 801cc25c + 80026e6c: 00044503 lbu a0,0(s0) + 80026e70: 00113423 sd ra,8(sp) + 80026e74: 001a5797 auipc a5,0x1a5 + 80026e78: 3cb78e23 sb a1,988(a5) # 801cc250 + 80026e7c: ff1fe0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026e80: 00044503 lbu a0,0(s0) + 80026e84: 00013403 ld s0,0(sp) + 80026e88: 00813083 ld ra,8(sp) + 80026e8c: 01010113 addi sp,sp,16 + 80026e90: 998ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026e94 <_ZL8M52Writejh>: + 80026e94: 001a5797 auipc a5,0x1a5 + 80026e98: 3bc78793 addi a5,a5,956 # 801cc250 + 80026e9c: 0017c703 lbu a4,1(a5) + 80026ea0: 02070463 beqz a4,80026ec8 <_ZL8M52Writejh+0x34> + 80026ea4: ffffa7b7 lui a5,0xffffa + 80026ea8: 00a7853b addw a0,a5,a0 + 80026eac: 02051513 slli a0,a0,0x20 + 80026eb0: 001a5797 auipc a5,0x1a5 + 80026eb4: 3b07b783 ld a5,944(a5) # 801cc260 + 80026eb8: 02055513 srli a0,a0,0x20 + 80026ebc: 00a78533 add a0,a5,a0 + 80026ec0: 00b50023 sb a1,0(a0) + 80026ec4: 00008067 ret + 80026ec8: ff010113 addi sp,sp,-16 + 80026ecc: 00813023 sd s0,0(sp) + 80026ed0: 001a5417 auipc s0,0x1a5 + 80026ed4: 38c40413 addi s0,s0,908 # 801cc25c + 80026ed8: 00044503 lbu a0,0(s0) + 80026edc: 00113423 sd ra,8(sp) + 80026ee0: f805f713 andi a4,a1,-128 + 80026ee4: 00e780a3 sb a4,1(a5) + 80026ee8: 00b78023 sb a1,0(a5) + 80026eec: f81fe0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026ef0: 00044503 lbu a0,0(s0) + 80026ef4: 00013403 ld s0,0(sp) + 80026ef8: 00813083 ld ra,8(sp) + 80026efc: 01010113 addi sp,sp,16 + 80026f00: 928ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080026f04 <_ZL9M134Writejh>: + 80026f04: ff010113 addi sp,sp,-16 + 80026f08: 00813023 sd s0,0(sp) + 80026f0c: 001a5417 auipc s0,0x1a5 + 80026f10: 35040413 addi s0,s0,848 # 801cc25c + 80026f14: 00044503 lbu a0,0(s0) + 80026f18: 00113423 sd ra,8(sp) + 80026f1c: 001a5797 auipc a5,0x1a5 + 80026f20: 32b78a23 sb a1,820(a5) # 801cc250 + 80026f24: 904ff0ef jal ra,80026028 <_Z10FixMMC3CHRi> + 80026f28: 00044503 lbu a0,0(s0) + 80026f2c: 00013403 ld s0,0(sp) + 80026f30: 00813083 ld ra,8(sp) + 80026f34: 01010113 addi sp,sp,16 + 80026f38: f35fe06f j 80025e6c <_Z10FixMMC3PRGi> + +0000000080026f3c <_ZL10M205Write1jh>: + 80026f3c: 001a5717 auipc a4,0x1a5 + 80026f40: 31470713 addi a4,a4,788 # 801cc250 + 80026f44: 00274683 lbu a3,2(a4) + 80026f48: 02069e63 bnez a3,80026f84 <_ZL10M205Write1jh+0x48> + 80026f4c: ff010113 addi sp,sp,-16 + 80026f50: 00813023 sd s0,0(sp) + 80026f54: 001a5417 auipc s0,0x1a5 + 80026f58: 30840413 addi s0,s0,776 # 801cc25c + 80026f5c: 00044503 lbu a0,0(s0) + 80026f60: 00113423 sd ra,8(sp) + 80026f64: 0305f593 andi a1,a1,48 + 80026f68: 00b70023 sb a1,0(a4) + 80026f6c: f01fe0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026f70: 00044503 lbu a0,0(s0) + 80026f74: 00013403 ld s0,0(sp) + 80026f78: 00813083 ld ra,8(sp) + 80026f7c: 01010113 addi sp,sp,16 + 80026f80: 8a8ff06f j 80026028 <_Z10FixMMC3CHRi> + 80026f84: f08e906f j 8001068c <_Z6CartBWjh> + +0000000080026f88 <_ZL10M205Write0jh>: + 80026f88: 001a5717 auipc a4,0x1a5 + 80026f8c: 2c870713 addi a4,a4,712 # 801cc250 + 80026f90: 00274683 lbu a3,2(a4) + 80026f94: 04069463 bnez a3,80026fdc <_ZL10M205Write0jh+0x54> + 80026f98: ff010113 addi sp,sp,-16 + 80026f9c: 00813023 sd s0,0(sp) + 80026fa0: 001a5417 auipc s0,0x1a5 + 80026fa4: 2bc40413 addi s0,s0,700 # 801cc25c + 80026fa8: 00050793 mv a5,a0 + 80026fac: 00044503 lbu a0,0(s0) + 80026fb0: 00113423 sd ra,8(sp) + 80026fb4: 0307f693 andi a3,a5,48 + 80026fb8: 0807f793 andi a5,a5,128 + 80026fbc: 00d70023 sb a3,0(a4) + 80026fc0: 00f70123 sb a5,2(a4) + 80026fc4: ea9fe0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80026fc8: 00044503 lbu a0,0(s0) + 80026fcc: 00013403 ld s0,0(sp) + 80026fd0: 00813083 ld ra,8(sp) + 80026fd4: 01010113 addi sp,sp,16 + 80026fd8: 850ff06f j 80026028 <_Z10FixMMC3CHRi> + 80026fdc: eb0e906f j 8001068c <_Z6CartBWjh> + +0000000080026fe0 <_ZL9M249Writejh>: + 80026fe0: ff010113 addi sp,sp,-16 + 80026fe4: 00813023 sd s0,0(sp) + 80026fe8: 001a5417 auipc s0,0x1a5 + 80026fec: 27440413 addi s0,s0,628 # 801cc25c + 80026ff0: 00044503 lbu a0,0(s0) + 80026ff4: 00113423 sd ra,8(sp) + 80026ff8: 001a5797 auipc a5,0x1a5 + 80026ffc: 24b78c23 sb a1,600(a5) # 801cc250 + 80027000: e6dfe0ef jal ra,80025e6c <_Z10FixMMC3PRGi> + 80027004: 00044503 lbu a0,0(s0) + 80027008: 00013403 ld s0,0(sp) + 8002700c: 00813083 ld ra,8(sp) + 80027010: 01010113 addi sp,sp,16 + 80027014: 814ff06f j 80026028 <_Z10FixMMC3CHRi> + +0000000080027018 <_Z12GenMMC3_InitP8CartInfoiiii>: + 80027018: 0009b897 auipc a7,0x9b + 8002701c: 46888893 addi a7,a7,1128 # 800c2480 + 80027020: 0008ae83 lw t4,0(a7) + 80027024: 0009b317 auipc t1,0x9b + 80027028: 3dc30313 addi t1,t1,988 # 800c2400 + 8002702c: 00032f03 lw t5,0(t1) + 80027030: 0009ce17 auipc t3,0x9c + 80027034: 9f0e0e13 addi t3,t3,-1552 # 800c2a20 + 80027038: 40a6579b sraiw a5,a2,0xa + 8002703c: 40b6561b sraiw a2,a2,0xb + 80027040: 000e2f83 lw t6,0(t3) + 80027044: fff6061b addiw a2,a2,-1 + 80027048: 01d67633 and a2,a2,t4 + 8002704c: 40d5d59b sraiw a1,a1,0xd + 80027050: ffffee97 auipc t4,0xffffe + 80027054: 618e8e93 addi t4,t4,1560 # 80025668 <_ZL8GENPWRAPjh> + 80027058: fff7879b addiw a5,a5,-1 + 8002705c: fd010113 addi sp,sp,-48 + 80027060: 01e7f7b3 and a5,a5,t5 + 80027064: fff5859b addiw a1,a1,-1 + 80027068: 001a5f17 auipc t5,0x1a5 + 8002706c: 23df3823 sd t4,560(t5) # 801cc298 + 80027070: ffffee97 auipc t4,0xffffe + 80027074: 3fce8e93 addi t4,t4,1020 # 8002546c <_ZL8GENCWRAPjh> + 80027078: 02813023 sd s0,32(sp) + 8002707c: 00913c23 sd s1,24(sp) + 80027080: 01213823 sd s2,16(sp) + 80027084: 02113423 sd ra,40(sp) + 80027088: 01313423 sd s3,8(sp) + 8002708c: 00a6981b slliw a6,a3,0xa + 80027090: 001a5917 auipc s2,0x1a5 + 80027094: 1d890913 addi s2,s2,472 # 801cc268 + 80027098: 01f5f5b3 and a1,a1,t6 + 8002709c: 001a5f17 auipc t5,0x1a5 + 800270a0: 1fdf3223 sd t4,484(t5) # 801cc280 + 800270a4: ffffee97 auipc t4,0xffffe + 800270a8: 344e8e93 addi t4,t4,836 # 800253e8 <_ZL8GENMWRAPh> + 800270ac: 001a5f17 auipc t5,0x1a5 + 800270b0: 1fdf3223 sd t4,484(t5) # 801cc290 + 800270b4: 01092023 sw a6,0(s2) + 800270b8: 00be2023 sw a1,0(t3) + 800270bc: 00f32023 sw a5,0(t1) + 800270c0: 00c8a023 sw a2,0(a7) + 800270c4: 00050413 mv s0,a0 + 800270c8: 00070493 mv s1,a4 + 800270cc: 10069663 bnez a3,800271d8 <_Z12GenMMC3_InitP8CartInfoiiii+0x1c0> + 800270d0: 02048663 beqz s1,800270fc <_Z12GenMMC3_InitP8CartInfoiiii+0xe4> + 800270d4: 001a5717 auipc a4,0x1a5 + 800270d8: 1b570713 addi a4,a4,437 # 801cc289 + 800270dc: 00074783 lbu a5,0(a4) + 800270e0: 00092683 lw a3,0(s2) + 800270e4: 0027e793 ori a5,a5,2 + 800270e8: 00f70023 sb a5,0(a4) + 800270ec: 001a5797 auipc a5,0x1a5 + 800270f0: 1747b783 ld a5,372(a5) # 801cc260 + 800270f4: 00f43c23 sd a5,24(s0) + 800270f8: 02d42c23 sw a3,56(s0) + 800270fc: 0001f697 auipc a3,0x1f + 80027100: c5468693 addi a3,a3,-940 # 80045d50 <_ZL8prg_perm+0x40> + 80027104: 00000613 li a2,0 + 80027108: 00100593 li a1,1 + 8002710c: 001a5517 auipc a0,0x1a5 + 80027110: 17c50513 addi a0,a0,380 # 801cc288 + 80027114: efdd80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80027118: 00000693 li a3,0 + 8002711c: 00000613 li a2,0 + 80027120: fff00593 li a1,-1 + 80027124: 00026517 auipc a0,0x26 + 80027128: d5c50513 addi a0,a0,-676 # 8004ce80 <_ZL14MMC3_StateRegs> + 8002712c: ee5d80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80027130: fffff797 auipc a5,0xfffff + 80027134: 09078793 addi a5,a5,144 # 800261c0 <_Z12GenMMC3Powerv> + 80027138: 00f43023 sd a5,0(s0) + 8002713c: fffff797 auipc a5,0xfffff + 80027140: 02c78793 addi a5,a5,44 # 80026168 <_Z12MMC3RegResetv> + 80027144: 00f43423 sd a5,8(s0) + 80027148: 07842703 lw a4,120(s0) + 8002714c: ffffe797 auipc a5,0xffffe + 80027150: 2dc78793 addi a5,a5,732 # 80025428 <_ZL12GenMMC3Closev> + 80027154: 00f43823 sd a5,16(s0) + 80027158: 510487b7 lui a5,0x51048 + 8002715c: 33e78793 addi a5,a5,830 # 5104833e <_entry_offset+0x5104833e> + 80027160: 02f70e63 beq a4,a5,8002719c <_Z12GenMMC3_InitP8CartInfoiiii+0x184> + 80027164: 5a6867b7 lui a5,0x5a686 + 80027168: 0f178793 addi a5,a5,241 # 5a6860f1 <_entry_offset+0x5a6860f1> + 8002716c: 02f70863 beq a4,a5,8002719c <_Z12GenMMC3_InitP8CartInfoiiii+0x184> + 80027170: ae2817b7 lui a5,0xae281 + 80027174: e2078793 addi a5,a5,-480 # ffffffffae280e20 <_end+0xffffffff2e0abe20> + 80027178: 02f70263 beq a4,a5,8002719c <_Z12GenMMC3_InitP8CartInfoiiii+0x184> + 8002717c: fcd777b7 lui a5,0xfcd77 + 80027180: 2eb78793 addi a5,a5,747 # fffffffffcd772eb <_end+0xffffffff7cba22eb> + 80027184: 0af70663 beq a4,a5,80027230 <_Z12GenMMC3_InitP8CartInfoiiii+0x218> + 80027188: fffff797 auipc a5,0xfffff + 8002718c: a2878793 addi a5,a5,-1496 # 80025bb0 <_ZL7MMC3_hbv> + 80027190: 001a5717 auipc a4,0x1a5 + 80027194: 52f73823 sd a5,1328(a4) # 801cc6c0 + 80027198: 0140006f j 800271ac <_Z12GenMMC3_InitP8CartInfoiiii+0x194> + 8002719c: fffff797 auipc a5,0xfffff + 800271a0: a4478793 addi a5,a5,-1468 # 80025be0 <_ZL22MMC3_hb_KickMasterHackv> + 800271a4: 001a5717 auipc a4,0x1a5 + 800271a8: 50f73e23 sd a5,1308(a4) # 801cc6c0 + 800271ac: 02813083 ld ra,40(sp) + 800271b0: 02013403 ld s0,32(sp) + 800271b4: 00000797 auipc a5,0x0 + 800271b8: b2078793 addi a5,a5,-1248 # 80026cd4 <_Z14GenMMC3Restorei> + 800271bc: 001a5717 auipc a4,0x1a5 + 800271c0: 48f73e23 sd a5,1180(a4) # 801cc658 + 800271c4: 01813483 ld s1,24(sp) + 800271c8: 01013903 ld s2,16(sp) + 800271cc: 00813983 ld s3,8(sp) + 800271d0: 03010113 addi sp,sp,48 + 800271d4: 00008067 ret + 800271d8: 001a5717 auipc a4,0x1a5 + 800271dc: 0b170713 addi a4,a4,177 # 801cc289 + 800271e0: 00074783 lbu a5,0(a4) + 800271e4: 0008051b sext.w a0,a6 + 800271e8: 001a5997 auipc s3,0x1a5 + 800271ec: 07898993 addi s3,s3,120 # 801cc260 + 800271f0: 0017e793 ori a5,a5,1 + 800271f4: 00f70023 sb a5,0(a4) + 800271f8: a74eb0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800271fc: 00092603 lw a2,0(s2) + 80027200: 00050593 mv a1,a0 + 80027204: 00100693 li a3,1 + 80027208: 01000513 li a0,16 + 8002720c: 00b9b023 sd a1,0(s3) + 80027210: ae4e90ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80027214: 00092583 lw a1,0(s2) + 80027218: 0009b503 ld a0,0(s3) + 8002721c: 0001e697 auipc a3,0x1e + 80027220: 10c68693 addi a3,a3,268 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80027224: 00000613 li a2,0 + 80027228: de9d80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002722c: ea5ff06f j 800270d0 <_Z12GenMMC3_InitP8CartInfoiiii+0xb8> + 80027230: fffff797 auipc a5,0xfffff + 80027234: 98478793 addi a5,a5,-1660 # 80025bb4 <_ZL23MMC3_hb_PALStarWarsHackv> + 80027238: 001a5717 auipc a4,0x1a5 + 8002723c: 48f73423 sd a5,1160(a4) # 801cc6c0 + 80027240: f6dff06f j 800271ac <_Z12GenMMC3_InitP8CartInfoiiii+0x194> + +0000000080027244 <_Z12Mapper4_InitP8CartInfo>: + 80027244: 07852703 lw a4,120(a0) + 80027248: ff010113 addi sp,sp,-16 + 8002724c: 939917b7 lui a5,0x93991 + 80027250: 00813023 sd s0,0(sp) + 80027254: 00113423 sd ra,8(sp) + 80027258: 43378793 addi a5,a5,1075 # ffffffff93991433 <_end+0xffffffff137bc433> + 8002725c: 00050413 mv s0,a0 + 80027260: 04f70863 beq a4,a5,800272b0 <_Z12Mapper4_InitP8CartInfo+0x6c> + 80027264: af65b7b7 lui a5,0xaf65b + 80027268: a8478793 addi a5,a5,-1404 # ffffffffaf65aa84 <_end+0xffffffff2f485a84> + 8002726c: 00800693 li a3,8 + 80027270: 04f70063 beq a4,a5,800272b0 <_Z12Mapper4_InitP8CartInfo+0x6c> + 80027274: 04c42703 lw a4,76(s0) + 80027278: 00040513 mv a0,s0 + 8002727c: 10000613 li a2,256 + 80027280: 20000593 li a1,512 + 80027284: d95ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027288: 04842783 lw a5,72(s0) + 8002728c: fffff717 auipc a4,0xfffff + 80027290: 13470713 addi a4,a4,308 # 800263c0 <_ZL7M4Powerv> + 80027294: 00e43023 sd a4,0(s0) + 80027298: 00813083 ld ra,8(sp) + 8002729c: 00013403 ld s0,0(sp) + 800272a0: 001a5717 auipc a4,0x1a5 + 800272a4: fcf72c23 sw a5,-40(a4) # 801cc278 <_ZL6hackm4> + 800272a8: 01010113 addi sp,sp,16 + 800272ac: 00008067 ret + 800272b0: 0001f517 auipc a0,0x1f + 800272b4: aa850513 addi a0,a0,-1368 # 80045d58 <_ZL8prg_perm+0x48> + 800272b8: 290140ef jal ra,8003b548 + 800272bc: 00000693 li a3,0 + 800272c0: fb5ff06f j 80027274 <_Z12Mapper4_InitP8CartInfo+0x30> + +00000000800272c4 <_Z13Mapper12_InitP8CartInfo>: + 800272c4: 04c52703 lw a4,76(a0) + 800272c8: ff010113 addi sp,sp,-16 + 800272cc: 00800693 li a3,8 + 800272d0: 10000613 li a2,256 + 800272d4: 20000593 li a1,512 + 800272d8: 00813023 sd s0,0(sp) + 800272dc: 00113423 sd ra,8(sp) + 800272e0: 00050413 mv s0,a0 + 800272e4: d35ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800272e8: ffffe797 auipc a5,0xffffe + 800272ec: 18878793 addi a5,a5,392 # 80025470 <_ZL5M12CWjh> + 800272f0: 001a5717 auipc a4,0x1a5 + 800272f4: f8f73823 sd a5,-112(a4) # 801cc280 + 800272f8: fffff797 auipc a5,0xfffff + 800272fc: 0f878793 addi a5,a5,248 # 800263f0 <_ZL8M12Powerv> + 80027300: 00f43023 sd a5,0(s0) + 80027304: fffff797 auipc a5,0xfffff + 80027308: 4c078793 addi a5,a5,1216 # 800267c4 <_ZL8M12Resetv> + 8002730c: 00f43423 sd a5,8(s0) + 80027310: 00013403 ld s0,0(sp) + 80027314: 00813083 ld ra,8(sp) + 80027318: 00026797 auipc a5,0x26 + 8002731c: c407a023 sw zero,-960(a5) # 8004cf58 <_ZL6isRevB> + 80027320: 0001e697 auipc a3,0x1e + 80027324: 1d068693 addi a3,a3,464 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027328: 00000613 li a2,0 + 8002732c: 00200593 li a1,2 + 80027330: 001a5517 auipc a0,0x1a5 + 80027334: f2050513 addi a0,a0,-224 # 801cc250 + 80027338: 01010113 addi sp,sp,16 + 8002733c: cd5d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027340 <_Z13Mapper37_InitP8CartInfo>: + 80027340: 04c52703 lw a4,76(a0) + 80027344: ff010113 addi sp,sp,-16 + 80027348: 00800693 li a3,8 + 8002734c: 10000613 li a2,256 + 80027350: 20000593 li a1,512 + 80027354: 00813023 sd s0,0(sp) + 80027358: 00113423 sd ra,8(sp) + 8002735c: 00050413 mv s0,a0 + 80027360: cb9ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027364: ffffe797 auipc a5,0xffffe + 80027368: 32078793 addi a5,a5,800 # 80025684 <_ZL5M37PWjh> + 8002736c: 001a5717 auipc a4,0x1a5 + 80027370: f2f73623 sd a5,-212(a4) # 801cc298 + 80027374: ffffe797 auipc a5,0xffffe + 80027378: 12078793 addi a5,a5,288 # 80025494 <_ZL5M37CWjh> + 8002737c: 001a5717 auipc a4,0x1a5 + 80027380: f0f73223 sd a5,-252(a4) # 801cc280 + 80027384: fffff797 auipc a5,0xfffff + 80027388: 0d478793 addi a5,a5,212 # 80026458 <_ZL8M37Powerv> + 8002738c: 00f43023 sd a5,0(s0) + 80027390: fffff797 auipc a5,0xfffff + 80027394: 45078793 addi a5,a5,1104 # 800267e0 <_ZL8M37Resetv> + 80027398: 00f43423 sd a5,8(s0) + 8002739c: 00013403 ld s0,0(sp) + 800273a0: 00813083 ld ra,8(sp) + 800273a4: 0001e697 auipc a3,0x1e + 800273a8: 14c68693 addi a3,a3,332 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 800273ac: 00000613 li a2,0 + 800273b0: 00100593 li a1,1 + 800273b4: 001a5517 auipc a0,0x1a5 + 800273b8: e9c50513 addi a0,a0,-356 # 801cc250 + 800273bc: 01010113 addi sp,sp,16 + 800273c0: c51d806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800273c4 <_Z13Mapper44_InitP8CartInfo>: + 800273c4: 04c52703 lw a4,76(a0) + 800273c8: ff010113 addi sp,sp,-16 + 800273cc: 00800693 li a3,8 + 800273d0: 10000613 li a2,256 + 800273d4: 20000593 li a1,512 + 800273d8: 00813023 sd s0,0(sp) + 800273dc: 00113423 sd ra,8(sp) + 800273e0: 00050413 mv s0,a0 + 800273e4: c35ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800273e8: ffffe797 auipc a5,0xffffe + 800273ec: 0c878793 addi a5,a5,200 # 800254b0 <_ZL5M44CWjh> + 800273f0: 001a5717 auipc a4,0x1a5 + 800273f4: e8f73823 sd a5,-368(a4) # 801cc280 + 800273f8: ffffe797 auipc a5,0xffffe + 800273fc: 2b478793 addi a5,a5,692 # 800256ac <_ZL5M44PWjh> + 80027400: 001a5717 auipc a4,0x1a5 + 80027404: e8f73c23 sd a5,-360(a4) # 801cc298 + 80027408: fffff797 auipc a5,0xfffff + 8002740c: 08478793 addi a5,a5,132 # 8002648c <_ZL8M44Powerv> + 80027410: 00f43023 sd a5,0(s0) + 80027414: 00013403 ld s0,0(sp) + 80027418: 00813083 ld ra,8(sp) + 8002741c: 0001e697 auipc a3,0x1e + 80027420: 0d468693 addi a3,a3,212 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027424: 00000613 li a2,0 + 80027428: 00100593 li a1,1 + 8002742c: 001a5517 auipc a0,0x1a5 + 80027430: e2450513 addi a0,a0,-476 # 801cc250 + 80027434: 01010113 addi sp,sp,16 + 80027438: bd9d806f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002743c <_Z13Mapper45_InitP8CartInfo>: + 8002743c: 04c52703 lw a4,76(a0) + 80027440: ff010113 addi sp,sp,-16 + 80027444: 00800693 li a3,8 + 80027448: 10000613 li a2,256 + 8002744c: 20000593 li a1,512 + 80027450: 00813023 sd s0,0(sp) + 80027454: 00113423 sd ra,8(sp) + 80027458: 00050413 mv s0,a0 + 8002745c: bbdff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027460: ffffe797 auipc a5,0xffffe + 80027464: 7ac78793 addi a5,a5,1964 # 80025c0c <_ZL5M45CWjh> + 80027468: 001a5717 auipc a4,0x1a5 + 8002746c: e0f73c23 sd a5,-488(a4) # 801cc280 + 80027470: ffffe797 auipc a5,0xffffe + 80027474: 26078793 addi a5,a5,608 # 800256d0 <_ZL5M45PWjh> + 80027478: 001a5717 auipc a4,0x1a5 + 8002747c: e2f73023 sd a5,-480(a4) # 801cc298 + 80027480: fffff797 auipc a5,0xfffff + 80027484: 37878793 addi a5,a5,888 # 800267f8 <_ZL8M45Resetv> + 80027488: 00f43423 sd a5,8(s0) + 8002748c: fffff797 auipc a5,0xfffff + 80027490: 03478793 addi a5,a5,52 # 800264c0 <_ZL8M45Powerv> + 80027494: 00f43023 sd a5,0(s0) + 80027498: 00013403 ld s0,0(sp) + 8002749c: 00813083 ld ra,8(sp) + 800274a0: 0001e697 auipc a3,0x1e + 800274a4: 05068693 addi a3,a3,80 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 800274a8: 00000613 li a2,0 + 800274ac: 00500593 li a1,5 + 800274b0: 001a5517 auipc a0,0x1a5 + 800274b4: da050513 addi a0,a0,-608 # 801cc250 + 800274b8: 01010113 addi sp,sp,16 + 800274bc: b55d806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800274c0 <_Z13Mapper47_InitP8CartInfo>: + 800274c0: ff010113 addi sp,sp,-16 + 800274c4: 00000713 li a4,0 + 800274c8: 00800693 li a3,8 + 800274cc: 10000613 li a2,256 + 800274d0: 20000593 li a1,512 + 800274d4: 00813023 sd s0,0(sp) + 800274d8: 00113423 sd ra,8(sp) + 800274dc: 00050413 mv s0,a0 + 800274e0: b39ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800274e4: ffffe797 auipc a5,0xffffe + 800274e8: 23478793 addi a5,a5,564 # 80025718 <_ZL5M47PWjh> + 800274ec: 001a5717 auipc a4,0x1a5 + 800274f0: daf73623 sd a5,-596(a4) # 801cc298 + 800274f4: ffffe797 auipc a5,0xffffe + 800274f8: fdc78793 addi a5,a5,-36 # 800254d0 <_ZL5M47CWjh> + 800274fc: 001a5717 auipc a4,0x1a5 + 80027500: d8f73223 sd a5,-636(a4) # 801cc280 + 80027504: fffff797 auipc a5,0xfffff + 80027508: 01078793 addi a5,a5,16 # 80026514 <_ZL8M47Powerv> + 8002750c: 00f43023 sd a5,0(s0) + 80027510: 00013403 ld s0,0(sp) + 80027514: 00813083 ld ra,8(sp) + 80027518: 0001e697 auipc a3,0x1e + 8002751c: fd868693 addi a3,a3,-40 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027520: 00000613 li a2,0 + 80027524: 00100593 li a1,1 + 80027528: 001a5517 auipc a0,0x1a5 + 8002752c: d2850513 addi a0,a0,-728 # 801cc250 + 80027530: 01010113 addi sp,sp,16 + 80027534: addd806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027538 <_Z13Mapper49_InitP8CartInfo>: + 80027538: ff010113 addi sp,sp,-16 + 8002753c: 00000713 li a4,0 + 80027540: 00000693 li a3,0 + 80027544: 10000613 li a2,256 + 80027548: 20000593 li a1,512 + 8002754c: 00813023 sd s0,0(sp) + 80027550: 00113423 sd ra,8(sp) + 80027554: 00050413 mv s0,a0 + 80027558: ac1ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002755c: ffffe797 auipc a5,0xffffe + 80027560: f9078793 addi a5,a5,-112 # 800254ec <_ZL5M49CWjh> + 80027564: 001a5717 auipc a4,0x1a5 + 80027568: d0f73e23 sd a5,-740(a4) # 801cc280 + 8002756c: ffffe797 auipc a5,0xffffe + 80027570: 30878793 addi a5,a5,776 # 80025874 <_ZL5M49PWjh> + 80027574: 001a5717 auipc a4,0x1a5 + 80027578: d2f73223 sd a5,-732(a4) # 801cc298 + 8002757c: fffff797 auipc a5,0xfffff + 80027580: 27078793 addi a5,a5,624 # 800267ec <_ZL8M49Resetv> + 80027584: 00f43423 sd a5,8(s0) + 80027588: fffff797 auipc a5,0xfffff + 8002758c: 29478793 addi a5,a5,660 # 8002681c <_ZL8M49Powerv> + 80027590: 00f43023 sd a5,0(s0) + 80027594: 00013403 ld s0,0(sp) + 80027598: 00813083 ld ra,8(sp) + 8002759c: 0001e697 auipc a3,0x1e + 800275a0: f5468693 addi a3,a3,-172 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 800275a4: 00000613 li a2,0 + 800275a8: 00100593 li a1,1 + 800275ac: 001a5517 auipc a0,0x1a5 + 800275b0: ca450513 addi a0,a0,-860 # 801cc250 + 800275b4: 01010113 addi sp,sp,16 + 800275b8: a59d806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800275bc <_Z13Mapper52_InitP8CartInfo>: + 800275bc: 04c52703 lw a4,76(a0) + 800275c0: ff010113 addi sp,sp,-16 + 800275c4: 00800693 li a3,8 + 800275c8: 10000613 li a2,256 + 800275cc: 10000593 li a1,256 + 800275d0: 00813023 sd s0,0(sp) + 800275d4: 00113423 sd ra,8(sp) + 800275d8: 00050413 mv s0,a0 + 800275dc: a3dff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800275e0: ffffe797 auipc a5,0xffffe + 800275e4: f2878793 addi a5,a5,-216 # 80025508 <_ZL5M52CWjh> + 800275e8: 001a5717 auipc a4,0x1a5 + 800275ec: c8f73c23 sd a5,-872(a4) # 801cc280 + 800275f0: ffffe797 auipc a5,0xffffe + 800275f4: 14478793 addi a5,a5,324 # 80025734 <_ZL5M52PWjh> + 800275f8: 001a5717 auipc a4,0x1a5 + 800275fc: caf73023 sd a5,-864(a4) # 801cc298 + 80027600: fffff797 auipc a5,0xfffff + 80027604: 26c78793 addi a5,a5,620 # 8002686c <_ZL8M52Resetv> + 80027608: 00f43423 sd a5,8(s0) + 8002760c: fffff797 auipc a5,0xfffff + 80027610: 26c78793 addi a5,a5,620 # 80026878 <_ZL8M52Powerv> + 80027614: 00f43023 sd a5,0(s0) + 80027618: 00013403 ld s0,0(sp) + 8002761c: 00813083 ld ra,8(sp) + 80027620: 0001e697 auipc a3,0x1e + 80027624: ed068693 addi a3,a3,-304 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027628: 00000613 li a2,0 + 8002762c: 00200593 li a1,2 + 80027630: 001a5517 auipc a0,0x1a5 + 80027634: c2050513 addi a0,a0,-992 # 801cc250 + 80027638: 01010113 addi sp,sp,16 + 8002763c: 9d5d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027640 <_Z13Mapper76_InitP8CartInfo>: + 80027640: ff010113 addi sp,sp,-16 + 80027644: 00000713 li a4,0 + 80027648: 00000693 li a3,0 + 8002764c: 08000613 li a2,128 + 80027650: 08000593 li a1,128 + 80027654: 00113423 sd ra,8(sp) + 80027658: 9c1ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002765c: 00813083 ld ra,8(sp) + 80027660: ffffe797 auipc a5,0xffffe + 80027664: 64878793 addi a5,a5,1608 # 80025ca8 <_ZL5M76CWjh> + 80027668: 001a5717 auipc a4,0x1a5 + 8002766c: c0f73c23 sd a5,-1000(a4) # 801cc280 + 80027670: 01010113 addi sp,sp,16 + 80027674: 00008067 ret + +0000000080027678 <_Z13Mapper74_InitP8CartInfo>: + 80027678: 04c52703 lw a4,76(a0) + 8002767c: fe010113 addi sp,sp,-32 + 80027680: 00800693 li a3,8 + 80027684: 10000613 li a2,256 + 80027688: 20000593 li a1,512 + 8002768c: 00113c23 sd ra,24(sp) + 80027690: 00813823 sd s0,16(sp) + 80027694: 00913423 sd s1,8(sp) + 80027698: 981ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002769c: ffffe797 auipc a5,0xffffe + 800276a0: 21078793 addi a5,a5,528 # 800258ac <_ZL5M74CWjh> + 800276a4: 001a5717 auipc a4,0x1a5 + 800276a8: bcf73e23 sd a5,-1060(a4) # 801cc280 + 800276ac: 00001537 lui a0,0x1 + 800276b0: 000017b7 lui a5,0x1 + 800276b4: 001a5417 auipc s0,0x1a5 + 800276b8: b8c40413 addi s0,s0,-1140 # 801cc240 + 800276bc: 8007879b addiw a5,a5,-2048 + 800276c0: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 800276c4: 00f42023 sw a5,0(s0) + 800276c8: da5ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800276cc: 00042603 lw a2,0(s0) + 800276d0: 00050593 mv a1,a0 + 800276d4: 001a5497 auipc s1,0x1a5 + 800276d8: b6448493 addi s1,s1,-1180 # 801cc238 + 800276dc: 00100693 li a3,1 + 800276e0: 01000513 li a0,16 + 800276e4: 00b4b023 sd a1,0(s1) + 800276e8: edde80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 800276ec: 00042583 lw a1,0(s0) + 800276f0: 01013403 ld s0,16(sp) + 800276f4: 0004b503 ld a0,0(s1) + 800276f8: 01813083 ld ra,24(sp) + 800276fc: 00813483 ld s1,8(sp) + 80027700: 0001b697 auipc a3,0x1b + 80027704: a4868693 addi a3,a3,-1464 # 80042148 <_ZL9C96000PAL+0x738> + 80027708: 00000613 li a2,0 + 8002770c: 02010113 addi sp,sp,32 + 80027710: 901d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027714 <_Z14Mapper114_InitP8CartInfo>: + 80027714: ff010113 addi sp,sp,-16 + 80027718: 00000713 li a4,0 + 8002771c: 00000693 li a3,0 + 80027720: 10000613 li a2,256 + 80027724: 10000593 li a1,256 + 80027728: 00113423 sd ra,8(sp) + 8002772c: 00813023 sd s0,0(sp) + 80027730: 00026797 auipc a5,0x26 + 80027734: 8207a423 sw zero,-2008(a5) # 8004cf58 <_ZL6isRevB> + 80027738: 00050413 mv s0,a0 + 8002773c: 8ddff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027740: ffffe797 auipc a5,0xffffe + 80027744: 23878793 addi a5,a5,568 # 80025978 <_ZL9M114PWRAPjh> + 80027748: 001a5717 auipc a4,0x1a5 + 8002774c: b4f73823 sd a5,-1200(a4) # 801cc298 + 80027750: fffff797 auipc a5,0xfffff + 80027754: df878793 addi a5,a5,-520 # 80026548 <_ZL9M114Powerv> + 80027758: 00f43023 sd a5,0(s0) + 8002775c: fffff797 auipc a5,0xfffff + 80027760: 09478793 addi a5,a5,148 # 800267f0 <_ZL9M114Resetv> + 80027764: 0001e697 auipc a3,0x1e + 80027768: d8c68693 addi a3,a3,-628 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8002776c: 00000613 li a2,0 + 80027770: 00100593 li a1,1 + 80027774: 001a5517 auipc a0,0x1a5 + 80027778: adc50513 addi a0,a0,-1316 # 801cc250 + 8002777c: 00f43423 sd a5,8(s0) + 80027780: 891d80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80027784: 00013403 ld s0,0(sp) + 80027788: 00813083 ld ra,8(sp) + 8002778c: 0001e697 auipc a3,0x1e + 80027790: 44468693 addi a3,a3,1092 # 80045bd0 <_ZZL5Do5SQiE3tal+0x90> + 80027794: 00000613 li a2,0 + 80027798: 00100593 li a1,1 + 8002779c: 001a5517 auipc a0,0x1a5 + 800277a0: ad050513 addi a0,a0,-1328 # 801cc26c <_ZL5cmdin> + 800277a4: 01010113 addi sp,sp,16 + 800277a8: 869d806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800277ac <_Z14Mapper115_InitP8CartInfo>: + 800277ac: ff010113 addi sp,sp,-16 + 800277b0: 00000713 li a4,0 + 800277b4: 00000693 li a3,0 + 800277b8: 20000613 li a2,512 + 800277bc: 08000593 li a1,128 + 800277c0: 00813023 sd s0,0(sp) + 800277c4: 00113423 sd ra,8(sp) + 800277c8: 00050413 mv s0,a0 + 800277cc: 84dff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800277d0: ffffe797 auipc a5,0xffffe + 800277d4: d8078793 addi a5,a5,-640 # 80025550 <_ZL6M115CWjh> + 800277d8: 001a5717 auipc a4,0x1a5 + 800277dc: aaf73423 sd a5,-1368(a4) # 801cc280 + 800277e0: ffffe797 auipc a5,0xffffe + 800277e4: 1f878793 addi a5,a5,504 # 800259d8 <_ZL6M115PWjh> + 800277e8: 001a5717 auipc a4,0x1a5 + 800277ec: aaf73823 sd a5,-1360(a4) # 801cc298 + 800277f0: fffff797 auipc a5,0xfffff + 800277f4: d9c78793 addi a5,a5,-612 # 8002658c <_ZL9M115Powerv> + 800277f8: 00f43023 sd a5,0(s0) + 800277fc: 00013403 ld s0,0(sp) + 80027800: 00813083 ld ra,8(sp) + 80027804: 0001e697 auipc a3,0x1e + 80027808: cec68693 addi a3,a3,-788 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8002780c: 00000613 li a2,0 + 80027810: 00300593 li a1,3 + 80027814: 001a5517 auipc a0,0x1a5 + 80027818: a3c50513 addi a0,a0,-1476 # 801cc250 + 8002781c: 01010113 addi sp,sp,16 + 80027820: ff0d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027824 <_Z14Mapper134_InitP8CartInfo>: + 80027824: ff010113 addi sp,sp,-16 + 80027828: 00000713 li a4,0 + 8002782c: 00000693 li a3,0 + 80027830: 10000613 li a2,256 + 80027834: 10000593 li a1,256 + 80027838: 00813023 sd s0,0(sp) + 8002783c: 00113423 sd ra,8(sp) + 80027840: 00050413 mv s0,a0 + 80027844: fd4ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027848: ffffe797 auipc a5,0xffffe + 8002784c: f2c78793 addi a5,a5,-212 # 80025774 <_ZL6M134PWjh> + 80027850: 001a5717 auipc a4,0x1a5 + 80027854: a4f73423 sd a5,-1464(a4) # 801cc298 + 80027858: ffffe797 auipc a5,0xffffe + 8002785c: d1078793 addi a5,a5,-752 # 80025568 <_ZL6M134CWjh> + 80027860: 001a5717 auipc a4,0x1a5 + 80027864: a2f73023 sd a5,-1504(a4) # 801cc280 + 80027868: fffff797 auipc a5,0xfffff + 8002786c: d6c78793 addi a5,a5,-660 # 800265d4 <_ZL9M134Powerv> + 80027870: 00f43023 sd a5,0(s0) + 80027874: fffff797 auipc a5,0xfffff + 80027878: f8078793 addi a5,a5,-128 # 800267f4 <_ZL9M134Resetv> + 8002787c: 00f43423 sd a5,8(s0) + 80027880: 00013403 ld s0,0(sp) + 80027884: 00813083 ld ra,8(sp) + 80027888: 0001e697 auipc a3,0x1e + 8002788c: c6868693 addi a3,a3,-920 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027890: 00000613 li a2,0 + 80027894: 00400593 li a1,4 + 80027898: 001a5517 auipc a0,0x1a5 + 8002789c: 9b850513 addi a0,a0,-1608 # 801cc250 + 800278a0: 01010113 addi sp,sp,16 + 800278a4: f6cd806f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800278a8 <_Z14Mapper165_InitP8CartInfo>: + 800278a8: 04c52703 lw a4,76(a0) + 800278ac: fe010113 addi sp,sp,-32 + 800278b0: 00800693 li a3,8 + 800278b4: 08000613 li a2,128 + 800278b8: 20000593 li a1,512 + 800278bc: 00113c23 sd ra,24(sp) + 800278c0: 00813823 sd s0,16(sp) + 800278c4: 00913423 sd s1,8(sp) + 800278c8: 00050413 mv s0,a0 + 800278cc: f4cff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 800278d0: ffffe797 auipc a5,0xffffe + 800278d4: 4cc78793 addi a5,a5,1228 # 80025d9c <_ZL7M165CWMjh> + 800278d8: 001a5717 auipc a4,0x1a5 + 800278dc: 9af73423 sd a5,-1624(a4) # 801cc280 + 800278e0: ffffe797 auipc a5,0xffffe + 800278e4: 47c78793 addi a5,a5,1148 # 80025d5c <_ZL7M165PPUj> + 800278e8: 001a5717 auipc a4,0x1a5 + 800278ec: e2f73023 sd a5,-480(a4) # 801cc708 + 800278f0: fffff797 auipc a5,0xfffff + 800278f4: d1878793 addi a5,a5,-744 # 80026608 <_ZL9M165Powerv> + 800278f8: 001a5497 auipc s1,0x1a5 + 800278fc: 94848493 addi s1,s1,-1720 # 801cc240 + 80027900: 00f43023 sd a5,0(s0) + 80027904: 00001537 lui a0,0x1 + 80027908: 000017b7 lui a5,0x1 + 8002790c: 00f4a023 sw a5,0(s1) + 80027910: b5dea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80027914: 0004a603 lw a2,0(s1) + 80027918: 00050593 mv a1,a0 + 8002791c: 001a5417 auipc s0,0x1a5 + 80027920: 91c40413 addi s0,s0,-1764 # 801cc238 + 80027924: 00100693 li a3,1 + 80027928: 01000513 li a0,16 + 8002792c: 00b43023 sd a1,0(s0) + 80027930: c95e80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80027934: 0004a583 lw a1,0(s1) + 80027938: 00043503 ld a0,0(s0) + 8002793c: 0001b697 auipc a3,0x1b + 80027940: 80c68693 addi a3,a3,-2036 # 80042148 <_ZL9C96000PAL+0x738> + 80027944: 00000613 li a2,0 + 80027948: ec8d80ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002794c: 01013403 ld s0,16(sp) + 80027950: 01813083 ld ra,24(sp) + 80027954: 00813483 ld s1,8(sp) + 80027958: 0001e697 auipc a3,0x1e + 8002795c: b9868693 addi a3,a3,-1128 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027960: 00000613 li a2,0 + 80027964: 00400593 li a1,4 + 80027968: 001a5517 auipc a0,0x1a5 + 8002796c: 8e850513 addi a0,a0,-1816 # 801cc250 + 80027970: 02010113 addi sp,sp,32 + 80027974: e9cd806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027978 <_Z14Mapper191_InitP8CartInfo>: + 80027978: 04c52703 lw a4,76(a0) + 8002797c: fe010113 addi sp,sp,-32 + 80027980: 00800693 li a3,8 + 80027984: 10000613 li a2,256 + 80027988: 10000593 li a1,256 + 8002798c: 00113c23 sd ra,24(sp) + 80027990: 00813823 sd s0,16(sp) + 80027994: 00913423 sd s1,8(sp) + 80027998: e80ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002799c: ffffe797 auipc a5,0xffffe + 800279a0: f5478793 addi a5,a5,-172 # 800258f0 <_ZL6M191CWjh> + 800279a4: 001a5717 auipc a4,0x1a5 + 800279a8: 8cf73e23 sd a5,-1828(a4) # 801cc280 + 800279ac: 00001537 lui a0,0x1 + 800279b0: 000017b7 lui a5,0x1 + 800279b4: 001a5417 auipc s0,0x1a5 + 800279b8: 88c40413 addi s0,s0,-1908 # 801cc240 + 800279bc: 8007879b addiw a5,a5,-2048 + 800279c0: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 800279c4: 00f42023 sw a5,0(s0) + 800279c8: aa5ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800279cc: 00042603 lw a2,0(s0) + 800279d0: 00050593 mv a1,a0 + 800279d4: 001a5497 auipc s1,0x1a5 + 800279d8: 86448493 addi s1,s1,-1948 # 801cc238 + 800279dc: 00100693 li a3,1 + 800279e0: 01000513 li a0,16 + 800279e4: 00b4b023 sd a1,0(s1) + 800279e8: bdde80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 800279ec: 00042583 lw a1,0(s0) + 800279f0: 01013403 ld s0,16(sp) + 800279f4: 0004b503 ld a0,0(s1) + 800279f8: 01813083 ld ra,24(sp) + 800279fc: 00813483 ld s1,8(sp) + 80027a00: 0001a697 auipc a3,0x1a + 80027a04: 74868693 addi a3,a3,1864 # 80042148 <_ZL9C96000PAL+0x738> + 80027a08: 00000613 li a2,0 + 80027a0c: 02010113 addi sp,sp,32 + 80027a10: e00d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027a14 <_Z14Mapper192_InitP8CartInfo>: + 80027a14: 04c52703 lw a4,76(a0) + 80027a18: fe010113 addi sp,sp,-32 + 80027a1c: 00800693 li a3,8 + 80027a20: 10000613 li a2,256 + 80027a24: 20000593 li a1,512 + 80027a28: 00113c23 sd ra,24(sp) + 80027a2c: 00813823 sd s0,16(sp) + 80027a30: 00913423 sd s1,8(sp) + 80027a34: de4ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027a38: ffffe797 auipc a5,0xffffe + 80027a3c: ecc78793 addi a5,a5,-308 # 80025904 <_ZL6M192CWjh> + 80027a40: 001a5417 auipc s0,0x1a5 + 80027a44: 80040413 addi s0,s0,-2048 # 801cc240 + 80027a48: 001a5717 auipc a4,0x1a5 + 80027a4c: 82f73c23 sd a5,-1992(a4) # 801cc280 + 80027a50: 00001537 lui a0,0x1 + 80027a54: 000017b7 lui a5,0x1 + 80027a58: 00f42023 sw a5,0(s0) + 80027a5c: a11ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80027a60: 00042603 lw a2,0(s0) + 80027a64: 00050593 mv a1,a0 + 80027a68: 001a4497 auipc s1,0x1a4 + 80027a6c: 7d048493 addi s1,s1,2000 # 801cc238 + 80027a70: 00100693 li a3,1 + 80027a74: 01000513 li a0,16 + 80027a78: 00b4b023 sd a1,0(s1) + 80027a7c: b49e80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80027a80: 00042583 lw a1,0(s0) + 80027a84: 01013403 ld s0,16(sp) + 80027a88: 0004b503 ld a0,0(s1) + 80027a8c: 01813083 ld ra,24(sp) + 80027a90: 00813483 ld s1,8(sp) + 80027a94: 0001a697 auipc a3,0x1a + 80027a98: 6b468693 addi a3,a3,1716 # 80042148 <_ZL9C96000PAL+0x738> + 80027a9c: 00000613 li a2,0 + 80027aa0: 02010113 addi sp,sp,32 + 80027aa4: d6cd806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027aa8 <_Z14Mapper194_InitP8CartInfo>: + 80027aa8: 04c52703 lw a4,76(a0) # 104c <_entry_offset+0x104c> + 80027aac: fe010113 addi sp,sp,-32 + 80027ab0: 00800693 li a3,8 + 80027ab4: 10000613 li a2,256 + 80027ab8: 20000593 li a1,512 + 80027abc: 00113c23 sd ra,24(sp) + 80027ac0: 00813823 sd s0,16(sp) + 80027ac4: 00913423 sd s1,8(sp) + 80027ac8: d50ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027acc: ffffe797 auipc a5,0xffffe + 80027ad0: e6478793 addi a5,a5,-412 # 80025930 <_ZL6M194CWjh> + 80027ad4: 001a4717 auipc a4,0x1a4 + 80027ad8: 7af73623 sd a5,1964(a4) # 801cc280 + 80027adc: 00001537 lui a0,0x1 + 80027ae0: 000017b7 lui a5,0x1 + 80027ae4: 001a4417 auipc s0,0x1a4 + 80027ae8: 75c40413 addi s0,s0,1884 # 801cc240 + 80027aec: 8007879b addiw a5,a5,-2048 + 80027af0: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 80027af4: 00f42023 sw a5,0(s0) + 80027af8: 975ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80027afc: 00042603 lw a2,0(s0) + 80027b00: 00050593 mv a1,a0 + 80027b04: 001a4497 auipc s1,0x1a4 + 80027b08: 73448493 addi s1,s1,1844 # 801cc238 + 80027b0c: 00100693 li a3,1 + 80027b10: 01000513 li a0,16 + 80027b14: 00b4b023 sd a1,0(s1) + 80027b18: aade80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80027b1c: 00042583 lw a1,0(s0) + 80027b20: 01013403 ld s0,16(sp) + 80027b24: 0004b503 ld a0,0(s1) + 80027b28: 01813083 ld ra,24(sp) + 80027b2c: 00813483 ld s1,8(sp) + 80027b30: 0001a697 auipc a3,0x1a + 80027b34: 61868693 addi a3,a3,1560 # 80042148 <_ZL9C96000PAL+0x738> + 80027b38: 00000613 li a2,0 + 80027b3c: 02010113 addi sp,sp,32 + 80027b40: cd0d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027b44 <_Z14Mapper195_InitP8CartInfo>: + 80027b44: 04c52703 lw a4,76(a0) + 80027b48: fe010113 addi sp,sp,-32 + 80027b4c: 01000693 li a3,16 + 80027b50: 10000613 li a2,256 + 80027b54: 20000593 li a1,512 + 80027b58: 00113c23 sd ra,24(sp) + 80027b5c: 00813823 sd s0,16(sp) + 80027b60: 00913423 sd s1,8(sp) + 80027b64: 00050413 mv s0,a0 + 80027b68: cb0ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027b6c: ffffe797 auipc a5,0xffffe + 80027b70: de878793 addi a5,a5,-536 # 80025954 <_ZL6M195CWjh> + 80027b74: 001a4717 auipc a4,0x1a4 + 80027b78: 70f73623 sd a5,1804(a4) # 801cc280 + 80027b7c: fffff797 auipc a5,0xfffff + 80027b80: a9c78793 addi a5,a5,-1380 # 80026618 <_ZL9M195Powerv> + 80027b84: 001a4497 auipc s1,0x1a4 + 80027b88: 6bc48493 addi s1,s1,1724 # 801cc240 + 80027b8c: 00f43023 sd a5,0(s0) + 80027b90: 00001537 lui a0,0x1 + 80027b94: 000017b7 lui a5,0x1 + 80027b98: 00f4a023 sw a5,0(s1) + 80027b9c: 8d1ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80027ba0: 0004a603 lw a2,0(s1) + 80027ba4: 00050593 mv a1,a0 + 80027ba8: 001a4417 auipc s0,0x1a4 + 80027bac: 69040413 addi s0,s0,1680 # 801cc238 + 80027bb0: 00100693 li a3,1 + 80027bb4: 01000513 li a0,16 + 80027bb8: 00b43023 sd a1,0(s0) + 80027bbc: a09e80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80027bc0: 00043503 ld a0,0(s0) + 80027bc4: 01013403 ld s0,16(sp) + 80027bc8: 0004a583 lw a1,0(s1) + 80027bcc: 01813083 ld ra,24(sp) + 80027bd0: 00813483 ld s1,8(sp) + 80027bd4: 0001a697 auipc a3,0x1a + 80027bd8: 57468693 addi a3,a3,1396 # 80042148 <_ZL9C96000PAL+0x738> + 80027bdc: 00000613 li a2,0 + 80027be0: 02010113 addi sp,sp,32 + 80027be4: c2cd806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027be8 <_Z14Mapper196_InitP8CartInfo>: + 80027be8: ff010113 addi sp,sp,-16 + 80027bec: 00000713 li a4,0 + 80027bf0: 00000693 li a3,0 + 80027bf4: 08000613 li a2,128 + 80027bf8: 08000593 li a1,128 + 80027bfc: 00813023 sd s0,0(sp) + 80027c00: 00113423 sd ra,8(sp) + 80027c04: 00050413 mv s0,a0 + 80027c08: c10ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027c0c: ffffe797 auipc a5,0xffffe + 80027c10: 07c78793 addi a5,a5,124 # 80025c88 <_ZL6M196PWjh> + 80027c14: 001a4717 auipc a4,0x1a4 + 80027c18: 68f73223 sd a5,1668(a4) # 801cc298 + 80027c1c: fffff797 auipc a5,0xfffff + 80027c20: a5478793 addi a5,a5,-1452 # 80026670 <_ZL14Mapper196Powerv> + 80027c24: 00813083 ld ra,8(sp) + 80027c28: 00f43023 sd a5,0(s0) + 80027c2c: 00013403 ld s0,0(sp) + 80027c30: 01010113 addi sp,sp,16 + 80027c34: 00008067 ret + +0000000080027c38 <_Z14Mapper197_InitP8CartInfo>: + 80027c38: ff010113 addi sp,sp,-16 + 80027c3c: 00000713 li a4,0 + 80027c40: 00800693 li a3,8 + 80027c44: 20000613 li a2,512 + 80027c48: 08000593 li a1,128 + 80027c4c: 00113423 sd ra,8(sp) + 80027c50: bc8ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027c54: 00813083 ld ra,8(sp) + 80027c58: ffffe797 auipc a5,0xffffe + 80027c5c: 1dc78793 addi a5,a5,476 # 80025e34 <_ZL6M197CWjh> + 80027c60: 001a4717 auipc a4,0x1a4 + 80027c64: 62f73023 sd a5,1568(a4) # 801cc280 + 80027c68: 01010113 addi sp,sp,16 + 80027c6c: 00008067 ret + +0000000080027c70 <_Z14Mapper198_InitP8CartInfo>: + 80027c70: 04c52703 lw a4,76(a0) # 104c <_entry_offset+0x104c> + 80027c74: ff010113 addi sp,sp,-16 + 80027c78: 01000693 li a3,16 + 80027c7c: 00000613 li a2,0 + 80027c80: 40000593 li a1,1024 + 80027c84: 00813023 sd s0,0(sp) + 80027c88: 00113423 sd ra,8(sp) + 80027c8c: 00050413 mv s0,a0 + 80027c90: b88ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027c94: ffffe797 auipc a5,0xffffe + 80027c98: afc78793 addi a5,a5,-1284 # 80025790 <_ZL6M198PWjh> + 80027c9c: 001a4717 auipc a4,0x1a4 + 80027ca0: 5ef73e23 sd a5,1532(a4) # 801cc298 + 80027ca4: fffff797 auipc a5,0xfffff + 80027ca8: 97478793 addi a5,a5,-1676 # 80026618 <_ZL9M195Powerv> + 80027cac: 00813083 ld ra,8(sp) + 80027cb0: 00f43023 sd a5,0(s0) + 80027cb4: 00013403 ld s0,0(sp) + 80027cb8: 01010113 addi sp,sp,16 + 80027cbc: 00008067 ret + +0000000080027cc0 <_Z14Mapper205_InitP8CartInfo>: + 80027cc0: ff010113 addi sp,sp,-16 + 80027cc4: 00000713 li a4,0 + 80027cc8: 00800693 li a3,8 + 80027ccc: 08000613 li a2,128 + 80027cd0: 08000593 li a1,128 + 80027cd4: 00813023 sd s0,0(sp) + 80027cd8: 00113423 sd ra,8(sp) + 80027cdc: 00050413 mv s0,a0 + 80027ce0: b38ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027ce4: ffffe797 auipc a5,0xffffe + 80027ce8: ac078793 addi a5,a5,-1344 # 800257a4 <_ZL6M205PWjh> + 80027cec: 001a4717 auipc a4,0x1a4 + 80027cf0: 5af73623 sd a5,1452(a4) # 801cc298 + 80027cf4: ffffe797 auipc a5,0xffffe + 80027cf8: 88c78793 addi a5,a5,-1908 # 80025580 <_ZL6M205CWjh> + 80027cfc: 001a4717 auipc a4,0x1a4 + 80027d00: 58f73223 sd a5,1412(a4) # 801cc280 + 80027d04: fffff797 auipc a5,0xfffff + 80027d08: 9b878793 addi a5,a5,-1608 # 800266bc <_ZL9M205Powerv> + 80027d0c: 00f43023 sd a5,0(s0) + 80027d10: fffff797 auipc a5,0xfffff + 80027d14: ba078793 addi a5,a5,-1120 # 800268b0 <_ZL9M205Resetv> + 80027d18: 00f43423 sd a5,8(s0) + 80027d1c: 00013403 ld s0,0(sp) + 80027d20: 00813083 ld ra,8(sp) + 80027d24: 0001d697 auipc a3,0x1d + 80027d28: 7cc68693 addi a3,a3,1996 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027d2c: 00000613 li a2,0 + 80027d30: 00100593 li a1,1 + 80027d34: 001a4517 auipc a0,0x1a4 + 80027d38: 51c50513 addi a0,a0,1308 # 801cc250 + 80027d3c: 01010113 addi sp,sp,16 + 80027d40: ad0d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027d44 <_Z14Mapper245_InitP8CartInfo>: + 80027d44: 04c52703 lw a4,76(a0) + 80027d48: ff010113 addi sp,sp,-16 + 80027d4c: 00800693 li a3,8 + 80027d50: 10000613 li a2,256 + 80027d54: 20000593 li a1,512 + 80027d58: 00813023 sd s0,0(sp) + 80027d5c: 00113423 sd ra,8(sp) + 80027d60: 00050413 mv s0,a0 + 80027d64: ab4ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027d68: ffffe797 auipc a5,0xffffe + 80027d6c: 27878793 addi a5,a5,632 # 80025fe0 <_ZL6M245CWjh> + 80027d70: 001a4717 auipc a4,0x1a4 + 80027d74: 50f73823 sd a5,1296(a4) # 801cc280 + 80027d78: ffffe797 auipc a5,0xffffe + 80027d7c: a4078793 addi a5,a5,-1472 # 800257b8 <_ZL6M245PWjh> + 80027d80: 001a4717 auipc a4,0x1a4 + 80027d84: 50f73c23 sd a5,1304(a4) # 801cc298 + 80027d88: fffff797 auipc a5,0xfffff + 80027d8c: 97878793 addi a5,a5,-1672 # 80026700 <_ZL9M245Powerv> + 80027d90: 00f43023 sd a5,0(s0) + 80027d94: 00013403 ld s0,0(sp) + 80027d98: 00813083 ld ra,8(sp) + 80027d9c: 0001d697 auipc a3,0x1d + 80027da0: 75468693 addi a3,a3,1876 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027da4: 00000613 li a2,0 + 80027da8: 00100593 li a1,1 + 80027dac: 001a4517 auipc a0,0x1a4 + 80027db0: 4a450513 addi a0,a0,1188 # 801cc250 + 80027db4: 01010113 addi sp,sp,16 + 80027db8: a58d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027dbc <_Z14Mapper249_InitP8CartInfo>: + 80027dbc: 04c52703 lw a4,76(a0) + 80027dc0: ff010113 addi sp,sp,-16 + 80027dc4: 00800693 li a3,8 + 80027dc8: 10000613 li a2,256 + 80027dcc: 20000593 li a1,512 + 80027dd0: 00813023 sd s0,0(sp) + 80027dd4: 00113423 sd ra,8(sp) + 80027dd8: 00050413 mv s0,a0 + 80027ddc: a3cff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027de0: ffffd797 auipc a5,0xffffd + 80027de4: 7bc78793 addi a5,a5,1980 # 8002559c <_ZL6M249CWjh> + 80027de8: 001a4717 auipc a4,0x1a4 + 80027dec: 48f73c23 sd a5,1176(a4) # 801cc280 + 80027df0: ffffe797 auipc a5,0xffffe + 80027df4: 9e478793 addi a5,a5,-1564 # 800257d4 <_ZL6M249PWjh> + 80027df8: 001a4717 auipc a4,0x1a4 + 80027dfc: 4af73023 sd a5,1184(a4) # 801cc298 + 80027e00: fffff797 auipc a5,0xfffff + 80027e04: 90c78793 addi a5,a5,-1780 # 8002670c <_ZL9M249Powerv> + 80027e08: 00f43023 sd a5,0(s0) + 80027e0c: 00013403 ld s0,0(sp) + 80027e10: 00813083 ld ra,8(sp) + 80027e14: 0001d697 auipc a3,0x1d + 80027e18: 6dc68693 addi a3,a3,1756 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027e1c: 00000613 li a2,0 + 80027e20: 00100593 li a1,1 + 80027e24: 001a4517 auipc a0,0x1a4 + 80027e28: 42c50513 addi a0,a0,1068 # 801cc250 + 80027e2c: 01010113 addi sp,sp,16 + 80027e30: 9e0d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027e34 <_Z14Mapper250_InitP8CartInfo>: + 80027e34: 04c52703 lw a4,76(a0) + 80027e38: ff010113 addi sp,sp,-16 + 80027e3c: 00800693 li a3,8 + 80027e40: 10000613 li a2,256 + 80027e44: 20000593 li a1,512 + 80027e48: 00813023 sd s0,0(sp) + 80027e4c: 00113423 sd ra,8(sp) + 80027e50: 00050413 mv s0,a0 + 80027e54: 9c4ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027e58: fffff797 auipc a5,0xfffff + 80027e5c: 8e478793 addi a5,a5,-1820 # 8002673c <_ZL10M250_Powerv> + 80027e60: 00813083 ld ra,8(sp) + 80027e64: 00f43023 sd a5,0(s0) + 80027e68: 00013403 ld s0,0(sp) + 80027e6c: 01010113 addi sp,sp,16 + 80027e70: 00008067 ret + +0000000080027e74 <_Z14Mapper254_InitP8CartInfo>: + 80027e74: 04c52703 lw a4,76(a0) + 80027e78: ff010113 addi sp,sp,-16 + 80027e7c: 00800693 li a3,8 + 80027e80: 08000613 li a2,128 + 80027e84: 08000593 li a1,128 + 80027e88: 00813023 sd s0,0(sp) + 80027e8c: 00113423 sd ra,8(sp) + 80027e90: 00050413 mv s0,a0 + 80027e94: 984ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027e98: fffff797 auipc a5,0xfffff + 80027e9c: 8e878793 addi a5,a5,-1816 # 80026780 <_ZL10M254_Powerv> + 80027ea0: 00f43023 sd a5,0(s0) + 80027ea4: 00013403 ld s0,0(sp) + 80027ea8: 00813083 ld ra,8(sp) + 80027eac: 0001d697 auipc a3,0x1d + 80027eb0: 64468693 addi a3,a3,1604 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80027eb4: 00000613 li a2,0 + 80027eb8: 00200593 li a1,2 + 80027ebc: 001a4517 auipc a0,0x1a4 + 80027ec0: 39450513 addi a0,a0,916 # 801cc250 + 80027ec4: 01010113 addi sp,sp,16 + 80027ec8: 948d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027ecc <_Z11TKSROM_InitP8CartInfo>: + 80027ecc: 04c52703 lw a4,76(a0) + 80027ed0: ff010113 addi sp,sp,-16 + 80027ed4: 00800693 li a3,8 + 80027ed8: 10000613 li a2,256 + 80027edc: 20000593 li a1,512 + 80027ee0: 00113423 sd ra,8(sp) + 80027ee4: 934ff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027ee8: ffffd797 auipc a5,0xffffd + 80027eec: 70c78793 addi a5,a5,1804 # 800255f4 <_ZL7TKSWRAPjh> + 80027ef0: 001a4717 auipc a4,0x1a4 + 80027ef4: 38f73823 sd a5,912(a4) # 801cc280 + 80027ef8: ffffd797 auipc a5,0xffffd + 80027efc: 45078793 addi a5,a5,1104 # 80025348 <_ZL10GENNOMWRAPh> + 80027f00: 00813083 ld ra,8(sp) + 80027f04: 001a4717 auipc a4,0x1a4 + 80027f08: 38f73623 sd a5,908(a4) # 801cc290 + 80027f0c: ffffd797 auipc a5,0xffffd + 80027f10: 4f478793 addi a5,a5,1268 # 80025400 <_ZL6TKSPPUj> + 80027f14: 001a4717 auipc a4,0x1a4 + 80027f18: 7ef73a23 sd a5,2036(a4) # 801cc708 + 80027f1c: 0001e697 auipc a3,0x1e + 80027f20: f2c68693 addi a3,a3,-212 # 80045e48 <_ZL8prg_perm+0x138> + 80027f24: 00000613 li a2,0 + 80027f28: 00100593 li a1,1 + 80027f2c: 001a4517 auipc a0,0x1a4 + 80027f30: 35050513 addi a0,a0,848 # 801cc27c <_ZL9PPUCHRBus> + 80027f34: 01010113 addi sp,sp,16 + 80027f38: 8d8d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027f3c <_Z10TQROM_InitP8CartInfo>: + 80027f3c: fe010113 addi sp,sp,-32 + 80027f40: 00000713 li a4,0 + 80027f44: 00000693 li a3,0 + 80027f48: 04000613 li a2,64 + 80027f4c: 20000593 li a1,512 + 80027f50: 00113c23 sd ra,24(sp) + 80027f54: 00813823 sd s0,16(sp) + 80027f58: 00913423 sd s1,8(sp) + 80027f5c: 8bcff0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80027f60: ffffe797 auipc a5,0xffffe + 80027f64: 97878793 addi a5,a5,-1672 # 800258d8 <_ZL6TQWRAPjh> + 80027f68: 001a4417 auipc s0,0x1a4 + 80027f6c: 2d840413 addi s0,s0,728 # 801cc240 + 80027f70: 001a4717 auipc a4,0x1a4 + 80027f74: 30f73823 sd a5,784(a4) # 801cc280 + 80027f78: 00002537 lui a0,0x2 + 80027f7c: 000027b7 lui a5,0x2 + 80027f80: 00f42023 sw a5,0(s0) + 80027f84: ce8ea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80027f88: 00042603 lw a2,0(s0) + 80027f8c: 00050593 mv a1,a0 + 80027f90: 001a4497 auipc s1,0x1a4 + 80027f94: 2a848493 addi s1,s1,680 # 801cc238 + 80027f98: 00100693 li a3,1 + 80027f9c: 01000513 li a0,16 + 80027fa0: 00b4b023 sd a1,0(s1) + 80027fa4: e20e80ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80027fa8: 00042583 lw a1,0(s0) + 80027fac: 01013403 ld s0,16(sp) + 80027fb0: 0004b503 ld a0,0(s1) + 80027fb4: 01813083 ld ra,24(sp) + 80027fb8: 00813483 ld s1,8(sp) + 80027fbc: 0001a697 auipc a3,0x1a + 80027fc0: 18c68693 addi a3,a3,396 # 80042148 <_ZL9C96000PAL+0x738> + 80027fc4: 00000613 li a2,0 + 80027fc8: 02010113 addi sp,sp,32 + 80027fcc: 844d806f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080027fd0 <_Z14Mapper119_InitP8CartInfo>: + 80027fd0: f6dff06f j 80027f3c <_Z10TQROM_InitP8CartInfo> + +0000000080027fd4 <_ZL12M208ProtReadj>: + 80027fd4: 00357793 andi a5,a0,3 + 80027fd8: 001a4517 auipc a0,0x1a4 + 80027fdc: 27850513 addi a0,a0,632 # 801cc250 + 80027fe0: 00f50533 add a0,a0,a5 + 80027fe4: 00054503 lbu a0,0(a0) + 80027fe8: 00008067 ret + +0000000080027fec <_ZL9M208Powerv>: + 80027fec: ff010113 addi sp,sp,-16 + 80027ff0: 00300793 li a5,3 + 80027ff4: 00113423 sd ra,8(sp) + 80027ff8: 00813023 sd s0,0(sp) + 80027ffc: 001a4717 auipc a4,0x1a4 + 80028000: 24f70ca3 sb a5,601(a4) # 801cc255 + 80028004: 9bcfe0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 80028008: 00005537 lui a0,0x5 + 8002800c: fff50593 addi a1,a0,-1 # 4fff <_entry_offset+0x4fff> + 80028010: 00000617 auipc a2,0x0 + 80028014: 07860613 addi a2,a2,120 # 80028088 <_ZL9M208Writejh> + 80028018: 80050513 addi a0,a0,-2048 + 8002801c: 30d0b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028020: 00007537 lui a0,0x7 + 80028024: fff50593 addi a1,a0,-1 # 6fff <_entry_offset+0x6fff> + 80028028: 00000617 auipc a2,0x0 + 8002802c: 06060613 addi a2,a2,96 # 80028088 <_ZL9M208Writejh> + 80028030: 80050513 addi a0,a0,-2048 + 80028034: 2f50b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028038: 00006437 lui s0,0x6 + 8002803c: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80028040: 00000617 auipc a2,0x0 + 80028044: 07c60613 addi a2,a2,124 # 800280bc <_ZL13M208ProtWritejh> + 80028048: 00005537 lui a0,0x5 + 8002804c: 2dd0b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028050: fff40593 addi a1,s0,-1 + 80028054: 80040513 addi a0,s0,-2048 + 80028058: 00000617 auipc a2,0x0 + 8002805c: f7c60613 addi a2,a2,-132 # 80027fd4 <_ZL12M208ProtReadj> + 80028060: 1bd0b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028064: 00013403 ld s0,0(sp) + 80028068: 00813083 ld ra,8(sp) + 8002806c: 000105b7 lui a1,0x10 + 80028070: fffe8617 auipc a2,0xfffe8 + 80028074: 5f060613 addi a2,a2,1520 # 80010660 <_Z6CartBRj> + 80028078: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002807c: 00008537 lui a0,0x8 + 80028080: 01010113 addi sp,sp,16 + 80028084: 1990b06f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080028088 <_ZL9M208Writejh>: + 80028088: 0035d793 srli a5,a1,0x3 + 8002808c: 0027f793 andi a5,a5,2 + 80028090: 0015f593 andi a1,a1,1 + 80028094: 00b7e5b3 or a1,a5,a1 + 80028098: 001a4517 auipc a0,0x1a4 + 8002809c: 1c454503 lbu a0,452(a0) # 801cc25c + 800280a0: 001a4797 auipc a5,0x1a4 + 800280a4: 1ab78aa3 sb a1,437(a5) # 801cc255 + 800280a8: dc5fd06f j 80025e6c <_Z10FixMMC3PRGi> + +00000000800280ac <_ZL6M208PWjh>: + 800280ac: 001a4597 auipc a1,0x1a4 + 800280b0: 1a95c583 lbu a1,425(a1) # 801cc255 + 800280b4: 00008537 lui a0,0x8 + 800280b8: d5de806f j 80010e14 <_Z8setprg32jj> + +00000000800280bc <_ZL13M208ProtWritejh>: + 800280bc: 000057b7 lui a5,0x5 + 800280c0: 7ff78793 addi a5,a5,2047 # 57ff <_entry_offset+0x57ff> + 800280c4: 00a7e863 bltu a5,a0,800280d4 <_ZL13M208ProtWritejh+0x18> + 800280c8: 001a4797 auipc a5,0x1a4 + 800280cc: 18b78623 sb a1,396(a5) # 801cc254 + 800280d0: 00008067 ret + 800280d4: 001a4797 auipc a5,0x1a4 + 800280d8: 17c78793 addi a5,a5,380 # 801cc250 + 800280dc: 0047c683 lbu a3,4(a5) + 800280e0: 0001e717 auipc a4,0x1e + 800280e4: d8070713 addi a4,a4,-640 # 80045e60 <_ZL3lut> + 800280e8: 00357513 andi a0,a0,3 + 800280ec: 00d70733 add a4,a4,a3 + 800280f0: 00074703 lbu a4,0(a4) + 800280f4: 00a78533 add a0,a5,a0 + 800280f8: 00e5c5b3 xor a1,a1,a4 + 800280fc: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 80028100: 00008067 ret + +0000000080028104 <_Z14Mapper208_InitP8CartInfo>: + 80028104: ff010113 addi sp,sp,-16 + 80028108: 00000693 li a3,0 + 8002810c: 10000613 li a2,256 + 80028110: 08000593 li a1,128 + 80028114: 00000713 li a4,0 + 80028118: 00813023 sd s0,0(sp) + 8002811c: 00113423 sd ra,8(sp) + 80028120: 00050413 mv s0,a0 + 80028124: ef5fe0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80028128: 00000797 auipc a5,0x0 + 8002812c: f8478793 addi a5,a5,-124 # 800280ac <_ZL6M208PWjh> + 80028130: 001a4717 auipc a4,0x1a4 + 80028134: 16f73423 sd a5,360(a4) # 801cc298 + 80028138: 00000797 auipc a5,0x0 + 8002813c: eb478793 addi a5,a5,-332 # 80027fec <_ZL9M208Powerv> + 80028140: 00f43023 sd a5,0(s0) + 80028144: 00013403 ld s0,0(sp) + 80028148: 00813083 ld ra,8(sp) + 8002814c: 0001d697 auipc a3,0x1d + 80028150: 3a468693 addi a3,a3,932 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 80028154: 00000613 li a2,0 + 80028158: 00600593 li a1,6 + 8002815c: 001a4517 auipc a0,0x1a4 + 80028160: 0f450513 addi a0,a0,244 # 801cc250 + 80028164: 01010113 addi sp,sp,16 + 80028168: ea9d706f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002816c <_ZL10VRC24_InitP8CartInfo>: + 8002816c: fe010113 addi sp,sp,-32 + 80028170: 00113c23 sd ra,24(sp) + 80028174: 00813823 sd s0,16(sp) + 80028178: 00913423 sd s1,8(sp) + 8002817c: 01213023 sd s2,0(sp) + 80028180: 00000797 auipc a5,0x0 + 80028184: 27078793 addi a5,a5,624 # 800283f0 <_ZL10VRC24Powerv> + 80028188: 00f53023 sd a5,0(a0) + 8002818c: 00000797 auipc a5,0x0 + 80028190: 22c78793 addi a5,a5,556 # 800283b8 <_ZL10VRC24Closev> + 80028194: 00f53823 sd a5,16(a0) + 80028198: 00000797 auipc a5,0x0 + 8002819c: 5ac78793 addi a5,a5,1452 # 80028744 <_Z12VRC24IRQHooki> + 800281a0: 001a4717 auipc a4,0x1a4 + 800281a4: 9af73023 sd a5,-1632(a4) # 801cbb40 + 800281a8: 00000797 auipc a5,0x0 + 800281ac: 20c78793 addi a5,a5,524 # 800283b4 <_ZL12StateRestorei> + 800281b0: 001a4497 auipc s1,0x1a4 + 800281b4: 12048493 addi s1,s1,288 # 801cc2d0 <_ZL8WRAMSIZE> + 800281b8: 00050413 mv s0,a0 + 800281bc: 001a4717 auipc a4,0x1a4 + 800281c0: 48f73e23 sd a5,1180(a4) # 801cc658 + 800281c4: 00002537 lui a0,0x2 + 800281c8: 000027b7 lui a5,0x2 + 800281cc: 00f4a023 sw a5,0(s1) + 800281d0: a9cea0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800281d4: 0004a603 lw a2,0(s1) + 800281d8: 00050593 mv a1,a0 + 800281dc: 001a4917 auipc s2,0x1a4 + 800281e0: 0cc90913 addi s2,s2,204 # 801cc2a8 <_ZL4WRAM> + 800281e4: 00100693 li a3,1 + 800281e8: 01000513 li a0,16 + 800281ec: 00b93023 sd a1,0(s2) + 800281f0: b04e80ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800281f4: 0004a583 lw a1,0(s1) + 800281f8: 00093503 ld a0,0(s2) + 800281fc: 0001d697 auipc a3,0x1d + 80028200: 12c68693 addi a3,a3,300 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80028204: 00000613 li a2,0 + 80028208: e09d70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002820c: 04c42783 lw a5,76(s0) + 80028210: 00078a63 beqz a5,80028224 <_ZL10VRC24_InitP8CartInfo+0xb8> + 80028214: 00093703 ld a4,0(s2) + 80028218: 0004a783 lw a5,0(s1) + 8002821c: 00e43c23 sd a4,24(s0) + 80028220: 02f42c23 sw a5,56(s0) + 80028224: 01013403 ld s0,16(sp) + 80028228: 01813083 ld ra,24(sp) + 8002822c: 00813483 ld s1,8(sp) + 80028230: 00013903 ld s2,0(sp) + 80028234: 00000693 li a3,0 + 80028238: 00000613 li a2,0 + 8002823c: fff00593 li a1,-1 + 80028240: 00023517 auipc a0,0x23 + 80028244: 62050513 addi a0,a0,1568 # 8004b860 <_ZL9StateRegs> + 80028248: 02010113 addi sp,sp,32 + 8002824c: dc5d706f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080028250 <_ZL4Syncv>: + 80028250: fd010113 addi sp,sp,-48 + 80028254: 02813023 sd s0,32(sp) + 80028258: 00913c23 sd s1,24(sp) + 8002825c: 02113423 sd ra,40(sp) + 80028260: 01213823 sd s2,16(sp) + 80028264: 01313423 sd s3,8(sp) + 80028268: 01413023 sd s4,0(sp) + 8002826c: 001a4497 auipc s1,0x1a4 + 80028270: 05c48493 addi s1,s1,92 # 801cc2c8 <_ZL6prgreg> + 80028274: 001a4417 auipc s0,0x1a4 + 80028278: 06040413 addi s0,s0,96 # 801cc2d4 <_ZL8big_bank> + 8002827c: 0004c583 lbu a1,0(s1) + 80028280: 00044703 lbu a4,0(s0) + 80028284: 001a4797 auipc a5,0x1a4 + 80028288: 0467c783 lbu a5,70(a5) # 801cc2ca <_ZL6regcmd> + 8002828c: 0027f793 andi a5,a5,2 + 80028290: 00e5e5b3 or a1,a1,a4 + 80028294: 0ff5f593 andi a1,a1,255 + 80028298: 0a078463 beqz a5,80028340 <_ZL4Syncv+0xf0> + 8002829c: 0000c537 lui a0,0xc + 800282a0: ffce80ef jal ra,80010a9c <_Z7setprg8jj> + 800282a4: 00044583 lbu a1,0(s0) + 800282a8: 00008537 lui a0,0x8 + 800282ac: 01e5e593 ori a1,a1,30 + 800282b0: fece80ef jal ra,80010a9c <_Z7setprg8jj> + 800282b4: 00044783 lbu a5,0(s0) + 800282b8: 0014c583 lbu a1,1(s1) + 800282bc: 0000a537 lui a0,0xa + 800282c0: 00f5e5b3 or a1,a1,a5 + 800282c4: 0ff5f593 andi a1,a1,255 + 800282c8: fd4e80ef jal ra,80010a9c <_Z7setprg8jj> + 800282cc: 00044583 lbu a1,0(s0) + 800282d0: 0000e537 lui a0,0xe + 800282d4: 01f5e593 ori a1,a1,31 + 800282d8: fc4e80ef jal ra,80010a9c <_Z7setprg8jj> + 800282dc: 001a4797 auipc a5,0x1a4 + 800282e0: 8047b783 ld a5,-2044(a5) # 801cbae0 + 800282e4: 06078c63 beqz a5,8002835c <_ZL4Syncv+0x10c> + 800282e8: 00000513 li a0,0 + 800282ec: c19e80ef jal ra,80010f04 <_Z7setchr8j> + 800282f0: 001a4797 auipc a5,0x1a4 + 800282f4: fc17c783 lbu a5,-63(a5) # 801cc2b1 <_ZL4mirr> + 800282f8: 0037f793 andi a5,a5,3 + 800282fc: 00200713 li a4,2 + 80028300: 00200513 li a0,2 + 80028304: 00e78e63 beq a5,a4,80028320 <_ZL4Syncv+0xd0> + 80028308: 00300713 li a4,3 + 8002830c: 00300513 li a0,3 + 80028310: 00e78863 beq a5,a4,80028320 <_ZL4Syncv+0xd0> + 80028314: 00100713 li a4,1 + 80028318: 00100513 li a0,1 + 8002831c: 08e78863 beq a5,a4,800283ac <_ZL4Syncv+0x15c> + 80028320: 02013403 ld s0,32(sp) + 80028324: 02813083 ld ra,40(sp) + 80028328: 01813483 ld s1,24(sp) + 8002832c: 01013903 ld s2,16(sp) + 80028330: 00813983 ld s3,8(sp) + 80028334: 00013a03 ld s4,0(sp) + 80028338: 03010113 addi sp,sp,48 + 8002833c: d0de806f j 80011048 <_Z9setmirrori> + 80028340: 00008537 lui a0,0x8 + 80028344: f58e80ef jal ra,80010a9c <_Z7setprg8jj> + 80028348: 00044583 lbu a1,0(s0) + 8002834c: 0000c537 lui a0,0xc + 80028350: 01e5e593 ori a1,a1,30 + 80028354: f48e80ef jal ra,80010a9c <_Z7setprg8jj> + 80028358: f5dff06f j 800282b4 <_ZL4Syncv+0x64> + 8002835c: 0009f917 auipc s2,0x9f + 80028360: 31490913 addi s2,s2,788 # 800c7670 <_ZL5chrhi> + 80028364: 001a4497 auipc s1,0x1a4 + 80028368: f5448493 addi s1,s1,-172 # 801cc2b8 <_ZL6chrreg> + 8002836c: 00000413 li s0,0 + 80028370: 001a4a17 auipc s4,0x1a4 + 80028374: f40a0a13 addi s4,s4,-192 # 801cc2b0 <_ZL4is22> + 80028378: 000029b7 lui s3,0x2 + 8002837c: 00095783 lhu a5,0(s2) + 80028380: 0004c703 lbu a4,0(s1) + 80028384: 000a4583 lbu a1,0(s4) + 80028388: 00040513 mv a0,s0 + 8002838c: 00e7e7b3 or a5,a5,a4 + 80028390: 40b7d5bb sraw a1,a5,a1 + 80028394: 4004041b addiw s0,s0,1024 + 80028398: b0de80ef jal ra,80010ea4 <_Z7setchr1jj> + 8002839c: 00290913 addi s2,s2,2 + 800283a0: 00148493 addi s1,s1,1 + 800283a4: fd341ce3 bne s0,s3,8002837c <_ZL4Syncv+0x12c> + 800283a8: f49ff06f j 800282f0 <_ZL4Syncv+0xa0> + 800283ac: 00000513 li a0,0 + 800283b0: f71ff06f j 80028320 <_ZL4Syncv+0xd0> + +00000000800283b4 <_ZL12StateRestorei>: + 800283b4: e9dff06f j 80028250 <_ZL4Syncv> + +00000000800283b8 <_ZL10VRC24Closev>: + 800283b8: 001a4517 auipc a0,0x1a4 + 800283bc: ef053503 ld a0,-272(a0) # 801cc2a8 <_ZL4WRAM> + 800283c0: 02050263 beqz a0,800283e4 <_ZL10VRC24Closev+0x2c> + 800283c4: ff010113 addi sp,sp,-16 + 800283c8: 00113423 sd ra,8(sp) + 800283cc: 974ea0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800283d0: 00813083 ld ra,8(sp) + 800283d4: 001a4797 auipc a5,0x1a4 + 800283d8: ec07ba23 sd zero,-300(a5) # 801cc2a8 <_ZL4WRAM> + 800283dc: 01010113 addi sp,sp,16 + 800283e0: 00008067 ret + 800283e4: 001a4797 auipc a5,0x1a4 + 800283e8: ec07b223 sd zero,-316(a5) # 801cc2a8 <_ZL4WRAM> + 800283ec: 00008067 ret + +00000000800283f0 <_ZL10VRC24Powerv>: + 800283f0: fe010113 addi sp,sp,-32 + 800283f4: 02000793 li a5,32 + 800283f8: 00813823 sd s0,16(sp) + 800283fc: 001a4717 auipc a4,0x1a4 + 80028400: ecf70c23 sb a5,-296(a4) # 801cc2d4 <_ZL8big_bank> + 80028404: 00113c23 sd ra,24(sp) + 80028408: 00913423 sd s1,8(sp) + 8002840c: 001a4417 auipc s0,0x1a4 + 80028410: e9c40413 addi s0,s0,-356 # 801cc2a8 <_ZL4WRAM> + 80028414: e3dff0ef jal ra,80028250 <_ZL4Syncv> + 80028418: 00043783 ld a5,0(s0) + 8002841c: 04078c63 beqz a5,80028474 <_ZL10VRC24Powerv+0x84> + 80028420: 00000613 li a2,0 + 80028424: 000065b7 lui a1,0x6 + 80028428: 01000513 li a0,16 + 8002842c: cbce80ef jal ra,800108e8 <_Z8setprg8rijj> + 80028430: 000084b7 lui s1,0x8 + 80028434: fffe8617 auipc a2,0xfffe8 + 80028438: 22c60613 addi a2,a2,556 # 80010660 <_Z6CartBRj> + 8002843c: fff48593 addi a1,s1,-1 # 7fff <_entry_offset+0x7fff> + 80028440: 00006537 lui a0,0x6 + 80028444: 5d80b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028448: fffe8617 auipc a2,0xfffe8 + 8002844c: 24460613 addi a2,a2,580 # 8001068c <_Z6CartBWjh> + 80028450: fff48593 addi a1,s1,-1 + 80028454: 00006537 lui a0,0x6 + 80028458: 6d00b0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002845c: 00043603 ld a2,0(s0) + 80028460: 001a4517 auipc a0,0x1a4 + 80028464: e7052503 lw a0,-400(a0) # 801cc2d0 <_ZL8WRAMSIZE> + 80028468: 000065b7 lui a1,0x6 + 8002846c: 00a5551b srliw a0,a0,0xa + 80028470: ba5d70ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80028474: 00010437 lui s0,0x10 + 80028478: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002847c: fffe8617 auipc a2,0xfffe8 + 80028480: 1e460613 addi a2,a2,484 # 80010660 <_Z6CartBRj> + 80028484: 00008537 lui a0,0x8 + 80028488: 5940b0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002848c: fff40593 addi a1,s0,-1 + 80028490: 01013403 ld s0,16(sp) + 80028494: 01813083 ld ra,24(sp) + 80028498: 00813483 ld s1,8(sp) + 8002849c: 00000617 auipc a2,0x0 + 800284a0: 01460613 addi a2,a2,20 # 800284b0 <_ZL10VRC24Writejh> + 800284a4: 00008537 lui a0,0x8 + 800284a8: 02010113 addi sp,sp,32 + 800284ac: 67c0b06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800284b0 <_ZL10VRC24Writejh>: + 800284b0: ff010113 addi sp,sp,-16 + 800284b4: 001a4797 auipc a5,0x1a4 + 800284b8: e237c783 lbu a5,-477(a5) # 801cc2d7 <_ZL8reg2mask> + 800284bc: 00813023 sd s0,0(sp) + 800284c0: 0000f737 lui a4,0xf + 800284c4: 00113423 sd ra,8(sp) + 800284c8: 00f577b3 and a5,a0,a5 + 800284cc: 00058413 mv s0,a1 + 800284d0: 00e57733 and a4,a0,a4 + 800284d4: 00078463 beqz a5,800284dc <_ZL10VRC24Writejh+0x2c> + 800284d8: 00200793 li a5,2 + 800284dc: 001a4697 auipc a3,0x1a4 + 800284e0: dfa6c683 lbu a3,-518(a3) # 801cc2d6 <_ZL8reg1mask> + 800284e4: 00d57533 and a0,a0,a3 + 800284e8: 00a03533 snez a0,a0 + 800284ec: 00e56533 or a0,a0,a4 + 800284f0: 00a7e7b3 or a5,a5,a0 + 800284f4: ffff5737 lui a4,0xffff5 + 800284f8: 000036b7 lui a3,0x3 + 800284fc: 00f7063b addw a2,a4,a5 + 80028500: 00368693 addi a3,a3,3 # 3003 <_entry_offset+0x3003> + 80028504: 00060713 mv a4,a2 + 80028508: 02c6ea63 bltu a3,a2,8002853c <_ZL10VRC24Writejh+0x8c> + 8002850c: 001a3617 auipc a2,0x1a3 + 80028510: 5d463603 ld a2,1492(a2) # 801cbae0 + 80028514: 0004069b sext.w a3,s0 + 80028518: 12060663 beqz a2,80028644 <_ZL10VRC24Writejh+0x194> + 8002851c: 00269693 slli a3,a3,0x2 + 80028520: 0206f693 andi a3,a3,32 + 80028524: 001a4797 auipc a5,0x1a4 + 80028528: dad78823 sb a3,-592(a5) # 801cc2d4 <_ZL8big_bank> + 8002852c: 00013403 ld s0,0(sp) + 80028530: 00813083 ld ra,8(sp) + 80028534: 01010113 addi sp,sp,16 + 80028538: d19ff06f j 80028250 <_ZL4Syncv> + 8002853c: 0000f737 lui a4,0xf + 80028540: 1ae78e63 beq a5,a4,800286fc <_ZL10VRC24Writejh+0x24c> + 80028544: 04f76e63 bltu a4,a5,800285a0 <_ZL10VRC24Writejh+0xf0> + 80028548: 00009737 lui a4,0x9 + 8002854c: 00370693 addi a3,a4,3 # 9003 <_entry_offset+0x9003> + 80028550: 06f6ee63 bltu a3,a5,800285cc <_ZL10VRC24Writejh+0x11c> + 80028554: 00170713 addi a4,a4,1 + 80028558: 18f76c63 bltu a4,a5,800286f0 <_ZL10VRC24Writejh+0x240> + 8002855c: 00008737 lui a4,0x8 + 80028560: 00370693 addi a3,a4,3 # 8003 <_entry_offset+0x8003> + 80028564: 02f6f463 bgeu a3,a5,8002858c <_ZL10VRC24Writejh+0xdc> + 80028568: ffff7737 lui a4,0xffff7 + 8002856c: 00f707bb addw a5,a4,a5 + 80028570: 00100713 li a4,1 + 80028574: 00f76e63 bltu a4,a5,80028590 <_ZL10VRC24Writejh+0xe0> + 80028578: 0ff00793 li a5,255 + 8002857c: faf408e3 beq s0,a5,8002852c <_ZL10VRC24Writejh+0x7c> + 80028580: 001a4797 auipc a5,0x1a4 + 80028584: d28788a3 sb s0,-719(a5) # 801cc2b1 <_ZL4mirr> + 80028588: fa5ff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + 8002858c: 08e7fe63 bgeu a5,a4,80028628 <_ZL10VRC24Writejh+0x178> + 80028590: 00813083 ld ra,8(sp) + 80028594: 00013403 ld s0,0(sp) + 80028598: 01010113 addi sp,sp,16 + 8002859c: 00008067 ret + 800285a0: 00270693 addi a3,a4,2 # ffffffffffff7002 <_end+0xffffffff7fe22002> + 800285a4: 10d78863 beq a5,a3,800286b4 <_ZL10VRC24Writejh+0x204> + 800285a8: 00370693 addi a3,a4,3 + 800285ac: 04d79663 bne a5,a3,800285f8 <_ZL10VRC24Writejh+0x148> + 800285b0: 00100513 li a0,1 + 800285b4: f9cd80ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 800285b8: 001a4797 auipc a5,0x1a4 + 800285bc: d087c783 lbu a5,-760(a5) # 801cc2c0 <_ZL6irqcmd> + 800285c0: 001a4717 auipc a4,0x1a4 + 800285c4: cef70023 sb a5,-800(a4) # 801cc2a0 <_ZL4IRQa> + 800285c8: fc9ff06f j 80028590 <_ZL10VRC24Writejh+0xe0> + 800285cc: ffff6737 lui a4,0xffff6 + 800285d0: 00f707bb addw a5,a4,a5 + 800285d4: 00300713 li a4,3 + 800285d8: faf76ce3 bltu a4,a5,80028590 <_ZL10VRC24Writejh+0xe0> + 800285dc: 001a4797 auipc a5,0x1a4 + 800285e0: cf97c783 lbu a5,-775(a5) # 801cc2d5 <_ZL8isPirate> + 800285e4: 14079063 bnez a5,80028724 <_ZL10VRC24Writejh+0x274> + 800285e8: 01f47413 andi s0,s0,31 + 800285ec: 001a4797 auipc a5,0x1a4 + 800285f0: cc878ea3 sb s0,-803(a5) # 801cc2c9 <_ZL6prgreg+0x1> + 800285f4: f39ff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + 800285f8: 00170713 addi a4,a4,1 # ffffffffffff6001 <_end+0xffffffff7fe21001> + 800285fc: f8e79ae3 bne a5,a4,80028590 <_ZL10VRC24Writejh+0xe0> + 80028600: 00100513 li a0,1 + 80028604: f4cd80ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80028608: 001a4717 auipc a4,0x1a4 + 8002860c: cc670713 addi a4,a4,-826 # 801cc2ce <_ZL8IRQLatch> + 80028610: 00074783 lbu a5,0(a4) + 80028614: 00441413 slli s0,s0,0x4 + 80028618: 00f7f793 andi a5,a5,15 + 8002861c: 0087e433 or s0,a5,s0 + 80028620: 00870023 sb s0,0(a4) + 80028624: f6dff06f j 80028590 <_ZL10VRC24Writejh+0xe0> + 80028628: 001a4797 auipc a5,0x1a4 + 8002862c: cad7c783 lbu a5,-851(a5) # 801cc2d5 <_ZL8isPirate> + 80028630: f60790e3 bnez a5,80028590 <_ZL10VRC24Writejh+0xe0> + 80028634: 01f47413 andi s0,s0,31 + 80028638: 001a4797 auipc a5,0x1a4 + 8002863c: c8878823 sb s0,-880(a5) # 801cc2c8 <_ZL6prgreg> + 80028640: eedff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + 80028644: 0017d61b srliw a2,a5,0x1 + 80028648: 00167613 andi a2,a2,1 + 8002864c: 00b7571b srliw a4,a4,0xb + 80028650: 00e66733 or a4,a2,a4 + 80028654: 03071713 slli a4,a4,0x30 + 80028658: 03075713 srli a4,a4,0x30 + 8002865c: 001a4517 auipc a0,0x1a4 + 80028660: c5c50513 addi a0,a0,-932 # 801cc2b8 <_ZL6chrreg> + 80028664: 00e50533 add a0,a0,a4 + 80028668: 00054603 lbu a2,0(a0) + 8002866c: 00279793 slli a5,a5,0x2 + 80028670: 0047f793 andi a5,a5,4 + 80028674: 0f000593 li a1,240 + 80028678: 40f5d5bb sraw a1,a1,a5 + 8002867c: 00f47413 andi s0,s0,15 + 80028680: 00b67633 and a2,a2,a1 + 80028684: 00f4143b sllw s0,s0,a5 + 80028688: 00866433 or s0,a2,s0 + 8002868c: 00850023 sb s0,0(a0) + 80028690: e8078ee3 beqz a5,8002852c <_ZL10VRC24Writejh+0x7c> + 80028694: 00171713 slli a4,a4,0x1 + 80028698: 00469693 slli a3,a3,0x4 + 8002869c: 0009f797 auipc a5,0x9f + 800286a0: fd478793 addi a5,a5,-44 # 800c7670 <_ZL5chrhi> + 800286a4: 00e78733 add a4,a5,a4 + 800286a8: 1006f693 andi a3,a3,256 + 800286ac: 00d71023 sh a3,0(a4) + 800286b0: e7dff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + 800286b4: 00100513 li a0,1 + 800286b8: e98d80ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 800286bc: 00247793 andi a5,s0,2 + 800286c0: 001a4717 auipc a4,0x1a4 + 800286c4: c0e74703 lbu a4,-1010(a4) # 801cc2ce <_ZL8IRQLatch> + 800286c8: 00147413 andi s0,s0,1 + 800286cc: 001a4697 auipc a3,0x1a4 + 800286d0: c0e69023 sh a4,-1024(a3) # 801cc2cc <_ZL8IRQCount> + 800286d4: 001a4697 auipc a3,0x1a4 + 800286d8: bc069f23 sh zero,-1058(a3) # 801cc2b2 <_ZL6acount> + 800286dc: 001a4717 auipc a4,0x1a4 + 800286e0: bcf70223 sb a5,-1084(a4) # 801cc2a0 <_ZL4IRQa> + 800286e4: 001a4797 auipc a5,0x1a4 + 800286e8: bc878e23 sb s0,-1060(a5) # 801cc2c0 <_ZL6irqcmd> + 800286ec: ea5ff06f j 80028590 <_ZL10VRC24Writejh+0xe0> + 800286f0: 001a4797 auipc a5,0x1a4 + 800286f4: bc878d23 sb s0,-1062(a5) # 801cc2ca <_ZL6regcmd> + 800286f8: e35ff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + 800286fc: 00100513 li a0,1 + 80028700: e50d80ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 80028704: 001a4717 auipc a4,0x1a4 + 80028708: bca70713 addi a4,a4,-1078 # 801cc2ce <_ZL8IRQLatch> + 8002870c: 00074783 lbu a5,0(a4) + 80028710: 00f47413 andi s0,s0,15 + 80028714: ff07f793 andi a5,a5,-16 + 80028718: 0087e433 or s0,a5,s0 + 8002871c: 00870023 sb s0,0(a4) + 80028720: e71ff06f j 80028590 <_ZL10VRC24Writejh+0xe0> + 80028724: 00141413 slli s0,s0,0x1 + 80028728: 03e47413 andi s0,s0,62 + 8002872c: 001a4797 auipc a5,0x1a4 + 80028730: b9c78793 addi a5,a5,-1124 # 801cc2c8 <_ZL6prgreg> + 80028734: 00146713 ori a4,s0,1 + 80028738: 00878023 sb s0,0(a5) + 8002873c: 00e780a3 sb a4,1(a5) + 80028740: dedff06f j 8002852c <_ZL10VRC24Writejh+0x7c> + +0000000080028744 <_Z12VRC24IRQHooki>: + 80028744: 001a4797 auipc a5,0x1a4 + 80028748: b5c7c783 lbu a5,-1188(a5) # 801cc2a0 <_ZL4IRQa> + 8002874c: 0c078063 beqz a5,8002880c <_Z12VRC24IRQHooki+0xc8> + 80028750: fd010113 addi sp,sp,-48 + 80028754: 00913c23 sd s1,24(sp) + 80028758: 001a4497 auipc s1,0x1a4 + 8002875c: b5a48493 addi s1,s1,-1190 # 801cc2b2 <_ZL6acount> + 80028760: 0004d703 lhu a4,0(s1) + 80028764: 0015179b slliw a5,a0,0x1 + 80028768: 00a787bb addw a5,a5,a0 + 8002876c: 00e787bb addw a5,a5,a4 + 80028770: 03079793 slli a5,a5,0x30 + 80028774: 0307d793 srli a5,a5,0x30 + 80028778: 02113423 sd ra,40(sp) + 8002877c: 02813023 sd s0,32(sp) + 80028780: 01213823 sd s2,16(sp) + 80028784: 01313423 sd s3,8(sp) + 80028788: 00f49023 sh a5,0(s1) + 8002878c: 15400713 li a4,340 + 80028790: 0007861b sext.w a2,a5 + 80028794: 04f77e63 bgeu a4,a5,800287f0 <_Z12VRC24IRQHooki+0xac> + 80028798: 001a4917 auipc s2,0x1a4 + 8002879c: b3490913 addi s2,s2,-1228 # 801cc2cc <_ZL8IRQCount> + 800287a0: 00095683 lhu a3,0(s2) + 800287a4: 15400413 li s0,340 + 800287a8: 001a4997 auipc s3,0x1a4 + 800287ac: b2698993 addi s3,s3,-1242 # 801cc2ce <_ZL8IRQLatch> + 800287b0: 00000813 li a6,0 + 800287b4: 0200006f j 800287d4 <_Z12VRC24IRQHooki+0x90> + 800287b8: 03051793 slli a5,a0,0x30 + 800287bc: 03071693 slli a3,a4,0x30 + 800287c0: 0307d793 srli a5,a5,0x30 + 800287c4: 0306d693 srli a3,a3,0x30 + 800287c8: 00100813 li a6,1 + 800287cc: 04059263 bnez a1,80028810 <_Z12VRC24IRQHooki+0xcc> + 800287d0: 0007861b sext.w a2,a5 + 800287d4: 0016871b addiw a4,a3,1 + 800287d8: eab7851b addiw a0,a5,-341 + 800287dc: 10077593 andi a1,a4,256 + 800287e0: fcc46ce3 bltu s0,a2,800287b8 <_Z12VRC24IRQHooki+0x74> + 800287e4: 00080663 beqz a6,800287f0 <_Z12VRC24IRQHooki+0xac> + 800287e8: 00f49023 sh a5,0(s1) + 800287ec: 00d91023 sh a3,0(s2) + 800287f0: 02813083 ld ra,40(sp) + 800287f4: 02013403 ld s0,32(sp) + 800287f8: 01813483 ld s1,24(sp) + 800287fc: 01013903 ld s2,16(sp) + 80028800: 00813983 ld s3,8(sp) + 80028804: 03010113 addi sp,sp,48 + 80028808: 00008067 ret + 8002880c: 00008067 ret + 80028810: 00100513 li a0,1 + 80028814: 00f49023 sh a5,0(s1) + 80028818: 00d91023 sh a3,0(s2) + 8002881c: d1cd80ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 80028820: 0009c703 lbu a4,0(s3) + 80028824: 0004d783 lhu a5,0(s1) + 80028828: 03071693 slli a3,a4,0x30 + 8002882c: 0306d693 srli a3,a3,0x30 + 80028830: 00e91023 sh a4,0(s2) + 80028834: 0007861b sext.w a2,a5 + 80028838: f79ff06f j 800287b0 <_Z12VRC24IRQHooki+0x6c> + +000000008002883c <_Z13Mapper21_InitP8CartInfo>: + 8002883c: 001a4797 auipc a5,0x1a4 + 80028840: a8078ca3 sb zero,-1383(a5) # 801cc2d5 <_ZL8isPirate> + 80028844: 001a4797 auipc a5,0x1a4 + 80028848: a6078623 sb zero,-1428(a5) # 801cc2b0 <_ZL4is22> + 8002884c: 04200793 li a5,66 + 80028850: 001a4717 auipc a4,0x1a4 + 80028854: a8f70323 sb a5,-1402(a4) # 801cc2d6 <_ZL8reg1mask> + 80028858: f8400793 li a5,-124 + 8002885c: 001a4717 auipc a4,0x1a4 + 80028860: a6f70da3 sb a5,-1413(a4) # 801cc2d7 <_ZL8reg2mask> + 80028864: 909ff06f j 8002816c <_ZL10VRC24_InitP8CartInfo> + +0000000080028868 <_Z13Mapper22_InitP8CartInfo>: + 80028868: 00000717 auipc a4,0x0 + 8002886c: b8870713 addi a4,a4,-1144 # 800283f0 <_ZL10VRC24Powerv> + 80028870: 00100793 li a5,1 + 80028874: 00e53023 sd a4,0(a0) + 80028878: 001a4717 auipc a4,0x1a4 + 8002887c: a2f70c23 sb a5,-1480(a4) # 801cc2b0 <_ZL4is22> + 80028880: 001a4717 auipc a4,0x1a4 + 80028884: a4070aa3 sb zero,-1451(a4) # 801cc2d5 <_ZL8isPirate> + 80028888: 00200713 li a4,2 + 8002888c: 001a4817 auipc a6,0x1a4 + 80028890: a4e80523 sb a4,-1462(a6) # 801cc2d6 <_ZL8reg1mask> + 80028894: 001a4717 auipc a4,0x1a4 + 80028898: a4f701a3 sb a5,-1469(a4) # 801cc2d7 <_ZL8reg2mask> + 8002889c: 00000797 auipc a5,0x0 + 800288a0: b1878793 addi a5,a5,-1256 # 800283b4 <_ZL12StateRestorei> + 800288a4: 00000693 li a3,0 + 800288a8: 00000613 li a2,0 + 800288ac: fff00593 li a1,-1 + 800288b0: 00023517 auipc a0,0x23 + 800288b4: fb050513 addi a0,a0,-80 # 8004b860 <_ZL9StateRegs> + 800288b8: 001a4717 auipc a4,0x1a4 + 800288bc: daf73023 sd a5,-608(a4) # 801cc658 + 800288c0: f50d706f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800288c4 <_Z13Mapper23_InitP8CartInfo>: + 800288c4: 001a4797 auipc a5,0x1a4 + 800288c8: a00788a3 sb zero,-1519(a5) # 801cc2d5 <_ZL8isPirate> + 800288cc: 001a4797 auipc a5,0x1a4 + 800288d0: 9e078223 sb zero,-1564(a5) # 801cc2b0 <_ZL4is22> + 800288d4: 01500793 li a5,21 + 800288d8: 001a4717 auipc a4,0x1a4 + 800288dc: 9ef70f23 sb a5,-1538(a4) # 801cc2d6 <_ZL8reg1mask> + 800288e0: 02a00793 li a5,42 + 800288e4: 001a4717 auipc a4,0x1a4 + 800288e8: 9ef709a3 sb a5,-1549(a4) # 801cc2d7 <_ZL8reg2mask> + 800288ec: 881ff06f j 8002816c <_ZL10VRC24_InitP8CartInfo> + +00000000800288f0 <_Z13Mapper25_InitP8CartInfo>: + 800288f0: 001a4797 auipc a5,0x1a4 + 800288f4: 9e0782a3 sb zero,-1563(a5) # 801cc2d5 <_ZL8isPirate> + 800288f8: 001a4797 auipc a5,0x1a4 + 800288fc: 9a078c23 sb zero,-1608(a5) # 801cc2b0 <_ZL4is22> + 80028900: 00a00793 li a5,10 + 80028904: 001a4717 auipc a4,0x1a4 + 80028908: 9cf70923 sb a5,-1582(a4) # 801cc2d6 <_ZL8reg1mask> + 8002890c: 00500793 li a5,5 + 80028910: 001a4717 auipc a4,0x1a4 + 80028914: 9cf703a3 sb a5,-1593(a4) # 801cc2d7 <_ZL8reg2mask> + 80028918: 855ff06f j 8002816c <_ZL10VRC24_InitP8CartInfo> + +000000008002891c <_ZL12StateRestorei>: + 8002891c: 001a4317 auipc t1,0x1a4 + 80028920: 9cc33303 ld t1,-1588(t1) # 801cc2e8 <_ZL5WSync> + 80028924: 00030067 jr t1 + +0000000080028928 <_ZL10LatchClosev>: + 80028928: 001a4517 auipc a0,0x1a4 + 8002892c: 9b853503 ld a0,-1608(a0) # 801cc2e0 <_ZL4WRAM> + 80028930: 02050263 beqz a0,80028954 <_ZL10LatchClosev+0x2c> + 80028934: ff010113 addi sp,sp,-16 + 80028938: 00113423 sd ra,8(sp) + 8002893c: c05e90ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80028940: 00813083 ld ra,8(sp) + 80028944: 001a4797 auipc a5,0x1a4 + 80028948: 9807be23 sd zero,-1636(a5) # 801cc2e0 <_ZL4WRAM> + 8002894c: 01010113 addi sp,sp,16 + 80028950: 00008067 ret + 80028954: 001a4797 auipc a5,0x1a4 + 80028958: 9807b623 sd zero,-1652(a5) # 801cc2e0 <_ZL4WRAM> + 8002895c: 00008067 ret + +0000000080028960 <_ZL9UNROMSyncv>: + 80028960: ff010113 addi sp,sp,-16 + 80028964: 001a4597 auipc a1,0x1a4 + 80028968: 98c5c583 lbu a1,-1652(a1) # 801cc2f0 <_ZL6latche> + 8002896c: 00008537 lui a0,0x8 + 80028970: 00113423 sd ra,8(sp) + 80028974: ae4e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028978: 0000c537 lui a0,0xc + 8002897c: fff00593 li a1,-1 + 80028980: ad8e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028984: 00813083 ld ra,8(sp) + 80028988: 00000513 li a0,0 + 8002898c: 01010113 addi sp,sp,16 + 80028990: d74e806f j 80010f04 <_Z7setchr8j> + +0000000080028994 <_ZL6M8Syncv>: + 80028994: ff010113 addi sp,sp,-16 + 80028998: 00813023 sd s0,0(sp) + 8002899c: 001a4417 auipc s0,0x1a4 + 800289a0: 95440413 addi s0,s0,-1708 # 801cc2f0 <_ZL6latche> + 800289a4: 00044583 lbu a1,0(s0) + 800289a8: 00008537 lui a0,0x8 + 800289ac: 00113423 sd ra,8(sp) + 800289b0: 0035d593 srli a1,a1,0x3 + 800289b4: aa4e80ef jal ra,80010c58 <_Z8setprg16jj> + 800289b8: 0000c537 lui a0,0xc + 800289bc: 00100593 li a1,1 + 800289c0: a98e80ef jal ra,80010c58 <_Z8setprg16jj> + 800289c4: 00044503 lbu a0,0(s0) + 800289c8: 00013403 ld s0,0(sp) + 800289cc: 00813083 ld ra,8(sp) + 800289d0: 00357513 andi a0,a0,3 + 800289d4: 01010113 addi sp,sp,16 + 800289d8: d2ce806f j 80010f04 <_Z7setchr8j> + +00000000800289dc <_ZL7M70Syncv>: + 800289dc: ff010113 addi sp,sp,-16 + 800289e0: 00813023 sd s0,0(sp) + 800289e4: 001a4417 auipc s0,0x1a4 + 800289e8: 90c40413 addi s0,s0,-1780 # 801cc2f0 <_ZL6latche> + 800289ec: 00044583 lbu a1,0(s0) + 800289f0: 00008537 lui a0,0x8 + 800289f4: 00113423 sd ra,8(sp) + 800289f8: 0045d593 srli a1,a1,0x4 + 800289fc: a5ce80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a00: 0000c537 lui a0,0xc + 80028a04: fff00593 li a1,-1 + 80028a08: a50e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a0c: 00044503 lbu a0,0(s0) + 80028a10: 00013403 ld s0,0(sp) + 80028a14: 00813083 ld ra,8(sp) + 80028a18: 00f57513 andi a0,a0,15 + 80028a1c: 01010113 addi sp,sp,16 + 80028a20: ce4e806f j 80010f04 <_Z7setchr8j> + +0000000080028a24 <_ZL11SSUNROMSyncv>: + 80028a24: 001a4597 auipc a1,0x1a4 + 80028a28: 8cc5c583 lbu a1,-1844(a1) # 801cc2f0 <_ZL6latche> + 80028a2c: ff010113 addi sp,sp,-16 + 80028a30: 0045d593 srli a1,a1,0x4 + 80028a34: 00008537 lui a0,0x8 + 80028a38: 00113423 sd ra,8(sp) + 80028a3c: a1ce80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a40: 0000c537 lui a0,0xc + 80028a44: fff00593 li a1,-1 + 80028a48: a10e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a4c: 00813083 ld ra,8(sp) + 80028a50: 00000513 li a0,0 + 80028a54: 01010113 addi sp,sp,16 + 80028a58: cace806f j 80010f04 <_Z7setchr8j> + +0000000080028a5c <_ZL7M94Syncv>: + 80028a5c: 001a4597 auipc a1,0x1a4 + 80028a60: 8945c583 lbu a1,-1900(a1) # 801cc2f0 <_ZL6latche> + 80028a64: ff010113 addi sp,sp,-16 + 80028a68: 0025d593 srli a1,a1,0x2 + 80028a6c: 00008537 lui a0,0x8 + 80028a70: 00113423 sd ra,8(sp) + 80028a74: 9e4e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a78: 0000c537 lui a0,0xc + 80028a7c: fff00593 li a1,-1 + 80028a80: 9d8e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028a84: 00813083 ld ra,8(sp) + 80028a88: 00000513 li a0,0 + 80028a8c: 01010113 addi sp,sp,16 + 80028a90: c74e806f j 80010f04 <_Z7setchr8j> + +0000000080028a94 <_ZL8M180Syncv>: + 80028a94: ff010113 addi sp,sp,-16 + 80028a98: 00000593 li a1,0 + 80028a9c: 00008537 lui a0,0x8 + 80028aa0: 00113423 sd ra,8(sp) + 80028aa4: 9b4e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028aa8: 0000c537 lui a0,0xc + 80028aac: 001a4597 auipc a1,0x1a4 + 80028ab0: 8445c583 lbu a1,-1980(a1) # 801cc2f0 <_ZL6latche> + 80028ab4: 9a4e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028ab8: 00813083 ld ra,8(sp) + 80028abc: 00000513 li a0,0 + 80028ac0: 01010113 addi sp,sp,16 + 80028ac4: c40e806f j 80010f04 <_Z7setchr8j> + +0000000080028ac8 <_ZL8M203Syncv>: + 80028ac8: ff010113 addi sp,sp,-16 + 80028acc: 00813023 sd s0,0(sp) + 80028ad0: 001a4417 auipc s0,0x1a4 + 80028ad4: 82040413 addi s0,s0,-2016 # 801cc2f0 <_ZL6latche> + 80028ad8: 00044583 lbu a1,0(s0) + 80028adc: 00008537 lui a0,0x8 + 80028ae0: 00113423 sd ra,8(sp) + 80028ae4: 4025d59b sraiw a1,a1,0x2 + 80028ae8: 0035f593 andi a1,a1,3 + 80028aec: 96ce80ef jal ra,80010c58 <_Z8setprg16jj> + 80028af0: 00044583 lbu a1,0(s0) + 80028af4: 0000c537 lui a0,0xc + 80028af8: 4025d59b sraiw a1,a1,0x2 + 80028afc: 0035f593 andi a1,a1,3 + 80028b00: 958e80ef jal ra,80010c58 <_Z8setprg16jj> + 80028b04: 00044503 lbu a0,0(s0) + 80028b08: 00013403 ld s0,0(sp) + 80028b0c: 00813083 ld ra,8(sp) + 80028b10: 00357513 andi a0,a0,3 + 80028b14: 01010113 addi sp,sp,16 + 80028b18: bece806f j 80010f04 <_Z7setchr8j> + +0000000080028b1c <_ZL10LatchWritejh>: + 80028b1c: ff010113 addi sp,sp,-16 + 80028b20: 00813023 sd s0,0(sp) + 80028b24: 00113423 sd ra,8(sp) + 80028b28: 001a3797 auipc a5,0x1a3 + 80028b2c: 7b17c783 lbu a5,1969(a5) # 801cc2d9 <_ZL12bus_conflict> + 80028b30: 00058413 mv s0,a1 + 80028b34: 02079263 bnez a5,80028b58 <_ZL10LatchWritejh+0x3c> + 80028b38: 00013403 ld s0,0(sp) + 80028b3c: 00813083 ld ra,8(sp) + 80028b40: 001a3797 auipc a5,0x1a3 + 80028b44: 7ab78823 sb a1,1968(a5) # 801cc2f0 <_ZL6latche> + 80028b48: 001a3317 auipc t1,0x1a3 + 80028b4c: 7a033303 ld t1,1952(t1) # 801cc2e8 <_ZL5WSync> + 80028b50: 01010113 addi sp,sp,16 + 80028b54: 00030067 jr t1 + 80028b58: b09e70ef jal ra,80010660 <_Z6CartBRj> + 80028b5c: 00857433 and s0,a0,s0 + 80028b60: 001a3797 auipc a5,0x1a3 + 80028b64: 78878823 sb s0,1936(a5) # 801cc2f0 <_ZL6latche> + 80028b68: 00013403 ld s0,0(sp) + 80028b6c: 00813083 ld ra,8(sp) + 80028b70: 001a3317 auipc t1,0x1a3 + 80028b74: 77833303 ld t1,1912(t1) # 801cc2e8 <_ZL5WSync> + 80028b78: 01010113 addi sp,sp,16 + 80028b7c: 00030067 jr t1 + +0000000080028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh>: + 80028b80: fd010113 addi sp,sp,-48 + 80028b84: 02813023 sd s0,32(sp) + 80028b88: 02113423 sd ra,40(sp) + 80028b8c: 00050413 mv s0,a0 + 80028b90: 00913c23 sd s1,24(sp) + 80028b94: 01213823 sd s2,16(sp) + 80028b98: 01313423 sd s3,8(sp) + 80028b9c: 00000897 auipc a7,0x0 + 80028ba0: 23c88893 addi a7,a7,572 # 80028dd8 <_ZL10LatchPowerv> + 80028ba4: 05052503 lw a0,80(a0) # c050 <_entry_offset+0xc050> + 80028ba8: 01143023 sd a7,0(s0) + 80028bac: 001a3897 auipc a7,0x1a3 + 80028bb0: 730886a3 sb a6,1837(a7) # 801cc2d9 <_ZL12bus_conflict> + 80028bb4: 001a3817 auipc a6,0x1a3 + 80028bb8: 72c80223 sb a2,1828(a6) # 801cc2d8 <_ZL10latcheinit> + 80028bbc: 001a3617 auipc a2,0x1a3 + 80028bc0: 72d61e23 sh a3,1852(a2) # 801cc2f8 <_ZL8addrreg0> + 80028bc4: 001a3697 auipc a3,0x1a3 + 80028bc8: 72e69b23 sh a4,1846(a3) # 801cc2fa <_ZL8addrreg1> + 80028bcc: 001a3717 auipc a4,0x1a3 + 80028bd0: 70b73e23 sd a1,1820(a4) # 801cc2e8 <_ZL5WSync> + 80028bd4: 00000717 auipc a4,0x0 + 80028bd8: d5470713 addi a4,a4,-684 # 80028928 <_ZL10LatchClosev> + 80028bdc: 00e43823 sd a4,16(s0) + 80028be0: 00000717 auipc a4,0x0 + 80028be4: d3c70713 addi a4,a4,-708 # 8002891c <_ZL12StateRestorei> + 80028be8: 001a4697 auipc a3,0x1a4 + 80028bec: a6e6b823 sd a4,-1424(a3) # 801cc658 + 80028bf0: 0c050863 beqz a0,80028cc0 <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x140> + 80028bf4: 05c42703 lw a4,92(s0) + 80028bf8: 05842683 lw a3,88(s0) + 80028bfc: 00d7073b addw a4,a4,a3 + 80028c00: 12e05463 blez a4,80028d28 <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x1a8> + 80028c04: 001a3497 auipc s1,0x1a3 + 80028c08: 6f048493 addi s1,s1,1776 # 801cc2f4 <_ZL8WRAMSIZE> + 80028c0c: 00002937 lui s2,0x2 + 80028c10: 00002537 lui a0,0x2 + 80028c14: 0124a023 sw s2,0(s1) + 80028c18: 855e90ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80028c1c: 0004a603 lw a2,0(s1) + 80028c20: 00050593 mv a1,a0 + 80028c24: 00100693 li a3,1 + 80028c28: 01000513 li a0,16 + 80028c2c: 001a3997 auipc s3,0x1a3 + 80028c30: 6b498993 addi s3,s3,1716 # 801cc2e0 <_ZL4WRAM> + 80028c34: 000084b7 lui s1,0x8 + 80028c38: 00b9b023 sd a1,0(s3) + 80028c3c: 8b9e70ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80028c40: fffe8617 auipc a2,0xfffe8 + 80028c44: a2060613 addi a2,a2,-1504 # 80010660 <_Z6CartBRj> + 80028c48: fff48593 addi a1,s1,-1 # 7fff <_entry_offset+0x7fff> + 80028c4c: 00006537 lui a0,0x6 + 80028c50: 5cd0a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028c54: fffe8617 auipc a2,0xfffe8 + 80028c58: a3860613 addi a2,a2,-1480 # 8001068c <_Z6CartBWjh> + 80028c5c: fff48593 addi a1,s1,-1 + 80028c60: 00006537 lui a0,0x6 + 80028c64: 6c50a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028c68: 00000613 li a2,0 + 80028c6c: 000065b7 lui a1,0x6 + 80028c70: 01000513 li a0,16 + 80028c74: c75e70ef jal ra,800108e8 <_Z8setprg8rijj> + 80028c78: 05c42783 lw a5,92(s0) + 80028c7c: 00078863 beqz a5,80028c8c <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x10c> + 80028c80: 0009b783 ld a5,0(s3) + 80028c84: 03242c23 sw s2,56(s0) + 80028c88: 00f43c23 sd a5,24(s0) + 80028c8c: 02013403 ld s0,32(sp) + 80028c90: 02813083 ld ra,40(sp) + 80028c94: 01813483 ld s1,24(sp) + 80028c98: 01013903 ld s2,16(sp) + 80028c9c: 00813983 ld s3,8(sp) + 80028ca0: 0001c697 auipc a3,0x1c + 80028ca4: 6c868693 addi a3,a3,1736 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80028ca8: 00000613 li a2,0 + 80028cac: 00100593 li a1,1 + 80028cb0: 001a3517 auipc a0,0x1a3 + 80028cb4: 64050513 addi a0,a0,1600 # 801cc2f0 <_ZL6latche> + 80028cb8: 03010113 addi sp,sp,48 + 80028cbc: b54d706f j 80000010 <_Z10AddExStatePvjiPKc> + 80028cc0: fc0786e3 beqz a5,80028c8c <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x10c> + 80028cc4: 000027b7 lui a5,0x2 + 80028cc8: 001a3497 auipc s1,0x1a3 + 80028ccc: 62c48493 addi s1,s1,1580 # 801cc2f4 <_ZL8WRAMSIZE> + 80028cd0: 00002537 lui a0,0x2 + 80028cd4: 00f4a023 sw a5,0(s1) + 80028cd8: f94e90ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80028cdc: 0004a603 lw a2,0(s1) + 80028ce0: 00050593 mv a1,a0 + 80028ce4: 001a3917 auipc s2,0x1a3 + 80028ce8: 5fc90913 addi s2,s2,1532 # 801cc2e0 <_ZL4WRAM> + 80028cec: 01000513 li a0,16 + 80028cf0: 00100693 li a3,1 + 80028cf4: 00b93023 sd a1,0(s2) + 80028cf8: ffce70ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80028cfc: 04c42783 lw a5,76(s0) + 80028d00: 00093503 ld a0,0(s2) + 80028d04: 0004a583 lw a1,0(s1) + 80028d08: 00078663 beqz a5,80028d14 <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x194> + 80028d0c: 00a43c23 sd a0,24(s0) + 80028d10: 02b42c23 sw a1,56(s0) + 80028d14: 0001c697 auipc a3,0x1c + 80028d18: 61468693 addi a3,a3,1556 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80028d1c: 00000613 li a2,0 + 80028d20: af0d70ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80028d24: f69ff06f j 80028c8c <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x10c> + 80028d28: f60782e3 beqz a5,80028c8c <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x10c> + 80028d2c: ed9ff06f j 80028c04 <_ZL10Latch_InitP8CartInfoPFvvEhtthh+0x84> + +0000000080028d30 <_ZL9NROMPowerv>: + 80028d30: ff010113 addi sp,sp,-16 + 80028d34: 00000613 li a2,0 + 80028d38: 000065b7 lui a1,0x6 + 80028d3c: 01000513 li a0,16 + 80028d40: 00113423 sd ra,8(sp) + 80028d44: 00813023 sd s0,0(sp) + 80028d48: ba1e70ef jal ra,800108e8 <_Z8setprg8rijj> + 80028d4c: ffe00593 li a1,-2 + 80028d50: 00008537 lui a0,0x8 + 80028d54: f05e70ef jal ra,80010c58 <_Z8setprg16jj> + 80028d58: fff00593 li a1,-1 + 80028d5c: 0000c537 lui a0,0xc + 80028d60: ef9e70ef jal ra,80010c58 <_Z8setprg16jj> + 80028d64: 00000513 li a0,0 + 80028d68: 99ce80ef jal ra,80010f04 <_Z7setchr8j> + 80028d6c: 00008437 lui s0,0x8 + 80028d70: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80028d74: fffe8617 auipc a2,0xfffe8 + 80028d78: 8ec60613 addi a2,a2,-1812 # 80010660 <_Z6CartBRj> + 80028d7c: 00006537 lui a0,0x6 + 80028d80: 49d0a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028d84: fff40593 addi a1,s0,-1 + 80028d88: fffe8617 auipc a2,0xfffe8 + 80028d8c: 90460613 addi a2,a2,-1788 # 8001068c <_Z6CartBWjh> + 80028d90: 00006537 lui a0,0x6 + 80028d94: 5950a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028d98: 000105b7 lui a1,0x10 + 80028d9c: fffe8617 auipc a2,0xfffe8 + 80028da0: 8c460613 addi a2,a2,-1852 # 80010660 <_Z6CartBRj> + 80028da4: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80028da8: 00008537 lui a0,0x8 + 80028dac: 4710a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028db0: 00013403 ld s0,0(sp) + 80028db4: 00813083 ld ra,8(sp) + 80028db8: 001a3517 auipc a0,0x1a3 + 80028dbc: 53c52503 lw a0,1340(a0) # 801cc2f4 <_ZL8WRAMSIZE> + 80028dc0: 001a3617 auipc a2,0x1a3 + 80028dc4: 52063603 ld a2,1312(a2) # 801cc2e0 <_ZL4WRAM> + 80028dc8: 000065b7 lui a1,0x6 + 80028dcc: 00a5551b srliw a0,a0,0xa + 80028dd0: 01010113 addi sp,sp,16 + 80028dd4: a40d706f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +0000000080028dd8 <_ZL10LatchPowerv>: + 80028dd8: ff010113 addi sp,sp,-16 + 80028ddc: 001a3797 auipc a5,0x1a3 + 80028de0: 4fc7c783 lbu a5,1276(a5) # 801cc2d8 <_ZL10latcheinit> + 80028de4: 00813023 sd s0,0(sp) + 80028de8: 001a3717 auipc a4,0x1a3 + 80028dec: 50f70423 sb a5,1288(a4) # 801cc2f0 <_ZL6latche> + 80028df0: 00113423 sd ra,8(sp) + 80028df4: 001a3797 auipc a5,0x1a3 + 80028df8: 4f47b783 ld a5,1268(a5) # 801cc2e8 <_ZL5WSync> + 80028dfc: 001a3417 auipc s0,0x1a3 + 80028e00: 4e440413 addi s0,s0,1252 # 801cc2e0 <_ZL4WRAM> + 80028e04: 000780e7 jalr a5 + 80028e08: 00043783 ld a5,0(s0) + 80028e0c: 06078a63 beqz a5,80028e80 <_ZL10LatchPowerv+0xa8> + 80028e10: 000105b7 lui a1,0x10 + 80028e14: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80028e18: fffe8617 auipc a2,0xfffe8 + 80028e1c: 84860613 addi a2,a2,-1976 # 80010660 <_Z6CartBRj> + 80028e20: 00006537 lui a0,0x6 + 80028e24: 3f90a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028e28: 000085b7 lui a1,0x8 + 80028e2c: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80028e30: fffe8617 auipc a2,0xfffe8 + 80028e34: 85c60613 addi a2,a2,-1956 # 8001068c <_Z6CartBWjh> + 80028e38: 00006537 lui a0,0x6 + 80028e3c: 4ed0a0ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028e40: 00043603 ld a2,0(s0) + 80028e44: 001a3517 auipc a0,0x1a3 + 80028e48: 4b052503 lw a0,1200(a0) # 801cc2f4 <_ZL8WRAMSIZE> + 80028e4c: 000065b7 lui a1,0x6 + 80028e50: 00a5551b srliw a0,a0,0xa + 80028e54: 9c0d70ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80028e58: 00013403 ld s0,0(sp) + 80028e5c: 00813083 ld ra,8(sp) + 80028e60: 001a3597 auipc a1,0x1a3 + 80028e64: 49a5d583 lhu a1,1178(a1) # 801cc2fa <_ZL8addrreg1> + 80028e68: 001a3517 auipc a0,0x1a3 + 80028e6c: 49055503 lhu a0,1168(a0) # 801cc2f8 <_ZL8addrreg0> + 80028e70: 00000617 auipc a2,0x0 + 80028e74: cac60613 addi a2,a2,-852 # 80028b1c <_ZL10LatchWritejh> + 80028e78: 01010113 addi sp,sp,16 + 80028e7c: 4ad0a06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80028e80: 000105b7 lui a1,0x10 + 80028e84: fffe7617 auipc a2,0xfffe7 + 80028e88: 7dc60613 addi a2,a2,2012 # 80010660 <_Z6CartBRj> + 80028e8c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80028e90: 00008537 lui a0,0x8 + 80028e94: 3890a0ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80028e98: fc1ff06f j 80028e58 <_ZL10LatchPowerv+0x80> + +0000000080028e9c <_ZL9CNROMSyncv>: + 80028e9c: ff010113 addi sp,sp,-16 + 80028ea0: 001a3517 auipc a0,0x1a3 + 80028ea4: 45054503 lbu a0,1104(a0) # 801cc2f0 <_ZL6latche> + 80028ea8: 00113423 sd ra,8(sp) + 80028eac: 858e80ef jal ra,80010f04 <_Z7setchr8j> + 80028eb0: 00000593 li a1,0 + 80028eb4: 00008537 lui a0,0x8 + 80028eb8: f5de70ef jal ra,80010e14 <_Z8setprg32jj> + 80028ebc: 00813083 ld ra,8(sp) + 80028ec0: 00000613 li a2,0 + 80028ec4: 000065b7 lui a1,0x6 + 80028ec8: 01000513 li a0,16 + 80028ecc: 01010113 addi sp,sp,16 + 80028ed0: a19e706f j 800108e8 <_Z8setprg8rijj> + +0000000080028ed4 <_ZL7M11Syncv>: + 80028ed4: ff010113 addi sp,sp,-16 + 80028ed8: 00813023 sd s0,0(sp) + 80028edc: 001a3417 auipc s0,0x1a3 + 80028ee0: 41440413 addi s0,s0,1044 # 801cc2f0 <_ZL6latche> + 80028ee4: 00044583 lbu a1,0(s0) + 80028ee8: 00008537 lui a0,0x8 + 80028eec: 00113423 sd ra,8(sp) + 80028ef0: 00f5f593 andi a1,a1,15 + 80028ef4: f21e70ef jal ra,80010e14 <_Z8setprg32jj> + 80028ef8: 00044503 lbu a0,0(s0) + 80028efc: 00013403 ld s0,0(sp) + 80028f00: 00813083 ld ra,8(sp) + 80028f04: 00455513 srli a0,a0,0x4 + 80028f08: 01010113 addi sp,sp,16 + 80028f0c: ff9e706f j 80010f04 <_Z7setchr8j> + +0000000080028f10 <_ZL7M38Syncv>: + 80028f10: ff010113 addi sp,sp,-16 + 80028f14: 00813023 sd s0,0(sp) + 80028f18: 001a3417 auipc s0,0x1a3 + 80028f1c: 3d840413 addi s0,s0,984 # 801cc2f0 <_ZL6latche> + 80028f20: 00044583 lbu a1,0(s0) + 80028f24: 00008537 lui a0,0x8 + 80028f28: 00113423 sd ra,8(sp) + 80028f2c: 0035f593 andi a1,a1,3 + 80028f30: ee5e70ef jal ra,80010e14 <_Z8setprg32jj> + 80028f34: 00044503 lbu a0,0(s0) + 80028f38: 00013403 ld s0,0(sp) + 80028f3c: 00813083 ld ra,8(sp) + 80028f40: 00255513 srli a0,a0,0x2 + 80028f44: 01010113 addi sp,sp,16 + 80028f48: fbde706f j 80010f04 <_Z7setchr8j> + +0000000080028f4c <_ZL9MHROMSyncv>: + 80028f4c: ff010113 addi sp,sp,-16 + 80028f50: 00813023 sd s0,0(sp) + 80028f54: 001a3417 auipc s0,0x1a3 + 80028f58: 39c40413 addi s0,s0,924 # 801cc2f0 <_ZL6latche> + 80028f5c: 00044583 lbu a1,0(s0) + 80028f60: 00008537 lui a0,0x8 + 80028f64: 00113423 sd ra,8(sp) + 80028f68: 0045d593 srli a1,a1,0x4 + 80028f6c: ea9e70ef jal ra,80010e14 <_Z8setprg32jj> + 80028f70: 00044503 lbu a0,0(s0) + 80028f74: 00013403 ld s0,0(sp) + 80028f78: 00813083 ld ra,8(sp) + 80028f7c: 00f57513 andi a0,a0,15 + 80028f80: 01010113 addi sp,sp,16 + 80028f84: f81e706f j 80010f04 <_Z7setchr8j> + +0000000080028f88 <_ZL7M86Syncv>: + 80028f88: ff010113 addi sp,sp,-16 + 80028f8c: 00813023 sd s0,0(sp) + 80028f90: 001a3417 auipc s0,0x1a3 + 80028f94: 36040413 addi s0,s0,864 # 801cc2f0 <_ZL6latche> + 80028f98: 00044583 lbu a1,0(s0) + 80028f9c: 00008537 lui a0,0x8 + 80028fa0: 00113423 sd ra,8(sp) + 80028fa4: 4045d59b sraiw a1,a1,0x4 + 80028fa8: 0035f593 andi a1,a1,3 + 80028fac: e69e70ef jal ra,80010e14 <_Z8setprg32jj> + 80028fb0: 00044783 lbu a5,0(s0) + 80028fb4: 00013403 ld s0,0(sp) + 80028fb8: 00813083 ld ra,8(sp) + 80028fbc: 4047d51b sraiw a0,a5,0x4 + 80028fc0: 00457513 andi a0,a0,4 + 80028fc4: 0037f793 andi a5,a5,3 + 80028fc8: 00a7e533 or a0,a5,a0 + 80028fcc: 01010113 addi sp,sp,16 + 80028fd0: f35e706f j 80010f04 <_Z7setchr8j> + +0000000080028fd4 <_ZL7M87Syncv>: + 80028fd4: ff010113 addi sp,sp,-16 + 80028fd8: 00008537 lui a0,0x8 + 80028fdc: 00000593 li a1,0 + 80028fe0: 00113423 sd ra,8(sp) + 80028fe4: e31e70ef jal ra,80010e14 <_Z8setprg32jj> + 80028fe8: 001a3797 auipc a5,0x1a3 + 80028fec: 3087c783 lbu a5,776(a5) # 801cc2f0 <_ZL6latche> + 80028ff0: 00813083 ld ra,8(sp) + 80028ff4: 4017d51b sraiw a0,a5,0x1 + 80028ff8: 0017979b slliw a5,a5,0x1 + 80028ffc: 00157513 andi a0,a0,1 + 80029000: 0027f793 andi a5,a5,2 + 80029004: 00f56533 or a0,a0,a5 + 80029008: 01010113 addi sp,sp,16 + 8002900c: ef9e706f j 80010f04 <_Z7setchr8j> + +0000000080029010 <_ZL8M101Syncv>: + 80029010: ff010113 addi sp,sp,-16 + 80029014: 00008537 lui a0,0x8 + 80029018: 00000593 li a1,0 + 8002901c: 00113423 sd ra,8(sp) + 80029020: df5e70ef jal ra,80010e14 <_Z8setprg32jj> + 80029024: 00813083 ld ra,8(sp) + 80029028: 001a3517 auipc a0,0x1a3 + 8002902c: 2c854503 lbu a0,712(a0) # 801cc2f0 <_ZL6latche> + 80029030: 01010113 addi sp,sp,16 + 80029034: ed1e706f j 80010f04 <_Z7setchr8j> + +0000000080029038 <_ZL8M107Syncv>: + 80029038: ff010113 addi sp,sp,-16 + 8002903c: 00813023 sd s0,0(sp) + 80029040: 001a3417 auipc s0,0x1a3 + 80029044: 2b040413 addi s0,s0,688 # 801cc2f0 <_ZL6latche> + 80029048: 00044583 lbu a1,0(s0) + 8002904c: 00008537 lui a0,0x8 + 80029050: 00113423 sd ra,8(sp) + 80029054: 4015d59b sraiw a1,a1,0x1 + 80029058: 0035f593 andi a1,a1,3 + 8002905c: db9e70ef jal ra,80010e14 <_Z8setprg32jj> + 80029060: 00044503 lbu a0,0(s0) + 80029064: 00013403 ld s0,0(sp) + 80029068: 00813083 ld ra,8(sp) + 8002906c: 00757513 andi a0,a0,7 + 80029070: 01010113 addi sp,sp,16 + 80029074: e91e706f j 80010f04 <_Z7setchr8j> + +0000000080029078 <_ZL8M113Syncv>: + 80029078: ff010113 addi sp,sp,-16 + 8002907c: 00813023 sd s0,0(sp) + 80029080: 001a3417 auipc s0,0x1a3 + 80029084: 27040413 addi s0,s0,624 # 801cc2f0 <_ZL6latche> + 80029088: 00044583 lbu a1,0(s0) + 8002908c: 00008537 lui a0,0x8 + 80029090: 00113423 sd ra,8(sp) + 80029094: 4035d59b sraiw a1,a1,0x3 + 80029098: 0075f593 andi a1,a1,7 + 8002909c: d79e70ef jal ra,80010e14 <_Z8setprg32jj> + 800290a0: 00044783 lbu a5,0(s0) + 800290a4: 00013403 ld s0,0(sp) + 800290a8: 00813083 ld ra,8(sp) + 800290ac: 4037d51b sraiw a0,a5,0x3 + 800290b0: 00857513 andi a0,a0,8 + 800290b4: 0077f793 andi a5,a5,7 + 800290b8: 00a7e533 or a0,a5,a0 + 800290bc: 01010113 addi sp,sp,16 + 800290c0: e45e706f j 80010f04 <_Z7setchr8j> + +00000000800290c4 <_ZL8M240Syncv>: + 800290c4: ff010113 addi sp,sp,-16 + 800290c8: 00000613 li a2,0 + 800290cc: 000065b7 lui a1,0x6 + 800290d0: 01000513 li a0,16 + 800290d4: 00113423 sd ra,8(sp) + 800290d8: 00813023 sd s0,0(sp) + 800290dc: 80de70ef jal ra,800108e8 <_Z8setprg8rijj> + 800290e0: 001a3417 auipc s0,0x1a3 + 800290e4: 21040413 addi s0,s0,528 # 801cc2f0 <_ZL6latche> + 800290e8: 00044583 lbu a1,0(s0) + 800290ec: 00008537 lui a0,0x8 + 800290f0: 0045d593 srli a1,a1,0x4 + 800290f4: d21e70ef jal ra,80010e14 <_Z8setprg32jj> + 800290f8: 00044503 lbu a0,0(s0) + 800290fc: 00013403 ld s0,0(sp) + 80029100: 00813083 ld ra,8(sp) + 80029104: 00f57513 andi a0,a0,15 + 80029108: 01010113 addi sp,sp,16 + 8002910c: df9e706f j 80010f04 <_Z7setchr8j> + +0000000080029110 <_ZL8M241Syncv>: + 80029110: ff010113 addi sp,sp,-16 + 80029114: 00000513 li a0,0 + 80029118: 00113423 sd ra,8(sp) + 8002911c: de9e70ef jal ra,80010f04 <_Z7setchr8j> + 80029120: 000065b7 lui a1,0x6 + 80029124: 00000613 li a2,0 + 80029128: 01000513 li a0,16 + 8002912c: fbce70ef jal ra,800108e8 <_Z8setprg8rijj> + 80029130: 001a3597 auipc a1,0x1a3 + 80029134: 1c05c583 lbu a1,448(a1) # 801cc2f0 <_ZL6latche> + 80029138: 0185979b slliw a5,a1,0x18 + 8002913c: 4187d79b sraiw a5,a5,0x18 + 80029140: 0007d463 bgez a5,80029148 <_ZL8M241Syncv+0x38> + 80029144: 0085e593 ori a1,a1,8 + 80029148: 00813083 ld ra,8(sp) + 8002914c: 00008537 lui a0,0x8 + 80029150: 01010113 addi sp,sp,16 + 80029154: cc1e706f j 80010e14 <_Z8setprg32jj> + +0000000080029158 <_ZL9ANROMSyncv>: + 80029158: ff010113 addi sp,sp,-16 + 8002915c: 00813023 sd s0,0(sp) + 80029160: 001a3417 auipc s0,0x1a3 + 80029164: 19040413 addi s0,s0,400 # 801cc2f0 <_ZL6latche> + 80029168: 00044583 lbu a1,0(s0) + 8002916c: 00008537 lui a0,0x8 + 80029170: 00113423 sd ra,8(sp) + 80029174: 00f5f593 andi a1,a1,15 + 80029178: c9de70ef jal ra,80010e14 <_Z8setprg32jj> + 8002917c: 00044503 lbu a0,0(s0) + 80029180: 4045551b sraiw a0,a0,0x4 + 80029184: 00157513 andi a0,a0,1 + 80029188: 0025051b addiw a0,a0,2 + 8002918c: ebde70ef jal ra,80011048 <_Z9setmirrori> + 80029190: 00013403 ld s0,0(sp) + 80029194: 00813083 ld ra,8(sp) + 80029198: 00000513 li a0,0 + 8002919c: 01010113 addi sp,sp,16 + 800291a0: d65e706f j 80010f04 <_Z7setchr8j> + +00000000800291a4 <_ZL7M78Syncv>: + 800291a4: ff010113 addi sp,sp,-16 + 800291a8: 00813023 sd s0,0(sp) + 800291ac: 001a3417 auipc s0,0x1a3 + 800291b0: 14440413 addi s0,s0,324 # 801cc2f0 <_ZL6latche> + 800291b4: 00044583 lbu a1,0(s0) + 800291b8: 00008537 lui a0,0x8 + 800291bc: 00113423 sd ra,8(sp) + 800291c0: 0075f593 andi a1,a1,7 + 800291c4: a95e70ef jal ra,80010c58 <_Z8setprg16jj> + 800291c8: fff00593 li a1,-1 + 800291cc: 0000c537 lui a0,0xc + 800291d0: a89e70ef jal ra,80010c58 <_Z8setprg16jj> + 800291d4: 00044503 lbu a0,0(s0) + 800291d8: 00455513 srli a0,a0,0x4 + 800291dc: d29e70ef jal ra,80010f04 <_Z7setchr8j> + 800291e0: 00044503 lbu a0,0(s0) + 800291e4: 00013403 ld s0,0(sp) + 800291e8: 00813083 ld ra,8(sp) + 800291ec: 4035551b sraiw a0,a0,0x3 + 800291f0: 00157513 andi a0,a0,1 + 800291f4: 0025051b addiw a0,a0,2 + 800291f8: 01010113 addi sp,sp,16 + 800291fc: e4de706f j 80011048 <_Z9setmirrori> + +0000000080029200 <_ZL7M89Syncv>: + 80029200: ff010113 addi sp,sp,-16 + 80029204: 00813023 sd s0,0(sp) + 80029208: 001a3417 auipc s0,0x1a3 + 8002920c: 0e840413 addi s0,s0,232 # 801cc2f0 <_ZL6latche> + 80029210: 00044583 lbu a1,0(s0) + 80029214: 00008537 lui a0,0x8 + 80029218: 00113423 sd ra,8(sp) + 8002921c: 4045d59b sraiw a1,a1,0x4 + 80029220: 0075f593 andi a1,a1,7 + 80029224: a35e70ef jal ra,80010c58 <_Z8setprg16jj> + 80029228: fff00593 li a1,-1 + 8002922c: 0000c537 lui a0,0xc + 80029230: a29e70ef jal ra,80010c58 <_Z8setprg16jj> + 80029234: 00044783 lbu a5,0(s0) + 80029238: 4047d51b sraiw a0,a5,0x4 + 8002923c: 00857513 andi a0,a0,8 + 80029240: 0077f793 andi a5,a5,7 + 80029244: 00a7e533 or a0,a5,a0 + 80029248: cbde70ef jal ra,80010f04 <_Z7setchr8j> + 8002924c: 00044503 lbu a0,0(s0) + 80029250: 00013403 ld s0,0(sp) + 80029254: 00813083 ld ra,8(sp) + 80029258: 4035551b sraiw a0,a0,0x3 + 8002925c: 00157513 andi a0,a0,1 + 80029260: 0025051b addiw a0,a0,2 + 80029264: 01010113 addi sp,sp,16 + 80029268: de1e706f j 80011048 <_Z9setmirrori> + +000000008002926c <_ZL7M97Syncv>: + 8002926c: ff010113 addi sp,sp,-16 + 80029270: 00000513 li a0,0 + 80029274: 00113423 sd ra,8(sp) + 80029278: 00813023 sd s0,0(sp) + 8002927c: c89e70ef jal ra,80010f04 <_Z7setchr8j> + 80029280: fff00593 li a1,-1 + 80029284: 00008537 lui a0,0x8 + 80029288: 9d1e70ef jal ra,80010c58 <_Z8setprg16jj> + 8002928c: 001a3417 auipc s0,0x1a3 + 80029290: 06440413 addi s0,s0,100 # 801cc2f0 <_ZL6latche> + 80029294: 00044583 lbu a1,0(s0) + 80029298: 0000c537 lui a0,0xc + 8002929c: 00f5f593 andi a1,a1,15 + 800292a0: 9b9e70ef jal ra,80010c58 <_Z8setprg16jj> + 800292a4: 00044783 lbu a5,0(s0) + 800292a8: 00100693 li a3,1 + 800292ac: 4067d71b sraiw a4,a5,0x6 + 800292b0: 02d70e63 beq a4,a3,800292ec <_ZL7M97Syncv+0x80> + 800292b4: 00200693 li a3,2 + 800292b8: 00d71863 bne a4,a3,800292c8 <_ZL7M97Syncv+0x5c> + 800292bc: 00100513 li a0,1 + 800292c0: d89e70ef jal ra,80011048 <_Z9setmirrori> + 800292c4: 00044783 lbu a5,0(s0) + 800292c8: 00013403 ld s0,0(sp) + 800292cc: 00813083 ld ra,8(sp) + 800292d0: 4017d51b sraiw a0,a5,0x1 + 800292d4: 0017979b slliw a5,a5,0x1 + 800292d8: 00157513 andi a0,a0,1 + 800292dc: 0027f793 andi a5,a5,2 + 800292e0: 00f56533 or a0,a0,a5 + 800292e4: 01010113 addi sp,sp,16 + 800292e8: c1de706f j 80010f04 <_Z7setchr8j> + 800292ec: 00000513 li a0,0 + 800292f0: d59e70ef jal ra,80011048 <_Z9setmirrori> + 800292f4: 00044783 lbu a5,0(s0) + 800292f8: fd1ff06f j 800292c8 <_ZL7M97Syncv+0x5c> + +00000000800292fc <_ZL8M152Syncv>: + 800292fc: ff010113 addi sp,sp,-16 + 80029300: 00813023 sd s0,0(sp) + 80029304: 001a3417 auipc s0,0x1a3 + 80029308: fec40413 addi s0,s0,-20 # 801cc2f0 <_ZL6latche> + 8002930c: 00044583 lbu a1,0(s0) + 80029310: 00008537 lui a0,0x8 + 80029314: 00113423 sd ra,8(sp) + 80029318: 4045d59b sraiw a1,a1,0x4 + 8002931c: 0075f593 andi a1,a1,7 + 80029320: 939e70ef jal ra,80010c58 <_Z8setprg16jj> + 80029324: fff00593 li a1,-1 + 80029328: 0000c537 lui a0,0xc + 8002932c: 92de70ef jal ra,80010c58 <_Z8setprg16jj> + 80029330: 00044503 lbu a0,0(s0) + 80029334: 00f57513 andi a0,a0,15 + 80029338: bcde70ef jal ra,80010f04 <_Z7setchr8j> + 8002933c: 00044503 lbu a0,0(s0) + 80029340: 00013403 ld s0,0(sp) + 80029344: 00813083 ld ra,8(sp) + 80029348: 0075551b srliw a0,a0,0x7 + 8002934c: 0025051b addiw a0,a0,2 + 80029350: 01010113 addi sp,sp,16 + 80029354: cf5e706f j 80011048 <_Z9setmirrori> + +0000000080029358 <_ZL9CPROMSyncv>: + 80029358: ff010113 addi sp,sp,-16 + 8002935c: 00000593 li a1,0 + 80029360: 00000513 li a0,0 + 80029364: 00113423 sd ra,8(sp) + 80029368: b7de70ef jal ra,80010ee4 <_Z7setchr4jj> + 8002936c: 001a3597 auipc a1,0x1a3 + 80029370: f845c583 lbu a1,-124(a1) # 801cc2f0 <_ZL6latche> + 80029374: 0035f593 andi a1,a1,3 + 80029378: 00001537 lui a0,0x1 + 8002937c: b69e70ef jal ra,80010ee4 <_Z7setchr4jj> + 80029380: 00813083 ld ra,8(sp) + 80029384: 00000593 li a1,0 + 80029388: 00008537 lui a0,0x8 + 8002938c: 01010113 addi sp,sp,16 + 80029390: a85e706f j 80010e14 <_Z8setprg32jj> + +0000000080029394 <_ZL8M184Syncv>: + 80029394: ff010113 addi sp,sp,-16 + 80029398: 00813023 sd s0,0(sp) + 8002939c: 001a3417 auipc s0,0x1a3 + 800293a0: f5440413 addi s0,s0,-172 # 801cc2f0 <_ZL6latche> + 800293a4: 00044583 lbu a1,0(s0) + 800293a8: 00000513 li a0,0 + 800293ac: 00113423 sd ra,8(sp) + 800293b0: b35e70ef jal ra,80010ee4 <_Z7setchr4jj> + 800293b4: 00044583 lbu a1,0(s0) + 800293b8: 00001537 lui a0,0x1 + 800293bc: 0045d593 srli a1,a1,0x4 + 800293c0: b25e70ef jal ra,80010ee4 <_Z7setchr4jj> + 800293c4: 00013403 ld s0,0(sp) + 800293c8: 00813083 ld ra,8(sp) + 800293cc: 00000593 li a1,0 + 800293d0: 00008537 lui a0,0x8 + 800293d4: 01010113 addi sp,sp,16 + 800293d8: a3de706f j 80010e14 <_Z8setprg32jj> + +00000000800293dc <_ZL7M29Syncv>: + 800293dc: ff010113 addi sp,sp,-16 + 800293e0: 00813023 sd s0,0(sp) + 800293e4: 001a3417 auipc s0,0x1a3 + 800293e8: f0c40413 addi s0,s0,-244 # 801cc2f0 <_ZL6latche> + 800293ec: 00044583 lbu a1,0(s0) + 800293f0: 00008537 lui a0,0x8 + 800293f4: 00113423 sd ra,8(sp) + 800293f8: 4025d59b sraiw a1,a1,0x2 + 800293fc: 0075f593 andi a1,a1,7 + 80029400: 859e70ef jal ra,80010c58 <_Z8setprg16jj> + 80029404: fff00593 li a1,-1 + 80029408: 0000c537 lui a0,0xc + 8002940c: 84de70ef jal ra,80010c58 <_Z8setprg16jj> + 80029410: 00044583 lbu a1,0(s0) + 80029414: 00000513 li a0,0 + 80029418: 0035f593 andi a1,a1,3 + 8002941c: a69e70ef jal ra,80010e84 <_Z8setchr8rij> + 80029420: 00013403 ld s0,0(sp) + 80029424: 00813083 ld ra,8(sp) + 80029428: 00000613 li a2,0 + 8002942c: 000065b7 lui a1,0x6 + 80029430: 01000513 li a0,16 + 80029434: 01010113 addi sp,sp,16 + 80029438: cb0e706f j 800108e8 <_Z8setprg8rijj> + +000000008002943c <_Z9NROM_InitP8CartInfo>: + 8002943c: fe010113 addi sp,sp,-32 + 80029440: 00113c23 sd ra,24(sp) + 80029444: 00813823 sd s0,16(sp) + 80029448: 00913423 sd s1,8(sp) + 8002944c: 01213023 sd s2,0(sp) + 80029450: 00000797 auipc a5,0x0 + 80029454: 8e078793 addi a5,a5,-1824 # 80028d30 <_ZL9NROMPowerv> + 80029458: 00f53023 sd a5,0(a0) # c000 <_entry_offset+0xc000> + 8002945c: fffff797 auipc a5,0xfffff + 80029460: 4cc78793 addi a5,a5,1228 # 80028928 <_ZL10LatchClosev> + 80029464: 001a3497 auipc s1,0x1a3 + 80029468: e9048493 addi s1,s1,-368 # 801cc2f4 <_ZL8WRAMSIZE> + 8002946c: 00f53823 sd a5,16(a0) + 80029470: 00050413 mv s0,a0 + 80029474: 000027b7 lui a5,0x2 + 80029478: 00002537 lui a0,0x2 + 8002947c: 00f4a023 sw a5,0(s1) + 80029480: fede80ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80029484: 0004a603 lw a2,0(s1) + 80029488: 00050593 mv a1,a0 + 8002948c: 001a3917 auipc s2,0x1a3 + 80029490: e5490913 addi s2,s2,-428 # 801cc2e0 <_ZL4WRAM> + 80029494: 01000513 li a0,16 + 80029498: 00100693 li a3,1 + 8002949c: 00b93023 sd a1,0(s2) + 800294a0: 854e70ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 800294a4: 04c42783 lw a5,76(s0) + 800294a8: 00093503 ld a0,0(s2) + 800294ac: 0004a583 lw a1,0(s1) + 800294b0: 00078663 beqz a5,800294bc <_Z9NROM_InitP8CartInfo+0x80> + 800294b4: 00a43c23 sd a0,24(s0) + 800294b8: 02b42c23 sw a1,56(s0) + 800294bc: 01013403 ld s0,16(sp) + 800294c0: 01813083 ld ra,24(sp) + 800294c4: 00813483 ld s1,8(sp) + 800294c8: 00013903 ld s2,0(sp) + 800294cc: 0001c697 auipc a3,0x1c + 800294d0: e5c68693 addi a3,a3,-420 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800294d4: 00000613 li a2,0 + 800294d8: 02010113 addi sp,sp,32 + 800294dc: b35d606f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800294e0 <_Z10UNROM_InitP8CartInfo>: + 800294e0: 00010737 lui a4,0x10 + 800294e4: 00100813 li a6,1 + 800294e8: 00000793 li a5,0 + 800294ec: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800294f0: 000086b7 lui a3,0x8 + 800294f4: 00000613 li a2,0 + 800294f8: fffff597 auipc a1,0xfffff + 800294fc: 46858593 addi a1,a1,1128 # 80028960 <_ZL9UNROMSyncv> + 80029500: e80ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029504 <_Z10CNROM_InitP8CartInfo>: + 80029504: 00010737 lui a4,0x10 + 80029508: 00100813 li a6,1 + 8002950c: 00100793 li a5,1 + 80029510: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029514: 000086b7 lui a3,0x8 + 80029518: 00000613 li a2,0 + 8002951c: 00000597 auipc a1,0x0 + 80029520: 98058593 addi a1,a1,-1664 # 80028e9c <_ZL9CNROMSyncv> + 80029524: e5cff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029528 <_Z10ANROM_InitP8CartInfo>: + 80029528: 00010737 lui a4,0x10 + 8002952c: 000046b7 lui a3,0x4 + 80029530: 00000813 li a6,0 + 80029534: 00000793 li a5,0 + 80029538: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 8002953c: 02068693 addi a3,a3,32 # 4020 <_entry_offset+0x4020> + 80029540: 00000613 li a2,0 + 80029544: 00000597 auipc a1,0x0 + 80029548: c1458593 addi a1,a1,-1004 # 80029158 <_ZL9ANROMSyncv> + 8002954c: e34ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029550 <_Z12Mapper8_InitP8CartInfo>: + 80029550: 00010737 lui a4,0x10 + 80029554: 00000813 li a6,0 + 80029558: 00000793 li a5,0 + 8002955c: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029560: 000086b7 lui a3,0x8 + 80029564: 00000613 li a2,0 + 80029568: fffff597 auipc a1,0xfffff + 8002956c: 42c58593 addi a1,a1,1068 # 80028994 <_ZL6M8Syncv> + 80029570: e10ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029574 <_Z13Mapper11_InitP8CartInfo>: + 80029574: 00010737 lui a4,0x10 + 80029578: 00000813 li a6,0 + 8002957c: 00000793 li a5,0 + 80029580: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029584: 000086b7 lui a3,0x8 + 80029588: 00000613 li a2,0 + 8002958c: 00000597 auipc a1,0x0 + 80029590: 94858593 addi a1,a1,-1720 # 80028ed4 <_ZL7M11Syncv> + 80029594: decff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029598 <_Z14Mapper144_InitP8CartInfo>: + 80029598: 00010737 lui a4,0x10 + 8002959c: 000086b7 lui a3,0x8 + 800295a0: 00000813 li a6,0 + 800295a4: 00000793 li a5,0 + 800295a8: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800295ac: 00168693 addi a3,a3,1 # 8001 <_entry_offset+0x8001> + 800295b0: 00000613 li a2,0 + 800295b4: 00000597 auipc a1,0x0 + 800295b8: 92058593 addi a1,a1,-1760 # 80028ed4 <_ZL7M11Syncv> + 800295bc: dc4ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800295c0 <_Z10CPROM_InitP8CartInfo>: + 800295c0: 00010737 lui a4,0x10 + 800295c4: 00000813 li a6,0 + 800295c8: 00000793 li a5,0 + 800295cc: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800295d0: 000086b7 lui a3,0x8 + 800295d4: 00000613 li a2,0 + 800295d8: 00000597 auipc a1,0x0 + 800295dc: d8058593 addi a1,a1,-640 # 80029358 <_ZL9CPROMSyncv> + 800295e0: da0ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800295e4 <_Z13Mapper29_InitP8CartInfo>: + 800295e4: 00010737 lui a4,0x10 + 800295e8: 00000813 li a6,0 + 800295ec: 00100793 li a5,1 + 800295f0: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800295f4: 000086b7 lui a3,0x8 + 800295f8: 00000613 li a2,0 + 800295fc: 00000597 auipc a1,0x0 + 80029600: de058593 addi a1,a1,-544 # 800293dc <_ZL7M29Syncv> + 80029604: d7cff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029608 <_Z13Mapper38_InitP8CartInfo>: + 80029608: 00008737 lui a4,0x8 + 8002960c: 00000813 li a6,0 + 80029610: 00000793 li a5,0 + 80029614: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 80029618: 000076b7 lui a3,0x7 + 8002961c: 00000613 li a2,0 + 80029620: 00000597 auipc a1,0x0 + 80029624: 8f058593 addi a1,a1,-1808 # 80028f10 <_ZL7M38Syncv> + 80029628: d58ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +000000008002962c <_Z10MHROM_InitP8CartInfo>: + 8002962c: 00010737 lui a4,0x10 + 80029630: 00000813 li a6,0 + 80029634: 00000793 li a5,0 + 80029638: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 8002963c: 000086b7 lui a3,0x8 + 80029640: 00000613 li a2,0 + 80029644: 00000597 auipc a1,0x0 + 80029648: 90858593 addi a1,a1,-1784 # 80028f4c <_ZL9MHROMSyncv> + 8002964c: d34ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029650 <_Z13Mapper70_InitP8CartInfo>: + 80029650: 00010737 lui a4,0x10 + 80029654: 00000813 li a6,0 + 80029658: 00000793 li a5,0 + 8002965c: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029660: 000086b7 lui a3,0x8 + 80029664: 00000613 li a2,0 + 80029668: fffff597 auipc a1,0xfffff + 8002966c: 37458593 addi a1,a1,884 # 800289dc <_ZL7M70Syncv> + 80029670: d10ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029674 <_Z13Mapper78_InitP8CartInfo>: + 80029674: 00010737 lui a4,0x10 + 80029678: 00000813 li a6,0 + 8002967c: 00000793 li a5,0 + 80029680: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029684: 000086b7 lui a3,0x8 + 80029688: 00000613 li a2,0 + 8002968c: 00000597 auipc a1,0x0 + 80029690: b1858593 addi a1,a1,-1256 # 800291a4 <_ZL7M78Syncv> + 80029694: cecff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029698 <_Z13Mapper86_InitP8CartInfo>: + 80029698: 00007737 lui a4,0x7 + 8002969c: 00000813 li a6,0 + 800296a0: 00000793 li a5,0 + 800296a4: fff70713 addi a4,a4,-1 # 6fff <_entry_offset+0x6fff> + 800296a8: 000066b7 lui a3,0x6 + 800296ac: 0ff00613 li a2,255 + 800296b0: 00000597 auipc a1,0x0 + 800296b4: 8d858593 addi a1,a1,-1832 # 80028f88 <_ZL7M86Syncv> + 800296b8: cc8ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800296bc <_Z13Mapper87_InitP8CartInfo>: + 800296bc: 00010737 lui a4,0x10 + 800296c0: 00000813 li a6,0 + 800296c4: 00000793 li a5,0 + 800296c8: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800296cc: 000066b7 lui a3,0x6 + 800296d0: 0ff00613 li a2,255 + 800296d4: 00000597 auipc a1,0x0 + 800296d8: 90058593 addi a1,a1,-1792 # 80028fd4 <_ZL7M87Syncv> + 800296dc: ca4ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800296e0 <_Z13Mapper89_InitP8CartInfo>: + 800296e0: 00010737 lui a4,0x10 + 800296e4: 00000813 li a6,0 + 800296e8: 00000793 li a5,0 + 800296ec: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800296f0: 000086b7 lui a3,0x8 + 800296f4: 00000613 li a2,0 + 800296f8: 00000597 auipc a1,0x0 + 800296fc: b0858593 addi a1,a1,-1272 # 80029200 <_ZL7M89Syncv> + 80029700: c80ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029704 <_Z18SUNSOFT_UNROM_InitP8CartInfo>: + 80029704: 00010737 lui a4,0x10 + 80029708: 00000813 li a6,0 + 8002970c: 00000793 li a5,0 + 80029710: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029714: 000086b7 lui a3,0x8 + 80029718: 00000613 li a2,0 + 8002971c: fffff597 auipc a1,0xfffff + 80029720: 30858593 addi a1,a1,776 # 80028a24 <_ZL11SSUNROMSyncv> + 80029724: c5cff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029728 <_Z13Mapper94_InitP8CartInfo>: + 80029728: 00010737 lui a4,0x10 + 8002972c: 00000813 li a6,0 + 80029730: 00000793 li a5,0 + 80029734: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029738: 000086b7 lui a3,0x8 + 8002973c: 00000613 li a2,0 + 80029740: fffff597 auipc a1,0xfffff + 80029744: 31c58593 addi a1,a1,796 # 80028a5c <_ZL7M94Syncv> + 80029748: c38ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +000000008002974c <_Z13Mapper97_InitP8CartInfo>: + 8002974c: 00010737 lui a4,0x10 + 80029750: 00000813 li a6,0 + 80029754: 00000793 li a5,0 + 80029758: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 8002975c: 000086b7 lui a3,0x8 + 80029760: 0ff00613 li a2,255 + 80029764: 00000597 auipc a1,0x0 + 80029768: b0858593 addi a1,a1,-1272 # 8002926c <_ZL7M97Syncv> + 8002976c: c14ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029770 <_Z14Mapper101_InitP8CartInfo>: + 80029770: 00008737 lui a4,0x8 + 80029774: 00000813 li a6,0 + 80029778: 00000793 li a5,0 + 8002977c: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 80029780: 000066b7 lui a3,0x6 + 80029784: 0ff00613 li a2,255 + 80029788: 00000597 auipc a1,0x0 + 8002978c: 88858593 addi a1,a1,-1912 # 80029010 <_ZL8M101Syncv> + 80029790: bf0ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029794 <_Z14Mapper107_InitP8CartInfo>: + 80029794: 00010737 lui a4,0x10 + 80029798: 00000813 li a6,0 + 8002979c: 00000793 li a5,0 + 800297a0: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800297a4: 000086b7 lui a3,0x8 + 800297a8: 0ff00613 li a2,255 + 800297ac: 00000597 auipc a1,0x0 + 800297b0: 88c58593 addi a1,a1,-1908 # 80029038 <_ZL8M107Syncv> + 800297b4: bccff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800297b8 <_Z14Mapper113_InitP8CartInfo>: + 800297b8: 00008737 lui a4,0x8 + 800297bc: 000046b7 lui a3,0x4 + 800297c0: 00000813 li a6,0 + 800297c4: 00000793 li a5,0 + 800297c8: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 800297cc: 10068693 addi a3,a3,256 # 4100 <_entry_offset+0x4100> + 800297d0: 00000613 li a2,0 + 800297d4: 00000597 auipc a1,0x0 + 800297d8: 8a458593 addi a1,a1,-1884 # 80029078 <_ZL8M113Syncv> + 800297dc: ba4ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800297e0 <_Z14Mapper140_InitP8CartInfo>: + 800297e0: 00008737 lui a4,0x8 + 800297e4: 00000813 li a6,0 + 800297e8: 00000793 li a5,0 + 800297ec: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 800297f0: 000066b7 lui a3,0x6 + 800297f4: 00000613 li a2,0 + 800297f8: fffff597 auipc a1,0xfffff + 800297fc: 75458593 addi a1,a1,1876 # 80028f4c <_ZL9MHROMSyncv> + 80029800: b80ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029804 <_Z14Mapper152_InitP8CartInfo>: + 80029804: 00010737 lui a4,0x10 + 80029808: 00000813 li a6,0 + 8002980c: 00000793 li a5,0 + 80029810: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029814: 000086b7 lui a3,0x8 + 80029818: 00000613 li a2,0 + 8002981c: 00000597 auipc a1,0x0 + 80029820: ae058593 addi a1,a1,-1312 # 800292fc <_ZL8M152Syncv> + 80029824: b5cff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029828 <_Z14Mapper180_InitP8CartInfo>: + 80029828: 00010737 lui a4,0x10 + 8002982c: 00000813 li a6,0 + 80029830: 00000793 li a5,0 + 80029834: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029838: 000086b7 lui a3,0x8 + 8002983c: 00000613 li a2,0 + 80029840: fffff597 auipc a1,0xfffff + 80029844: 25458593 addi a1,a1,596 # 80028a94 <_ZL8M180Syncv> + 80029848: b38ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +000000008002984c <_Z14Mapper184_InitP8CartInfo>: + 8002984c: 00008737 lui a4,0x8 + 80029850: 00000813 li a6,0 + 80029854: 00000793 li a5,0 + 80029858: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 8002985c: 000066b7 lui a3,0x6 + 80029860: 00000613 li a2,0 + 80029864: 00000597 auipc a1,0x0 + 80029868: b3058593 addi a1,a1,-1232 # 80029394 <_ZL8M184Syncv> + 8002986c: b14ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029870 <_Z14Mapper203_InitP8CartInfo>: + 80029870: 00010737 lui a4,0x10 + 80029874: 00000813 li a6,0 + 80029878: 00000793 li a5,0 + 8002987c: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80029880: 000086b7 lui a3,0x8 + 80029884: 00000613 li a2,0 + 80029888: fffff597 auipc a1,0xfffff + 8002988c: 24058593 addi a1,a1,576 # 80028ac8 <_ZL8M203Syncv> + 80029890: af0ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +0000000080029894 <_Z14Mapper240_InitP8CartInfo>: + 80029894: 00006737 lui a4,0x6 + 80029898: 000046b7 lui a3,0x4 + 8002989c: 00000813 li a6,0 + 800298a0: 00100793 li a5,1 + 800298a4: fff70713 addi a4,a4,-1 # 5fff <_entry_offset+0x5fff> + 800298a8: 02068693 addi a3,a3,32 # 4020 <_entry_offset+0x4020> + 800298ac: 00000613 li a2,0 + 800298b0: 00000597 auipc a1,0x0 + 800298b4: 81458593 addi a1,a1,-2028 # 800290c4 <_ZL8M240Syncv> + 800298b8: ac8ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800298bc <_Z14Mapper241_InitP8CartInfo>: + 800298bc: 00010737 lui a4,0x10 + 800298c0: 00000813 li a6,0 + 800298c4: 00100793 li a5,1 + 800298c8: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 800298cc: 000086b7 lui a3,0x8 + 800298d0: 00000613 li a2,0 + 800298d4: 00000597 auipc a1,0x0 + 800298d8: 83c58593 addi a1,a1,-1988 # 80029110 <_ZL8M241Syncv> + 800298dc: aa4ff06f j 80028b80 <_ZL10Latch_InitP8CartInfoPFvvEhtthh> + +00000000800298e0 <_ZL9M189Powerv>: + 800298e0: ff010113 addi sp,sp,-16 + 800298e4: 00113423 sd ra,8(sp) + 800298e8: 001a3797 auipc a5,0x1a3 + 800298ec: 96878793 addi a5,a5,-1688 # 801cc250 + 800298f0: 000780a3 sb zero,1(a5) + 800298f4: 00078023 sb zero,0(a5) + 800298f8: 8c9fc0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 800298fc: 00813083 ld ra,8(sp) + 80029900: 000085b7 lui a1,0x8 + 80029904: 00004537 lui a0,0x4 + 80029908: 00000617 auipc a2,0x0 + 8002990c: 01860613 addi a2,a2,24 # 80029920 <_ZL9M189Writejh> + 80029910: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80029914: 12050513 addi a0,a0,288 # 4120 <_entry_offset+0x4120> + 80029918: 01010113 addi sp,sp,16 + 8002991c: 20c0a06f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +0000000080029920 <_ZL9M189Writejh>: + 80029920: 0045d793 srli a5,a1,0x4 + 80029924: 00f5e5b3 or a1,a1,a5 + 80029928: 001a3517 auipc a0,0x1a3 + 8002992c: 93454503 lbu a0,-1740(a0) # 801cc25c + 80029930: 001a3797 auipc a5,0x1a3 + 80029934: 92b78023 sb a1,-1760(a5) # 801cc250 + 80029938: d34fc06f j 80025e6c <_Z10FixMMC3PRGi> + +000000008002993c <_ZL6M189PWjh>: + 8002993c: 001a3597 auipc a1,0x1a3 + 80029940: 9145c583 lbu a1,-1772(a1) # 801cc250 + 80029944: 0075f593 andi a1,a1,7 + 80029948: 00008537 lui a0,0x8 + 8002994c: cc8e706f j 80010e14 <_Z8setprg32jj> + +0000000080029950 <_Z14Mapper189_InitP8CartInfo>: + 80029950: ff010113 addi sp,sp,-16 + 80029954: 00000693 li a3,0 + 80029958: 10000613 li a2,256 + 8002995c: 10000593 li a1,256 + 80029960: 00000713 li a4,0 + 80029964: 00813023 sd s0,0(sp) + 80029968: 00113423 sd ra,8(sp) + 8002996c: 00050413 mv s0,a0 + 80029970: ea8fd0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 80029974: 00000797 auipc a5,0x0 + 80029978: fc878793 addi a5,a5,-56 # 8002993c <_ZL6M189PWjh> + 8002997c: 001a3717 auipc a4,0x1a3 + 80029980: 90f73e23 sd a5,-1764(a4) # 801cc298 + 80029984: 00000797 auipc a5,0x0 + 80029988: f5c78793 addi a5,a5,-164 # 800298e0 <_ZL9M189Powerv> + 8002998c: 00f43023 sd a5,0(s0) + 80029990: 00013403 ld s0,0(sp) + 80029994: 00813083 ld ra,8(sp) + 80029998: 0001c697 auipc a3,0x1c + 8002999c: b5868693 addi a3,a3,-1192 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 800299a0: 00000613 li a2,0 + 800299a4: 00200593 li a1,2 + 800299a8: 001a3517 auipc a0,0x1a3 + 800299ac: 8a850513 addi a0,a0,-1880 # 801cc250 + 800299b0: 01010113 addi sp,sp,16 + 800299b4: e5cd606f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800299b8 <_ZL11M80RamWritejh>: + 800299b8: 001a3717 auipc a4,0x1a3 + 800299bc: 94474703 lbu a4,-1724(a4) # 801cc2fc <_ZL11wram_enable> + 800299c0: 0a300793 li a5,163 + 800299c4: 00f70463 beq a4,a5,800299cc <_ZL11M80RamWritejh+0x14> + 800299c8: 00008067 ret + 800299cc: 0ff57513 andi a0,a0,255 + 800299d0: 0009e797 auipc a5,0x9e + 800299d4: cb078793 addi a5,a5,-848 # 800c7680 <_ZL4wram> + 800299d8: 00a78533 add a0,a5,a0 + 800299dc: 00b50023 sb a1,0(a0) + 800299e0: 00008067 ret + +00000000800299e4 <_ZL10M80RamReadj>: + 800299e4: 001a3717 auipc a4,0x1a3 + 800299e8: 91874703 lbu a4,-1768(a4) # 801cc2fc <_ZL11wram_enable> + 800299ec: 0a300793 li a5,163 + 800299f0: 00f70663 beq a4,a5,800299fc <_ZL10M80RamReadj+0x18> + 800299f4: 0ff00513 li a0,255 + 800299f8: 00008067 ret + 800299fc: 0009e797 auipc a5,0x9e + 80029a00: c8478793 addi a5,a5,-892 # 800c7680 <_ZL4wram> + 80029a04: 0ff57513 andi a0,a0,255 + 80029a08: 00a78533 add a0,a5,a0 + 80029a0c: 00054503 lbu a0,0(a0) + 80029a10: 00008067 ret + +0000000080029a14 <_ZL4Syncv>: + 80029a14: fe010113 addi sp,sp,-32 + 80029a18: 00113c23 sd ra,24(sp) + 80029a1c: 00813823 sd s0,16(sp) + 80029a20: 00913423 sd s1,8(sp) + 80029a24: 01213023 sd s2,0(sp) + 80029a28: 001a3497 auipc s1,0x1a3 + 80029a2c: 8e048493 addi s1,s1,-1824 # 801cc308 <_ZL4preg> + 80029a30: 0004c583 lbu a1,0(s1) + 80029a34: 00008537 lui a0,0x8 + 80029a38: 001a3417 auipc s0,0x1a3 + 80029a3c: 8c840413 addi s0,s0,-1848 # 801cc300 <_ZL4creg> + 80029a40: 85ce70ef jal ra,80010a9c <_Z7setprg8jj> + 80029a44: 0014c583 lbu a1,1(s1) + 80029a48: 0000a537 lui a0,0xa + 80029a4c: 00001937 lui s2,0x1 + 80029a50: 84ce70ef jal ra,80010a9c <_Z7setprg8jj> + 80029a54: 0024c583 lbu a1,2(s1) + 80029a58: 0000c537 lui a0,0xc + 80029a5c: 000024b7 lui s1,0x2 + 80029a60: 83ce70ef jal ra,80010a9c <_Z7setprg8jj> + 80029a64: fff00593 li a1,-1 + 80029a68: 0000e537 lui a0,0xe + 80029a6c: 830e70ef jal ra,80010a9c <_Z7setprg8jj> + 80029a70: 00044583 lbu a1,0(s0) + 80029a74: 00000513 li a0,0 + 80029a78: 4015d59b sraiw a1,a1,0x1 + 80029a7c: 03f5f593 andi a1,a1,63 + 80029a80: c44e70ef jal ra,80010ec4 <_Z7setchr2jj> + 80029a84: 00144583 lbu a1,1(s0) + 80029a88: 80090513 addi a0,s2,-2048 # 800 <_entry_offset+0x800> + 80029a8c: 4015d59b sraiw a1,a1,0x1 + 80029a90: 03f5f593 andi a1,a1,63 + 80029a94: c30e70ef jal ra,80010ec4 <_Z7setchr2jj> + 80029a98: 00244583 lbu a1,2(s0) + 80029a9c: 00001537 lui a0,0x1 + 80029aa0: c04e70ef jal ra,80010ea4 <_Z7setchr1jj> + 80029aa4: 00344583 lbu a1,3(s0) + 80029aa8: 40090513 addi a0,s2,1024 + 80029aac: bf8e70ef jal ra,80010ea4 <_Z7setchr1jj> + 80029ab0: 00444583 lbu a1,4(s0) + 80029ab4: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 80029ab8: bece70ef jal ra,80010ea4 <_Z7setchr1jj> + 80029abc: 00544583 lbu a1,5(s0) + 80029ac0: c0048513 addi a0,s1,-1024 + 80029ac4: be0e70ef jal ra,80010ea4 <_Z7setchr1jj> + 80029ac8: 001a3797 auipc a5,0x1a3 + 80029acc: 8547c783 lbu a5,-1964(a5) # 801cc31c <_ZL8isExMirr> + 80029ad0: 02078c63 beqz a5,80029b08 <_ZL4Syncv+0xf4> + 80029ad4: 001a3717 auipc a4,0x1a3 + 80029ad8: 84476703 lwu a4,-1980(a4) # 801cc318 <_ZL7lastppu> + 80029adc: 001a3797 auipc a5,0x1a3 + 80029ae0: 83478793 addi a5,a5,-1996 # 801cc310 <_ZL6mcache> + 80029ae4: 00e787b3 add a5,a5,a4 + 80029ae8: 0007c503 lbu a0,0(a5) + 80029aec: 01013403 ld s0,16(sp) + 80029af0: 01813083 ld ra,24(sp) + 80029af4: 00813483 ld s1,8(sp) + 80029af8: 00013903 ld s2,0(sp) + 80029afc: 0025051b addiw a0,a0,2 + 80029b00: 02010113 addi sp,sp,32 + 80029b04: d44e706f j 80011048 <_Z9setmirrori> + 80029b08: 01013403 ld s0,16(sp) + 80029b0c: 01813083 ld ra,24(sp) + 80029b10: 00813483 ld s1,8(sp) + 80029b14: 00013903 ld s2,0(sp) + 80029b18: 001a2517 auipc a0,0x1a2 + 80029b1c: 7ee54503 lbu a0,2030(a0) # 801cc306 <_ZL4mirr> + 80029b20: 02010113 addi sp,sp,32 + 80029b24: d24e706f j 80011048 <_Z9setmirrori> + +0000000080029b28 <_ZL12StateRestorei>: + 80029b28: eedff06f j 80029a14 <_ZL4Syncv> + +0000000080029b2c <_ZL8M80Writejh>: + 80029b2c: ffff87b7 lui a5,0xffff8 + 80029b30: 1107879b addiw a5,a5,272 + 80029b34: 00a7853b addw a0,a5,a0 + 80029b38: 0005071b sext.w a4,a0 + 80029b3c: 00f00793 li a5,15 + 80029b40: 04e7e663 bltu a5,a4,80029b8c <_ZL8M80Writejh+0x60> + 80029b44: 02051513 slli a0,a0,0x20 + 80029b48: 02055513 srli a0,a0,0x20 + 80029b4c: 0001c717 auipc a4,0x1c + 80029b50: 42c70713 addi a4,a4,1068 # 80045f78 <_ZL3lut+0x118> + 80029b54: 00251513 slli a0,a0,0x2 + 80029b58: 00e50533 add a0,a0,a4 + 80029b5c: 00052783 lw a5,0(a0) + 80029b60: 00e787b3 add a5,a5,a4 + 80029b64: 00078067 jr a5 # ffffffffffff8000 <_end+0xffffffff7fe23000> + 80029b68: 001a2797 auipc a5,0x1a2 + 80029b6c: 7ab78123 sb a1,1954(a5) # 801cc30a <_ZL4preg+0x2> + 80029b70: ea5ff06f j 80029a14 <_ZL4Syncv> + 80029b74: 001a2797 auipc a5,0x1a2 + 80029b78: 78b78a23 sb a1,1940(a5) # 801cc308 <_ZL4preg> + 80029b7c: e99ff06f j 80029a14 <_ZL4Syncv> + 80029b80: 001a2797 auipc a5,0x1a2 + 80029b84: 78b784a3 sb a1,1929(a5) # 801cc309 <_ZL4preg+0x1> + 80029b88: e8dff06f j 80029a14 <_ZL4Syncv> + 80029b8c: 00008067 ret + 80029b90: 4075d79b sraiw a5,a1,0x7 + 80029b94: 001a2717 auipc a4,0x1a2 + 80029b98: 76b707a3 sb a1,1903(a4) # 801cc303 <_ZL4creg+0x3> + 80029b9c: 001a2717 auipc a4,0x1a2 + 80029ba0: 76f70ca3 sb a5,1913(a4) # 801cc315 <_ZL6mcache+0x5> + 80029ba4: e71ff06f j 80029a14 <_ZL4Syncv> + 80029ba8: 001a2797 auipc a5,0x1a2 + 80029bac: 74b78a23 sb a1,1876(a5) # 801cc2fc <_ZL11wram_enable> + 80029bb0: 00008067 ret + 80029bb4: 4075d79b sraiw a5,a1,0x7 + 80029bb8: 001a2717 auipc a4,0x1a2 + 80029bbc: 74b70623 sb a1,1868(a4) # 801cc304 <_ZL4creg+0x4> + 80029bc0: 001a2717 auipc a4,0x1a2 + 80029bc4: 74f70b23 sb a5,1878(a4) # 801cc316 <_ZL6mcache+0x6> + 80029bc8: e4dff06f j 80029a14 <_ZL4Syncv> + 80029bcc: 4075d79b sraiw a5,a1,0x7 + 80029bd0: 001a2717 auipc a4,0x1a2 + 80029bd4: 72b70aa3 sb a1,1845(a4) # 801cc305 <_ZL4creg+0x5> + 80029bd8: 001a2717 auipc a4,0x1a2 + 80029bdc: 72f70fa3 sb a5,1855(a4) # 801cc317 <_ZL6mcache+0x7> + 80029be0: e35ff06f j 80029a14 <_ZL4Syncv> + 80029be4: 0015f593 andi a1,a1,1 + 80029be8: 001a2797 auipc a5,0x1a2 + 80029bec: 70b78f23 sb a1,1822(a5) # 801cc306 <_ZL4mirr> + 80029bf0: e25ff06f j 80029a14 <_ZL4Syncv> + 80029bf4: 0075d713 srli a4,a1,0x7 + 80029bf8: 001a2797 auipc a5,0x1a2 + 80029bfc: 71878793 addi a5,a5,1816 # 801cc310 <_ZL6mcache> + 80029c00: 001a2697 auipc a3,0x1a2 + 80029c04: 70b680a3 sb a1,1793(a3) # 801cc301 <_ZL4creg+0x1> + 80029c08: 00e781a3 sb a4,3(a5) + 80029c0c: 00e78123 sb a4,2(a5) + 80029c10: e05ff06f j 80029a14 <_ZL4Syncv> + 80029c14: 4075d79b sraiw a5,a1,0x7 + 80029c18: 001a2717 auipc a4,0x1a2 + 80029c1c: 6eb70523 sb a1,1770(a4) # 801cc302 <_ZL4creg+0x2> + 80029c20: 001a2717 auipc a4,0x1a2 + 80029c24: 6ef70a23 sb a5,1780(a4) # 801cc314 <_ZL6mcache+0x4> + 80029c28: dedff06f j 80029a14 <_ZL4Syncv> + 80029c2c: 0075d713 srli a4,a1,0x7 + 80029c30: 001a2797 auipc a5,0x1a2 + 80029c34: 6e078793 addi a5,a5,1760 # 801cc310 <_ZL6mcache> + 80029c38: 001a2697 auipc a3,0x1a2 + 80029c3c: 6cb68423 sb a1,1736(a3) # 801cc300 <_ZL4creg> + 80029c40: 00e780a3 sb a4,1(a5) + 80029c44: 00e78023 sb a4,0(a5) + 80029c48: dcdff06f j 80029a14 <_ZL4Syncv> + +0000000080029c4c <_ZL8M80Powerv>: + 80029c4c: ff010113 addi sp,sp,-16 + 80029c50: fff00793 li a5,-1 + 80029c54: 00113423 sd ra,8(sp) + 80029c58: 00813023 sd s0,0(sp) + 80029c5c: 001a2717 auipc a4,0x1a2 + 80029c60: 6af70023 sb a5,1696(a4) # 801cc2fc <_ZL11wram_enable> + 80029c64: 00008437 lui s0,0x8 + 80029c68: dadff0ef jal ra,80029a14 <_ZL4Syncv> + 80029c6c: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80029c70: f0040513 addi a0,s0,-256 + 80029c74: 00000617 auipc a2,0x0 + 80029c78: d7060613 addi a2,a2,-656 # 800299e4 <_ZL10M80RamReadj> + 80029c7c: 5a1090ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80029c80: fff40593 addi a1,s0,-1 + 80029c84: f0040513 addi a0,s0,-256 + 80029c88: 00000617 auipc a2,0x0 + 80029c8c: d3060613 addi a2,a2,-720 # 800299b8 <_ZL11M80RamWritejh> + 80029c90: 699090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80029c94: eff40593 addi a1,s0,-257 + 80029c98: ef040513 addi a0,s0,-272 + 80029c9c: 00000617 auipc a2,0x0 + 80029ca0: e9060613 addi a2,a2,-368 # 80029b2c <_ZL8M80Writejh> + 80029ca4: 685090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80029ca8: 00013403 ld s0,0(sp) + 80029cac: 00813083 ld ra,8(sp) + 80029cb0: 000105b7 lui a1,0x10 + 80029cb4: fffe7617 auipc a2,0xfffe7 + 80029cb8: 9ac60613 addi a2,a2,-1620 # 80010660 <_Z6CartBRj> + 80029cbc: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80029cc0: 00008537 lui a0,0x8 + 80029cc4: 01010113 addi sp,sp,16 + 80029cc8: 5550906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080029ccc <_ZL8M95Powerv>: + 80029ccc: ff010113 addi sp,sp,-16 + 80029cd0: ffe00793 li a5,-2 + 80029cd4: 00113423 sd ra,8(sp) + 80029cd8: 00813023 sd s0,0(sp) + 80029cdc: 001a2717 auipc a4,0x1a2 + 80029ce0: 62f70723 sb a5,1582(a4) # 801cc30a <_ZL4preg+0x2> + 80029ce4: 00010437 lui s0,0x10 + 80029ce8: 001a2797 auipc a5,0x1a2 + 80029cec: 6207b423 sd zero,1576(a5) # 801cc310 <_ZL6mcache> + 80029cf0: d25ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029cf4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80029cf8: 00000617 auipc a2,0x0 + 80029cfc: 07c60613 addi a2,a2,124 # 80029d74 <_ZL8M95Writejh> + 80029d00: 00008537 lui a0,0x8 + 80029d04: 625090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80029d08: fff40593 addi a1,s0,-1 + 80029d0c: 00013403 ld s0,0(sp) + 80029d10: 00813083 ld ra,8(sp) + 80029d14: fffe7617 auipc a2,0xfffe7 + 80029d18: 94c60613 addi a2,a2,-1716 # 80010660 <_Z6CartBRj> + 80029d1c: 00008537 lui a0,0x8 + 80029d20: 01010113 addi sp,sp,16 + 80029d24: 4f90906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080029d28 <_ZL9M207Powerv>: + 80029d28: ff010113 addi sp,sp,-16 + 80029d2c: 00113423 sd ra,8(sp) + 80029d30: 001a2797 auipc a5,0x1a2 + 80029d34: 5e07b023 sd zero,1504(a5) # 801cc310 <_ZL6mcache> + 80029d38: cddff0ef jal ra,80029a14 <_ZL4Syncv> + 80029d3c: 00008537 lui a0,0x8 + 80029d40: eff50593 addi a1,a0,-257 # 7eff <_entry_offset+0x7eff> + 80029d44: 00000617 auipc a2,0x0 + 80029d48: de860613 addi a2,a2,-536 # 80029b2c <_ZL8M80Writejh> + 80029d4c: ef050513 addi a0,a0,-272 + 80029d50: 5d9090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80029d54: 00813083 ld ra,8(sp) + 80029d58: 000105b7 lui a1,0x10 + 80029d5c: fffe7617 auipc a2,0xfffe7 + 80029d60: 90460613 addi a2,a2,-1788 # 80010660 <_Z6CartBRj> + 80029d64: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80029d68: 00008537 lui a0,0x8 + 80029d6c: 01010113 addi sp,sp,16 + 80029d70: 4ad0906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080029d74 <_ZL8M95Writejh>: + 80029d74: 0000f7b7 lui a5,0xf + 80029d78: 00178793 addi a5,a5,1 # f001 <_entry_offset+0xf001> + 80029d7c: 00f57533 and a0,a0,a5 + 80029d80: 000087b7 lui a5,0x8 + 80029d84: 04f50063 beq a0,a5,80029dc4 <_ZL8M95Writejh+0x50> + 80029d88: 00178793 addi a5,a5,1 # 8001 <_entry_offset+0x8001> + 80029d8c: 02f51a63 bne a0,a5,80029dc0 <_ZL8M95Writejh+0x4c> + 80029d90: 001a2797 auipc a5,0x1a2 + 80029d94: 56d7c783 lbu a5,1389(a5) # 801cc2fd <_ZL3cmd> + 80029d98: 0077f793 andi a5,a5,7 + 80029d9c: 0001c717 auipc a4,0x1c + 80029da0: 21c70713 addi a4,a4,540 # 80045fb8 <_ZL3lut+0x158> + 80029da4: 00279793 slli a5,a5,0x2 + 80029da8: 00e787b3 add a5,a5,a4 + 80029dac: 0007a783 lw a5,0(a5) + 80029db0: ff010113 addi sp,sp,-16 + 80029db4: 00113423 sd ra,8(sp) + 80029db8: 00e787b3 add a5,a5,a4 + 80029dbc: 00078067 jr a5 + 80029dc0: 00008067 ret + 80029dc4: 001a2797 auipc a5,0x1a2 + 80029dc8: 52b78ca3 sb a1,1337(a5) # 801cc2fd <_ZL3cmd> + 80029dcc: 00008067 ret + 80029dd0: 001a2797 auipc a5,0x1a2 + 80029dd4: 52b78ca3 sb a1,1337(a5) # 801cc309 <_ZL4preg+0x1> + 80029dd8: c3dff0ef jal ra,80029a14 <_ZL4Syncv> + 80029ddc: 00813083 ld ra,8(sp) + 80029de0: 01010113 addi sp,sp,16 + 80029de4: c31ff06f j 80029a14 <_ZL4Syncv> + 80029de8: 001a2797 auipc a5,0x1a2 + 80029dec: 52b78023 sb a1,1312(a5) # 801cc308 <_ZL4preg> + 80029df0: c25ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029df4: fe9ff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029df8: 4055d79b sraiw a5,a1,0x5 + 80029dfc: 0017f793 andi a5,a5,1 + 80029e00: 01f5f593 andi a1,a1,31 + 80029e04: 001a2717 auipc a4,0x1a2 + 80029e08: 50b700a3 sb a1,1281(a4) # 801cc305 <_ZL4creg+0x5> + 80029e0c: 001a2717 auipc a4,0x1a2 + 80029e10: 50f705a3 sb a5,1291(a4) # 801cc317 <_ZL6mcache+0x7> + 80029e14: c01ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029e18: fc5ff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029e1c: 4055d79b sraiw a5,a1,0x5 + 80029e20: 0017f793 andi a5,a5,1 + 80029e24: 01f5f593 andi a1,a1,31 + 80029e28: 001a2717 auipc a4,0x1a2 + 80029e2c: 4cb70e23 sb a1,1244(a4) # 801cc304 <_ZL4creg+0x4> + 80029e30: 001a2717 auipc a4,0x1a2 + 80029e34: 4ef70323 sb a5,1254(a4) # 801cc316 <_ZL6mcache+0x6> + 80029e38: bddff0ef jal ra,80029a14 <_ZL4Syncv> + 80029e3c: fa1ff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029e40: 4055d79b sraiw a5,a1,0x5 + 80029e44: 0017f793 andi a5,a5,1 + 80029e48: 01f5f593 andi a1,a1,31 + 80029e4c: 001a2717 auipc a4,0x1a2 + 80029e50: 4ab70ba3 sb a1,1207(a4) # 801cc303 <_ZL4creg+0x3> + 80029e54: 001a2717 auipc a4,0x1a2 + 80029e58: 4cf700a3 sb a5,1217(a4) # 801cc315 <_ZL6mcache+0x5> + 80029e5c: bb9ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029e60: f7dff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029e64: 4055d79b sraiw a5,a1,0x5 + 80029e68: 0017f793 andi a5,a5,1 + 80029e6c: 01f5f593 andi a1,a1,31 + 80029e70: 001a2717 auipc a4,0x1a2 + 80029e74: 48b70923 sb a1,1170(a4) # 801cc302 <_ZL4creg+0x2> + 80029e78: 001a2717 auipc a4,0x1a2 + 80029e7c: 48f70e23 sb a5,1180(a4) # 801cc314 <_ZL6mcache+0x4> + 80029e80: b95ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029e84: f59ff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029e88: 4055d79b sraiw a5,a1,0x5 + 80029e8c: 0017f793 andi a5,a5,1 + 80029e90: 001a2717 auipc a4,0x1a2 + 80029e94: 48070713 addi a4,a4,1152 # 801cc310 <_ZL6mcache> + 80029e98: 01f5f593 andi a1,a1,31 + 80029e9c: 001a2697 auipc a3,0x1a2 + 80029ea0: 46b682a3 sb a1,1125(a3) # 801cc301 <_ZL4creg+0x1> + 80029ea4: 00f701a3 sb a5,3(a4) + 80029ea8: 00f70123 sb a5,2(a4) + 80029eac: b69ff0ef jal ra,80029a14 <_ZL4Syncv> + 80029eb0: f2dff06f j 80029ddc <_ZL8M95Writejh+0x68> + 80029eb4: 4055d79b sraiw a5,a1,0x5 + 80029eb8: 0017f793 andi a5,a5,1 + 80029ebc: 001a2717 auipc a4,0x1a2 + 80029ec0: 45470713 addi a4,a4,1108 # 801cc310 <_ZL6mcache> + 80029ec4: 01f5f593 andi a1,a1,31 + 80029ec8: 001a2697 auipc a3,0x1a2 + 80029ecc: 42b68c23 sb a1,1080(a3) # 801cc300 <_ZL4creg> + 80029ed0: 00f700a3 sb a5,1(a4) + 80029ed4: 00f70023 sb a5,0(a4) + 80029ed8: b3dff0ef jal ra,80029a14 <_ZL4Syncv> + 80029edc: f01ff06f j 80029ddc <_ZL8M95Writejh+0x68> + +0000000080029ee0 <_ZL10MExMirrPPUj>: + 80029ee0: 000027b7 lui a5,0x2 + 80029ee4: 06f57663 bgeu a0,a5,80029f50 <_ZL10MExMirrPPUj+0x70> + 80029ee8: 00a5551b srliw a0,a0,0xa + 80029eec: 02051713 slli a4,a0,0x20 + 80029ef0: fe010113 addi sp,sp,-32 + 80029ef4: 02075713 srli a4,a4,0x20 + 80029ef8: 001a2797 auipc a5,0x1a2 + 80029efc: 41878793 addi a5,a5,1048 # 801cc310 <_ZL6mcache> + 80029f00: 00e787b3 add a5,a5,a4 + 80029f04: 00813823 sd s0,16(sp) + 80029f08: 00913423 sd s1,8(sp) + 80029f0c: 00113c23 sd ra,24(sp) + 80029f10: 00078783 lb a5,0(a5) + 80029f14: 00023417 auipc s0,0x23 + 80029f18: 1ec40413 addi s0,s0,492 # 8004d100 <_ZZL10MExMirrPPUjE8lastmirr> + 80029f1c: 00044683 lbu a3,0(s0) + 80029f20: 001a2497 auipc s1,0x1a2 + 80029f24: 3fd48493 addi s1,s1,1021 # 801cc31d <_ZZL10MExMirrPPUjE7curmirr> + 80029f28: 001a2717 auipc a4,0x1a2 + 80029f2c: 3ea72823 sw a0,1008(a4) # 801cc318 <_ZL7lastppu> + 80029f30: 00f48023 sb a5,0(s1) + 80029f34: 0ff7f713 andi a4,a5,255 + 80029f38: 00e69e63 bne a3,a4,80029f54 <_ZL10MExMirrPPUj+0x74> + 80029f3c: 01813083 ld ra,24(sp) + 80029f40: 01013403 ld s0,16(sp) + 80029f44: 00813483 ld s1,8(sp) + 80029f48: 02010113 addi sp,sp,32 + 80029f4c: 00008067 ret + 80029f50: 00008067 ret + 80029f54: 0027851b addiw a0,a5,2 + 80029f58: 8f0e70ef jal ra,80011048 <_Z9setmirrori> + 80029f5c: 0004c783 lbu a5,0(s1) + 80029f60: 01813083 ld ra,24(sp) + 80029f64: 00813483 ld s1,8(sp) + 80029f68: 00f40023 sb a5,0(s0) + 80029f6c: 01013403 ld s0,16(sp) + 80029f70: 02010113 addi sp,sp,32 + 80029f74: 00008067 ret + +0000000080029f78 <_Z13Mapper80_InitP8CartInfo>: + 80029f78: 00000717 auipc a4,0x0 + 80029f7c: cd470713 addi a4,a4,-812 # 80029c4c <_ZL8M80Powerv> + 80029f80: 00e53023 sd a4,0(a0) # 8000 <_entry_offset+0x8000> + 80029f84: 04c52783 lw a5,76(a0) + 80029f88: 001a2717 auipc a4,0x1a2 + 80029f8c: 38070a23 sb zero,916(a4) # 801cc31c <_ZL8isExMirr> + 80029f90: 00000717 auipc a4,0x0 + 80029f94: b9870713 addi a4,a4,-1128 # 80029b28 <_ZL12StateRestorei> + 80029f98: 001a2697 auipc a3,0x1a2 + 80029f9c: 6ce6b023 sd a4,1728(a3) # 801cc658 + 80029fa0: 00078c63 beqz a5,80029fb8 <_Z13Mapper80_InitP8CartInfo+0x40> + 80029fa4: 0009d797 auipc a5,0x9d + 80029fa8: 6dc78793 addi a5,a5,1756 # 800c7680 <_ZL4wram> + 80029fac: 00f53c23 sd a5,24(a0) + 80029fb0: 10000793 li a5,256 + 80029fb4: 02f52c23 sw a5,56(a0) + 80029fb8: 00000693 li a3,0 + 80029fbc: 00000613 li a2,0 + 80029fc0: fff00593 li a1,-1 + 80029fc4: 00023517 auipc a0,0x23 + 80029fc8: fa450513 addi a0,a0,-92 # 8004cf68 <_ZL11StateRegs80> + 80029fcc: 844d606f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080029fd0 <_Z13Mapper95_InitP8CartInfo>: + 80029fd0: 00000797 auipc a5,0x0 + 80029fd4: cfc78793 addi a5,a5,-772 # 80029ccc <_ZL8M95Powerv> + 80029fd8: 00f53023 sd a5,0(a0) + 80029fdc: 00100793 li a5,1 + 80029fe0: 001a2717 auipc a4,0x1a2 + 80029fe4: 32f70e23 sb a5,828(a4) # 801cc31c <_ZL8isExMirr> + 80029fe8: 00000797 auipc a5,0x0 + 80029fec: ef878793 addi a5,a5,-264 # 80029ee0 <_ZL10MExMirrPPUj> + 80029ff0: 001a2717 auipc a4,0x1a2 + 80029ff4: 70f73c23 sd a5,1816(a4) # 801cc708 + 80029ff8: 00000797 auipc a5,0x0 + 80029ffc: b3078793 addi a5,a5,-1232 # 80029b28 <_ZL12StateRestorei> + 8002a000: 00000693 li a3,0 + 8002a004: 00000613 li a2,0 + 8002a008: fff00593 li a1,-1 + 8002a00c: 00023517 auipc a0,0x23 + 8002a010: fec50513 addi a0,a0,-20 # 8004cff8 <_ZL11StateRegs95> + 8002a014: 001a2717 auipc a4,0x1a2 + 8002a018: 64f73223 sd a5,1604(a4) # 801cc658 + 8002a01c: ff5d506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a020 <_Z14Mapper207_InitP8CartInfo>: + 8002a020: 00000797 auipc a5,0x0 + 8002a024: d0878793 addi a5,a5,-760 # 80029d28 <_ZL9M207Powerv> + 8002a028: 00f53023 sd a5,0(a0) + 8002a02c: 00100793 li a5,1 + 8002a030: 001a2717 auipc a4,0x1a2 + 8002a034: 2ef70623 sb a5,748(a4) # 801cc31c <_ZL8isExMirr> + 8002a038: 00000797 auipc a5,0x0 + 8002a03c: ea878793 addi a5,a5,-344 # 80029ee0 <_ZL10MExMirrPPUj> + 8002a040: 001a2717 auipc a4,0x1a2 + 8002a044: 6cf73423 sd a5,1736(a4) # 801cc708 + 8002a048: 00000797 auipc a5,0x0 + 8002a04c: ae078793 addi a5,a5,-1312 # 80029b28 <_ZL12StateRestorei> + 8002a050: 00000693 li a3,0 + 8002a054: 00000613 li a2,0 + 8002a058: fff00593 li a1,-1 + 8002a05c: 00023517 auipc a0,0x23 + 8002a060: 02c50513 addi a0,a0,44 # 8004d088 <_ZL12StateRegs207> + 8002a064: 001a2717 auipc a4,0x1a2 + 8002a068: 5ef73a23 sd a5,1524(a4) # 801cc658 + 8002a06c: fa5d506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a070 <_ZL8M50Resetv>: + 8002a070: 00008067 ret + +000000008002a074 <_ZL4Syncv>: + 8002a074: ff010113 addi sp,sp,-16 + 8002a078: 00f00593 li a1,15 + 8002a07c: 00006537 lui a0,0x6 + 8002a080: 00113423 sd ra,8(sp) + 8002a084: a19e60ef jal ra,80010a9c <_Z7setprg8jj> + 8002a088: 00800593 li a1,8 + 8002a08c: 00008537 lui a0,0x8 + 8002a090: a0de60ef jal ra,80010a9c <_Z7setprg8jj> + 8002a094: 00900593 li a1,9 + 8002a098: 0000a537 lui a0,0xa + 8002a09c: a01e60ef jal ra,80010a9c <_Z7setprg8jj> + 8002a0a0: 001a2597 auipc a1,0x1a2 + 8002a0a4: 27e5c583 lbu a1,638(a1) # 801cc31e <_ZL3reg> + 8002a0a8: 0000c537 lui a0,0xc + 8002a0ac: 9f1e60ef jal ra,80010a9c <_Z7setprg8jj> + 8002a0b0: 0000e537 lui a0,0xe + 8002a0b4: 00b00593 li a1,11 + 8002a0b8: 9e5e60ef jal ra,80010a9c <_Z7setprg8jj> + 8002a0bc: 00813083 ld ra,8(sp) + 8002a0c0: 00000513 li a0,0 + 8002a0c4: 01010113 addi sp,sp,16 + 8002a0c8: e3de606f j 80010f04 <_Z7setchr8j> + +000000008002a0cc <_ZL12StateRestorei>: + 8002a0cc: fa9ff06f j 8002a074 <_ZL4Syncv> + +000000008002a0d0 <_ZL8M50Powerv>: + 8002a0d0: ff010113 addi sp,sp,-16 + 8002a0d4: 00113423 sd ra,8(sp) + 8002a0d8: 001a2797 auipc a5,0x1a2 + 8002a0dc: 24078323 sb zero,582(a5) # 801cc31e <_ZL3reg> + 8002a0e0: f95ff0ef jal ra,8002a074 <_ZL4Syncv> + 8002a0e4: 000105b7 lui a1,0x10 + 8002a0e8: fffe6617 auipc a2,0xfffe6 + 8002a0ec: 57860613 addi a2,a2,1400 # 80010660 <_Z6CartBRj> + 8002a0f0: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002a0f4: 00006537 lui a0,0x6 + 8002a0f8: 125090ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002a0fc: 00813083 ld ra,8(sp) + 8002a100: 000065b7 lui a1,0x6 + 8002a104: 00004537 lui a0,0x4 + 8002a108: 00000617 auipc a2,0x0 + 8002a10c: 05860613 addi a2,a2,88 # 8002a160 <_ZL8M50Writejh> + 8002a110: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8002a114: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 8002a118: 01010113 addi sp,sp,16 + 8002a11c: 20d0906f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002a120 <_ZL10M50IRQHooki>: + 8002a120: 001a2797 auipc a5,0x1a2 + 8002a124: 2007a783 lw a5,512(a5) # 801cc320 <_ZL4IRQa> + 8002a128: 02078263 beqz a5,8002a14c <_ZL10M50IRQHooki+0x2c> + 8002a12c: 001a2797 auipc a5,0x1a2 + 8002a130: 1f878793 addi a5,a5,504 # 801cc324 <_ZL8IRQCount> + 8002a134: 0007a703 lw a4,0(a5) + 8002a138: 000016b7 lui a3,0x1 + 8002a13c: 00d77a63 bgeu a4,a3,8002a150 <_ZL10M50IRQHooki+0x30> + 8002a140: 00e5053b addw a0,a0,a4 + 8002a144: 00a7a023 sw a0,0(a5) + 8002a148: 00008067 ret + 8002a14c: 00008067 ret + 8002a150: 00100513 li a0,1 + 8002a154: 001a2797 auipc a5,0x1a2 + 8002a158: 1c07a623 sw zero,460(a5) # 801cc320 <_ZL4IRQa> + 8002a15c: bddd606f j 80000d38 <_Z14X6502_IRQBegini> + +000000008002a160 <_ZL8M50Writejh>: + 8002a160: 0000d737 lui a4,0xd + 8002a164: 16070713 addi a4,a4,352 # d160 <_entry_offset+0xd160> + 8002a168: 000047b7 lui a5,0x4 + 8002a16c: 00e57533 and a0,a0,a4 + 8002a170: 02078713 addi a4,a5,32 # 4020 <_entry_offset+0x4020> + 8002a174: 02e50663 beq a0,a4,8002a1a0 <_ZL8M50Writejh+0x40> + 8002a178: 12078793 addi a5,a5,288 + 8002a17c: 04f51a63 bne a0,a5,8002a1d0 <_ZL8M50Writejh+0x70> + 8002a180: 0015f593 andi a1,a1,1 + 8002a184: 001a2797 auipc a5,0x1a2 + 8002a188: 18b7ae23 sw a1,412(a5) # 801cc320 <_ZL4IRQa> + 8002a18c: 00059663 bnez a1,8002a198 <_ZL8M50Writejh+0x38> + 8002a190: 001a2797 auipc a5,0x1a2 + 8002a194: 1807aa23 sw zero,404(a5) # 801cc324 <_ZL8IRQCount> + 8002a198: 00100513 li a0,1 + 8002a19c: bb5d606f j 80000d50 <_Z12X6502_IRQEndi> + 8002a1a0: 0015d713 srli a4,a1,0x1 + 8002a1a4: 00259793 slli a5,a1,0x2 + 8002a1a8: 00177693 andi a3,a4,1 + 8002a1ac: 0047f793 andi a5,a5,4 + 8002a1b0: 00d7e7b3 or a5,a5,a3 + 8002a1b4: 0085f593 andi a1,a1,8 + 8002a1b8: 00b7e5b3 or a1,a5,a1 + 8002a1bc: 00277713 andi a4,a4,2 + 8002a1c0: 00e5e5b3 or a1,a1,a4 + 8002a1c4: 001a2797 auipc a5,0x1a2 + 8002a1c8: 14b78d23 sb a1,346(a5) # 801cc31e <_ZL3reg> + 8002a1cc: ea9ff06f j 8002a074 <_ZL4Syncv> + 8002a1d0: 00008067 ret + +000000008002a1d4 <_Z13Mapper50_InitP8CartInfo>: + 8002a1d4: 00000797 auipc a5,0x0 + 8002a1d8: efc78793 addi a5,a5,-260 # 8002a0d0 <_ZL8M50Powerv> + 8002a1dc: 00f53023 sd a5,0(a0) + 8002a1e0: 00000797 auipc a5,0x0 + 8002a1e4: e9078793 addi a5,a5,-368 # 8002a070 <_ZL8M50Resetv> + 8002a1e8: 00f53423 sd a5,8(a0) + 8002a1ec: 00000797 auipc a5,0x0 + 8002a1f0: f3478793 addi a5,a5,-204 # 8002a120 <_ZL10M50IRQHooki> + 8002a1f4: 001a2717 auipc a4,0x1a2 + 8002a1f8: 94f73623 sd a5,-1716(a4) # 801cbb40 + 8002a1fc: 00000797 auipc a5,0x0 + 8002a200: ed078793 addi a5,a5,-304 # 8002a0cc <_ZL12StateRestorei> + 8002a204: 00000693 li a3,0 + 8002a208: 00000613 li a2,0 + 8002a20c: fff00593 li a1,-1 + 8002a210: 00021517 auipc a0,0x21 + 8002a214: 75850513 addi a0,a0,1880 # 8004b968 <_ZL9StateRegs> + 8002a218: 001a2717 auipc a4,0x1a2 + 8002a21c: 44f73023 sd a5,1088(a4) # 801cc658 + 8002a220: df1d506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a224 <_ZL4Syncv>: + 8002a224: ff010113 addi sp,sp,-16 + 8002a228: 00813023 sd s0,0(sp) + 8002a22c: 001a2417 auipc s0,0x1a2 + 8002a230: 0fe40413 addi s0,s0,254 # 801cc32a <_ZL4mode> + 8002a234: 00045783 lhu a5,0(s0) + 8002a238: 001a2517 auipc a0,0x1a2 + 8002a23c: 0f054503 lbu a0,240(a0) # 801cc328 <_ZL4bank> + 8002a240: 00357513 andi a0,a0,3 + 8002a244: 0027979b slliw a5,a5,0x2 + 8002a248: 07c7f793 andi a5,a5,124 + 8002a24c: 00f56533 or a0,a0,a5 + 8002a250: 00113423 sd ra,8(sp) + 8002a254: cb1e60ef jal ra,80010f04 <_Z7setchr8j> + 8002a258: 00045583 lhu a1,0(s0) + 8002a25c: 0005879b sext.w a5,a1 + 8002a260: 4087d79b sraiw a5,a5,0x8 + 8002a264: 0205f713 andi a4,a1,32 + 8002a268: 03f7f793 andi a5,a5,63 + 8002a26c: 0405f593 andi a1,a1,64 + 8002a270: 00f5e5b3 or a1,a1,a5 + 8002a274: 04070463 beqz a4,8002a2bc <_ZL4Syncv+0x98> + 8002a278: 00008537 lui a0,0x8 + 8002a27c: 9dde60ef jal ra,80010c58 <_Z8setprg16jj> + 8002a280: 00045783 lhu a5,0(s0) + 8002a284: 0000c537 lui a0,0xc + 8002a288: 4087d59b sraiw a1,a5,0x8 + 8002a28c: 03f5f593 andi a1,a1,63 + 8002a290: 0407f793 andi a5,a5,64 + 8002a294: 00f5e5b3 or a1,a1,a5 + 8002a298: 9c1e60ef jal ra,80010c58 <_Z8setprg16jj> + 8002a29c: 00045503 lhu a0,0(s0) + 8002a2a0: 00013403 ld s0,0(sp) + 8002a2a4: 00813083 ld ra,8(sp) + 8002a2a8: 00755513 srli a0,a0,0x7 + 8002a2ac: 00154513 xori a0,a0,1 + 8002a2b0: 00157513 andi a0,a0,1 + 8002a2b4: 01010113 addi sp,sp,16 + 8002a2b8: d91e606f j 80011048 <_Z9setmirrori> + 8002a2bc: 0015d593 srli a1,a1,0x1 + 8002a2c0: 00008537 lui a0,0x8 + 8002a2c4: b51e60ef jal ra,80010e14 <_Z8setprg32jj> + 8002a2c8: fd5ff06f j 8002a29c <_ZL4Syncv+0x78> + +000000008002a2cc <_ZL12StateRestorei>: + 8002a2cc: f59ff06f j 8002a224 <_ZL4Syncv> + +000000008002a2d0 <_ZL8M62Resetv>: + 8002a2d0: 001a2797 auipc a5,0x1a2 + 8002a2d4: 04079d23 sh zero,90(a5) # 801cc32a <_ZL4mode> + 8002a2d8: 001a2797 auipc a5,0x1a2 + 8002a2dc: 04078823 sb zero,80(a5) # 801cc328 <_ZL4bank> + 8002a2e0: f45ff06f j 8002a224 <_ZL4Syncv> + +000000008002a2e4 <_ZL8M62Writejh>: + 8002a2e4: 03251513 slli a0,a0,0x32 + 8002a2e8: 03255513 srli a0,a0,0x32 + 8002a2ec: 0035f593 andi a1,a1,3 + 8002a2f0: 001a2797 auipc a5,0x1a2 + 8002a2f4: 02a79d23 sh a0,58(a5) # 801cc32a <_ZL4mode> + 8002a2f8: 001a2797 auipc a5,0x1a2 + 8002a2fc: 02b78823 sb a1,48(a5) # 801cc328 <_ZL4bank> + 8002a300: f25ff06f j 8002a224 <_ZL4Syncv> + +000000008002a304 <_ZL8M62Powerv>: + 8002a304: ff010113 addi sp,sp,-16 + 8002a308: 00113423 sd ra,8(sp) + 8002a30c: 00813023 sd s0,0(sp) + 8002a310: 001a2797 auipc a5,0x1a2 + 8002a314: 00079d23 sh zero,26(a5) # 801cc32a <_ZL4mode> + 8002a318: 00010437 lui s0,0x10 + 8002a31c: 001a2797 auipc a5,0x1a2 + 8002a320: 00078623 sb zero,12(a5) # 801cc328 <_ZL4bank> + 8002a324: f01ff0ef jal ra,8002a224 <_ZL4Syncv> + 8002a328: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002a32c: 00000617 auipc a2,0x0 + 8002a330: fb860613 addi a2,a2,-72 # 8002a2e4 <_ZL8M62Writejh> + 8002a334: 00008537 lui a0,0x8 + 8002a338: 7f0090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002a33c: fff40593 addi a1,s0,-1 + 8002a340: 00013403 ld s0,0(sp) + 8002a344: 00813083 ld ra,8(sp) + 8002a348: fffe6617 auipc a2,0xfffe6 + 8002a34c: 31860613 addi a2,a2,792 # 80010660 <_Z6CartBRj> + 8002a350: 00008537 lui a0,0x8 + 8002a354: 01010113 addi sp,sp,16 + 8002a358: 6c40906f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008002a35c <_Z13Mapper62_InitP8CartInfo>: + 8002a35c: ff010113 addi sp,sp,-16 + 8002a360: 00113423 sd ra,8(sp) + 8002a364: 00000797 auipc a5,0x0 + 8002a368: fa078793 addi a5,a5,-96 # 8002a304 <_ZL8M62Powerv> + 8002a36c: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002a370: 00000797 auipc a5,0x0 + 8002a374: f6078793 addi a5,a5,-160 # 8002a2d0 <_ZL8M62Resetv> + 8002a378: 00f53423 sd a5,8(a0) + 8002a37c: 00000693 li a3,0 + 8002a380: 00000613 li a2,0 + 8002a384: fff00593 li a1,-1 + 8002a388: 00021517 auipc a0,0x21 + 8002a38c: 64050513 addi a0,a0,1600 # 8004b9c8 <_ZL9StateRegs> + 8002a390: c81d50ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002a394: 00813083 ld ra,8(sp) + 8002a398: 00000797 auipc a5,0x0 + 8002a39c: f3478793 addi a5,a5,-204 # 8002a2cc <_ZL12StateRestorei> + 8002a3a0: 001a2717 auipc a4,0x1a2 + 8002a3a4: 2af73c23 sd a5,696(a4) # 801cc658 + 8002a3a8: 01010113 addi sp,sp,16 + 8002a3ac: 00008067 ret + +000000008002a3b0 <_ZL15UNL22211WriteLojh>: + 8002a3b0: 00357793 andi a5,a0,3 + 8002a3b4: 001a2517 auipc a0,0x1a2 + 8002a3b8: f7c50513 addi a0,a0,-132 # 801cc330 <_ZL3reg> + 8002a3bc: 00f50533 add a0,a0,a5 + 8002a3c0: 00b50023 sb a1,0(a0) + 8002a3c4: 00008067 ret + +000000008002a3c8 <_ZL14UNL22211ReadLoj>: + 8002a3c8: 001a2797 auipc a5,0x1a2 + 8002a3cc: f6878793 addi a5,a5,-152 # 801cc330 <_ZL3reg> + 8002a3d0: 0017c503 lbu a0,1(a5) + 8002a3d4: 0027c683 lbu a3,2(a5) + 8002a3d8: 001a2717 auipc a4,0x1a2 + 8002a3dc: f5d74703 lbu a4,-163(a4) # 801cc335 <_ZL5is173> + 8002a3e0: 00100793 li a5,1 + 8002a3e4: 00d54533 xor a0,a0,a3 + 8002a3e8: 0185151b slliw a0,a0,0x18 + 8002a3ec: 4185551b sraiw a0,a0,0x18 + 8002a3f0: 00071463 bnez a4,8002a3f8 <_ZL14UNL22211ReadLoj+0x30> + 8002a3f4: 04000793 li a5,64 + 8002a3f8: 00f56533 or a0,a0,a5 + 8002a3fc: 0ff57513 andi a0,a0,255 + 8002a400: 00008067 ret + +000000008002a404 <_ZL4Syncv>: + 8002a404: ff010113 addi sp,sp,-16 + 8002a408: 00813023 sd s0,0(sp) + 8002a40c: 001a2417 auipc s0,0x1a2 + 8002a410: f2440413 addi s0,s0,-220 # 801cc330 <_ZL3reg> + 8002a414: 00244583 lbu a1,2(s0) + 8002a418: 00008537 lui a0,0x8 + 8002a41c: 00113423 sd ra,8(sp) + 8002a420: 4025d59b sraiw a1,a1,0x2 + 8002a424: 0015f593 andi a1,a1,1 + 8002a428: 9ede60ef jal ra,80010e14 <_Z8setprg32jj> + 8002a42c: 001a2797 auipc a5,0x1a2 + 8002a430: f087c783 lbu a5,-248(a5) # 801cc334 <_ZL5is172> + 8002a434: 02078e63 beqz a5,8002a470 <_ZL4Syncv+0x6c> + 8002a438: 00244783 lbu a5,2(s0) + 8002a43c: 001a2517 auipc a0,0x1a2 + 8002a440: ef054503 lbu a0,-272(a0) # 801cc32c <_ZL3cmd> + 8002a444: 00013403 ld s0,0(sp) + 8002a448: 00a7c7b3 xor a5,a5,a0 + 8002a44c: 0ff7f793 andi a5,a5,255 + 8002a450: 00813083 ld ra,8(sp) + 8002a454: 4037d51b sraiw a0,a5,0x3 + 8002a458: 4057d79b sraiw a5,a5,0x5 + 8002a45c: 00257513 andi a0,a0,2 + 8002a460: 0017f793 andi a5,a5,1 + 8002a464: 00f56533 or a0,a0,a5 + 8002a468: 01010113 addi sp,sp,16 + 8002a46c: a99e606f j 80010f04 <_Z7setchr8j> + 8002a470: 00244503 lbu a0,2(s0) + 8002a474: 00013403 ld s0,0(sp) + 8002a478: 00813083 ld ra,8(sp) + 8002a47c: 00357513 andi a0,a0,3 + 8002a480: 01010113 addi sp,sp,16 + 8002a484: a81e606f j 80010f04 <_Z7setchr8j> + +000000008002a488 <_ZL12StateRestorei>: + 8002a488: f7dff06f j 8002a404 <_ZL4Syncv> + +000000008002a48c <_ZL15UNL22211WriteHijh>: + 8002a48c: 001a2797 auipc a5,0x1a2 + 8002a490: eab78023 sb a1,-352(a5) # 801cc32c <_ZL3cmd> + 8002a494: f71ff06f j 8002a404 <_ZL4Syncv> + +000000008002a498 <_ZL13UNL22211Powerv>: + 8002a498: fe010113 addi sp,sp,-32 + 8002a49c: 00113c23 sd ra,24(sp) + 8002a4a0: 00813823 sd s0,16(sp) + 8002a4a4: 00913423 sd s1,8(sp) + 8002a4a8: f5dff0ef jal ra,8002a404 <_ZL4Syncv> + 8002a4ac: 000104b7 lui s1,0x10 + 8002a4b0: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8002a4b4: fffe6617 auipc a2,0xfffe6 + 8002a4b8: 1ac60613 addi a2,a2,428 # 80010660 <_Z6CartBRj> + 8002a4bc: 00008537 lui a0,0x8 + 8002a4c0: 55c090ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002a4c4: 00004437 lui s0,0x4 + 8002a4c8: 10040593 addi a1,s0,256 # 4100 <_entry_offset+0x4100> + 8002a4cc: 00058513 mv a0,a1 + 8002a4d0: 00000617 auipc a2,0x0 + 8002a4d4: ef860613 addi a2,a2,-264 # 8002a3c8 <_ZL14UNL22211ReadLoj> + 8002a4d8: 544090ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002a4dc: 10340593 addi a1,s0,259 + 8002a4e0: 10040513 addi a0,s0,256 + 8002a4e4: 00000617 auipc a2,0x0 + 8002a4e8: ecc60613 addi a2,a2,-308 # 8002a3b0 <_ZL15UNL22211WriteLojh> + 8002a4ec: 63c090ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002a4f0: 01013403 ld s0,16(sp) + 8002a4f4: 01813083 ld ra,24(sp) + 8002a4f8: fff48593 addi a1,s1,-1 + 8002a4fc: 00813483 ld s1,8(sp) + 8002a500: 00000617 auipc a2,0x0 + 8002a504: f8c60613 addi a2,a2,-116 # 8002a48c <_ZL15UNL22211WriteHijh> + 8002a508: 00008537 lui a0,0x8 + 8002a50c: 02010113 addi sp,sp,32 + 8002a510: 6180906f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002a514 <_Z13UNL22211_InitP8CartInfo>: + 8002a514: 00000797 auipc a5,0x0 + 8002a518: f8478793 addi a5,a5,-124 # 8002a498 <_ZL13UNL22211Powerv> + 8002a51c: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002a520: 001a2797 auipc a5,0x1a2 + 8002a524: e0078a23 sb zero,-492(a5) # 801cc334 <_ZL5is172> + 8002a528: 001a2797 auipc a5,0x1a2 + 8002a52c: e00786a3 sb zero,-499(a5) # 801cc335 <_ZL5is173> + 8002a530: 00000797 auipc a5,0x0 + 8002a534: f5878793 addi a5,a5,-168 # 8002a488 <_ZL12StateRestorei> + 8002a538: 00000693 li a3,0 + 8002a53c: 00000613 li a2,0 + 8002a540: fff00593 li a1,-1 + 8002a544: 00021517 auipc a0,0x21 + 8002a548: 4cc50513 addi a0,a0,1228 # 8004ba10 <_ZL9StateRegs> + 8002a54c: 001a2717 auipc a4,0x1a2 + 8002a550: 10f73623 sd a5,268(a4) # 801cc658 + 8002a554: abdd506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a558 <_Z14Mapper172_InitP8CartInfo>: + 8002a558: 00000797 auipc a5,0x0 + 8002a55c: f4078793 addi a5,a5,-192 # 8002a498 <_ZL13UNL22211Powerv> + 8002a560: 00f53023 sd a5,0(a0) + 8002a564: 00100793 li a5,1 + 8002a568: 001a2717 auipc a4,0x1a2 + 8002a56c: dcf70623 sb a5,-564(a4) # 801cc334 <_ZL5is172> + 8002a570: 001a2797 auipc a5,0x1a2 + 8002a574: dc0782a3 sb zero,-571(a5) # 801cc335 <_ZL5is173> + 8002a578: 00000797 auipc a5,0x0 + 8002a57c: f1078793 addi a5,a5,-240 # 8002a488 <_ZL12StateRestorei> + 8002a580: 00000693 li a3,0 + 8002a584: 00000613 li a2,0 + 8002a588: fff00593 li a1,-1 + 8002a58c: 00021517 auipc a0,0x21 + 8002a590: 48450513 addi a0,a0,1156 # 8004ba10 <_ZL9StateRegs> + 8002a594: 001a2717 auipc a4,0x1a2 + 8002a598: 0cf73223 sd a5,196(a4) # 801cc658 + 8002a59c: a75d506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a5a0 <_Z14Mapper173_InitP8CartInfo>: + 8002a5a0: 00000797 auipc a5,0x0 + 8002a5a4: ef878793 addi a5,a5,-264 # 8002a498 <_ZL13UNL22211Powerv> + 8002a5a8: 00f53023 sd a5,0(a0) + 8002a5ac: 001a2797 auipc a5,0x1a2 + 8002a5b0: d8078423 sb zero,-632(a5) # 801cc334 <_ZL5is172> + 8002a5b4: 00100793 li a5,1 + 8002a5b8: 001a2717 auipc a4,0x1a2 + 8002a5bc: d6f70ea3 sb a5,-643(a4) # 801cc335 <_ZL5is173> + 8002a5c0: 00000797 auipc a5,0x0 + 8002a5c4: ec878793 addi a5,a5,-312 # 8002a488 <_ZL12StateRestorei> + 8002a5c8: 00000693 li a3,0 + 8002a5cc: 00000613 li a2,0 + 8002a5d0: fff00593 li a1,-1 + 8002a5d4: 00021517 auipc a0,0x21 + 8002a5d8: 43c50513 addi a0,a0,1084 # 8004ba10 <_ZL9StateRegs> + 8002a5dc: 001a2717 auipc a4,0x1a2 + 8002a5e0: 06f73e23 sd a5,124(a4) # 801cc658 + 8002a5e4: a2dd506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002a5e8 <_ZL9M69Write0jh>: + 8002a5e8: 00f5f593 andi a1,a1,15 + 8002a5ec: 001a2797 auipc a5,0x1a2 + 8002a5f0: d6b78423 sb a1,-664(a5) # 801cc354 <_ZL6cmdreg> + 8002a5f4: 00008067 ret + +000000008002a5f8 <_ZL10M69SWrite0jh>: + 8002a5f8: ff010113 addi sp,sp,-16 + 8002a5fc: 00058513 mv a0,a1 + 8002a600: 00e00593 li a1,14 + 8002a604: 00113423 sd ra,8(sp) + 8002a608: 0690f0ef jal ra,80039e70 <__umoddi3> + 8002a60c: 00813083 ld ra,8(sp) + 8002a610: 001a2797 auipc a5,0x1a2 + 8002a614: d4a782a3 sb a0,-699(a5) # 801cc355 <_ZL6sndcmd> + 8002a618: 01010113 addi sp,sp,16 + 8002a61c: 00008067 ret + +000000008002a620 <_ZL6DoAYSQi>: + 8002a620: fd010113 addi sp,sp,-48 + 8002a624: 00913c23 sd s1,24(sp) + 8002a628: 001a1797 auipc a5,0x1a1 + 8002a62c: 5207a783 lw a5,1312(a5) # 801cbb48 + 8002a630: 00050493 mv s1,a0 + 8002a634: 001a1517 auipc a0,0x1a1 + 8002a638: 59c52503 lw a0,1436(a0) # 801cbbd0 + 8002a63c: 00a787bb addw a5,a5,a0 + 8002a640: 01213823 sd s2,16(sp) + 8002a644: 01313423 sd s3,8(sp) + 8002a648: 0107979b slliw a5,a5,0x10 + 8002a64c: 00249993 slli s3,s1,0x2 + 8002a650: 0009d917 auipc s2,0x9d + 8002a654: 14090913 addi s2,s2,320 # 800c7790 <_ZL5CAYBC> + 8002a658: 02079513 slli a0,a5,0x20 + 8002a65c: 01390933 add s2,s2,s3 + 8002a660: 02813023 sd s0,32(sp) + 8002a664: 001a1597 auipc a1,0x1a1 + 8002a668: 5685e583 lwu a1,1384(a1) # 801cbbcc + 8002a66c: 02055513 srli a0,a0,0x20 + 8002a670: 00092403 lw s0,0(s2) + 8002a674: 02113423 sd ra,40(sp) + 8002a678: 7b00f0ef jal ra,80039e28 <__udivdi3> + 8002a67c: 0005061b sext.w a2,a0 + 8002a680: 0ec45463 bge s0,a2,8002a768 <_ZL6DoAYSQi+0x148> + 8002a684: 0009d697 auipc a3,0x9d + 8002a688: 0fc68693 addi a3,a3,252 # 800c7780 <_ZL4sreg> + 8002a68c: 0084879b addiw a5,s1,8 + 8002a690: 00f687b3 add a5,a3,a5 + 8002a694: 0007c703 lbu a4,0(a5) + 8002a698: 0014959b slliw a1,s1,0x1 + 8002a69c: 0015879b addiw a5,a1,1 + 8002a6a0: 0027171b slliw a4,a4,0x2 + 8002a6a4: 03c77713 andi a4,a4,60 + 8002a6a8: 4017589b sraiw a7,a4,0x1 + 8002a6ac: 00b685b3 add a1,a3,a1 + 8002a6b0: 00f687b3 add a5,a3,a5 + 8002a6b4: 00e888bb addw a7,a7,a4 + 8002a6b8: 00c92023 sw a2,0(s2) + 8002a6bc: 0005c583 lbu a1,0(a1) + 8002a6c0: 0007c783 lbu a5,0(a5) + 8002a6c4: 0a088263 beqz a7,8002a768 <_ZL6DoAYSQi+0x148> + 8002a6c8: 0076c703 lbu a4,7(a3) + 8002a6cc: 409754bb sraw s1,a4,s1 + 8002a6d0: 0014f493 andi s1,s1,1 + 8002a6d4: 08049a63 bnez s1,8002a768 <_ZL6DoAYSQi+0x148> + 8002a6d8: 0087969b slliw a3,a5,0x8 + 8002a6dc: 000017b7 lui a5,0x1 + 8002a6e0: f0078793 addi a5,a5,-256 # f00 <_entry_offset+0xf00> + 8002a6e4: 0009de97 auipc t4,0x9d + 8002a6e8: 0cce8e93 addi t4,t4,204 # 800c77b0 <_ZL6vcount> + 8002a6ec: 00f6f6b3 and a3,a3,a5 + 8002a6f0: 013e87b3 add a5,t4,s3 + 8002a6f4: 00b6e6b3 or a3,a3,a1 + 8002a6f8: 0007a783 lw a5,0(a5) + 8002a6fc: 0016869b addiw a3,a3,1 + 8002a700: 0009d517 auipc a0,0x9d + 8002a704: 0a050513 addi a0,a0,160 # 800c77a0 <_ZL6dcount> + 8002a708: 0156969b slliw a3,a3,0x15 + 8002a70c: 001a1317 auipc t1,0x1a1 + 8002a710: 4b832303 lw t1,1208(t1) # 801cbbc4 + 8002a714: 01350533 add a0,a0,s3 + 8002a718: 0002de17 auipc t3,0x2d + 8002a71c: 2b0e0e13 addi t3,t3,688 # 800579c8 + 8002a720: 00052703 lw a4,0(a0) + 8002a724: 00070e63 beqz a4,8002a740 <_ZL6DoAYSQi+0x120> + 8002a728: 40445593 srai a1,s0,0x4 + 8002a72c: 00259593 slli a1,a1,0x2 + 8002a730: 00be05b3 add a1,t3,a1 + 8002a734: 0005a803 lw a6,0(a1) + 8002a738: 0118083b addw a6,a6,a7 + 8002a73c: 0105a023 sw a6,0(a1) + 8002a740: 406787bb subw a5,a5,t1 + 8002a744: 00f04a63 bgtz a5,8002a758 <_ZL6DoAYSQi+0x138> + 8002a748: 00d787bb addw a5,a5,a3 + 8002a74c: 00174713 xori a4,a4,1 + 8002a750: fef05ce3 blez a5,8002a748 <_ZL6DoAYSQi+0x128> + 8002a754: 00e52023 sw a4,0(a0) + 8002a758: 0014041b addiw s0,s0,1 + 8002a75c: fc8612e3 bne a2,s0,8002a720 <_ZL6DoAYSQi+0x100> + 8002a760: 013e8eb3 add t4,t4,s3 + 8002a764: 00fea023 sw a5,0(t4) + 8002a768: 02813083 ld ra,40(sp) + 8002a76c: 02013403 ld s0,32(sp) + 8002a770: 01813483 ld s1,24(sp) + 8002a774: 01013903 ld s2,16(sp) + 8002a778: 00813983 ld s3,8(sp) + 8002a77c: 03010113 addi sp,sp,48 + 8002a780: 00008067 ret + +000000008002a784 <_ZL8DoAYSQHQi>: + 8002a784: 0009d697 auipc a3,0x9d + 8002a788: ffc68693 addi a3,a3,-4 # 800c7780 <_ZL4sreg> + 8002a78c: 0076c783 lbu a5,7(a3) + 8002a790: 001a1817 auipc a6,0x1a1 + 8002a794: 44082803 lw a6,1088(a6) # 801cbbd0 + 8002a798: 001a1717 auipc a4,0x1a1 + 8002a79c: 3b072703 lw a4,944(a4) # 801cbb48 + 8002a7a0: 40a7d7bb sraw a5,a5,a0 + 8002a7a4: 00e8073b addw a4,a6,a4 + 8002a7a8: 0017f793 andi a5,a5,1 + 8002a7ac: 00070813 mv a6,a4 + 8002a7b0: 0009d897 auipc a7,0x9d + 8002a7b4: fe088893 addi a7,a7,-32 # 800c7790 <_ZL5CAYBC> + 8002a7b8: 00251313 slli t1,a0,0x2 + 8002a7bc: 0e079463 bnez a5,8002a8a4 <_ZL8DoAYSQHQi+0x120> + 8002a7c0: 006887b3 add a5,a7,t1 + 8002a7c4: 0007a783 lw a5,0(a5) + 8002a7c8: 0ce7fe63 bgeu a5,a4,8002a8a4 <_ZL8DoAYSQHQi+0x120> + 8002a7cc: 0015161b slliw a2,a0,0x1 + 8002a7d0: 0016071b addiw a4,a2,1 + 8002a7d4: 0085051b addiw a0,a0,8 + 8002a7d8: 00a68533 add a0,a3,a0 + 8002a7dc: 00e68733 add a4,a3,a4 + 8002a7e0: 00074703 lbu a4,0(a4) + 8002a7e4: 00054f03 lbu t5,0(a0) + 8002a7e8: 00c686b3 add a3,a3,a2 + 8002a7ec: fff8061b addiw a2,a6,-1 + 8002a7f0: 0006c583 lbu a1,0(a3) + 8002a7f4: 0087151b slliw a0,a4,0x8 + 8002a7f8: 40f6063b subw a2,a2,a5 + 8002a7fc: 006f1f1b slliw t5,t5,0x6 + 8002a800: 00001737 lui a4,0x1 + 8002a804: 3c0f7693 andi a3,t5,960 + 8002a808: f0070713 addi a4,a4,-256 # f00 <_entry_offset+0xf00> + 8002a80c: 0009de97 auipc t4,0x9d + 8002a810: fa4e8e93 addi t4,t4,-92 # 800c77b0 <_ZL6vcount> + 8002a814: 02079793 slli a5,a5,0x20 + 8002a818: 02061613 slli a2,a2,0x20 + 8002a81c: 0207d793 srli a5,a5,0x20 + 8002a820: 00e57533 and a0,a0,a4 + 8002a824: 4016df1b sraiw t5,a3,0x1 + 8002a828: 006e8733 add a4,t4,t1 + 8002a82c: 02065613 srli a2,a2,0x20 + 8002a830: 00b56533 or a0,a0,a1 + 8002a834: 00f60633 add a2,a2,a5 + 8002a838: 00df0f3b addw t5,t5,a3 + 8002a83c: 00279793 slli a5,a5,0x2 + 8002a840: 00032697 auipc a3,0x32 + 8002a844: 18868693 addi a3,a3,392 # 8005c9c8 + 8002a848: 00072703 lw a4,0(a4) + 8002a84c: 0015051b addiw a0,a0,1 + 8002a850: 00261613 slli a2,a2,0x2 + 8002a854: 00d787b3 add a5,a5,a3 + 8002a858: 0009d597 auipc a1,0x9d + 8002a85c: f4858593 addi a1,a1,-184 # 800c77a0 <_ZL6dcount> + 8002a860: 00032697 auipc a3,0x32 + 8002a864: 16c68693 addi a3,a3,364 # 8005c9cc + 8002a868: 0045151b slliw a0,a0,0x4 + 8002a86c: 00d60633 add a2,a2,a3 + 8002a870: 006585b3 add a1,a1,t1 + 8002a874: 0005a683 lw a3,0(a1) + 8002a878: fff7071b addiw a4,a4,-1 + 8002a87c: 0016ce13 xori t3,a3,1 + 8002a880: 00068863 beqz a3,8002a890 <_ZL8DoAYSQHQi+0x10c> + 8002a884: 0007a683 lw a3,0(a5) + 8002a888: 01e686bb addw a3,a3,t5 + 8002a88c: 00d7a023 sw a3,0(a5) + 8002a890: 00478793 addi a5,a5,4 + 8002a894: 00e05e63 blez a4,8002a8b0 <_ZL8DoAYSQHQi+0x12c> + 8002a898: fcc79ee3 bne a5,a2,8002a874 <_ZL8DoAYSQHQi+0xf0> + 8002a89c: 006e8eb3 add t4,t4,t1 + 8002a8a0: 00eea023 sw a4,0(t4) + 8002a8a4: 006888b3 add a7,a7,t1 + 8002a8a8: 0108a023 sw a6,0(a7) + 8002a8ac: 00008067 ret + 8002a8b0: 01c5a023 sw t3,0(a1) + 8002a8b4: 00050713 mv a4,a0 + 8002a8b8: fac79ee3 bne a5,a2,8002a874 <_ZL8DoAYSQHQi+0xf0> + 8002a8bc: fe1ff06f j 8002a89c <_ZL8DoAYSQHQi+0x118> + +000000008002a8c0 <_ZL10M69SWrite1jh>: + 8002a8c0: fe010113 addi sp,sp,-32 + 8002a8c4: 00913423 sd s1,8(sp) + 8002a8c8: 0019e497 auipc s1,0x19e + 8002a8cc: 41848493 addi s1,s1,1048 # 801c8ce0 + 8002a8d0: 00813823 sd s0,16(sp) + 8002a8d4: 01213023 sd s2,0(sp) + 8002a8d8: 0002d797 auipc a5,0x2d + 8002a8dc: 0b078793 addi a5,a5,176 # 80057988 + 8002a8e0: 00113c23 sd ra,24(sp) + 8002a8e4: 00000697 auipc a3,0x0 + 8002a8e8: 0d468693 addi a3,a3,212 # 8002a9b8 <_ZL7AYSoundi> + 8002a8ec: 03c4a703 lw a4,60(s1) + 8002a8f0: 00d7b023 sd a3,0(a5) + 8002a8f4: 00000697 auipc a3,0x0 + 8002a8f8: 11068693 addi a3,a3,272 # 8002aa04 <_ZL9AYSoundHQv> + 8002a8fc: 001a2917 auipc s2,0x1a2 + 8002a900: a5990913 addi s2,s2,-1447 # 801cc355 <_ZL6sndcmd> + 8002a904: 00d7b823 sd a3,16(a5) + 8002a908: 00058413 mv s0,a1 + 8002a90c: 00094783 lbu a5,0(s2) + 8002a910: 02071663 bnez a4,8002a93c <_ZL10M69SWrite1jh+0x7c> + 8002a914: 0009d717 auipc a4,0x9d + 8002a918: e6c70713 addi a4,a4,-404 # 800c7780 <_ZL4sreg> + 8002a91c: 00f707b3 add a5,a4,a5 + 8002a920: 00878023 sb s0,0(a5) + 8002a924: 01813083 ld ra,24(sp) + 8002a928: 01013403 ld s0,16(sp) + 8002a92c: 00813483 ld s1,8(sp) + 8002a930: 00013903 ld s2,0(sp) + 8002a934: 02010113 addi sp,sp,32 + 8002a938: 00008067 ret + 8002a93c: 00a00713 li a4,10 + 8002a940: fcf76ae3 bltu a4,a5,8002a914 <_ZL10M69SWrite1jh+0x54> + 8002a944: 0001b617 auipc a2,0x1b + 8002a948: 6a460613 addi a2,a2,1700 # 80045fe8 <_ZL3lut+0x188> + 8002a94c: 00279693 slli a3,a5,0x2 + 8002a950: 00c686b3 add a3,a3,a2 + 8002a954: 0006a703 lw a4,0(a3) + 8002a958: 00c70733 add a4,a4,a2 + 8002a95c: 00070067 jr a4 + 8002a960: 0404a783 lw a5,64(s1) + 8002a964: 00000513 li a0,0 + 8002a968: 04f05463 blez a5,8002a9b0 <_ZL10M69SWrite1jh+0xf0> + 8002a96c: e19ff0ef jal ra,8002a784 <_ZL8DoAYSQHQi> + 8002a970: 0404a783 lw a5,64(s1) + 8002a974: 00100513 li a0,1 + 8002a978: 00f05e63 blez a5,8002a994 <_ZL10M69SWrite1jh+0xd4> + 8002a97c: e09ff0ef jal ra,8002a784 <_ZL8DoAYSQHQi> + 8002a980: 00094783 lbu a5,0(s2) + 8002a984: f91ff06f j 8002a914 <_ZL10M69SWrite1jh+0x54> + 8002a988: 0404a783 lw a5,64(s1) + 8002a98c: 00000513 li a0,0 + 8002a990: fef046e3 bgtz a5,8002a97c <_ZL10M69SWrite1jh+0xbc> + 8002a994: c8dff0ef jal ra,8002a620 <_ZL6DoAYSQi> + 8002a998: 00094783 lbu a5,0(s2) + 8002a99c: f79ff06f j 8002a914 <_ZL10M69SWrite1jh+0x54> + 8002a9a0: 0404a783 lw a5,64(s1) + 8002a9a4: 00200513 li a0,2 + 8002a9a8: fcf04ae3 bgtz a5,8002a97c <_ZL10M69SWrite1jh+0xbc> + 8002a9ac: fe9ff06f j 8002a994 <_ZL10M69SWrite1jh+0xd4> + 8002a9b0: c71ff0ef jal ra,8002a620 <_ZL6DoAYSQi> + 8002a9b4: fbdff06f j 8002a970 <_ZL10M69SWrite1jh+0xb0> + +000000008002a9b8 <_ZL7AYSoundi>: + 8002a9b8: ff010113 addi sp,sp,-16 + 8002a9bc: 00813023 sd s0,0(sp) + 8002a9c0: 00050413 mv s0,a0 + 8002a9c4: 00000513 li a0,0 + 8002a9c8: 00113423 sd ra,8(sp) + 8002a9cc: c55ff0ef jal ra,8002a620 <_ZL6DoAYSQi> + 8002a9d0: 00100513 li a0,1 + 8002a9d4: c4dff0ef jal ra,8002a620 <_ZL6DoAYSQi> + 8002a9d8: 00200513 li a0,2 + 8002a9dc: c45ff0ef jal ra,8002a620 <_ZL6DoAYSQi> + 8002a9e0: 0009d797 auipc a5,0x9d + 8002a9e4: db078793 addi a5,a5,-592 # 800c7790 <_ZL5CAYBC> + 8002a9e8: 00813083 ld ra,8(sp) + 8002a9ec: 0087a023 sw s0,0(a5) + 8002a9f0: 0087a223 sw s0,4(a5) + 8002a9f4: 0087a423 sw s0,8(a5) + 8002a9f8: 00013403 ld s0,0(sp) + 8002a9fc: 01010113 addi sp,sp,16 + 8002aa00: 00008067 ret + +000000008002aa04 <_ZL9AYSoundHQv>: + 8002aa04: ff010113 addi sp,sp,-16 + 8002aa08: 00000513 li a0,0 + 8002aa0c: 00113423 sd ra,8(sp) + 8002aa10: d75ff0ef jal ra,8002a784 <_ZL8DoAYSQHQi> + 8002aa14: 00100513 li a0,1 + 8002aa18: d6dff0ef jal ra,8002a784 <_ZL8DoAYSQHQi> + 8002aa1c: 00813083 ld ra,8(sp) + 8002aa20: 00200513 li a0,2 + 8002aa24: 01010113 addi sp,sp,16 + 8002aa28: d5dff06f j 8002a784 <_ZL8DoAYSQHQi> + +000000008002aa2c <_ZL8AYHiSynci>: + 8002aa2c: 0009d797 auipc a5,0x9d + 8002aa30: d6478793 addi a5,a5,-668 # 800c7790 <_ZL5CAYBC> + 8002aa34: 00a7a023 sw a0,0(a5) + 8002aa38: 00a7a223 sw a0,4(a5) + 8002aa3c: 00a7a423 sw a0,8(a5) + 8002aa40: 00008067 ret + +000000008002aa44 <_Z12Mapper69_ESIv>: + 8002aa44: ff010113 addi sp,sp,-16 + 8002aa48: 00113423 sd ra,8(sp) + 8002aa4c: 0002d797 auipc a5,0x2d + 8002aa50: f3c78793 addi a5,a5,-196 # 80057988 + 8002aa54: 00000717 auipc a4,0x0 + 8002aa58: ff070713 addi a4,a4,-16 # 8002aa44 <_Z12Mapper69_ESIv> + 8002aa5c: 02e7b023 sd a4,32(a5) + 8002aa60: 00c00613 li a2,12 + 8002aa64: 00000717 auipc a4,0x0 + 8002aa68: fc870713 addi a4,a4,-56 # 8002aa2c <_ZL8AYHiSynci> + 8002aa6c: 00000593 li a1,0 + 8002aa70: 0009d517 auipc a0,0x9d + 8002aa74: d3050513 addi a0,a0,-720 # 800c77a0 <_ZL6dcount> + 8002aa78: 00e7bc23 sd a4,24(a5) + 8002aa7c: 7ad100ef jal ra,8003ba28 + 8002aa80: 00c00613 li a2,12 + 8002aa84: 00000593 li a1,0 + 8002aa88: 0009d517 auipc a0,0x9d + 8002aa8c: d2850513 addi a0,a0,-728 # 800c77b0 <_ZL6vcount> + 8002aa90: 799100ef jal ra,8003ba28 + 8002aa94: 00c00613 li a2,12 + 8002aa98: 00000593 li a1,0 + 8002aa9c: 0009d517 auipc a0,0x9d + 8002aaa0: cf450513 addi a0,a0,-780 # 800c7790 <_ZL5CAYBC> + 8002aaa4: 785100ef jal ra,8003ba28 + 8002aaa8: 00813083 ld ra,8(sp) + 8002aaac: 00000693 li a3,0 + 8002aab0: 00000613 li a2,0 + 8002aab4: fff00593 li a1,-1 + 8002aab8: 00022517 auipc a0,0x22 + 8002aabc: 38050513 addi a0,a0,896 # 8004ce38 <_ZL10SStateRegs> + 8002aac0: 01010113 addi sp,sp,16 + 8002aac4: d4cd506f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002aac8 <_ZL4Syncv>: + 8002aac8: fe010113 addi sp,sp,-32 + 8002aacc: 01213023 sd s2,0(sp) + 8002aad0: 00113c23 sd ra,24(sp) + 8002aad4: 00813823 sd s0,16(sp) + 8002aad8: 00913423 sd s1,8(sp) + 8002aadc: 001a2917 auipc s2,0x1a2 + 8002aae0: 87490913 addi s2,s2,-1932 # 801cc350 <_ZL4preg> + 8002aae4: 00394583 lbu a1,3(s2) + 8002aae8: 0c000793 li a5,192 + 8002aaec: 0c05f713 andi a4,a1,192 + 8002aaf0: 03f5f593 andi a1,a1,63 + 8002aaf4: 0af70663 beq a4,a5,8002aba0 <_ZL4Syncv+0xd8> + 8002aaf8: 00006537 lui a0,0x6 + 8002aafc: fa1e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002ab00: 00094583 lbu a1,0(s2) + 8002ab04: 00008537 lui a0,0x8 + 8002ab08: 001a2497 auipc s1,0x1a2 + 8002ab0c: 83848493 addi s1,s1,-1992 # 801cc340 <_ZL4creg> + 8002ab10: f8de50ef jal ra,80010a9c <_Z7setprg8jj> + 8002ab14: 00194583 lbu a1,1(s2) + 8002ab18: 0000a537 lui a0,0xa + 8002ab1c: 00000413 li s0,0 + 8002ab20: f7de50ef jal ra,80010a9c <_Z7setprg8jj> + 8002ab24: 00294583 lbu a1,2(s2) + 8002ab28: 0000c537 lui a0,0xc + 8002ab2c: 00002937 lui s2,0x2 + 8002ab30: f6de50ef jal ra,80010a9c <_Z7setprg8jj> + 8002ab34: fff00593 li a1,-1 + 8002ab38: 0000e537 lui a0,0xe + 8002ab3c: f61e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002ab40: 0004c583 lbu a1,0(s1) + 8002ab44: 00040513 mv a0,s0 + 8002ab48: 4004041b addiw s0,s0,1024 + 8002ab4c: b58e60ef jal ra,80010ea4 <_Z7setchr1jj> + 8002ab50: 00148493 addi s1,s1,1 + 8002ab54: ff2416e3 bne s0,s2,8002ab40 <_ZL4Syncv+0x78> + 8002ab58: 001a1797 auipc a5,0x1a1 + 8002ab5c: 7f07c783 lbu a5,2032(a5) # 801cc348 <_ZL4mirr> + 8002ab60: 0037f793 andi a5,a5,3 + 8002ab64: 00200713 li a4,2 + 8002ab68: 00200513 li a0,2 + 8002ab6c: 00e78e63 beq a5,a4,8002ab88 <_ZL4Syncv+0xc0> + 8002ab70: 00300713 li a4,3 + 8002ab74: 00300513 li a0,3 + 8002ab78: 00e78863 beq a5,a4,8002ab88 <_ZL4Syncv+0xc0> + 8002ab7c: 00100713 li a4,1 + 8002ab80: 00100513 li a0,1 + 8002ab84: 02e78863 beq a5,a4,8002abb4 <_ZL4Syncv+0xec> + 8002ab88: 01013403 ld s0,16(sp) + 8002ab8c: 01813083 ld ra,24(sp) + 8002ab90: 00813483 ld s1,8(sp) + 8002ab94: 00013903 ld s2,0(sp) + 8002ab98: 02010113 addi sp,sp,32 + 8002ab9c: cace606f j 80011048 <_Z9setmirrori> + 8002aba0: 00058613 mv a2,a1 + 8002aba4: 01000513 li a0,16 + 8002aba8: 000065b7 lui a1,0x6 + 8002abac: d3de50ef jal ra,800108e8 <_Z8setprg8rijj> + 8002abb0: f51ff06f j 8002ab00 <_ZL4Syncv+0x38> + 8002abb4: 01013403 ld s0,16(sp) + 8002abb8: 01813083 ld ra,24(sp) + 8002abbc: 00813483 ld s1,8(sp) + 8002abc0: 00013903 ld s2,0(sp) + 8002abc4: 00000513 li a0,0 + 8002abc8: 02010113 addi sp,sp,32 + 8002abcc: c7ce606f j 80011048 <_Z9setmirrori> + +000000008002abd0 <_ZL12StateRestorei>: + 8002abd0: ef9ff06f j 8002aac8 <_ZL4Syncv> + +000000008002abd4 <_ZL8M69Closev>: + 8002abd4: 001a1517 auipc a0,0x1a1 + 8002abd8: 76453503 ld a0,1892(a0) # 801cc338 <_ZL4WRAM> + 8002abdc: 02050263 beqz a0,8002ac00 <_ZL8M69Closev+0x2c> + 8002abe0: ff010113 addi sp,sp,-16 + 8002abe4: 00113423 sd ra,8(sp) + 8002abe8: 959e70ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002abec: 00813083 ld ra,8(sp) + 8002abf0: 001a1797 auipc a5,0x1a1 + 8002abf4: 7407b423 sd zero,1864(a5) # 801cc338 <_ZL4WRAM> + 8002abf8: 01010113 addi sp,sp,16 + 8002abfc: 00008067 ret + 8002ac00: 001a1797 auipc a5,0x1a1 + 8002ac04: 7207bc23 sd zero,1848(a5) # 801cc338 <_ZL4WRAM> + 8002ac08: 00008067 ret + +000000008002ac0c <_ZL11M69WRAMReadj>: + 8002ac0c: 001a1797 auipc a5,0x1a1 + 8002ac10: 7477c783 lbu a5,1863(a5) # 801cc353 <_ZL4preg+0x3> + 8002ac14: 0c07f793 andi a5,a5,192 + 8002ac18: 04000713 li a4,64 + 8002ac1c: 00e78463 beq a5,a4,8002ac24 <_ZL11M69WRAMReadj+0x18> + 8002ac20: a41e506f j 80010660 <_Z6CartBRj> + 8002ac24: 0002d517 auipc a0,0x2d + 8002ac28: c4454503 lbu a0,-956(a0) # 80057868 + 8002ac2c: 00008067 ret + +000000008002ac30 <_ZL8M69Powerv>: + 8002ac30: 001a1797 auipc a5,0x1a1 + 8002ac34: 720782a3 sb zero,1829(a5) # 801cc355 <_ZL6sndcmd> + 8002ac38: 001a1797 auipc a5,0x1a1 + 8002ac3c: 70078e23 sb zero,1820(a5) # 801cc354 <_ZL6cmdreg> + 8002ac40: 000107b7 lui a5,0x10 + 8002ac44: ff010113 addi sp,sp,-16 + 8002ac48: fff7879b addiw a5,a5,-1 + 8002ac4c: 00113423 sd ra,8(sp) + 8002ac50: 001a1717 auipc a4,0x1a1 + 8002ac54: 70f72423 sw a5,1800(a4) # 801cc358 <_ZL8IRQCount> + 8002ac58: 00813023 sd s0,0(sp) + 8002ac5c: 001a1797 auipc a5,0x1a1 + 8002ac60: 6c078d23 sb zero,1754(a5) # 801cc336 <_ZL4IRQa> + 8002ac64: 00008437 lui s0,0x8 + 8002ac68: e61ff0ef jal ra,8002aac8 <_ZL4Syncv> + 8002ac6c: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002ac70: 00000617 auipc a2,0x0 + 8002ac74: f9c60613 addi a2,a2,-100 # 8002ac0c <_ZL11M69WRAMReadj> + 8002ac78: 00006537 lui a0,0x6 + 8002ac7c: 5a1080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002ac80: fff40593 addi a1,s0,-1 + 8002ac84: 00000617 auipc a2,0x0 + 8002ac88: 1cc60613 addi a2,a2,460 # 8002ae50 <_ZL12M69WRAMWritejh> + 8002ac8c: 00006537 lui a0,0x6 + 8002ac90: 699080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ac94: 00010437 lui s0,0x10 + 8002ac98: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002ac9c: fffe6617 auipc a2,0xfffe6 + 8002aca0: 9c460613 addi a2,a2,-1596 # 80010660 <_Z6CartBRj> + 8002aca4: 00008537 lui a0,0x8 + 8002aca8: 575080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002acac: 0000a5b7 lui a1,0xa + 8002acb0: 00000617 auipc a2,0x0 + 8002acb4: 93860613 addi a2,a2,-1736 # 8002a5e8 <_ZL9M69Write0jh> + 8002acb8: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 8002acbc: 00008537 lui a0,0x8 + 8002acc0: 669080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002acc4: 0000c5b7 lui a1,0xc + 8002acc8: 00000617 auipc a2,0x0 + 8002accc: 06860613 addi a2,a2,104 # 8002ad30 <_ZL9M69Write1jh> + 8002acd0: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8002acd4: 0000a537 lui a0,0xa + 8002acd8: 651080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002acdc: 0000e5b7 lui a1,0xe + 8002ace0: 00000617 auipc a2,0x0 + 8002ace4: 91860613 addi a2,a2,-1768 # 8002a5f8 <_ZL10M69SWrite0jh> + 8002ace8: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 8002acec: 0000c537 lui a0,0xc + 8002acf0: 639080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002acf4: fff40593 addi a1,s0,-1 + 8002acf8: 00000617 auipc a2,0x0 + 8002acfc: bc860613 addi a2,a2,-1080 # 8002a8c0 <_ZL10M69SWrite1jh> + 8002ad00: 0000e537 lui a0,0xe + 8002ad04: 625080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ad08: 00013403 ld s0,0(sp) + 8002ad0c: 00813083 ld ra,8(sp) + 8002ad10: 001a1517 auipc a0,0x1a1 + 8002ad14: 64c52503 lw a0,1612(a0) # 801cc35c <_ZL8WRAMSIZE> + 8002ad18: 001a1617 auipc a2,0x1a1 + 8002ad1c: 62063603 ld a2,1568(a2) # 801cc338 <_ZL4WRAM> + 8002ad20: 000065b7 lui a1,0x6 + 8002ad24: 00a5551b srliw a0,a0,0xa + 8002ad28: 01010113 addi sp,sp,16 + 8002ad2c: ae8d506f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002ad30 <_ZL9M69Write1jh>: + 8002ad30: 001a1797 auipc a5,0x1a1 + 8002ad34: 62478793 addi a5,a5,1572 # 801cc354 <_ZL6cmdreg> + 8002ad38: 0007c683 lbu a3,0(a5) + 8002ad3c: 00f00713 li a4,15 + 8002ad40: 04d76e63 bltu a4,a3,8002ad9c <_ZL9M69Write1jh+0x6c> + 8002ad44: 0001b717 auipc a4,0x1b + 8002ad48: 2d070713 addi a4,a4,720 # 80046014 <_ZL3lut+0x1b4> + 8002ad4c: 00269793 slli a5,a3,0x2 + 8002ad50: 00e787b3 add a5,a5,a4 + 8002ad54: 0007a783 lw a5,0(a5) + 8002ad58: 00e787b3 add a5,a5,a4 + 8002ad5c: 00078067 jr a5 + 8002ad60: 001a1697 auipc a3,0x1a1 + 8002ad64: 5f868693 addi a3,a3,1528 # 801cc358 <_ZL8IRQCount> + 8002ad68: 0006a783 lw a5,0(a3) + 8002ad6c: 00010737 lui a4,0x10 + 8002ad70: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8002ad74: 00e7f7b3 and a5,a5,a4 + 8002ad78: 00b7e5b3 or a1,a5,a1 + 8002ad7c: 00b6a023 sw a1,0(a3) + 8002ad80: 00008067 ret + 8002ad84: 001a1717 auipc a4,0x1a1 + 8002ad88: 5d470713 addi a4,a4,1492 # 801cc358 <_ZL8IRQCount> + 8002ad8c: 00074783 lbu a5,0(a4) + 8002ad90: 0085959b slliw a1,a1,0x8 + 8002ad94: 00b7e5b3 or a1,a5,a1 + 8002ad98: 00b72023 sw a1,0(a4) + 8002ad9c: 00008067 ret + 8002ada0: 001a1797 auipc a5,0x1a1 + 8002ada4: 5ab78023 sb a1,1440(a5) # 801cc340 <_ZL4creg> + 8002ada8: d21ff06f j 8002aac8 <_ZL4Syncv> + 8002adac: 001a1797 auipc a5,0x1a1 + 8002adb0: 58b78aa3 sb a1,1429(a5) # 801cc341 <_ZL4creg+0x1> + 8002adb4: d15ff06f j 8002aac8 <_ZL4Syncv> + 8002adb8: 001a1797 auipc a5,0x1a1 + 8002adbc: 58b78523 sb a1,1418(a5) # 801cc342 <_ZL4creg+0x2> + 8002adc0: d09ff06f j 8002aac8 <_ZL4Syncv> + 8002adc4: 001a1797 auipc a5,0x1a1 + 8002adc8: 56b78fa3 sb a1,1407(a5) # 801cc343 <_ZL4creg+0x3> + 8002adcc: cfdff06f j 8002aac8 <_ZL4Syncv> + 8002add0: 001a1797 auipc a5,0x1a1 + 8002add4: 56b78a23 sb a1,1396(a5) # 801cc344 <_ZL4creg+0x4> + 8002add8: cf1ff06f j 8002aac8 <_ZL4Syncv> + 8002addc: 001a1797 auipc a5,0x1a1 + 8002ade0: 56b784a3 sb a1,1385(a5) # 801cc345 <_ZL4creg+0x5> + 8002ade4: ce5ff06f j 8002aac8 <_ZL4Syncv> + 8002ade8: 001a1797 auipc a5,0x1a1 + 8002adec: 54b78f23 sb a1,1374(a5) # 801cc346 <_ZL4creg+0x6> + 8002adf0: cd9ff06f j 8002aac8 <_ZL4Syncv> + 8002adf4: 001a1797 auipc a5,0x1a1 + 8002adf8: 54b789a3 sb a1,1363(a5) # 801cc347 <_ZL4creg+0x7> + 8002adfc: ccdff06f j 8002aac8 <_ZL4Syncv> + 8002ae00: 001a1797 auipc a5,0x1a1 + 8002ae04: 54b789a3 sb a1,1363(a5) # 801cc353 <_ZL4preg+0x3> + 8002ae08: cc1ff06f j 8002aac8 <_ZL4Syncv> + 8002ae0c: 001a1797 auipc a5,0x1a1 + 8002ae10: 54b78223 sb a1,1348(a5) # 801cc350 <_ZL4preg> + 8002ae14: cb5ff06f j 8002aac8 <_ZL4Syncv> + 8002ae18: 001a1797 auipc a5,0x1a1 + 8002ae1c: 52b78ca3 sb a1,1337(a5) # 801cc351 <_ZL4preg+0x1> + 8002ae20: ca9ff06f j 8002aac8 <_ZL4Syncv> + 8002ae24: 001a1797 auipc a5,0x1a1 + 8002ae28: 52b78723 sb a1,1326(a5) # 801cc352 <_ZL4preg+0x2> + 8002ae2c: c9dff06f j 8002aac8 <_ZL4Syncv> + 8002ae30: 0035f593 andi a1,a1,3 + 8002ae34: 001a1797 auipc a5,0x1a1 + 8002ae38: 50b78a23 sb a1,1300(a5) # 801cc348 <_ZL4mirr> + 8002ae3c: c8dff06f j 8002aac8 <_ZL4Syncv> + 8002ae40: 00100513 li a0,1 + 8002ae44: 001a1797 auipc a5,0x1a1 + 8002ae48: 4eb78923 sb a1,1266(a5) # 801cc336 <_ZL4IRQa> + 8002ae4c: f05d506f j 80000d50 <_Z12X6502_IRQEndi> + +000000008002ae50 <_ZL12M69WRAMWritejh>: + 8002ae50: 001a1797 auipc a5,0x1a1 + 8002ae54: 5037c783 lbu a5,1283(a5) # 801cc353 <_ZL4preg+0x3> + 8002ae58: 0c07f793 andi a5,a5,192 + 8002ae5c: 0c000713 li a4,192 + 8002ae60: 00e78463 beq a5,a4,8002ae68 <_ZL12M69WRAMWritejh+0x18> + 8002ae64: 00008067 ret + 8002ae68: 825e506f j 8001068c <_Z6CartBWjh> + +000000008002ae6c <_ZL10M69IRQHooki>: + 8002ae6c: 001a1797 auipc a5,0x1a1 + 8002ae70: 4ca7c783 lbu a5,1226(a5) # 801cc336 <_ZL4IRQa> + 8002ae74: 02078c63 beqz a5,8002aeac <_ZL10M69IRQHooki+0x40> + 8002ae78: ff010113 addi sp,sp,-16 + 8002ae7c: 00813023 sd s0,0(sp) + 8002ae80: 001a1417 auipc s0,0x1a1 + 8002ae84: 4d840413 addi s0,s0,1240 # 801cc358 <_ZL8IRQCount> + 8002ae88: 00042783 lw a5,0(s0) + 8002ae8c: 00113423 sd ra,8(sp) + 8002ae90: 40a787bb subw a5,a5,a0 + 8002ae94: 00f42023 sw a5,0(s0) + 8002ae98: 00f05c63 blez a5,8002aeb0 <_ZL10M69IRQHooki+0x44> + 8002ae9c: 00813083 ld ra,8(sp) + 8002aea0: 00013403 ld s0,0(sp) + 8002aea4: 01010113 addi sp,sp,16 + 8002aea8: 00008067 ret + 8002aeac: 00008067 ret + 8002aeb0: 00100513 li a0,1 + 8002aeb4: e85d50ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8002aeb8: 001a1797 auipc a5,0x1a1 + 8002aebc: 46078f23 sb zero,1150(a5) # 801cc336 <_ZL4IRQa> + 8002aec0: 000107b7 lui a5,0x10 + 8002aec4: fff7879b addiw a5,a5,-1 + 8002aec8: 00813083 ld ra,8(sp) + 8002aecc: 00f42023 sw a5,0(s0) + 8002aed0: 00013403 ld s0,0(sp) + 8002aed4: 01010113 addi sp,sp,16 + 8002aed8: 00008067 ret + +000000008002aedc <_Z13Mapper69_InitP8CartInfo>: + 8002aedc: fe010113 addi sp,sp,-32 + 8002aee0: 00813823 sd s0,16(sp) + 8002aee4: 00113c23 sd ra,24(sp) + 8002aee8: 00913423 sd s1,8(sp) + 8002aeec: 01213023 sd s2,0(sp) + 8002aef0: 00000717 auipc a4,0x0 + 8002aef4: d4070713 addi a4,a4,-704 # 8002ac30 <_ZL8M69Powerv> + 8002aef8: 00e53023 sd a4,0(a0) + 8002aefc: 05052783 lw a5,80(a0) + 8002af00: 00000717 auipc a4,0x0 + 8002af04: cd470713 addi a4,a4,-812 # 8002abd4 <_ZL8M69Closev> + 8002af08: 00e53823 sd a4,16(a0) + 8002af0c: 00000717 auipc a4,0x0 + 8002af10: f6070713 addi a4,a4,-160 # 8002ae6c <_ZL10M69IRQHooki> + 8002af14: 001a1697 auipc a3,0x1a1 + 8002af18: c2e6b623 sd a4,-980(a3) # 801cbb40 + 8002af1c: 00050413 mv s0,a0 + 8002af20: 0a078863 beqz a5,8002afd0 <_Z13Mapper69_InitP8CartInfo+0xf4> + 8002af24: 05852783 lw a5,88(a0) + 8002af28: 05c52703 lw a4,92(a0) + 8002af2c: 001a1497 auipc s1,0x1a1 + 8002af30: 43048493 addi s1,s1,1072 # 801cc35c <_ZL8WRAMSIZE> + 8002af34: 00e7853b addw a0,a5,a4 + 8002af38: 00a4a023 sw a0,0(s1) + 8002af3c: d30e70ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002af40: 0004a603 lw a2,0(s1) + 8002af44: 00050593 mv a1,a0 + 8002af48: 001a1917 auipc s2,0x1a1 + 8002af4c: 3f090913 addi s2,s2,1008 # 801cc338 <_ZL4WRAM> + 8002af50: 00100693 li a3,1 + 8002af54: 01000513 li a0,16 + 8002af58: 00b93023 sd a1,0(s2) + 8002af5c: d98e50ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002af60: 0004a583 lw a1,0(s1) + 8002af64: 00093503 ld a0,0(s2) + 8002af68: 0001a697 auipc a3,0x1a + 8002af6c: 3c068693 addi a3,a3,960 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002af70: 00000613 li a2,0 + 8002af74: 89cd50ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002af78: 04c42783 lw a5,76(s0) + 8002af7c: 00078a63 beqz a5,8002af90 <_Z13Mapper69_InitP8CartInfo+0xb4> + 8002af80: 00093703 ld a4,0(s2) + 8002af84: 0004a783 lw a5,0(s1) + 8002af88: 00e43c23 sd a4,24(s0) + 8002af8c: 02f42c23 sw a5,56(s0) + 8002af90: 00000797 auipc a5,0x0 + 8002af94: c4078793 addi a5,a5,-960 # 8002abd0 <_ZL12StateRestorei> + 8002af98: 001a1717 auipc a4,0x1a1 + 8002af9c: 6cf73023 sd a5,1728(a4) # 801cc658 + 8002afa0: aa5ff0ef jal ra,8002aa44 <_Z12Mapper69_ESIv> + 8002afa4: 01013403 ld s0,16(sp) + 8002afa8: 01813083 ld ra,24(sp) + 8002afac: 00813483 ld s1,8(sp) + 8002afb0: 00013903 ld s2,0(sp) + 8002afb4: 00000693 li a3,0 + 8002afb8: 00000613 li a2,0 + 8002afbc: fff00593 li a1,-1 + 8002afc0: 00021517 auipc a0,0x21 + 8002afc4: a9850513 addi a0,a0,-1384 # 8004ba58 <_ZL9StateRegs> + 8002afc8: 02010113 addi sp,sp,32 + 8002afcc: 844d506f j 80000010 <_Z10AddExStatePvjiPKc> + 8002afd0: 001a1497 auipc s1,0x1a1 + 8002afd4: 38c48493 addi s1,s1,908 # 801cc35c <_ZL8WRAMSIZE> + 8002afd8: 000027b7 lui a5,0x2 + 8002afdc: 00f4a023 sw a5,0(s1) + 8002afe0: 00002537 lui a0,0x2 + 8002afe4: f59ff06f j 8002af3c <_Z13Mapper69_InitP8CartInfo+0x60> + +000000008002afe8 <_ZL4Syncv>: + 8002afe8: ff010113 addi sp,sp,-16 + 8002afec: 001a1597 auipc a1,0x1a1 + 8002aff0: 3745c583 lbu a1,884(a1) # 801cc360 <_ZL3reg> + 8002aff4: 00006537 lui a0,0x6 + 8002aff8: 00113423 sd ra,8(sp) + 8002affc: aa1e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b000: 00008537 lui a0,0x8 + 8002b004: fff00593 li a1,-1 + 8002b008: e0de50ef jal ra,80010e14 <_Z8setprg32jj> + 8002b00c: 00813083 ld ra,8(sp) + 8002b010: 00000513 li a0,0 + 8002b014: 01010113 addi sp,sp,16 + 8002b018: eede506f j 80010f04 <_Z7setchr8j> + +000000008002b01c <_ZL12StateRestorei>: + 8002b01c: fcdff06f j 8002afe8 <_ZL4Syncv> + +000000008002b020 <_ZL9M108Writejh>: + 8002b020: 001a1797 auipc a5,0x1a1 + 8002b024: 34b78023 sb a1,832(a5) # 801cc360 <_ZL3reg> + 8002b028: fc1ff06f j 8002afe8 <_ZL4Syncv> + +000000008002b02c <_ZL9M108Powerv>: + 8002b02c: ff010113 addi sp,sp,-16 + 8002b030: 00113423 sd ra,8(sp) + 8002b034: 00813023 sd s0,0(sp) + 8002b038: fb1ff0ef jal ra,8002afe8 <_ZL4Syncv> + 8002b03c: 000085b7 lui a1,0x8 + 8002b040: fffe5617 auipc a2,0xfffe5 + 8002b044: 62060613 addi a2,a2,1568 # 80010660 <_Z6CartBRj> + 8002b048: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8002b04c: 00006537 lui a0,0x6 + 8002b050: 1cd080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002b054: 00010437 lui s0,0x10 + 8002b058: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002b05c: fffe5617 auipc a2,0xfffe5 + 8002b060: 60460613 addi a2,a2,1540 # 80010660 <_Z6CartBRj> + 8002b064: 00008537 lui a0,0x8 + 8002b068: 1b5080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002b06c: 000095b7 lui a1,0x9 + 8002b070: 00000617 auipc a2,0x0 + 8002b074: fb060613 addi a2,a2,-80 # 8002b020 <_ZL9M108Writejh> + 8002b078: fff58593 addi a1,a1,-1 # 8fff <_entry_offset+0x8fff> + 8002b07c: 00008537 lui a0,0x8 + 8002b080: 2a9080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002b084: fff40593 addi a1,s0,-1 + 8002b088: 00013403 ld s0,0(sp) + 8002b08c: 00813083 ld ra,8(sp) + 8002b090: 00000617 auipc a2,0x0 + 8002b094: f9060613 addi a2,a2,-112 # 8002b020 <_ZL9M108Writejh> + 8002b098: 0000f537 lui a0,0xf + 8002b09c: 01010113 addi sp,sp,16 + 8002b0a0: 2890806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002b0a4 <_Z14Mapper108_InitP8CartInfo>: + 8002b0a4: 00000797 auipc a5,0x0 + 8002b0a8: f8878793 addi a5,a5,-120 # 8002b02c <_ZL9M108Powerv> + 8002b0ac: 00f53023 sd a5,0(a0) # f000 <_entry_offset+0xf000> + 8002b0b0: 00000797 auipc a5,0x0 + 8002b0b4: f6c78793 addi a5,a5,-148 # 8002b01c <_ZL12StateRestorei> + 8002b0b8: 00000693 li a3,0 + 8002b0bc: 00000613 li a2,0 + 8002b0c0: fff00593 li a1,-1 + 8002b0c4: 00021517 auipc a0,0x21 + 8002b0c8: a3c50513 addi a0,a0,-1476 # 8004bb00 <_ZL9StateRegs> + 8002b0cc: 001a1717 auipc a4,0x1a1 + 8002b0d0: 58f73623 sd a5,1420(a4) # 801cc658 + 8002b0d4: f3dd406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002b0d8 <_ZL4Syncv>: + 8002b0d8: fe010113 addi sp,sp,-32 + 8002b0dc: 00113c23 sd ra,24(sp) + 8002b0e0: 00813823 sd s0,16(sp) + 8002b0e4: 00913423 sd s1,8(sp) + 8002b0e8: 01213023 sd s2,0(sp) + 8002b0ec: 001a1417 auipc s0,0x1a1 + 8002b0f0: 28440413 addi s0,s0,644 # 801cc370 <_ZL7prg_reg> + 8002b0f4: 00044583 lbu a1,0(s0) + 8002b0f8: 00008537 lui a0,0x8 + 8002b0fc: 001a1497 auipc s1,0x1a1 + 8002b100: 26c48493 addi s1,s1,620 # 801cc368 <_ZL7chr_reg> + 8002b104: 999e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b108: 00144583 lbu a1,1(s0) + 8002b10c: 0000a537 lui a0,0xa + 8002b110: 00000413 li s0,0 + 8002b114: 989e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b118: 00002937 lui s2,0x2 + 8002b11c: 0004c583 lbu a1,0(s1) + 8002b120: 00040513 mv a0,s0 + 8002b124: 4004041b addiw s0,s0,1024 + 8002b128: d7de50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b12c: 00148493 addi s1,s1,1 + 8002b130: ff2416e3 bne s0,s2,8002b11c <_ZL4Syncv+0x44> + 8002b134: 01013403 ld s0,16(sp) + 8002b138: 01813083 ld ra,24(sp) + 8002b13c: 00813483 ld s1,8(sp) + 8002b140: 00013903 ld s2,0(sp) + 8002b144: 001a1517 auipc a0,0x1a1 + 8002b148: 21e54503 lbu a0,542(a0) # 801cc362 <_ZL4mirr> + 8002b14c: 00154513 xori a0,a0,1 + 8002b150: 02010113 addi sp,sp,32 + 8002b154: ef5e506f j 80011048 <_Z9setmirrori> + +000000008002b158 <_ZL12StateRestorei>: + 8002b158: f81ff06f j 8002b0d8 <_ZL4Syncv> + +000000008002b15c <_ZL9M222Powerv>: + 8002b15c: ff010113 addi sp,sp,-16 + 8002b160: fff00593 li a1,-1 + 8002b164: 0000c537 lui a0,0xc + 8002b168: 00113423 sd ra,8(sp) + 8002b16c: 00813023 sd s0,0(sp) + 8002b170: ae9e50ef jal ra,80010c58 <_Z8setprg16jj> + 8002b174: 00010437 lui s0,0x10 + 8002b178: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002b17c: fffe5617 auipc a2,0xfffe5 + 8002b180: 4e460613 addi a2,a2,1252 # 80010660 <_Z6CartBRj> + 8002b184: 00008537 lui a0,0x8 + 8002b188: 095080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002b18c: fff40593 addi a1,s0,-1 + 8002b190: 00013403 ld s0,0(sp) + 8002b194: 00813083 ld ra,8(sp) + 8002b198: 00000617 auipc a2,0x0 + 8002b19c: 01460613 addi a2,a2,20 # 8002b1ac <_ZL9M222Writejh> + 8002b1a0: 00008537 lui a0,0x8 + 8002b1a4: 01010113 addi sp,sp,16 + 8002b1a8: 1810806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002b1ac <_ZL9M222Writejh>: + 8002b1ac: 0000f737 lui a4,0xf + 8002b1b0: 00370793 addi a5,a4,3 # f003 <_entry_offset+0xf003> + 8002b1b4: 0000c6b7 lui a3,0xc + 8002b1b8: 00f57533 and a0,a0,a5 + 8002b1bc: 00268793 addi a5,a3,2 # c002 <_entry_offset+0xc002> + 8002b1c0: 10f50263 beq a0,a5,8002b2c4 <_ZL9M222Writejh+0x118> + 8002b1c4: 02a7e663 bltu a5,a0,8002b1f0 <_ZL9M222Writejh+0x44> + 8002b1c8: 0000b7b7 lui a5,0xb + 8002b1cc: 10f50263 beq a0,a5,8002b2d0 <_ZL9M222Writejh+0x124> + 8002b1d0: 08a7e663 bltu a5,a0,8002b25c <_ZL9M222Writejh+0xb0> + 8002b1d4: 000097b7 lui a5,0x9 + 8002b1d8: 0cf50863 beq a0,a5,8002b2a8 <_ZL9M222Writejh+0xfc> + 8002b1dc: 0000a7b7 lui a5,0xa + 8002b1e0: 06f51463 bne a0,a5,8002b248 <_ZL9M222Writejh+0x9c> + 8002b1e4: 001a1797 auipc a5,0x1a1 + 8002b1e8: 18b786a3 sb a1,397(a5) # 801cc371 <_ZL7prg_reg+0x1> + 8002b1ec: 0ac0006f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b1f0: 0000e7b7 lui a5,0xe + 8002b1f4: 0ef50463 beq a0,a5,8002b2dc <_ZL9M222Writejh+0x130> + 8002b1f8: 06a7fe63 bgeu a5,a0,8002b274 <_ZL9M222Writejh+0xc8> + 8002b1fc: 00278793 addi a5,a5,2 # e002 <_entry_offset+0xe002> + 8002b200: 08f50e63 beq a0,a5,8002b29c <_ZL9M222Writejh+0xf0> + 8002b204: 08e51a63 bne a0,a4,8002b298 <_ZL9M222Writejh+0xec> + 8002b208: ff010113 addi sp,sp,-16 + 8002b20c: 001a1797 auipc a5,0x1a1 + 8002b210: 14b78aa3 sb a1,341(a5) # 801cc361 <_ZL4IRQa> + 8002b214: 00113423 sd ra,8(sp) + 8002b218: 001a1717 auipc a4,0x1a1 + 8002b21c: 58872703 lw a4,1416(a4) # 801cc7a0 + 8002b220: 0ef00793 li a5,239 + 8002b224: 0ce7d263 bge a5,a4,8002b2e8 <_ZL9M222Writejh+0x13c> + 8002b228: 0045859b addiw a1,a1,4 + 8002b22c: 001a1797 auipc a5,0x1a1 + 8002b230: 14b78323 sb a1,326(a5) # 801cc372 <_ZL8IRQCount> + 8002b234: 00100513 li a0,1 + 8002b238: b19d50ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002b23c: 00813083 ld ra,8(sp) + 8002b240: 01010113 addi sp,sp,16 + 8002b244: e95ff06f j 8002b0d8 <_ZL4Syncv> + 8002b248: 000087b7 lui a5,0x8 + 8002b24c: 04f51663 bne a0,a5,8002b298 <_ZL9M222Writejh+0xec> + 8002b250: 001a1797 auipc a5,0x1a1 + 8002b254: 12b78023 sb a1,288(a5) # 801cc370 <_ZL7prg_reg> + 8002b258: 0400006f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b25c: 00278793 addi a5,a5,2 + 8002b260: 02f50863 beq a0,a5,8002b290 <_ZL9M222Writejh+0xe4> + 8002b264: 02d51a63 bne a0,a3,8002b298 <_ZL9M222Writejh+0xec> + 8002b268: 001a1797 auipc a5,0x1a1 + 8002b26c: 10b78123 sb a1,258(a5) # 801cc36a <_ZL7chr_reg+0x2> + 8002b270: 0280006f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b274: 0000d7b7 lui a5,0xd + 8002b278: 04f50063 beq a0,a5,8002b2b8 <_ZL9M222Writejh+0x10c> + 8002b27c: 00278793 addi a5,a5,2 # d002 <_entry_offset+0xd002> + 8002b280: 00f51c63 bne a0,a5,8002b298 <_ZL9M222Writejh+0xec> + 8002b284: 001a1797 auipc a5,0x1a1 + 8002b288: 0eb784a3 sb a1,233(a5) # 801cc36d <_ZL7chr_reg+0x5> + 8002b28c: 00c0006f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b290: 001a1797 auipc a5,0x1a1 + 8002b294: 0cb78ca3 sb a1,217(a5) # 801cc369 <_ZL7chr_reg+0x1> + 8002b298: e41ff06f j 8002b0d8 <_ZL4Syncv> + 8002b29c: 001a1797 auipc a5,0x1a1 + 8002b2a0: 0cb789a3 sb a1,211(a5) # 801cc36f <_ZL7chr_reg+0x7> + 8002b2a4: ff5ff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2a8: 0015f593 andi a1,a1,1 + 8002b2ac: 001a1797 auipc a5,0x1a1 + 8002b2b0: 0ab78b23 sb a1,182(a5) # 801cc362 <_ZL4mirr> + 8002b2b4: fe5ff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2b8: 001a1797 auipc a5,0x1a1 + 8002b2bc: 0ab78a23 sb a1,180(a5) # 801cc36c <_ZL7chr_reg+0x4> + 8002b2c0: fd9ff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2c4: 001a1797 auipc a5,0x1a1 + 8002b2c8: 0ab783a3 sb a1,167(a5) # 801cc36b <_ZL7chr_reg+0x3> + 8002b2cc: fcdff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2d0: 001a1797 auipc a5,0x1a1 + 8002b2d4: 08b78c23 sb a1,152(a5) # 801cc368 <_ZL7chr_reg> + 8002b2d8: fc1ff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2dc: 001a1797 auipc a5,0x1a1 + 8002b2e0: 08b78923 sb a1,146(a5) # 801cc36e <_ZL7chr_reg+0x6> + 8002b2e4: fb5ff06f j 8002b298 <_ZL9M222Writejh+0xec> + 8002b2e8: ff85859b addiw a1,a1,-8 + 8002b2ec: 001a1797 auipc a5,0x1a1 + 8002b2f0: 08b78323 sb a1,134(a5) # 801cc372 <_ZL8IRQCount> + 8002b2f4: f41ff06f j 8002b234 <_ZL9M222Writejh+0x88> + +000000008002b2f8 <_ZL7M222IRQv>: + 8002b2f8: 001a1797 auipc a5,0x1a1 + 8002b2fc: 0697c783 lbu a5,105(a5) # 801cc361 <_ZL4IRQa> + 8002b300: 02078263 beqz a5,8002b324 <_ZL7M222IRQv+0x2c> + 8002b304: 001a1717 auipc a4,0x1a1 + 8002b308: 06e70713 addi a4,a4,110 # 801cc372 <_ZL8IRQCount> + 8002b30c: 00074783 lbu a5,0(a4) + 8002b310: 0ed00693 li a3,237 + 8002b314: 0017879b addiw a5,a5,1 + 8002b318: 0ff7f793 andi a5,a5,255 + 8002b31c: 00f70023 sb a5,0(a4) + 8002b320: 00f6e463 bltu a3,a5,8002b328 <_ZL7M222IRQv+0x30> + 8002b324: 00008067 ret + 8002b328: 00100513 li a0,1 + 8002b32c: a0dd506f j 80000d38 <_Z14X6502_IRQBegini> + +000000008002b330 <_Z14Mapper222_InitP8CartInfo>: + 8002b330: 00000797 auipc a5,0x0 + 8002b334: e2c78793 addi a5,a5,-468 # 8002b15c <_ZL9M222Powerv> + 8002b338: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002b33c: 00000797 auipc a5,0x0 + 8002b340: fbc78793 addi a5,a5,-68 # 8002b2f8 <_ZL7M222IRQv> + 8002b344: 001a1717 auipc a4,0x1a1 + 8002b348: 36f73e23 sd a5,892(a4) # 801cc6c0 + 8002b34c: 00000797 auipc a5,0x0 + 8002b350: e0c78793 addi a5,a5,-500 # 8002b158 <_ZL12StateRestorei> + 8002b354: 00000693 li a3,0 + 8002b358: 00000613 li a2,0 + 8002b35c: fff00593 li a1,-1 + 8002b360: 00020517 auipc a0,0x20 + 8002b364: 7d050513 addi a0,a0,2000 # 8004bb30 <_ZL9StateRegs> + 8002b368: 001a1717 auipc a4,0x1a1 + 8002b36c: 2ef73823 sd a5,752(a4) # 801cc658 + 8002b370: ca1d406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002b374 <_ZL13UNLA9746Powerv>: + 8002b374: ff010113 addi sp,sp,-16 + 8002b378: 00113423 sd ra,8(sp) + 8002b37c: e45fa0ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002b380: 00813083 ld ra,8(sp) + 8002b384: 0000c5b7 lui a1,0xc + 8002b388: 00000617 auipc a2,0x0 + 8002b38c: 01860613 addi a2,a2,24 # 8002b3a0 <_ZL13UNLA9746Writejh> + 8002b390: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8002b394: 00008537 lui a0,0x8 + 8002b398: 01010113 addi sp,sp,16 + 8002b39c: 78c0806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002b3a0 <_ZL13UNLA9746Writejh>: + 8002b3a0: 0000e737 lui a4,0xe + 8002b3a4: fe010113 addi sp,sp,-32 + 8002b3a8: 00370713 addi a4,a4,3 # e003 <_entry_offset+0xe003> + 8002b3ac: 000087b7 lui a5,0x8 + 8002b3b0: 00813823 sd s0,16(sp) + 8002b3b4: 00e57533 and a0,a0,a4 + 8002b3b8: 00113c23 sd ra,24(sp) + 8002b3bc: 00913423 sd s1,8(sp) + 8002b3c0: 01213023 sd s2,0(sp) + 8002b3c4: 00178713 addi a4,a5,1 # 8001 <_entry_offset+0x8001> + 8002b3c8: 00058413 mv s0,a1 + 8002b3cc: 02e50463 beq a0,a4,8002b3f4 <_ZL13UNLA9746Writejh+0x54> + 8002b3d0: 00278713 addi a4,a5,2 + 8002b3d4: 0ee50463 beq a0,a4,8002b4bc <_ZL13UNLA9746Writejh+0x11c> + 8002b3d8: 0af50e63 beq a0,a5,8002b494 <_ZL13UNLA9746Writejh+0xf4> + 8002b3dc: 01813083 ld ra,24(sp) + 8002b3e0: 01013403 ld s0,16(sp) + 8002b3e4: 00813483 ld s1,8(sp) + 8002b3e8: 00013903 ld s2,0(sp) + 8002b3ec: 02010113 addi sp,sp,32 + 8002b3f0: 00008067 ret + 8002b3f4: 0055d593 srli a1,a1,0x5 + 8002b3f8: 00345713 srli a4,s0,0x3 + 8002b3fc: 001a1497 auipc s1,0x1a1 + 8002b400: e5448493 addi s1,s1,-428 # 801cc250 + 8002b404: 00277713 andi a4,a4,2 + 8002b408: 00145913 srli s2,s0,0x1 + 8002b40c: 0015f593 andi a1,a1,1 + 8002b410: 0004c783 lbu a5,0(s1) + 8002b414: 00e5e5b3 or a1,a1,a4 + 8002b418: 00497693 andi a3,s2,4 + 8002b41c: 00141713 slli a4,s0,0x1 + 8002b420: 00d5e5b3 or a1,a1,a3 + 8002b424: 00877713 andi a4,a4,8 + 8002b428: 02500693 li a3,37 + 8002b42c: 00e5e5b3 or a1,a1,a4 + 8002b430: 0ed78063 beq a5,a3,8002b510 <_ZL13UNLA9746Writejh+0x170> + 8002b434: 04f6e663 bltu a3,a5,8002b480 <_ZL13UNLA9746Writejh+0xe0> + 8002b438: 02300713 li a4,35 + 8002b43c: 0ce78463 beq a5,a4,8002b504 <_ZL13UNLA9746Writejh+0x164> + 8002b440: 02400713 li a4,36 + 8002b444: 00e79663 bne a5,a4,8002b450 <_ZL13UNLA9746Writejh+0xb0> + 8002b448: 0000c537 lui a0,0xc + 8002b44c: e50e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b450: 0014c783 lbu a5,1(s1) + 8002b454: 01600713 li a4,22 + 8002b458: ff87879b addiw a5,a5,-8 + 8002b45c: 0ff7f793 andi a5,a5,255 + 8002b460: f6f76ee3 bltu a4,a5,8002b3dc <_ZL13UNLA9746Writejh+0x3c> + 8002b464: 0001b717 auipc a4,0x1b + 8002b468: c0470713 addi a4,a4,-1020 # 80046068 <_ZL3lut+0x208> + 8002b46c: 00279793 slli a5,a5,0x2 + 8002b470: 00e787b3 add a5,a5,a4 + 8002b474: 0007a783 lw a5,0(a5) + 8002b478: 00e787b3 add a5,a5,a4 + 8002b47c: 00078067 jr a5 + 8002b480: 02600713 li a4,38 + 8002b484: fce796e3 bne a5,a4,8002b450 <_ZL13UNLA9746Writejh+0xb0> + 8002b488: 00008537 lui a0,0x8 + 8002b48c: e10e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b490: fc1ff06f j 8002b450 <_ZL13UNLA9746Writejh+0xb0> + 8002b494: 01813083 ld ra,24(sp) + 8002b498: 01013403 ld s0,16(sp) + 8002b49c: 001a1797 auipc a5,0x1a1 + 8002b4a0: dab78aa3 sb a1,-587(a5) # 801cc251 + 8002b4a4: 001a1797 auipc a5,0x1a1 + 8002b4a8: da078623 sb zero,-596(a5) # 801cc250 + 8002b4ac: 00813483 ld s1,8(sp) + 8002b4b0: 00013903 ld s2,0(sp) + 8002b4b4: 02010113 addi sp,sp,32 + 8002b4b8: 00008067 ret + 8002b4bc: 01813083 ld ra,24(sp) + 8002b4c0: 01013403 ld s0,16(sp) + 8002b4c4: 001a1797 auipc a5,0x1a1 + 8002b4c8: d8b78623 sb a1,-628(a5) # 801cc250 + 8002b4cc: 001a1797 auipc a5,0x1a1 + 8002b4d0: d80782a3 sb zero,-635(a5) # 801cc251 + 8002b4d4: 00813483 ld s1,8(sp) + 8002b4d8: 00013903 ld s2,0(sp) + 8002b4dc: 02010113 addi sp,sp,32 + 8002b4e0: 00008067 ret + 8002b4e4: 0044141b slliw s0,s0,0x4 + 8002b4e8: 00848123 sb s0,2(s1) + 8002b4ec: 01813083 ld ra,24(sp) + 8002b4f0: 01013403 ld s0,16(sp) + 8002b4f4: 00813483 ld s1,8(sp) + 8002b4f8: 00013903 ld s2,0(sp) + 8002b4fc: 02010113 addi sp,sp,32 + 8002b500: 00008067 ret + 8002b504: 0000e537 lui a0,0xe + 8002b508: d94e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b50c: f45ff06f j 8002b450 <_ZL13UNLA9746Writejh+0xb0> + 8002b510: 0000a537 lui a0,0xa + 8002b514: d88e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b518: f39ff06f j 8002b450 <_ZL13UNLA9746Writejh+0xb0> + 8002b51c: 0024c583 lbu a1,2(s1) + 8002b520: 00002537 lui a0,0x2 + 8002b524: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8002b528: 00b965b3 or a1,s2,a1 + 8002b52c: 01013403 ld s0,16(sp) + 8002b530: 01813083 ld ra,24(sp) + 8002b534: 00813483 ld s1,8(sp) + 8002b538: 00013903 ld s2,0(sp) + 8002b53c: 02010113 addi sp,sp,32 + 8002b540: 965e506f j 80010ea4 <_Z7setchr1jj> + 8002b544: 0024c583 lbu a1,2(s1) + 8002b548: 40000513 li a0,1024 + 8002b54c: 00b965b3 or a1,s2,a1 + 8002b550: 0015e593 ori a1,a1,1 + 8002b554: 0005859b sext.w a1,a1 + 8002b558: fd5ff06f j 8002b52c <_ZL13UNLA9746Writejh+0x18c> + 8002b55c: 00001537 lui a0,0x1 + 8002b560: 0024c583 lbu a1,2(s1) + 8002b564: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8002b568: fc1ff06f j 8002b528 <_ZL13UNLA9746Writejh+0x188> + 8002b56c: 0024c783 lbu a5,2(s1) + 8002b570: 00001537 lui a0,0x1 + 8002b574: c0050513 addi a0,a0,-1024 # c00 <_entry_offset+0xc00> + 8002b578: 00f96933 or s2,s2,a5 + 8002b57c: 00196593 ori a1,s2,1 + 8002b580: 0005859b sext.w a1,a1 + 8002b584: fa9ff06f j 8002b52c <_ZL13UNLA9746Writejh+0x18c> + 8002b588: 0024c583 lbu a1,2(s1) + 8002b58c: 00001537 lui a0,0x1 + 8002b590: f99ff06f j 8002b528 <_ZL13UNLA9746Writejh+0x188> + 8002b594: 0024c583 lbu a1,2(s1) + 8002b598: 00000513 li a0,0 + 8002b59c: f8dff06f j 8002b528 <_ZL13UNLA9746Writejh+0x188> + 8002b5a0: 00002537 lui a0,0x2 + 8002b5a4: 0024c583 lbu a1,2(s1) + 8002b5a8: c0050513 addi a0,a0,-1024 # 1c00 <_entry_offset+0x1c00> + 8002b5ac: f7dff06f j 8002b528 <_ZL13UNLA9746Writejh+0x188> + 8002b5b0: 00001537 lui a0,0x1 + 8002b5b4: 0024c583 lbu a1,2(s1) + 8002b5b8: 40050513 addi a0,a0,1024 # 1400 <_entry_offset+0x1400> + 8002b5bc: f6dff06f j 8002b528 <_ZL13UNLA9746Writejh+0x188> + +000000008002b5c0 <_Z13UNLA9746_InitP8CartInfo>: + 8002b5c0: ff010113 addi sp,sp,-16 + 8002b5c4: 00000693 li a3,0 + 8002b5c8: 10000613 li a2,256 + 8002b5cc: 08000593 li a1,128 + 8002b5d0: 00000713 li a4,0 + 8002b5d4: 00813023 sd s0,0(sp) + 8002b5d8: 00113423 sd ra,8(sp) + 8002b5dc: 00050413 mv s0,a0 + 8002b5e0: a39fb0ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002b5e4: 00000797 auipc a5,0x0 + 8002b5e8: d9078793 addi a5,a5,-624 # 8002b374 <_ZL13UNLA9746Powerv> + 8002b5ec: 00f43023 sd a5,0(s0) + 8002b5f0: 00013403 ld s0,0(sp) + 8002b5f4: 00813083 ld ra,8(sp) + 8002b5f8: 0001a697 auipc a3,0x1a + 8002b5fc: ef868693 addi a3,a3,-264 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8002b600: 00000613 li a2,0 + 8002b604: 00600593 li a1,6 + 8002b608: 001a1517 auipc a0,0x1a1 + 8002b60c: c4850513 addi a0,a0,-952 # 801cc250 + 8002b610: 01010113 addi sp,sp,16 + 8002b614: 9fdd406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002b618 <_ZL9M106Resetv>: + 8002b618: 00008067 ret + +000000008002b61c <_ZL4Syncv>: + 8002b61c: fe010113 addi sp,sp,-32 + 8002b620: 00813823 sd s0,16(sp) + 8002b624: 0009c417 auipc s0,0x9c + 8002b628: 19c40413 addi s0,s0,412 # 800c77c0 <_ZL3reg> + 8002b62c: 00044583 lbu a1,0(s0) + 8002b630: 00000513 li a0,0 + 8002b634: 00113c23 sd ra,24(sp) + 8002b638: 0fe5f593 andi a1,a1,254 + 8002b63c: 00913423 sd s1,8(sp) + 8002b640: 01213023 sd s2,0(sp) + 8002b644: 861e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b648: 00144583 lbu a1,1(s0) + 8002b64c: 40000513 li a0,1024 + 8002b650: 000014b7 lui s1,0x1 + 8002b654: 0015e593 ori a1,a1,1 + 8002b658: 84de50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b65c: 00244583 lbu a1,2(s0) + 8002b660: 80048513 addi a0,s1,-2048 # 800 <_entry_offset+0x800> + 8002b664: 00002937 lui s2,0x2 + 8002b668: 0fe5f593 andi a1,a1,254 + 8002b66c: 839e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b670: 00344583 lbu a1,3(s0) + 8002b674: c0048513 addi a0,s1,-1024 + 8002b678: 0015e593 ori a1,a1,1 + 8002b67c: 829e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b680: 00444583 lbu a1,4(s0) + 8002b684: 00001537 lui a0,0x1 + 8002b688: 81de50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b68c: 00544583 lbu a1,5(s0) + 8002b690: 40048513 addi a0,s1,1024 + 8002b694: 811e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b698: 00644583 lbu a1,6(s0) + 8002b69c: 80090513 addi a0,s2,-2048 # 1800 <_entry_offset+0x1800> + 8002b6a0: 805e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b6a4: 00744583 lbu a1,7(s0) + 8002b6a8: c0090513 addi a0,s2,-1024 + 8002b6ac: ff8e50ef jal ra,80010ea4 <_Z7setchr1jj> + 8002b6b0: 00000613 li a2,0 + 8002b6b4: 000065b7 lui a1,0x6 + 8002b6b8: 01000513 li a0,16 + 8002b6bc: a2ce50ef jal ra,800108e8 <_Z8setprg8rijj> + 8002b6c0: 00844583 lbu a1,8(s0) + 8002b6c4: 00008537 lui a0,0x8 + 8002b6c8: 00f5f593 andi a1,a1,15 + 8002b6cc: 0105e593 ori a1,a1,16 + 8002b6d0: bcce50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b6d4: 00944583 lbu a1,9(s0) + 8002b6d8: 0000a537 lui a0,0xa + 8002b6dc: 01f5f593 andi a1,a1,31 + 8002b6e0: bbce50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b6e4: 00a44583 lbu a1,10(s0) + 8002b6e8: 0000c537 lui a0,0xc + 8002b6ec: 01f5f593 andi a1,a1,31 + 8002b6f0: bace50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b6f4: 00b44583 lbu a1,11(s0) + 8002b6f8: 0000e537 lui a0,0xe + 8002b6fc: 00f5f593 andi a1,a1,15 + 8002b700: 0105e593 ori a1,a1,16 + 8002b704: b98e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b708: 00c44503 lbu a0,12(s0) + 8002b70c: 01013403 ld s0,16(sp) + 8002b710: 01813083 ld ra,24(sp) + 8002b714: 00813483 ld s1,8(sp) + 8002b718: 00013903 ld s2,0(sp) + 8002b71c: fff54513 not a0,a0 + 8002b720: 00157513 andi a0,a0,1 + 8002b724: 02010113 addi sp,sp,32 + 8002b728: 921e506f j 80011048 <_Z9setmirrori> + +000000008002b72c <_ZL12StateRestorei>: + 8002b72c: ef1ff06f j 8002b61c <_ZL4Syncv> + +000000008002b730 <_ZL9M106Closev>: + 8002b730: 001a1517 auipc a0,0x1a1 + 8002b734: c4853503 ld a0,-952(a0) # 801cc378 <_ZL4WRAM> + 8002b738: 02050263 beqz a0,8002b75c <_ZL9M106Closev+0x2c> + 8002b73c: ff010113 addi sp,sp,-16 + 8002b740: 00113423 sd ra,8(sp) + 8002b744: dfde60ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002b748: 00813083 ld ra,8(sp) + 8002b74c: 001a1797 auipc a5,0x1a1 + 8002b750: c207b623 sd zero,-980(a5) # 801cc378 <_ZL4WRAM> + 8002b754: 01010113 addi sp,sp,16 + 8002b758: 00008067 ret + 8002b75c: 001a1797 auipc a5,0x1a1 + 8002b760: c007be23 sd zero,-996(a5) # 801cc378 <_ZL4WRAM> + 8002b764: 00008067 ret + +000000008002b768 <_ZL9M106Powerv>: + 8002b768: fe010113 addi sp,sp,-32 + 8002b76c: fff00793 li a5,-1 + 8002b770: 00113c23 sd ra,24(sp) + 8002b774: 00813823 sd s0,16(sp) + 8002b778: 00913423 sd s1,8(sp) + 8002b77c: 0009c717 auipc a4,0x9c + 8002b780: 04f72623 sw a5,76(a4) # 800c77c8 <_ZL3reg+0x8> + 8002b784: 000084b7 lui s1,0x8 + 8002b788: e95ff0ef jal ra,8002b61c <_ZL4Syncv> + 8002b78c: fff48593 addi a1,s1,-1 # 7fff <_entry_offset+0x7fff> + 8002b790: fffe5617 auipc a2,0xfffe5 + 8002b794: ed060613 addi a2,a2,-304 # 80010660 <_Z6CartBRj> + 8002b798: 00006537 lui a0,0x6 + 8002b79c: 280080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002b7a0: 00010437 lui s0,0x10 + 8002b7a4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002b7a8: fffe5617 auipc a2,0xfffe5 + 8002b7ac: eb860613 addi a2,a2,-328 # 80010660 <_Z6CartBRj> + 8002b7b0: 00008537 lui a0,0x8 + 8002b7b4: 268080ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002b7b8: fff48593 addi a1,s1,-1 + 8002b7bc: fffe5617 auipc a2,0xfffe5 + 8002b7c0: ed060613 addi a2,a2,-304 # 8001068c <_Z6CartBWjh> + 8002b7c4: 00006537 lui a0,0x6 + 8002b7c8: 360080ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002b7cc: fff40593 addi a1,s0,-1 + 8002b7d0: 01013403 ld s0,16(sp) + 8002b7d4: 01813083 ld ra,24(sp) + 8002b7d8: 00813483 ld s1,8(sp) + 8002b7dc: 00000617 auipc a2,0x0 + 8002b7e0: 06460613 addi a2,a2,100 # 8002b840 <_ZL9M106Writejh> + 8002b7e4: 00008537 lui a0,0x8 + 8002b7e8: 02010113 addi sp,sp,32 + 8002b7ec: 33c0806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002b7f0 <_Z11M106CpuHooki>: + 8002b7f0: 001a1797 auipc a5,0x1a1 + 8002b7f4: b837c783 lbu a5,-1149(a5) # 801cc373 <_ZL4IRQa> + 8002b7f8: 02078063 beqz a5,8002b818 <_Z11M106CpuHooki+0x28> + 8002b7fc: 001a1717 auipc a4,0x1a1 + 8002b800: b8470713 addi a4,a4,-1148 # 801cc380 <_ZL8IRQCount> + 8002b804: 00072783 lw a5,0(a4) + 8002b808: 000106b7 lui a3,0x10 + 8002b80c: 00a787bb addw a5,a5,a0 + 8002b810: 00f72023 sw a5,0(a4) + 8002b814: 00f6e463 bltu a3,a5,8002b81c <_Z11M106CpuHooki+0x2c> + 8002b818: 00008067 ret + 8002b81c: ff010113 addi sp,sp,-16 + 8002b820: 00100513 li a0,1 + 8002b824: 00113423 sd ra,8(sp) + 8002b828: d10d50ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8002b82c: 00813083 ld ra,8(sp) + 8002b830: 001a1797 auipc a5,0x1a1 + 8002b834: b40781a3 sb zero,-1213(a5) # 801cc373 <_ZL4IRQa> + 8002b838: 01010113 addi sp,sp,16 + 8002b83c: 00008067 ret + +000000008002b840 <_ZL9M106Writejh>: + 8002b840: 00f57513 andi a0,a0,15 + 8002b844: 00e00793 li a5,14 + 8002b848: 02f50463 beq a0,a5,8002b870 <_ZL9M106Writejh+0x30> + 8002b84c: 00f00793 li a5,15 + 8002b850: 04f50e63 beq a0,a5,8002b8ac <_ZL9M106Writejh+0x6c> + 8002b854: 00d00793 li a5,13 + 8002b858: 02f50e63 beq a0,a5,8002b894 <_ZL9M106Writejh+0x54> + 8002b85c: 0009c797 auipc a5,0x9c + 8002b860: f6478793 addi a5,a5,-156 # 800c77c0 <_ZL3reg> + 8002b864: 00a78533 add a0,a5,a0 + 8002b868: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 8002b86c: db1ff06f j 8002b61c <_ZL4Syncv> + 8002b870: 001a1697 auipc a3,0x1a1 + 8002b874: b1068693 addi a3,a3,-1264 # 801cc380 <_ZL8IRQCount> + 8002b878: 0006a783 lw a5,0(a3) + 8002b87c: 00010737 lui a4,0x10 + 8002b880: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 8002b884: 00e7f7b3 and a5,a5,a4 + 8002b888: 00b7e5b3 or a1,a5,a1 + 8002b88c: 00b6a023 sw a1,0(a3) + 8002b890: 00008067 ret + 8002b894: 00100513 li a0,1 + 8002b898: 001a1797 auipc a5,0x1a1 + 8002b89c: ac078da3 sb zero,-1317(a5) # 801cc373 <_ZL4IRQa> + 8002b8a0: 001a1797 auipc a5,0x1a1 + 8002b8a4: ae07a023 sw zero,-1312(a5) # 801cc380 <_ZL8IRQCount> + 8002b8a8: ca8d506f j 80000d50 <_Z12X6502_IRQEndi> + 8002b8ac: 001a1717 auipc a4,0x1a1 + 8002b8b0: ad470713 addi a4,a4,-1324 # 801cc380 <_ZL8IRQCount> + 8002b8b4: 00074783 lbu a5,0(a4) + 8002b8b8: 0085959b slliw a1,a1,0x8 + 8002b8bc: 00b7e5b3 or a1,a5,a1 + 8002b8c0: 00100793 li a5,1 + 8002b8c4: 00b72023 sw a1,0(a4) + 8002b8c8: 001a1717 auipc a4,0x1a1 + 8002b8cc: aaf705a3 sb a5,-1365(a4) # 801cc373 <_ZL4IRQa> + 8002b8d0: 00008067 ret + +000000008002b8d4 <_Z14Mapper106_InitP8CartInfo>: + 8002b8d4: fe010113 addi sp,sp,-32 + 8002b8d8: 00113c23 sd ra,24(sp) + 8002b8dc: 00813823 sd s0,16(sp) + 8002b8e0: 00913423 sd s1,8(sp) + 8002b8e4: 00000797 auipc a5,0x0 + 8002b8e8: e8478793 addi a5,a5,-380 # 8002b768 <_ZL9M106Powerv> + 8002b8ec: 00f53023 sd a5,0(a0) + 8002b8f0: 00000797 auipc a5,0x0 + 8002b8f4: d2878793 addi a5,a5,-728 # 8002b618 <_ZL9M106Resetv> + 8002b8f8: 00f53423 sd a5,8(a0) + 8002b8fc: 00000797 auipc a5,0x0 + 8002b900: e3478793 addi a5,a5,-460 # 8002b730 <_ZL9M106Closev> + 8002b904: 00f53823 sd a5,16(a0) + 8002b908: 00000797 auipc a5,0x0 + 8002b90c: ee878793 addi a5,a5,-280 # 8002b7f0 <_Z11M106CpuHooki> + 8002b910: 001a0717 auipc a4,0x1a0 + 8002b914: 22f73823 sd a5,560(a4) # 801cbb40 + 8002b918: 00000797 auipc a5,0x0 + 8002b91c: e1478793 addi a5,a5,-492 # 8002b72c <_ZL12StateRestorei> + 8002b920: 001a1417 auipc s0,0x1a1 + 8002b924: a6440413 addi s0,s0,-1436 # 801cc384 <_ZL8WRAMSIZE> + 8002b928: 001a1717 auipc a4,0x1a1 + 8002b92c: d2f73823 sd a5,-720(a4) # 801cc658 + 8002b930: 00002537 lui a0,0x2 + 8002b934: 000027b7 lui a5,0x2 + 8002b938: 00f42023 sw a5,0(s0) + 8002b93c: b31e60ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002b940: 00042603 lw a2,0(s0) + 8002b944: 00050593 mv a1,a0 + 8002b948: 001a1497 auipc s1,0x1a1 + 8002b94c: a3048493 addi s1,s1,-1488 # 801cc378 <_ZL4WRAM> + 8002b950: 00100693 li a3,1 + 8002b954: 01000513 li a0,16 + 8002b958: 00b4b023 sd a1,0(s1) + 8002b95c: b99e40ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002b960: 00042583 lw a1,0(s0) + 8002b964: 0004b503 ld a0,0(s1) + 8002b968: 0001a697 auipc a3,0x1a + 8002b96c: 9c068693 addi a3,a3,-1600 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002b970: 00000613 li a2,0 + 8002b974: e9cd40ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002b978: 01013403 ld s0,16(sp) + 8002b97c: 01813083 ld ra,24(sp) + 8002b980: 00813483 ld s1,8(sp) + 8002b984: 00000693 li a3,0 + 8002b988: 00000613 li a2,0 + 8002b98c: fff00593 li a1,-1 + 8002b990: 00020517 auipc a0,0x20 + 8002b994: 23050513 addi a0,a0,560 # 8004bbc0 <_ZL9StateRegs> + 8002b998: 02010113 addi sp,sp,32 + 8002b99c: e74d406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002b9a0 <_ZL4Syncv>: + 8002b9a0: ff010113 addi sp,sp,-16 + 8002b9a4: 001a1597 auipc a1,0x1a1 + 8002b9a8: 9ea5c583 lbu a1,-1558(a1) # 801cc38e <_ZL4preg> + 8002b9ac: 00006537 lui a0,0x6 + 8002b9b0: 00113423 sd ra,8(sp) + 8002b9b4: 8e8e50ef jal ra,80010a9c <_Z7setprg8jj> + 8002b9b8: fff00593 li a1,-1 + 8002b9bc: 00008537 lui a0,0x8 + 8002b9c0: c54e50ef jal ra,80010e14 <_Z8setprg32jj> + 8002b9c4: 001a1517 auipc a0,0x1a1 + 8002b9c8: 9c854503 lbu a0,-1592(a0) # 801cc38c <_ZL4creg> + 8002b9cc: d38e50ef jal ra,80010f04 <_Z7setchr8j> + 8002b9d0: 00813083 ld ra,8(sp) + 8002b9d4: 001a1517 auipc a0,0x1a1 + 8002b9d8: 9b954503 lbu a0,-1607(a0) # 801cc38d <_ZL4mirr> + 8002b9dc: 01010113 addi sp,sp,16 + 8002b9e0: e68e506f j 80011048 <_Z9setmirrori> + +000000008002b9e4 <_ZL12StateRestorei>: + 8002b9e4: fbdff06f j 8002b9a0 <_ZL4Syncv> + +000000008002b9e8 <_ZL8M42Powerv>: + 8002b9e8: 001a1797 auipc a5,0x1a1 + 8002b9ec: 9a078323 sb zero,-1626(a5) # 801cc38e <_ZL4preg> + 8002b9f0: ff010113 addi sp,sp,-16 + 8002b9f4: 00100793 li a5,1 + 8002b9f8: 00113423 sd ra,8(sp) + 8002b9fc: 00813023 sd s0,0(sp) + 8002ba00: 001a1717 auipc a4,0x1a1 + 8002ba04: 98f706a3 sb a5,-1651(a4) # 801cc38d <_ZL4mirr> + 8002ba08: 00010437 lui s0,0x10 + 8002ba0c: f95ff0ef jal ra,8002b9a0 <_ZL4Syncv> + 8002ba10: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002ba14: fffe5617 auipc a2,0xfffe5 + 8002ba18: c4c60613 addi a2,a2,-948 # 80010660 <_Z6CartBRj> + 8002ba1c: 00006537 lui a0,0x6 + 8002ba20: 7fd070ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002ba24: fff40593 addi a1,s0,-1 + 8002ba28: 00013403 ld s0,0(sp) + 8002ba2c: 00813083 ld ra,8(sp) + 8002ba30: 00000617 auipc a2,0x0 + 8002ba34: 06460613 addi a2,a2,100 # 8002ba94 <_ZL8M42Writejh> + 8002ba38: 00006537 lui a0,0x6 + 8002ba3c: 01010113 addi sp,sp,16 + 8002ba40: 0e80806f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002ba44 <_ZL10M42IRQHooki>: + 8002ba44: 001a1797 auipc a5,0x1a1 + 8002ba48: 9447a783 lw a5,-1724(a5) # 801cc388 <_ZL4IRQa> + 8002ba4c: 04078063 beqz a5,8002ba8c <_ZL10M42IRQHooki+0x48> + 8002ba50: 001a1717 auipc a4,0x1a1 + 8002ba54: 94070713 addi a4,a4,-1728 # 801cc390 <_ZL8IRQCount> + 8002ba58: 00072783 lw a5,0(a4) + 8002ba5c: 000086b7 lui a3,0x8 + 8002ba60: 00a787bb addw a5,a5,a0 + 8002ba64: 00078513 mv a0,a5 + 8002ba68: 00d7e863 bltu a5,a3,8002ba78 <_ZL10M42IRQHooki+0x34> + 8002ba6c: ffff87b7 lui a5,0xffff8 + 8002ba70: 00a787bb addw a5,a5,a0 + 8002ba74: 00078513 mv a0,a5 + 8002ba78: 00a72023 sw a0,0(a4) + 8002ba7c: 00006737 lui a4,0x6 + 8002ba80: 00100513 li a0,1 + 8002ba84: 00e7e663 bltu a5,a4,8002ba90 <_ZL10M42IRQHooki+0x4c> + 8002ba88: ab0d506f j 80000d38 <_Z14X6502_IRQBegini> + 8002ba8c: 00008067 ret + 8002ba90: ac0d506f j 80000d50 <_Z12X6502_IRQEndi> + +000000008002ba94 <_ZL8M42Writejh>: + 8002ba94: 0000e7b7 lui a5,0xe + 8002ba98: 00378713 addi a4,a5,3 # e003 <_entry_offset+0xe003> + 8002ba9c: 00e57533 and a0,a0,a4 + 8002baa0: 00178713 addi a4,a5,1 + 8002baa4: 04e50e63 beq a0,a4,8002bb00 <_ZL8M42Writejh+0x6c> + 8002baa8: 02a76063 bltu a4,a0,8002bac8 <_ZL8M42Writejh+0x34> + 8002baac: 00008737 lui a4,0x8 + 8002bab0: 04e50263 beq a0,a4,8002baf4 <_ZL8M42Writejh+0x60> + 8002bab4: 06f51263 bne a0,a5,8002bb18 <_ZL8M42Writejh+0x84> + 8002bab8: 00f5f593 andi a1,a1,15 + 8002babc: 001a1797 auipc a5,0x1a1 + 8002bac0: 8cb78923 sb a1,-1838(a5) # 801cc38e <_ZL4preg> + 8002bac4: eddff06f j 8002b9a0 <_ZL4Syncv> + 8002bac8: 00278793 addi a5,a5,2 + 8002bacc: 02f51263 bne a0,a5,8002baf0 <_ZL8M42Writejh+0x5c> + 8002bad0: 0025f593 andi a1,a1,2 + 8002bad4: 001a1797 auipc a5,0x1a1 + 8002bad8: 8ab7aa23 sw a1,-1868(a5) # 801cc388 <_ZL4IRQa> + 8002badc: 00059663 bnez a1,8002bae8 <_ZL8M42Writejh+0x54> + 8002bae0: 001a1797 auipc a5,0x1a1 + 8002bae4: 8a07a823 sw zero,-1872(a5) # 801cc390 <_ZL8IRQCount> + 8002bae8: 00100513 li a0,1 + 8002baec: a64d506f j 80000d50 <_Z12X6502_IRQEndi> + 8002baf0: 00008067 ret + 8002baf4: 001a1797 auipc a5,0x1a1 + 8002baf8: 88b78c23 sb a1,-1896(a5) # 801cc38c <_ZL4creg> + 8002bafc: ea5ff06f j 8002b9a0 <_ZL4Syncv> + 8002bb00: 0035d59b srliw a1,a1,0x3 + 8002bb04: 0015c593 xori a1,a1,1 + 8002bb08: 0015f593 andi a1,a1,1 + 8002bb0c: 001a1797 auipc a5,0x1a1 + 8002bb10: 88b780a3 sb a1,-1919(a5) # 801cc38d <_ZL4mirr> + 8002bb14: e8dff06f j 8002b9a0 <_ZL4Syncv> + 8002bb18: 00008067 ret + +000000008002bb1c <_Z13Mapper42_InitP8CartInfo>: + 8002bb1c: 00000797 auipc a5,0x0 + 8002bb20: ecc78793 addi a5,a5,-308 # 8002b9e8 <_ZL8M42Powerv> + 8002bb24: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 8002bb28: 00000797 auipc a5,0x0 + 8002bb2c: f1c78793 addi a5,a5,-228 # 8002ba44 <_ZL10M42IRQHooki> + 8002bb30: 001a0717 auipc a4,0x1a0 + 8002bb34: 00f73823 sd a5,16(a4) # 801cbb40 + 8002bb38: 00000797 auipc a5,0x0 + 8002bb3c: eac78793 addi a5,a5,-340 # 8002b9e4 <_ZL12StateRestorei> + 8002bb40: 00000693 li a3,0 + 8002bb44: 00000613 li a2,0 + 8002bb48: fff00593 li a1,-1 + 8002bb4c: 00020517 auipc a0,0x20 + 8002bb50: 0d450513 addi a0,a0,212 # 8004bc20 <_ZL9StateRegs> + 8002bb54: 001a1717 auipc a4,0x1a1 + 8002bb58: b0f73223 sd a5,-1276(a4) # 801cc658 + 8002bb5c: cb4d406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002bb60 <_ZL4Syncv>: + 8002bb60: ff010113 addi sp,sp,-16 + 8002bb64: 00813023 sd s0,0(sp) + 8002bb68: 001a1417 auipc s0,0x1a1 + 8002bb6c: 82c40413 addi s0,s0,-2004 # 801cc394 <_ZL6cmdreg> + 8002bb70: 00045503 lhu a0,0(s0) + 8002bb74: 00113423 sd ra,8(sp) + 8002bb78: 40057793 andi a5,a0,1024 + 8002bb7c: 06078e63 beqz a5,8002bbf8 <_ZL4Syncv+0x98> + 8002bb80: 00200513 li a0,2 + 8002bb84: cc4e50ef jal ra,80011048 <_Z9setmirrori> + 8002bb88: 00045583 lhu a1,0(s0) + 8002bb8c: 03459713 slli a4,a1,0x34 + 8002bb90: 0005879b sext.w a5,a1 + 8002bb94: 08075263 bgez a4,8002bc18 <_ZL4Syncv+0xb8> + 8002bb98: 4037d59b sraiw a1,a5,0x3 + 8002bb9c: 0017971b slliw a4,a5,0x1 + 8002bba0: 03e77713 andi a4,a4,62 + 8002bba4: 0605f593 andi a1,a1,96 + 8002bba8: 40c7d79b sraiw a5,a5,0xc + 8002bbac: 00e5e5b3 or a1,a1,a4 + 8002bbb0: 0017f793 andi a5,a5,1 + 8002bbb4: 00f5e5b3 or a1,a1,a5 + 8002bbb8: 00008537 lui a0,0x8 + 8002bbbc: 89ce50ef jal ra,80010c58 <_Z8setprg16jj> + 8002bbc0: 00045783 lhu a5,0(s0) + 8002bbc4: 00013403 ld s0,0(sp) + 8002bbc8: 00813083 ld ra,8(sp) + 8002bbcc: 4037d59b sraiw a1,a5,0x3 + 8002bbd0: 0017971b slliw a4,a5,0x1 + 8002bbd4: 0605f593 andi a1,a1,96 + 8002bbd8: 03e77713 andi a4,a4,62 + 8002bbdc: 40c7d79b sraiw a5,a5,0xc + 8002bbe0: 00e5e5b3 or a1,a1,a4 + 8002bbe4: 0017f793 andi a5,a5,1 + 8002bbe8: 0000c537 lui a0,0xc + 8002bbec: 00f5e5b3 or a1,a1,a5 + 8002bbf0: 01010113 addi sp,sp,16 + 8002bbf4: 864e506f j 80010c58 <_Z8setprg16jj> + 8002bbf8: 00d5551b srliw a0,a0,0xd + 8002bbfc: 00154513 xori a0,a0,1 + 8002bc00: 00157513 andi a0,a0,1 + 8002bc04: c44e50ef jal ra,80011048 <_Z9setmirrori> + 8002bc08: 00045583 lhu a1,0(s0) + 8002bc0c: 03459713 slli a4,a1,0x34 + 8002bc10: 0005879b sext.w a5,a1 + 8002bc14: f80742e3 bltz a4,8002bb98 <_ZL4Syncv+0x38> + 8002bc18: 00013403 ld s0,0(sp) + 8002bc1c: 00813083 ld ra,8(sp) + 8002bc20: 4047d79b sraiw a5,a5,0x4 + 8002bc24: 0307f793 andi a5,a5,48 + 8002bc28: 01f5f593 andi a1,a1,31 + 8002bc2c: 00f5e5b3 or a1,a1,a5 + 8002bc30: 00008537 lui a0,0x8 + 8002bc34: 01010113 addi sp,sp,16 + 8002bc38: 9dce506f j 80010e14 <_Z8setprg32jj> + +000000008002bc3c <_ZL11M235Restorei>: + 8002bc3c: f25ff06f j 8002bb60 <_ZL4Syncv> + +000000008002bc40 <_ZL9M235Writejh>: + 8002bc40: 001a0797 auipc a5,0x1a0 + 8002bc44: 74a79a23 sh a0,1876(a5) # 801cc394 <_ZL6cmdreg> + 8002bc48: f19ff06f j 8002bb60 <_ZL4Syncv> + +000000008002bc4c <_ZL9M235Powerv>: + 8002bc4c: ff010113 addi sp,sp,-16 + 8002bc50: 00000513 li a0,0 + 8002bc54: 00113423 sd ra,8(sp) + 8002bc58: 00813023 sd s0,0(sp) + 8002bc5c: aa8e50ef jal ra,80010f04 <_Z7setchr8j> + 8002bc60: 00010437 lui s0,0x10 + 8002bc64: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002bc68: 00000617 auipc a2,0x0 + 8002bc6c: fd860613 addi a2,a2,-40 # 8002bc40 <_ZL9M235Writejh> + 8002bc70: 00008537 lui a0,0x8 + 8002bc74: 6b5070ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002bc78: fff40593 addi a1,s0,-1 + 8002bc7c: fffe5617 auipc a2,0xfffe5 + 8002bc80: 9e460613 addi a2,a2,-1564 # 80010660 <_Z6CartBRj> + 8002bc84: 00008537 lui a0,0x8 + 8002bc88: 595070ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002bc8c: 00013403 ld s0,0(sp) + 8002bc90: 00813083 ld ra,8(sp) + 8002bc94: 001a0797 auipc a5,0x1a0 + 8002bc98: 70079023 sh zero,1792(a5) # 801cc394 <_ZL6cmdreg> + 8002bc9c: 01010113 addi sp,sp,16 + 8002bca0: ec1ff06f j 8002bb60 <_ZL4Syncv> + +000000008002bca4 <_Z14Mapper235_InitP8CartInfo>: + 8002bca4: 00000797 auipc a5,0x0 + 8002bca8: fa878793 addi a5,a5,-88 # 8002bc4c <_ZL9M235Powerv> + 8002bcac: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002bcb0: 00000797 auipc a5,0x0 + 8002bcb4: f8c78793 addi a5,a5,-116 # 8002bc3c <_ZL11M235Restorei> + 8002bcb8: 00000693 li a3,0 + 8002bcbc: 00000613 li a2,0 + 8002bcc0: fff00593 li a1,-1 + 8002bcc4: 00020517 auipc a0,0x20 + 8002bcc8: fec50513 addi a0,a0,-20 # 8004bcb0 <_ZL9StateRegs> + 8002bccc: 001a1717 auipc a4,0x1a1 + 8002bcd0: 98f73623 sd a5,-1652(a4) # 801cc658 + 8002bcd4: b3cd406f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002bcd8 <_ZL10LatchWritejh>: + 8002bcd8: 001a0797 auipc a5,0x1a0 + 8002bcdc: 6ca79823 sh a0,1744(a5) # 801cc3a8 <_ZL6latche> + 8002bce0: 001a0317 auipc t1,0x1a0 + 8002bce4: 6c033303 ld t1,1728(t1) # 801cc3a0 <_ZL5WSync> + 8002bce8: 00030067 jr t1 + +000000008002bcec <_ZL10LatchResetv>: + 8002bcec: 001a0797 auipc a5,0x1a0 + 8002bcf0: 6aa7d783 lhu a5,1706(a5) # 801cc396 <_ZL10latcheinit> + 8002bcf4: 001a0717 auipc a4,0x1a0 + 8002bcf8: 6af71a23 sh a5,1716(a4) # 801cc3a8 <_ZL6latche> + 8002bcfc: 001a0317 auipc t1,0x1a0 + 8002bd00: 6a433303 ld t1,1700(t1) # 801cc3a0 <_ZL5WSync> + 8002bd04: 00030067 jr t1 + +000000008002bd08 <_ZL12StateRestorei>: + 8002bd08: 001a0317 auipc t1,0x1a0 + 8002bd0c: 69833303 ld t1,1688(t1) # 801cc3a0 <_ZL5WSync> + 8002bd10: 00030067 jr t1 + +000000008002bd14 <_ZL13BMCD1038Resetv>: + 8002bd14: 001a0717 auipc a4,0x1a0 + 8002bd18: 6ac70713 addi a4,a4,1708 # 801cc3c0 <_ZL9dipswitch> + 8002bd1c: 00074783 lbu a5,0(a4) + 8002bd20: 0017879b addiw a5,a5,1 + 8002bd24: 0037f793 andi a5,a5,3 + 8002bd28: 00f70023 sb a5,0(a4) + 8002bd2c: 00008067 ret + +000000008002bd30 <_ZL12BMCD1038Readj>: + 8002bd30: 001a0797 auipc a5,0x1a0 + 8002bd34: 6787d783 lhu a5,1656(a5) # 801cc3a8 <_ZL6latche> + 8002bd38: 1007f793 andi a5,a5,256 + 8002bd3c: 00078863 beqz a5,8002bd4c <_ZL12BMCD1038Readj+0x1c> + 8002bd40: 001a0517 auipc a0,0x1a0 + 8002bd44: 68054503 lbu a0,1664(a0) # 801cc3c0 <_ZL9dipswitch> + 8002bd48: 00008067 ret + 8002bd4c: 915e406f j 80010660 <_Z6CartBRj> + +000000008002bd50 <_ZL7M59Readj>: + 8002bd50: 001a0797 auipc a5,0x1a0 + 8002bd54: 6587d783 lhu a5,1624(a5) # 801cc3a8 <_ZL6latche> + 8002bd58: 1007f793 andi a5,a5,256 + 8002bd5c: 00078663 beqz a5,8002bd68 <_ZL7M59Readj+0x18> + 8002bd60: 00000513 li a0,0 + 8002bd64: 00008067 ret + 8002bd68: 8f9e406f j 80010660 <_Z6CartBRj> + +000000008002bd6c <_ZL7M92Syncv>: + 8002bd6c: fe010113 addi sp,sp,-32 + 8002bd70: 00913423 sd s1,8(sp) + 8002bd74: 00000593 li a1,0 + 8002bd78: 001a0497 auipc s1,0x1a0 + 8002bd7c: 63048493 addi s1,s1,1584 # 801cc3a8 <_ZL6latche> + 8002bd80: 00008537 lui a0,0x8 + 8002bd84: 00813823 sd s0,16(sp) + 8002bd88: 00113c23 sd ra,24(sp) + 8002bd8c: 0004c403 lbu s0,0(s1) + 8002bd90: ec9e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002bd94: 0004d583 lhu a1,0(s1) + 8002bd98: 000097b7 lui a5,0x9 + 8002bd9c: 0f047413 andi s0,s0,240 + 8002bda0: 02f5e463 bltu a1,a5,8002bdc8 <_ZL7M92Syncv+0x5c> + 8002bda4: 0d000793 li a5,208 + 8002bda8: 02f40863 beq s0,a5,8002bdd8 <_ZL7M92Syncv+0x6c> + 8002bdac: 0e000793 li a5,224 + 8002bdb0: 04f40263 beq s0,a5,8002bdf4 <_ZL7M92Syncv+0x88> + 8002bdb4: 01813083 ld ra,24(sp) + 8002bdb8: 01013403 ld s0,16(sp) + 8002bdbc: 00813483 ld s1,8(sp) + 8002bdc0: 02010113 addi sp,sp,32 + 8002bdc4: 00008067 ret + 8002bdc8: 07000793 li a5,112 + 8002bdcc: 02f40463 beq s0,a5,8002bdf4 <_ZL7M92Syncv+0x88> + 8002bdd0: 0b000793 li a5,176 + 8002bdd4: fef410e3 bne s0,a5,8002bdb4 <_ZL7M92Syncv+0x48> + 8002bdd8: 01013403 ld s0,16(sp) + 8002bddc: 01813083 ld ra,24(sp) + 8002bde0: 00813483 ld s1,8(sp) + 8002bde4: 00f5f593 andi a1,a1,15 + 8002bde8: 0000c537 lui a0,0xc + 8002bdec: 02010113 addi sp,sp,32 + 8002bdf0: e69e406f j 80010c58 <_Z8setprg16jj> + 8002bdf4: 01013403 ld s0,16(sp) + 8002bdf8: 01813083 ld ra,24(sp) + 8002bdfc: 00813483 ld s1,8(sp) + 8002be00: 00f5f513 andi a0,a1,15 + 8002be04: 02010113 addi sp,sp,32 + 8002be08: 8fce506f j 80010f04 <_Z7setchr8j> + +000000008002be0c <_ZL8M214Syncv>: + 8002be0c: ff010113 addi sp,sp,-16 + 8002be10: 00813023 sd s0,0(sp) + 8002be14: 001a0417 auipc s0,0x1a0 + 8002be18: 59440413 addi s0,s0,1428 # 801cc3a8 <_ZL6latche> + 8002be1c: 00045583 lhu a1,0(s0) + 8002be20: 00008537 lui a0,0x8 + 8002be24: 00113423 sd ra,8(sp) + 8002be28: 4025d59b sraiw a1,a1,0x2 + 8002be2c: 0035f593 andi a1,a1,3 + 8002be30: e29e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002be34: 00045583 lhu a1,0(s0) + 8002be38: 0000c537 lui a0,0xc + 8002be3c: 4025d59b sraiw a1,a1,0x2 + 8002be40: 0035f593 andi a1,a1,3 + 8002be44: e15e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002be48: 00045503 lhu a0,0(s0) + 8002be4c: 00013403 ld s0,0(sp) + 8002be50: 00813083 ld ra,8(sp) + 8002be54: 00357513 andi a0,a0,3 + 8002be58: 01010113 addi sp,sp,16 + 8002be5c: 8a8e506f j 80010f04 <_Z7setchr8j> + +000000008002be60 <_ZL8M217Syncv>: + 8002be60: ff010113 addi sp,sp,-16 + 8002be64: 00813023 sd s0,0(sp) + 8002be68: 001a0417 auipc s0,0x1a0 + 8002be6c: 54040413 addi s0,s0,1344 # 801cc3a8 <_ZL6latche> + 8002be70: 00045583 lhu a1,0(s0) + 8002be74: 00008537 lui a0,0x8 + 8002be78: 00113423 sd ra,8(sp) + 8002be7c: 4025d59b sraiw a1,a1,0x2 + 8002be80: 0035f593 andi a1,a1,3 + 8002be84: f91e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002be88: 00045503 lhu a0,0(s0) + 8002be8c: 00013403 ld s0,0(sp) + 8002be90: 00813083 ld ra,8(sp) + 8002be94: 00757513 andi a0,a0,7 + 8002be98: 01010113 addi sp,sp,16 + 8002be9c: 868e506f j 80010f04 <_Z7setchr8j> + +000000008002bea0 <_ZL12BMCD1038Syncv>: + 8002bea0: ff010113 addi sp,sp,-16 + 8002bea4: 00813023 sd s0,0(sp) + 8002bea8: 001a0417 auipc s0,0x1a0 + 8002beac: 50040413 addi s0,s0,1280 # 801cc3a8 <_ZL6latche> + 8002beb0: 00045583 lhu a1,0(s0) + 8002beb4: 00113423 sd ra,8(sp) + 8002beb8: 0805f793 andi a5,a1,128 + 8002bebc: 0005859b sext.w a1,a1 + 8002bec0: 04078a63 beqz a5,8002bf14 <_ZL12BMCD1038Syncv+0x74> + 8002bec4: 4045d59b sraiw a1,a1,0x4 + 8002bec8: 0075f593 andi a1,a1,7 + 8002becc: 00008537 lui a0,0x8 + 8002bed0: d89e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002bed4: 00045583 lhu a1,0(s0) + 8002bed8: 0000c537 lui a0,0xc + 8002bedc: 4045d59b sraiw a1,a1,0x4 + 8002bee0: 0075f593 andi a1,a1,7 + 8002bee4: d75e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002bee8: 00045503 lhu a0,0(s0) + 8002beec: 00757513 andi a0,a0,7 + 8002bef0: 814e50ef jal ra,80010f04 <_Z7setchr8j> + 8002bef4: 00045503 lhu a0,0(s0) + 8002bef8: 00013403 ld s0,0(sp) + 8002befc: 00813083 ld ra,8(sp) + 8002bf00: 00355513 srli a0,a0,0x3 + 8002bf04: 00154513 xori a0,a0,1 + 8002bf08: 00157513 andi a0,a0,1 + 8002bf0c: 01010113 addi sp,sp,16 + 8002bf10: 938e506f j 80011048 <_Z9setmirrori> + 8002bf14: 4055d59b sraiw a1,a1,0x5 + 8002bf18: 0035f593 andi a1,a1,3 + 8002bf1c: 00008537 lui a0,0x8 + 8002bf20: ef5e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002bf24: fc5ff06f j 8002bee8 <_ZL12BMCD1038Syncv+0x48> + +000000008002bf28 <_ZL12BMCGK192Syncv>: + 8002bf28: ff010113 addi sp,sp,-16 + 8002bf2c: 00813023 sd s0,0(sp) + 8002bf30: 001a0417 auipc s0,0x1a0 + 8002bf34: 47840413 addi s0,s0,1144 # 801cc3a8 <_ZL6latche> + 8002bf38: 00045583 lhu a1,0(s0) + 8002bf3c: 00113423 sd ra,8(sp) + 8002bf40: 0405f793 andi a5,a1,64 + 8002bf44: 04078863 beqz a5,8002bf94 <_ZL12BMCGK192Syncv+0x6c> + 8002bf48: 0075f593 andi a1,a1,7 + 8002bf4c: 00008537 lui a0,0x8 + 8002bf50: d09e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002bf54: 00045583 lhu a1,0(s0) + 8002bf58: 0000c537 lui a0,0xc + 8002bf5c: 0075f593 andi a1,a1,7 + 8002bf60: cf9e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002bf64: 00045503 lhu a0,0(s0) + 8002bf68: 4035551b sraiw a0,a0,0x3 + 8002bf6c: 00757513 andi a0,a0,7 + 8002bf70: f95e40ef jal ra,80010f04 <_Z7setchr8j> + 8002bf74: 00045503 lhu a0,0(s0) + 8002bf78: 00013403 ld s0,0(sp) + 8002bf7c: 00813083 ld ra,8(sp) + 8002bf80: 00755513 srli a0,a0,0x7 + 8002bf84: 00154513 xori a0,a0,1 + 8002bf88: 00157513 andi a0,a0,1 + 8002bf8c: 01010113 addi sp,sp,16 + 8002bf90: 8b8e506f j 80011048 <_Z9setmirrori> + 8002bf94: 4015d59b sraiw a1,a1,0x1 + 8002bf98: 0035f593 andi a1,a1,3 + 8002bf9c: 00008537 lui a0,0x8 + 8002bfa0: e75e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002bfa4: fc1ff06f j 8002bf64 <_ZL12BMCGK192Syncv+0x3c> + +000000008002bfa8 <_ZL7M59Syncv>: + 8002bfa8: ff010113 addi sp,sp,-16 + 8002bfac: 00813023 sd s0,0(sp) + 8002bfb0: 001a0417 auipc s0,0x1a0 + 8002bfb4: 3f840413 addi s0,s0,1016 # 801cc3a8 <_ZL6latche> + 8002bfb8: 00045583 lhu a1,0(s0) + 8002bfbc: 00008537 lui a0,0x8 + 8002bfc0: 00113423 sd ra,8(sp) + 8002bfc4: 4045d59b sraiw a1,a1,0x4 + 8002bfc8: 0075f593 andi a1,a1,7 + 8002bfcc: e49e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002bfd0: 00045503 lhu a0,0(s0) + 8002bfd4: 00757513 andi a0,a0,7 + 8002bfd8: f2de40ef jal ra,80010f04 <_Z7setchr8j> + 8002bfdc: 00045503 lhu a0,0(s0) + 8002bfe0: 00013403 ld s0,0(sp) + 8002bfe4: 00813083 ld ra,8(sp) + 8002bfe8: 4035551b sraiw a0,a0,0x3 + 8002bfec: 00157513 andi a0,a0,1 + 8002bff0: 01010113 addi sp,sp,16 + 8002bff4: 854e506f j 80011048 <_Z9setmirrori> + +000000008002bff8 <_ZL7M61Syncv>: + 8002bff8: ff010113 addi sp,sp,-16 + 8002bffc: 00813023 sd s0,0(sp) + 8002c000: 001a0417 auipc s0,0x1a0 + 8002c004: 3a840413 addi s0,s0,936 # 801cc3a8 <_ZL6latche> + 8002c008: 00045583 lhu a1,0(s0) + 8002c00c: 00113423 sd ra,8(sp) + 8002c010: 00159713 slli a4,a1,0x1 + 8002c014: 00e5c7b3 xor a5,a1,a4 + 8002c018: 0207f793 andi a5,a5,32 + 8002c01c: 06078463 beqz a5,8002c084 <_ZL7M61Syncv+0x8c> + 8002c020: 0005869b sext.w a3,a1 + 8002c024: 4046d59b sraiw a1,a3,0x4 + 8002c028: 01e77713 andi a4,a4,30 + 8002c02c: 0025f593 andi a1,a1,2 + 8002c030: 00e5e5b3 or a1,a1,a4 + 8002c034: 00008537 lui a0,0x8 + 8002c038: c21e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c03c: 00045783 lhu a5,0(s0) + 8002c040: 0000c537 lui a0,0xc + 8002c044: 0017959b slliw a1,a5,0x1 + 8002c048: 4047d79b sraiw a5,a5,0x4 + 8002c04c: 01e5f593 andi a1,a1,30 + 8002c050: 0027f793 andi a5,a5,2 + 8002c054: 00f5e5b3 or a1,a1,a5 + 8002c058: c01e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c05c: 00000513 li a0,0 + 8002c060: ea5e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c064: 00045503 lhu a0,0(s0) + 8002c068: 00013403 ld s0,0(sp) + 8002c06c: 00813083 ld ra,8(sp) + 8002c070: 00755513 srli a0,a0,0x7 + 8002c074: 00154513 xori a0,a0,1 + 8002c078: 00157513 andi a0,a0,1 + 8002c07c: 01010113 addi sp,sp,16 + 8002c080: fc9e406f j 80011048 <_Z9setmirrori> + 8002c084: 00f5f593 andi a1,a1,15 + 8002c088: 00008537 lui a0,0x8 + 8002c08c: d89e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c090: fcdff06f j 8002c05c <_ZL7M61Syncv+0x64> + +000000008002c094 <_ZL8M200Syncv>: + 8002c094: ff010113 addi sp,sp,-16 + 8002c098: 00813023 sd s0,0(sp) + 8002c09c: 001a0417 auipc s0,0x1a0 + 8002c0a0: 30c40413 addi s0,s0,780 # 801cc3a8 <_ZL6latche> + 8002c0a4: 00045583 lhu a1,0(s0) + 8002c0a8: 00008537 lui a0,0x8 + 8002c0ac: 00113423 sd ra,8(sp) + 8002c0b0: 0075f593 andi a1,a1,7 + 8002c0b4: ba5e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c0b8: 00045583 lhu a1,0(s0) + 8002c0bc: 0000c537 lui a0,0xc + 8002c0c0: 0075f593 andi a1,a1,7 + 8002c0c4: b95e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c0c8: 00045503 lhu a0,0(s0) + 8002c0cc: 00757513 andi a0,a0,7 + 8002c0d0: e35e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c0d4: 00045503 lhu a0,0(s0) + 8002c0d8: 00013403 ld s0,0(sp) + 8002c0dc: 00813083 ld ra,8(sp) + 8002c0e0: 4035551b sraiw a0,a0,0x3 + 8002c0e4: 00157513 andi a0,a0,1 + 8002c0e8: 01010113 addi sp,sp,16 + 8002c0ec: f5de406f j 80011048 <_Z9setmirrori> + +000000008002c0f0 <_ZL8M202Syncv>: + 8002c0f0: fe010113 addi sp,sp,-32 + 8002c0f4: 00913423 sd s1,8(sp) + 8002c0f8: 001a0497 auipc s1,0x1a0 + 8002c0fc: 2b04d483 lhu s1,688(s1) # 801cc3a8 <_ZL6latche> + 8002c100: 00813823 sd s0,16(sp) + 8002c104: 0014d413 srli s0,s1,0x1 + 8002c108: 00245793 srli a5,s0,0x2 + 8002c10c: 0014f713 andi a4,s1,1 + 8002c110: 01213023 sd s2,0(sp) + 8002c114: 00113c23 sd ra,24(sp) + 8002c118: 00e7f7b3 and a5,a5,a4 + 8002c11c: 00747913 andi s2,s0,7 + 8002c120: 04078463 beqz a5,8002c168 <_ZL8M202Syncv+0x78> + 8002c124: 00697593 andi a1,s2,6 + 8002c128: 00008537 lui a0,0x8 + 8002c12c: b2de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c130: 00647593 andi a1,s0,6 + 8002c134: 0015e593 ori a1,a1,1 + 8002c138: 0000c537 lui a0,0xc + 8002c13c: b1de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c140: fff4c513 not a0,s1 + 8002c144: 00157513 andi a0,a0,1 + 8002c148: f01e40ef jal ra,80011048 <_Z9setmirrori> + 8002c14c: 01013403 ld s0,16(sp) + 8002c150: 01813083 ld ra,24(sp) + 8002c154: 00813483 ld s1,8(sp) + 8002c158: 00090513 mv a0,s2 + 8002c15c: 00013903 ld s2,0(sp) + 8002c160: 02010113 addi sp,sp,32 + 8002c164: da1e406f j 80010f04 <_Z7setchr8j> + 8002c168: 00090593 mv a1,s2 + 8002c16c: 00008537 lui a0,0x8 + 8002c170: ae9e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c174: 00090593 mv a1,s2 + 8002c178: fc1ff06f j 8002c138 <_ZL8M202Syncv+0x48> + +000000008002c17c <_ZL8M204Syncv>: + 8002c17c: fe010113 addi sp,sp,-32 + 8002c180: 01213023 sd s2,0(sp) + 8002c184: 001a0917 auipc s2,0x1a0 + 8002c188: 22490913 addi s2,s2,548 # 801cc3a8 <_ZL6latche> + 8002c18c: 00813823 sd s0,16(sp) + 8002c190: 00095403 lhu s0,0(s2) + 8002c194: 00913423 sd s1,8(sp) + 8002c198: 00113c23 sd ra,24(sp) + 8002c19c: 00600793 li a5,6 + 8002c1a0: 00647493 andi s1,s0,6 + 8002c1a4: 04f48e63 beq s1,a5,8002c200 <_ZL8M204Syncv+0x84> + 8002c1a8: 00147413 andi s0,s0,1 + 8002c1ac: 0094043b addw s0,s0,s1 + 8002c1b0: 00040593 mv a1,s0 + 8002c1b4: 00008537 lui a0,0x8 + 8002c1b8: aa1e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c1bc: 00095583 lhu a1,0(s2) + 8002c1c0: 0015f593 andi a1,a1,1 + 8002c1c4: 009585b3 add a1,a1,s1 + 8002c1c8: 0000c537 lui a0,0xc + 8002c1cc: a8de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c1d0: 00040513 mv a0,s0 + 8002c1d4: d31e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c1d8: 00095503 lhu a0,0(s2) + 8002c1dc: 01013403 ld s0,16(sp) + 8002c1e0: 01813083 ld ra,24(sp) + 8002c1e4: 00813483 ld s1,8(sp) + 8002c1e8: 00013903 ld s2,0(sp) + 8002c1ec: 00455513 srli a0,a0,0x4 + 8002c1f0: 00154513 xori a0,a0,1 + 8002c1f4: 00157513 andi a0,a0,1 + 8002c1f8: 02010113 addi sp,sp,32 + 8002c1fc: e4de406f j 80011048 <_Z9setmirrori> + 8002c200: 00600593 li a1,6 + 8002c204: 00008537 lui a0,0x8 + 8002c208: a51e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c20c: 00600413 li s0,6 + 8002c210: 00100593 li a1,1 + 8002c214: fb1ff06f j 8002c1c4 <_ZL8M204Syncv+0x48> + +000000008002c218 <_ZL8M212Syncv>: + 8002c218: ff010113 addi sp,sp,-16 + 8002c21c: 00813023 sd s0,0(sp) + 8002c220: 001a0417 auipc s0,0x1a0 + 8002c224: 18840413 addi s0,s0,392 # 801cc3a8 <_ZL6latche> + 8002c228: 00045583 lhu a1,0(s0) + 8002c22c: 00113423 sd ra,8(sp) + 8002c230: 03159793 slli a5,a1,0x31 + 8002c234: 0407d063 bgez a5,8002c274 <_ZL8M212Syncv+0x5c> + 8002c238: 4015d59b sraiw a1,a1,0x1 + 8002c23c: 0035f593 andi a1,a1,3 + 8002c240: 00008537 lui a0,0x8 + 8002c244: bd1e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c248: 00045503 lhu a0,0(s0) + 8002c24c: 00757513 andi a0,a0,7 + 8002c250: cb5e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c254: 00045503 lhu a0,0(s0) + 8002c258: 00013403 ld s0,0(sp) + 8002c25c: 00813083 ld ra,8(sp) + 8002c260: 00355513 srli a0,a0,0x3 + 8002c264: 00154513 xori a0,a0,1 + 8002c268: 00157513 andi a0,a0,1 + 8002c26c: 01010113 addi sp,sp,16 + 8002c270: dd9e406f j 80011048 <_Z9setmirrori> + 8002c274: 0075f593 andi a1,a1,7 + 8002c278: 00008537 lui a0,0x8 + 8002c27c: 9dde40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c280: 00045583 lhu a1,0(s0) + 8002c284: 0000c537 lui a0,0xc + 8002c288: 0075f593 andi a1,a1,7 + 8002c28c: 9cde40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c290: fb9ff06f j 8002c248 <_ZL8M212Syncv+0x30> + +000000008002c294 <_ZL8M213Syncv>: + 8002c294: ff010113 addi sp,sp,-16 + 8002c298: 00813023 sd s0,0(sp) + 8002c29c: 001a0417 auipc s0,0x1a0 + 8002c2a0: 10c40413 addi s0,s0,268 # 801cc3a8 <_ZL6latche> + 8002c2a4: 00045583 lhu a1,0(s0) + 8002c2a8: 00113423 sd ra,8(sp) + 8002c2ac: 0405f793 andi a5,a1,64 + 8002c2b0: 04078a63 beqz a5,8002c304 <_ZL8M213Syncv+0x70> + 8002c2b4: 0075f593 andi a1,a1,7 + 8002c2b8: 00008537 lui a0,0x8 + 8002c2bc: 99de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c2c0: 00045583 lhu a1,0(s0) + 8002c2c4: 0000c537 lui a0,0xc + 8002c2c8: 0075f593 andi a1,a1,7 + 8002c2cc: 98de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c2d0: 00045503 lhu a0,0(s0) + 8002c2d4: 4035551b sraiw a0,a0,0x3 + 8002c2d8: 00757513 andi a0,a0,7 + 8002c2dc: c29e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c2e0: 00045503 lhu a0,0(s0) + 8002c2e4: 00013403 ld s0,0(sp) + 8002c2e8: 00813083 ld ra,8(sp) + 8002c2ec: 4065579b sraiw a5,a0,0x6 + 8002c2f0: 00f54533 xor a0,a0,a5 + 8002c2f4: fff54513 not a0,a0 + 8002c2f8: 00157513 andi a0,a0,1 + 8002c2fc: 01010113 addi sp,sp,16 + 8002c300: d49e406f j 80011048 <_Z9setmirrori> + 8002c304: 4015d59b sraiw a1,a1,0x1 + 8002c308: 0035f593 andi a1,a1,3 + 8002c30c: 00008537 lui a0,0x8 + 8002c310: b05e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c314: fbdff06f j 8002c2d0 <_ZL8M213Syncv+0x3c> + +000000008002c318 <_ZL8M229Syncv>: + 8002c318: ff010113 addi sp,sp,-16 + 8002c31c: 00813023 sd s0,0(sp) + 8002c320: 001a0417 auipc s0,0x1a0 + 8002c324: 08840413 addi s0,s0,136 # 801cc3a8 <_ZL6latche> + 8002c328: 00045503 lhu a0,0(s0) + 8002c32c: 00113423 sd ra,8(sp) + 8002c330: bd5e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c334: 00045583 lhu a1,0(s0) + 8002c338: 01e5f793 andi a5,a1,30 + 8002c33c: 02079863 bnez a5,8002c36c <_ZL8M229Syncv+0x54> + 8002c340: 00000593 li a1,0 + 8002c344: 00008537 lui a0,0x8 + 8002c348: acde40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c34c: 00045503 lhu a0,0(s0) + 8002c350: 00013403 ld s0,0(sp) + 8002c354: 00813083 ld ra,8(sp) + 8002c358: 00555513 srli a0,a0,0x5 + 8002c35c: 00154513 xori a0,a0,1 + 8002c360: 00157513 andi a0,a0,1 + 8002c364: 01010113 addi sp,sp,16 + 8002c368: ce1e406f j 80011048 <_Z9setmirrori> + 8002c36c: 01f5f593 andi a1,a1,31 + 8002c370: 00008537 lui a0,0x8 + 8002c374: 8e5e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c378: 00045583 lhu a1,0(s0) + 8002c37c: 0000c537 lui a0,0xc + 8002c380: 01f5f593 andi a1,a1,31 + 8002c384: 8d5e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c388: fc5ff06f j 8002c34c <_ZL8M229Syncv+0x34> + +000000008002c38c <_ZL8M231Syncv>: + 8002c38c: ff010113 addi sp,sp,-16 + 8002c390: 00000513 li a0,0 + 8002c394: 00813023 sd s0,0(sp) + 8002c398: 00113423 sd ra,8(sp) + 8002c39c: 001a0417 auipc s0,0x1a0 + 8002c3a0: 00c40413 addi s0,s0,12 # 801cc3a8 <_ZL6latche> + 8002c3a4: b61e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c3a8: 00045583 lhu a1,0(s0) + 8002c3ac: 0205f793 andi a5,a1,32 + 8002c3b0: 02078a63 beqz a5,8002c3e4 <_ZL8M231Syncv+0x58> + 8002c3b4: 4015d59b sraiw a1,a1,0x1 + 8002c3b8: 00f5f593 andi a1,a1,15 + 8002c3bc: 00008537 lui a0,0x8 + 8002c3c0: a55e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c3c4: 00045503 lhu a0,0(s0) + 8002c3c8: 00013403 ld s0,0(sp) + 8002c3cc: 00813083 ld ra,8(sp) + 8002c3d0: 00755513 srli a0,a0,0x7 + 8002c3d4: 00154513 xori a0,a0,1 + 8002c3d8: 00157513 andi a0,a0,1 + 8002c3dc: 01010113 addi sp,sp,16 + 8002c3e0: c69e406f j 80011048 <_Z9setmirrori> + 8002c3e4: 01e5f593 andi a1,a1,30 + 8002c3e8: 00008537 lui a0,0x8 + 8002c3ec: 86de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c3f0: 00045583 lhu a1,0(s0) + 8002c3f4: 0000c537 lui a0,0xc + 8002c3f8: 01e5f593 andi a1,a1,30 + 8002c3fc: 85de40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c400: fc5ff06f j 8002c3c4 <_ZL8M231Syncv+0x38> + +000000008002c404 <_ZL16BMC810544CA1Syncv>: + 8002c404: fe010113 addi sp,sp,-32 + 8002c408: 00813823 sd s0,16(sp) + 8002c40c: 001a0417 auipc s0,0x1a0 + 8002c410: f9c40413 addi s0,s0,-100 # 801cc3a8 <_ZL6latche> + 8002c414: 00045703 lhu a4,0(s0) + 8002c418: 00113c23 sd ra,24(sp) + 8002c41c: 00913423 sd s1,8(sp) + 8002c420: 0007079b sext.w a5,a4 + 8002c424: 04077713 andi a4,a4,64 + 8002c428: 04070063 beqz a4,8002c468 <_ZL16BMC810544CA1Syncv+0x64> + 8002c42c: 0077d593 srli a1,a5,0x7 + 8002c430: 00008537 lui a0,0x8 + 8002c434: 9e1e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c438: 00045503 lhu a0,0(s0) + 8002c43c: 00f57513 andi a0,a0,15 + 8002c440: ac5e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c444: 00045503 lhu a0,0(s0) + 8002c448: 01013403 ld s0,16(sp) + 8002c44c: 01813083 ld ra,24(sp) + 8002c450: 00813483 ld s1,8(sp) + 8002c454: 00455513 srli a0,a0,0x4 + 8002c458: 00154513 xori a0,a0,1 + 8002c45c: 00157513 andi a0,a0,1 + 8002c460: 02010113 addi sp,sp,32 + 8002c464: be5e406f j 80011048 <_Z9setmirrori> + 8002c468: 4077d49b sraiw s1,a5,0x7 + 8002c46c: 4057d59b sraiw a1,a5,0x5 + 8002c470: 0014949b slliw s1,s1,0x1 + 8002c474: 0015f593 andi a1,a1,1 + 8002c478: 0095e5b3 or a1,a1,s1 + 8002c47c: 0005859b sext.w a1,a1 + 8002c480: 00008537 lui a0,0x8 + 8002c484: fd4e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c488: 00045583 lhu a1,0(s0) + 8002c48c: 0000c537 lui a0,0xc + 8002c490: 4055d59b sraiw a1,a1,0x5 + 8002c494: 0015f593 andi a1,a1,1 + 8002c498: 0095e5b3 or a1,a1,s1 + 8002c49c: 0005859b sext.w a1,a1 + 8002c4a0: fb8e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c4a4: f95ff06f j 8002c438 <_ZL16BMC810544CA1Syncv+0x34> + +000000008002c4a8 <_ZL8M212Readj>: + 8002c4a8: ff010113 addi sp,sp,-16 + 8002c4ac: 00813023 sd s0,0(sp) + 8002c4b0: 00113423 sd ra,8(sp) + 8002c4b4: 00050413 mv s0,a0 + 8002c4b8: a18e40ef jal ra,800106d0 <_Z8CartBROBj> + 8002c4bc: 0000e7b7 lui a5,0xe + 8002c4c0: 01078793 addi a5,a5,16 # e010 <_entry_offset+0xe010> + 8002c4c4: 00f47433 and s0,s0,a5 + 8002c4c8: 000067b7 lui a5,0x6 + 8002c4cc: 00f41663 bne s0,a5,8002c4d8 <_ZL8M212Readj+0x30> + 8002c4d0: f8056513 ori a0,a0,-128 + 8002c4d4: 0ff57513 andi a0,a0,255 + 8002c4d8: 00813083 ld ra,8(sp) + 8002c4dc: 00013403 ld s0,0(sp) + 8002c4e0: 01010113 addi sp,sp,16 + 8002c4e4: 00008067 ret + +000000008002c4e8 <_ZL10LatchClosev>: + 8002c4e8: 001a0517 auipc a0,0x1a0 + 8002c4ec: eb053503 ld a0,-336(a0) # 801cc398 <_ZL4WRAM> + 8002c4f0: 02050263 beqz a0,8002c514 <_ZL10LatchClosev+0x2c> + 8002c4f4: ff010113 addi sp,sp,-16 + 8002c4f8: 00113423 sd ra,8(sp) + 8002c4fc: 844e60ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002c500: 00813083 ld ra,8(sp) + 8002c504: 001a0797 auipc a5,0x1a0 + 8002c508: e807ba23 sd zero,-364(a5) # 801cc398 <_ZL4WRAM> + 8002c50c: 01010113 addi sp,sp,16 + 8002c510: 00008067 ret + 8002c514: 001a0797 auipc a5,0x1a0 + 8002c518: e807b223 sd zero,-380(a5) # 801cc398 <_ZL4WRAM> + 8002c51c: 00008067 ret + +000000008002c520 <_ZL10LatchPowerv>: + 8002c520: ff010113 addi sp,sp,-16 + 8002c524: 001a0797 auipc a5,0x1a0 + 8002c528: e727d783 lhu a5,-398(a5) # 801cc396 <_ZL10latcheinit> + 8002c52c: 00813023 sd s0,0(sp) + 8002c530: 001a0717 auipc a4,0x1a0 + 8002c534: e6f71c23 sh a5,-392(a4) # 801cc3a8 <_ZL6latche> + 8002c538: 00113423 sd ra,8(sp) + 8002c53c: 001a0797 auipc a5,0x1a0 + 8002c540: e647b783 ld a5,-412(a5) # 801cc3a0 <_ZL5WSync> + 8002c544: 001a0417 auipc s0,0x1a0 + 8002c548: e5440413 addi s0,s0,-428 # 801cc398 <_ZL4WRAM> + 8002c54c: 000780e7 jalr a5 + 8002c550: 00043783 ld a5,0(s0) + 8002c554: 06078a63 beqz a5,8002c5c8 <_ZL10LatchPowerv+0xa8> + 8002c558: 000105b7 lui a1,0x10 + 8002c55c: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002c560: fffe4617 auipc a2,0xfffe4 + 8002c564: 10060613 addi a2,a2,256 # 80010660 <_Z6CartBRj> + 8002c568: 00006537 lui a0,0x6 + 8002c56c: 4b0070ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002c570: 000085b7 lui a1,0x8 + 8002c574: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8002c578: fffe4617 auipc a2,0xfffe4 + 8002c57c: 11460613 addi a2,a2,276 # 8001068c <_Z6CartBWjh> + 8002c580: 00006537 lui a0,0x6 + 8002c584: 5a4070ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002c588: 00043603 ld a2,0(s0) + 8002c58c: 001a0517 auipc a0,0x1a0 + 8002c590: e2c52503 lw a0,-468(a0) # 801cc3b8 <_ZL8WRAMSIZE> + 8002c594: 000065b7 lui a1,0x6 + 8002c598: 00a5551b srliw a0,a0,0xa + 8002c59c: a79d30ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 8002c5a0: 00013403 ld s0,0(sp) + 8002c5a4: 00813083 ld ra,8(sp) + 8002c5a8: 001a0597 auipc a1,0x1a0 + 8002c5ac: e165d583 lhu a1,-490(a1) # 801cc3be <_ZL8addrreg1> + 8002c5b0: 001a0517 auipc a0,0x1a0 + 8002c5b4: e0c55503 lhu a0,-500(a0) # 801cc3bc <_ZL8addrreg0> + 8002c5b8: fffff617 auipc a2,0xfffff + 8002c5bc: 72060613 addi a2,a2,1824 # 8002bcd8 <_ZL10LatchWritejh> + 8002c5c0: 01010113 addi sp,sp,16 + 8002c5c4: 5640706f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002c5c8: 000105b7 lui a1,0x10 + 8002c5cc: 001a0617 auipc a2,0x1a0 + 8002c5d0: de463603 ld a2,-540(a2) # 801cc3b0 <_ZL7defread> + 8002c5d4: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002c5d8: 00006537 lui a0,0x6 + 8002c5dc: 440070ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002c5e0: fc1ff06f j 8002c5a0 <_ZL10LatchPowerv+0x80> + +000000008002c5e4 <_ZL8M227Syncv>: + 8002c5e4: fd010113 addi sp,sp,-48 + 8002c5e8: 01313423 sd s3,8(sp) + 8002c5ec: 001a0997 auipc s3,0x1a0 + 8002c5f0: dbc98993 addi s3,s3,-580 # 801cc3a8 <_ZL6latche> + 8002c5f4: 0009d783 lhu a5,0(s3) + 8002c5f8: 01213823 sd s2,16(sp) + 8002c5fc: 02813023 sd s0,32(sp) + 8002c600: 0007891b sext.w s2,a5 + 8002c604: 4029541b sraiw s0,s2,0x2 + 8002c608: 4039569b sraiw a3,s2,0x3 + 8002c60c: fffff737 lui a4,0xfffff + 8002c610: 00913c23 sd s1,24(sp) + 8002c614: 01f47413 andi s0,s0,31 + 8002c618: 0206f693 andi a3,a3,32 + 8002c61c: 02113423 sd ra,40(sp) + 8002c620: 0000f637 lui a2,0xf + 8002c624: 00e7f733 and a4,a5,a4 + 8002c628: 0017f493 andi s1,a5,1 + 8002c62c: 00d46433 or s0,s0,a3 + 8002c630: 00096597 auipc a1,0x96 + 8002c634: fd05b583 ld a1,-48(a1) # 800c2600 + 8002c638: 0cc70e63 beq a4,a2,8002c714 <_ZL8M227Syncv+0x130> + 8002c63c: 00100693 li a3,1 + 8002c640: 00002637 lui a2,0x2 + 8002c644: 00000513 li a0,0 + 8002c648: f7de30ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002c64c: 0009d783 lhu a5,0(s3) + 8002c650: 0807f793 andi a5,a5,128 + 8002c654: 06078263 beqz a5,8002c6b8 <_ZL8M227Syncv+0xd4> + 8002c658: 0a049663 bnez s1,8002c704 <_ZL8M227Syncv+0x120> + 8002c65c: 00040593 mv a1,s0 + 8002c660: 00008537 lui a0,0x8 + 8002c664: df4e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c668: 00040593 mv a1,s0 + 8002c66c: 0000c537 lui a0,0xc + 8002c670: de8e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c674: 0009d503 lhu a0,0(s3) + 8002c678: 00155513 srli a0,a0,0x1 + 8002c67c: 00154513 xori a0,a0,1 + 8002c680: 00157513 andi a0,a0,1 + 8002c684: 9c5e40ef jal ra,80011048 <_Z9setmirrori> + 8002c688: 00000513 li a0,0 + 8002c68c: 879e40ef jal ra,80010f04 <_Z7setchr8j> + 8002c690: 02013403 ld s0,32(sp) + 8002c694: 02813083 ld ra,40(sp) + 8002c698: 01813483 ld s1,24(sp) + 8002c69c: 01013903 ld s2,16(sp) + 8002c6a0: 00813983 ld s3,8(sp) + 8002c6a4: 00000613 li a2,0 + 8002c6a8: 000065b7 lui a1,0x6 + 8002c6ac: 01000513 li a0,16 + 8002c6b0: 03010113 addi sp,sp,48 + 8002c6b4: a34e406f j 800108e8 <_Z8setprg8rijj> + 8002c6b8: 4099591b sraiw s2,s2,0x9 + 8002c6bc: 00197913 andi s2,s2,1 + 8002c6c0: 02048263 beqz s1,8002c6e4 <_ZL8M227Syncv+0x100> + 8002c6c4: 03e47593 andi a1,s0,62 + 8002c6c8: 00008537 lui a0,0x8 + 8002c6cc: 02090263 beqz s2,8002c6f0 <_ZL8M227Syncv+0x10c> + 8002c6d0: d88e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c6d4: 00746593 ori a1,s0,7 + 8002c6d8: 0000c537 lui a0,0xc + 8002c6dc: d7ce40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c6e0: f95ff06f j 8002c674 <_ZL8M227Syncv+0x90> + 8002c6e4: 00040593 mv a1,s0 + 8002c6e8: 00008537 lui a0,0x8 + 8002c6ec: fe0912e3 bnez s2,8002c6d0 <_ZL8M227Syncv+0xec> + 8002c6f0: d68e40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c6f4: 03847593 andi a1,s0,56 + 8002c6f8: 0000c537 lui a0,0xc + 8002c6fc: d5ce40ef jal ra,80010c58 <_Z8setprg16jj> + 8002c700: f75ff06f j 8002c674 <_ZL8M227Syncv+0x90> + 8002c704: 00145593 srli a1,s0,0x1 + 8002c708: 00008537 lui a0,0x8 + 8002c70c: f08e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c710: f65ff06f j 8002c674 <_ZL8M227Syncv+0x90> + 8002c714: 00000693 li a3,0 + 8002c718: 00002637 lui a2,0x2 + 8002c71c: 00000513 li a0,0 + 8002c720: ea5e30ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002c724: f29ff06f j 8002c64c <_ZL8M227Syncv+0x68> + +000000008002c728 <_ZL8M242Syncv>: + 8002c728: ff010113 addi sp,sp,-16 + 8002c72c: 00000513 li a0,0 + 8002c730: 00113423 sd ra,8(sp) + 8002c734: 00813023 sd s0,0(sp) + 8002c738: fcce40ef jal ra,80010f04 <_Z7setchr8j> + 8002c73c: 00000613 li a2,0 + 8002c740: 000065b7 lui a1,0x6 + 8002c744: 01000513 li a0,16 + 8002c748: 9a0e40ef jal ra,800108e8 <_Z8setprg8rijj> + 8002c74c: 001a0417 auipc s0,0x1a0 + 8002c750: c5c40413 addi s0,s0,-932 # 801cc3a8 <_ZL6latche> + 8002c754: 00045583 lhu a1,0(s0) + 8002c758: 00008537 lui a0,0x8 + 8002c75c: 4035d59b sraiw a1,a1,0x3 + 8002c760: 00f5f593 andi a1,a1,15 + 8002c764: eb0e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c768: 00045503 lhu a0,0(s0) + 8002c76c: 00013403 ld s0,0(sp) + 8002c770: 00813083 ld ra,8(sp) + 8002c774: 00155513 srli a0,a0,0x1 + 8002c778: 00154513 xori a0,a0,1 + 8002c77c: 00157513 andi a0,a0,1 + 8002c780: 01010113 addi sp,sp,16 + 8002c784: 8c5e406f j 80011048 <_Z9setmirrori> + +000000008002c788 <_ZL8M201Syncv>: + 8002c788: ff010113 addi sp,sp,-16 + 8002c78c: 00813023 sd s0,0(sp) + 8002c790: 001a0417 auipc s0,0x1a0 + 8002c794: c1840413 addi s0,s0,-1000 # 801cc3a8 <_ZL6latche> + 8002c798: 00045583 lhu a1,0(s0) + 8002c79c: 00113423 sd ra,8(sp) + 8002c7a0: 00008537 lui a0,0x8 + 8002c7a4: 0085f793 andi a5,a1,8 + 8002c7a8: 02078263 beqz a5,8002c7cc <_ZL8M201Syncv+0x44> + 8002c7ac: 0035f593 andi a1,a1,3 + 8002c7b0: e64e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c7b4: 00045503 lhu a0,0(s0) + 8002c7b8: 00013403 ld s0,0(sp) + 8002c7bc: 00813083 ld ra,8(sp) + 8002c7c0: 00357513 andi a0,a0,3 + 8002c7c4: 01010113 addi sp,sp,16 + 8002c7c8: f3ce406f j 80010f04 <_Z7setchr8j> + 8002c7cc: 00000593 li a1,0 + 8002c7d0: e44e40ef jal ra,80010e14 <_Z8setprg32jj> + 8002c7d4: 00013403 ld s0,0(sp) + 8002c7d8: 00813083 ld ra,8(sp) + 8002c7dc: 00000513 li a0,0 + 8002c7e0: 01010113 addi sp,sp,16 + 8002c7e4: f20e406f j 80010f04 <_Z7setchr8j> + +000000008002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0>: + 8002c7e8: 001a0797 auipc a5,0x1a0 + 8002c7ec: bad79723 sh a3,-1106(a5) # 801cc396 <_ZL10latcheinit> + 8002c7f0: ffff87b7 lui a5,0xffff8 + 8002c7f4: fe010113 addi sp,sp,-32 + 8002c7f8: 001a0697 auipc a3,0x1a0 + 8002c7fc: bcf69223 sh a5,-1084(a3) # 801cc3bc <_ZL8addrreg0> + 8002c800: fff00793 li a5,-1 + 8002c804: 00813823 sd s0,16(sp) + 8002c808: 001a0697 auipc a3,0x1a0 + 8002c80c: baf69b23 sh a5,-1098(a3) # 801cc3be <_ZL8addrreg1> + 8002c810: 00113c23 sd ra,24(sp) + 8002c814: 00913423 sd s1,8(sp) + 8002c818: 01213023 sd s2,0(sp) + 8002c81c: 001a0797 auipc a5,0x1a0 + 8002c820: b8b7b223 sd a1,-1148(a5) # 801cc3a0 <_ZL5WSync> + 8002c824: 00050413 mv s0,a0 + 8002c828: 0c060c63 beqz a2,8002c900 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0+0x118> + 8002c82c: 001a0797 auipc a5,0x1a0 + 8002c830: b8c7b223 sd a2,-1148(a5) # 801cc3b0 <_ZL7defread> + 8002c834: 00000797 auipc a5,0x0 + 8002c838: cec78793 addi a5,a5,-788 # 8002c520 <_ZL10LatchPowerv> + 8002c83c: 00f43023 sd a5,0(s0) + 8002c840: fffff797 auipc a5,0xfffff + 8002c844: 4ac78793 addi a5,a5,1196 # 8002bcec <_ZL10LatchResetv> + 8002c848: 00f43423 sd a5,8(s0) + 8002c84c: 00000797 auipc a5,0x0 + 8002c850: c9c78793 addi a5,a5,-868 # 8002c4e8 <_ZL10LatchClosev> + 8002c854: 00f43823 sd a5,16(s0) + 8002c858: 04071263 bnez a4,8002c89c <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0+0xb4> + 8002c85c: 01013403 ld s0,16(sp) + 8002c860: 01813083 ld ra,24(sp) + 8002c864: 00813483 ld s1,8(sp) + 8002c868: 00013903 ld s2,0(sp) + 8002c86c: fffff797 auipc a5,0xfffff + 8002c870: 49c78793 addi a5,a5,1180 # 8002bd08 <_ZL12StateRestorei> + 8002c874: 001a0717 auipc a4,0x1a0 + 8002c878: def73223 sd a5,-540(a4) # 801cc658 + 8002c87c: 00019697 auipc a3,0x19 + 8002c880: aec68693 addi a3,a3,-1300 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 8002c884: 00000613 li a2,0 + 8002c888: 00200593 li a1,2 + 8002c88c: 001a0517 auipc a0,0x1a0 + 8002c890: b1c50513 addi a0,a0,-1252 # 801cc3a8 <_ZL6latche> + 8002c894: 02010113 addi sp,sp,32 + 8002c898: f78d306f j 80000010 <_Z10AddExStatePvjiPKc> + 8002c89c: 000027b7 lui a5,0x2 + 8002c8a0: 001a0497 auipc s1,0x1a0 + 8002c8a4: b1848493 addi s1,s1,-1256 # 801cc3b8 <_ZL8WRAMSIZE> + 8002c8a8: 00002537 lui a0,0x2 + 8002c8ac: 00f4a023 sw a5,0(s1) + 8002c8b0: bbde50ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002c8b4: 0004a603 lw a2,0(s1) + 8002c8b8: 00050593 mv a1,a0 + 8002c8bc: 001a0917 auipc s2,0x1a0 + 8002c8c0: adc90913 addi s2,s2,-1316 # 801cc398 <_ZL4WRAM> + 8002c8c4: 01000513 li a0,16 + 8002c8c8: 00100693 li a3,1 + 8002c8cc: 00b93023 sd a1,0(s2) + 8002c8d0: c25e30ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002c8d4: 04c42783 lw a5,76(s0) + 8002c8d8: 00093503 ld a0,0(s2) + 8002c8dc: 0004a583 lw a1,0(s1) + 8002c8e0: 00078663 beqz a5,8002c8ec <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0+0x104> + 8002c8e4: 00a43c23 sd a0,24(s0) + 8002c8e8: 02b42c23 sw a1,56(s0) + 8002c8ec: 00019697 auipc a3,0x19 + 8002c8f0: a3c68693 addi a3,a3,-1476 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002c8f4: 00000613 li a2,0 + 8002c8f8: f18d30ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002c8fc: f61ff06f j 8002c85c <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0+0x74> + 8002c900: fffe4797 auipc a5,0xfffe4 + 8002c904: dd078793 addi a5,a5,-560 # 800106d0 <_Z8CartBROBj> + 8002c908: 001a0697 auipc a3,0x1a0 + 8002c90c: aaf6b423 sd a5,-1368(a3) # 801cc3b0 <_ZL7defread> + 8002c910: f25ff06f j 8002c834 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0+0x4c> + +000000008002c914 <_Z13BMCD1038_InitP8CartInfo>: + 8002c914: ff010113 addi sp,sp,-16 + 8002c918: 00000693 li a3,0 + 8002c91c: fffff617 auipc a2,0xfffff + 8002c920: 41460613 addi a2,a2,1044 # 8002bd30 <_ZL12BMCD1038Readj> + 8002c924: fffff597 auipc a1,0xfffff + 8002c928: 57c58593 addi a1,a1,1404 # 8002bea0 <_ZL12BMCD1038Syncv> + 8002c92c: 00000713 li a4,0 + 8002c930: 00813023 sd s0,0(sp) + 8002c934: 00113423 sd ra,8(sp) + 8002c938: 00050413 mv s0,a0 + 8002c93c: eadff0ef jal ra,8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + 8002c940: fffff797 auipc a5,0xfffff + 8002c944: 3d478793 addi a5,a5,980 # 8002bd14 <_ZL13BMCD1038Resetv> + 8002c948: 00f43423 sd a5,8(s0) + 8002c94c: 00013403 ld s0,0(sp) + 8002c950: 00813083 ld ra,8(sp) + 8002c954: 00019697 auipc a3,0x19 + 8002c958: 77468693 addi a3,a3,1908 # 800460c8 <_ZL3lut+0x268> + 8002c95c: 00000613 li a2,0 + 8002c960: 00100593 li a1,1 + 8002c964: 001a0517 auipc a0,0x1a0 + 8002c968: a5c50513 addi a0,a0,-1444 # 801cc3c0 <_ZL9dipswitch> + 8002c96c: 01010113 addi sp,sp,16 + 8002c970: ea0d306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002c974 <_Z13BMCGK192_InitP8CartInfo>: + 8002c974: 00000713 li a4,0 + 8002c978: 00000693 li a3,0 + 8002c97c: 00000613 li a2,0 + 8002c980: fffff597 auipc a1,0xfffff + 8002c984: 5a858593 addi a1,a1,1448 # 8002bf28 <_ZL12BMCGK192Syncv> + 8002c988: e61ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002c98c <_Z13Mapper59_InitP8CartInfo>: + 8002c98c: 00000713 li a4,0 + 8002c990: 00000693 li a3,0 + 8002c994: fffff617 auipc a2,0xfffff + 8002c998: 3bc60613 addi a2,a2,956 # 8002bd50 <_ZL7M59Readj> + 8002c99c: fffff597 auipc a1,0xfffff + 8002c9a0: 60c58593 addi a1,a1,1548 # 8002bfa8 <_ZL7M59Syncv> + 8002c9a4: e45ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002c9a8 <_Z13Mapper61_InitP8CartInfo>: + 8002c9a8: 00000713 li a4,0 + 8002c9ac: 00000693 li a3,0 + 8002c9b0: 00000613 li a2,0 + 8002c9b4: fffff597 auipc a1,0xfffff + 8002c9b8: 64458593 addi a1,a1,1604 # 8002bff8 <_ZL7M61Syncv> + 8002c9bc: e2dff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002c9c0 <_Z13Mapper92_InitP8CartInfo>: + 8002c9c0: 000086b7 lui a3,0x8 + 8002c9c4: 00000713 li a4,0 + 8002c9c8: 0b068693 addi a3,a3,176 # 80b0 <_entry_offset+0x80b0> + 8002c9cc: 00000613 li a2,0 + 8002c9d0: fffff597 auipc a1,0xfffff + 8002c9d4: 39c58593 addi a1,a1,924 # 8002bd6c <_ZL7M92Syncv> + 8002c9d8: e11ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002c9dc <_Z14Mapper200_InitP8CartInfo>: + 8002c9dc: 000106b7 lui a3,0x10 + 8002c9e0: 00000713 li a4,0 + 8002c9e4: fff68693 addi a3,a3,-1 # ffff <_entry_offset+0xffff> + 8002c9e8: 00000613 li a2,0 + 8002c9ec: fffff597 auipc a1,0xfffff + 8002c9f0: 6a858593 addi a1,a1,1704 # 8002c094 <_ZL8M200Syncv> + 8002c9f4: df5ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002c9f8 <_Z14Mapper201_InitP8CartInfo>: + 8002c9f8: 000106b7 lui a3,0x10 + 8002c9fc: 00000713 li a4,0 + 8002ca00: fff68693 addi a3,a3,-1 # ffff <_entry_offset+0xffff> + 8002ca04: 00000613 li a2,0 + 8002ca08: 00000597 auipc a1,0x0 + 8002ca0c: d8058593 addi a1,a1,-640 # 8002c788 <_ZL8M201Syncv> + 8002ca10: dd9ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca14 <_Z14Mapper202_InitP8CartInfo>: + 8002ca14: 00000713 li a4,0 + 8002ca18: 00000693 li a3,0 + 8002ca1c: 00000613 li a2,0 + 8002ca20: fffff597 auipc a1,0xfffff + 8002ca24: 6d058593 addi a1,a1,1744 # 8002c0f0 <_ZL8M202Syncv> + 8002ca28: dc1ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca2c <_Z14Mapper204_InitP8CartInfo>: + 8002ca2c: 000106b7 lui a3,0x10 + 8002ca30: 00000713 li a4,0 + 8002ca34: fff68693 addi a3,a3,-1 # ffff <_entry_offset+0xffff> + 8002ca38: 00000613 li a2,0 + 8002ca3c: fffff597 auipc a1,0xfffff + 8002ca40: 74058593 addi a1,a1,1856 # 8002c17c <_ZL8M204Syncv> + 8002ca44: da5ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca48 <_Z14Mapper212_InitP8CartInfo>: + 8002ca48: 00000713 li a4,0 + 8002ca4c: 00000693 li a3,0 + 8002ca50: 00000617 auipc a2,0x0 + 8002ca54: a5860613 addi a2,a2,-1448 # 8002c4a8 <_ZL8M212Readj> + 8002ca58: fffff597 auipc a1,0xfffff + 8002ca5c: 7c058593 addi a1,a1,1984 # 8002c218 <_ZL8M212Syncv> + 8002ca60: d89ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca64 <_Z14Mapper213_InitP8CartInfo>: + 8002ca64: 00000713 li a4,0 + 8002ca68: 00000693 li a3,0 + 8002ca6c: 00000613 li a2,0 + 8002ca70: 00000597 auipc a1,0x0 + 8002ca74: 82458593 addi a1,a1,-2012 # 8002c294 <_ZL8M213Syncv> + 8002ca78: d71ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca7c <_Z14Mapper214_InitP8CartInfo>: + 8002ca7c: 00000713 li a4,0 + 8002ca80: 00000693 li a3,0 + 8002ca84: 00000613 li a2,0 + 8002ca88: fffff597 auipc a1,0xfffff + 8002ca8c: 38458593 addi a1,a1,900 # 8002be0c <_ZL8M214Syncv> + 8002ca90: d59ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002ca94 <_Z14Mapper217_InitP8CartInfo>: + 8002ca94: 00000713 li a4,0 + 8002ca98: 00000693 li a3,0 + 8002ca9c: 00000613 li a2,0 + 8002caa0: fffff597 auipc a1,0xfffff + 8002caa4: 3c058593 addi a1,a1,960 # 8002be60 <_ZL8M217Syncv> + 8002caa8: d41ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002caac <_Z14Mapper227_InitP8CartInfo>: + 8002caac: 00100713 li a4,1 + 8002cab0: 00000693 li a3,0 + 8002cab4: 00000613 li a2,0 + 8002cab8: 00000597 auipc a1,0x0 + 8002cabc: b2c58593 addi a1,a1,-1236 # 8002c5e4 <_ZL8M227Syncv> + 8002cac0: d29ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002cac4 <_Z14Mapper229_InitP8CartInfo>: + 8002cac4: 00000713 li a4,0 + 8002cac8: 00000693 li a3,0 + 8002cacc: 00000613 li a2,0 + 8002cad0: 00000597 auipc a1,0x0 + 8002cad4: 84858593 addi a1,a1,-1976 # 8002c318 <_ZL8M229Syncv> + 8002cad8: d11ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002cadc <_Z14Mapper231_InitP8CartInfo>: + 8002cadc: 00000713 li a4,0 + 8002cae0: 00000693 li a3,0 + 8002cae4: 00000613 li a2,0 + 8002cae8: 00000597 auipc a1,0x0 + 8002caec: 8a458593 addi a1,a1,-1884 # 8002c38c <_ZL8M231Syncv> + 8002caf0: cf9ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002caf4 <_Z14Mapper242_InitP8CartInfo>: + 8002caf4: 00100713 li a4,1 + 8002caf8: 00000693 li a3,0 + 8002cafc: 00000613 li a2,0 + 8002cb00: 00000597 auipc a1,0x0 + 8002cb04: c2858593 addi a1,a1,-984 # 8002c728 <_ZL8M242Syncv> + 8002cb08: ce1ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002cb0c <_Z17BMC810544CA1_InitP8CartInfo>: + 8002cb0c: 00000713 li a4,0 + 8002cb10: 00000693 li a3,0 + 8002cb14: 00000613 li a2,0 + 8002cb18: 00000597 auipc a1,0x0 + 8002cb1c: 8ec58593 addi a1,a1,-1812 # 8002c404 <_ZL16BMC810544CA1Syncv> + 8002cb20: cc9ff06f j 8002c7e8 <_ZL10Latch_InitP8CartInfoPFvvEPFhjEttth.constprop.0> + +000000008002cb24 <_ZL4Syncv>: + 8002cb24: fd010113 addi sp,sp,-48 + 8002cb28: 01313423 sd s3,8(sp) + 8002cb2c: 001a0997 auipc s3,0x1a0 + 8002cb30: 8ac98993 addi s3,s3,-1876 # 801cc3d8 <_ZL6prgreg> + 8002cb34: 0009c583 lbu a1,0(s3) + 8002cb38: 00008537 lui a0,0x8 + 8002cb3c: 02113423 sd ra,40(sp) + 8002cb40: 02813023 sd s0,32(sp) + 8002cb44: 00913c23 sd s1,24(sp) + 8002cb48: 01213823 sd s2,16(sp) + 8002cb4c: f51e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002cb50: 0019c583 lbu a1,1(s3) + 8002cb54: 0000a537 lui a0,0xa + 8002cb58: 001a0497 auipc s1,0x1a0 + 8002cb5c: 87048493 addi s1,s1,-1936 # 801cc3c8 <_ZL6chrreg> + 8002cb60: f3de30ef jal ra,80010a9c <_Z7setprg8jj> + 8002cb64: 0029c583 lbu a1,2(s3) + 8002cb68: 0000c537 lui a0,0xc + 8002cb6c: 00000413 li s0,0 + 8002cb70: f2de30ef jal ra,80010a9c <_Z7setprg8jj> + 8002cb74: 0039c583 lbu a1,3(s3) + 8002cb78: 0000e537 lui a0,0xe + 8002cb7c: 00002937 lui s2,0x2 + 8002cb80: f1de30ef jal ra,80010a9c <_Z7setprg8jj> + 8002cb84: 0004c583 lbu a1,0(s1) + 8002cb88: 00040513 mv a0,s0 + 8002cb8c: 4004041b addiw s0,s0,1024 + 8002cb90: b14e40ef jal ra,80010ea4 <_Z7setchr1jj> + 8002cb94: 00148493 addi s1,s1,1 + 8002cb98: ff2416e3 bne s0,s2,8002cb84 <_ZL4Syncv+0x60> + 8002cb9c: 02013403 ld s0,32(sp) + 8002cba0: 02813083 ld ra,40(sp) + 8002cba4: 01813483 ld s1,24(sp) + 8002cba8: 01013903 ld s2,16(sp) + 8002cbac: 00813983 ld s3,8(sp) + 8002cbb0: 001a0517 auipc a0,0x1a0 + 8002cbb4: 82054503 lbu a0,-2016(a0) # 801cc3d0 <_ZL6mirror> + 8002cbb8: 00154513 xori a0,a0,1 + 8002cbbc: 03010113 addi sp,sp,48 + 8002cbc0: c88e406f j 80011048 <_Z9setmirrori> + +000000008002cbc4 <_ZL12StateRestorei>: + 8002cbc4: f61ff06f j 8002cb24 <_ZL4Syncv> + +000000008002cbc8 <_ZL9M117Powerv>: + 8002cbc8: ffff07b7 lui a5,0xffff0 + 8002cbcc: ff010113 addi sp,sp,-16 + 8002cbd0: dfc7879b addiw a5,a5,-516 + 8002cbd4: 00113423 sd ra,8(sp) + 8002cbd8: 00813023 sd s0,0(sp) + 8002cbdc: 0019f717 auipc a4,0x19f + 8002cbe0: 7ef72e23 sw a5,2044(a4) # 801cc3d8 <_ZL6prgreg> + 8002cbe4: 00010437 lui s0,0x10 + 8002cbe8: f3dff0ef jal ra,8002cb24 <_ZL4Syncv> + 8002cbec: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002cbf0: fffe4617 auipc a2,0xfffe4 + 8002cbf4: a7060613 addi a2,a2,-1424 # 80010660 <_Z6CartBRj> + 8002cbf8: 00008537 lui a0,0x8 + 8002cbfc: 621060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002cc00: fff40593 addi a1,s0,-1 + 8002cc04: 00013403 ld s0,0(sp) + 8002cc08: 00813083 ld ra,8(sp) + 8002cc0c: 00000617 auipc a2,0x0 + 8002cc10: 05c60613 addi a2,a2,92 # 8002cc68 <_ZL9M117Writejh> + 8002cc14: 00008537 lui a0,0x8 + 8002cc18: 01010113 addi sp,sp,16 + 8002cc1c: 70d0606f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002cc20 <_ZL11M117IRQHookv>: + 8002cc20: 0019f797 auipc a5,0x19f + 8002cc24: 7a178793 addi a5,a5,1953 # 801cc3c1 <_ZL4IRQa> + 8002cc28: 0007c683 lbu a3,0(a5) + 8002cc2c: 00300713 li a4,3 + 8002cc30: 00e68463 beq a3,a4,8002cc38 <_ZL11M117IRQHookv+0x18> + 8002cc34: 00008067 ret + 8002cc38: 0019f697 auipc a3,0x19f + 8002cc3c: 7a468693 addi a3,a3,1956 # 801cc3dc <_ZL8IRQCount> + 8002cc40: 0006c703 lbu a4,0(a3) + 8002cc44: fe0708e3 beqz a4,8002cc34 <_ZL11M117IRQHookv+0x14> + 8002cc48: fff7071b addiw a4,a4,-1 + 8002cc4c: 0ff77713 andi a4,a4,255 + 8002cc50: 00e68023 sb a4,0(a3) + 8002cc54: fe0710e3 bnez a4,8002cc34 <_ZL11M117IRQHookv+0x14> + 8002cc58: 00100713 li a4,1 + 8002cc5c: 00100513 li a0,1 + 8002cc60: 00e78023 sb a4,0(a5) + 8002cc64: 8d4d406f j 80000d38 <_Z14X6502_IRQBegini> + +000000008002cc68 <_ZL9M117Writejh>: + 8002cc68: 000087b7 lui a5,0x8 + 8002cc6c: 00378793 addi a5,a5,3 # 8003 <_entry_offset+0x8003> + 8002cc70: 08a7f463 bgeu a5,a0,8002ccf8 <_ZL9M117Writejh+0x90> + 8002cc74: ffff67b7 lui a5,0xffff6 + 8002cc78: 00a787bb addw a5,a5,a0 + 8002cc7c: 00700713 li a4,7 + 8002cc80: 02f77663 bgeu a4,a5,8002ccac <_ZL9M117Writejh+0x44> + 8002cc84: 0000c7b7 lui a5,0xc + 8002cc88: 00378713 addi a4,a5,3 # c003 <_entry_offset+0xc003> + 8002cc8c: 08e50263 beq a0,a4,8002cd10 <_ZL9M117Writejh+0xa8> + 8002cc90: 02a76a63 bltu a4,a0,8002ccc4 <_ZL9M117Writejh+0x5c> + 8002cc94: 00178713 addi a4,a5,1 + 8002cc98: 0ae50863 beq a0,a4,8002cd48 <_ZL9M117Writejh+0xe0> + 8002cc9c: 00278793 addi a5,a5,2 + 8002cca0: 0af51c63 bne a0,a5,8002cd58 <_ZL9M117Writejh+0xf0> + 8002cca4: 00100513 li a0,1 + 8002cca8: 8a8d406f j 80000d50 <_Z12X6502_IRQEndi> + 8002ccac: 00757513 andi a0,a0,7 + 8002ccb0: 0019f797 auipc a5,0x19f + 8002ccb4: 71878793 addi a5,a5,1816 # 801cc3c8 <_ZL6chrreg> + 8002ccb8: 00a78533 add a0,a5,a0 + 8002ccbc: 00b50023 sb a1,0(a0) # 8000 <_entry_offset+0x8000> + 8002ccc0: e65ff06f j 8002cb24 <_ZL4Syncv> + 8002ccc4: 0000d7b7 lui a5,0xd + 8002ccc8: 06f50863 beq a0,a5,8002cd38 <_ZL9M117Writejh+0xd0> + 8002cccc: 0000e7b7 lui a5,0xe + 8002ccd0: 08f51263 bne a0,a5,8002cd54 <_ZL9M117Writejh+0xec> + 8002ccd4: 0019f717 auipc a4,0x19f + 8002ccd8: 6ed70713 addi a4,a4,1773 # 801cc3c1 <_ZL4IRQa> + 8002ccdc: 00074783 lbu a5,0(a4) + 8002cce0: 0015f593 andi a1,a1,1 + 8002cce4: 00100513 li a0,1 + 8002cce8: ffe7f793 andi a5,a5,-2 + 8002ccec: 00b7e5b3 or a1,a5,a1 + 8002ccf0: 00b70023 sb a1,0(a4) + 8002ccf4: 85cd406f j 80000d50 <_Z12X6502_IRQEndi> + 8002ccf8: 00357513 andi a0,a0,3 + 8002ccfc: 0019f797 auipc a5,0x19f + 8002cd00: 6dc78793 addi a5,a5,1756 # 801cc3d8 <_ZL6prgreg> + 8002cd04: 00a78533 add a0,a5,a0 + 8002cd08: 00b50023 sb a1,0(a0) + 8002cd0c: e19ff06f j 8002cb24 <_ZL4Syncv> + 8002cd10: 0019f717 auipc a4,0x19f + 8002cd14: 6b170713 addi a4,a4,1713 # 801cc3c1 <_ZL4IRQa> + 8002cd18: 00074783 lbu a5,0(a4) + 8002cd1c: 0019f697 auipc a3,0x19f + 8002cd20: 6c16c683 lbu a3,1729(a3) # 801cc3dd <_ZL8IRQLatch> + 8002cd24: 0019f617 auipc a2,0x19f + 8002cd28: 6ad60c23 sb a3,1720(a2) # 801cc3dc <_ZL8IRQCount> + 8002cd2c: 0027e793 ori a5,a5,2 + 8002cd30: 00f70023 sb a5,0(a4) + 8002cd34: 00008067 ret + 8002cd38: 0015f593 andi a1,a1,1 + 8002cd3c: 0019f797 auipc a5,0x19f + 8002cd40: 68b78a23 sb a1,1684(a5) # 801cc3d0 <_ZL6mirror> + 8002cd44: 00008067 ret + 8002cd48: 0019f797 auipc a5,0x19f + 8002cd4c: 68b78aa3 sb a1,1685(a5) # 801cc3dd <_ZL8IRQLatch> + 8002cd50: 00008067 ret + 8002cd54: 00008067 ret + 8002cd58: 00008067 ret + +000000008002cd5c <_Z14Mapper117_InitP8CartInfo>: + 8002cd5c: 00000797 auipc a5,0x0 + 8002cd60: e6c78793 addi a5,a5,-404 # 8002cbc8 <_ZL9M117Powerv> + 8002cd64: 00f53023 sd a5,0(a0) + 8002cd68: 00000797 auipc a5,0x0 + 8002cd6c: eb878793 addi a5,a5,-328 # 8002cc20 <_ZL11M117IRQHookv> + 8002cd70: 001a0717 auipc a4,0x1a0 + 8002cd74: 94f73823 sd a5,-1712(a4) # 801cc6c0 + 8002cd78: 00000797 auipc a5,0x0 + 8002cd7c: e4c78793 addi a5,a5,-436 # 8002cbc4 <_ZL12StateRestorei> + 8002cd80: 00000693 li a3,0 + 8002cd84: 00000613 li a2,0 + 8002cd88: fff00593 li a1,-1 + 8002cd8c: 0001f517 auipc a0,0x1f + 8002cd90: f5450513 addi a0,a0,-172 # 8004bce0 <_ZL9StateRegs> + 8002cd94: 001a0717 auipc a4,0x1a0 + 8002cd98: 8cf73223 sd a5,-1852(a4) # 801cc658 + 8002cd9c: a74d306f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002cda0 <_ZL4Syncv>: + 8002cda0: fe010113 addi sp,sp,-32 + 8002cda4: 00813823 sd s0,16(sp) + 8002cda8: 0019f417 auipc s0,0x19f + 8002cdac: 64844403 lbu s0,1608(s0) # 801cc3f0 <_ZL4mirr> + 8002cdb0: fff44513 not a0,s0 + 8002cdb4: 000047b7 lui a5,0x4 + 8002cdb8: 00157513 andi a0,a0,1 + 8002cdbc: 00d41413 slli s0,s0,0xd + 8002cdc0: 00f47433 and s0,s0,a5 + 8002cdc4: 00113c23 sd ra,24(sp) + 8002cdc8: 00913423 sd s1,8(sp) + 8002cdcc: 01213023 sd s2,0(sp) + 8002cdd0: a78e40ef jal ra,80011048 <_Z9setmirrori> + 8002cdd4: 00000613 li a2,0 + 8002cdd8: 000065b7 lui a1,0x6 + 8002cddc: 01000513 li a0,16 + 8002cde0: b09e30ef jal ra,800108e8 <_Z8setprg8rijj> + 8002cde4: 0019f497 auipc s1,0x19f + 8002cde8: 61448493 addi s1,s1,1556 # 801cc3f8 <_ZL4preg> + 8002cdec: 0004c583 lbu a1,0(s1) + 8002cdf0: 00008537 lui a0,0x8 + 8002cdf4: 00856533 or a0,a0,s0 + 8002cdf8: ca5e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002cdfc: 0014c583 lbu a1,1(s1) + 8002ce00: 0000a537 lui a0,0xa + 8002ce04: 0019f497 auipc s1,0x19f + 8002ce08: 5e448493 addi s1,s1,1508 # 801cc3e8 <_ZL4creg> + 8002ce0c: c91e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002ce10: 0000c537 lui a0,0xc + 8002ce14: 00854533 xor a0,a0,s0 + 8002ce18: ffe00593 li a1,-2 + 8002ce1c: c81e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002ce20: fff00593 li a1,-1 + 8002ce24: 0000e537 lui a0,0xe + 8002ce28: c75e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002ce2c: 00000413 li s0,0 + 8002ce30: 00002937 lui s2,0x2 + 8002ce34: 0004c583 lbu a1,0(s1) + 8002ce38: 00040513 mv a0,s0 + 8002ce3c: 4004041b addiw s0,s0,1024 + 8002ce40: 864e40ef jal ra,80010ea4 <_Z7setchr1jj> + 8002ce44: 00148493 addi s1,s1,1 + 8002ce48: ff2416e3 bne s0,s2,8002ce34 <_ZL4Syncv+0x94> + 8002ce4c: 01813083 ld ra,24(sp) + 8002ce50: 01013403 ld s0,16(sp) + 8002ce54: 00813483 ld s1,8(sp) + 8002ce58: 00013903 ld s2,0(sp) + 8002ce5c: 02010113 addi sp,sp,32 + 8002ce60: 00008067 ret + +000000008002ce64 <_ZL12StateRestorei>: + 8002ce64: f3dff06f j 8002cda0 <_ZL4Syncv> + +000000008002ce68 <_ZL9M32Write3jh>: + 8002ce68: 00757793 andi a5,a0,7 + 8002ce6c: 0019f517 auipc a0,0x19f + 8002ce70: 57c50513 addi a0,a0,1404 # 801cc3e8 <_ZL4creg> + 8002ce74: 00f50533 add a0,a0,a5 + 8002ce78: 00b50023 sb a1,0(a0) + 8002ce7c: f25ff06f j 8002cda0 <_ZL4Syncv> + +000000008002ce80 <_ZL9M32Write2jh>: + 8002ce80: 0019f797 auipc a5,0x19f + 8002ce84: 56b78ca3 sb a1,1401(a5) # 801cc3f9 <_ZL4preg+0x1> + 8002ce88: f19ff06f j 8002cda0 <_ZL4Syncv> + +000000008002ce8c <_ZL9M32Write1jh>: + 8002ce8c: 0019f797 auipc a5,0x19f + 8002ce90: 56b78223 sb a1,1380(a5) # 801cc3f0 <_ZL4mirr> + 8002ce94: f0dff06f j 8002cda0 <_ZL4Syncv> + +000000008002ce98 <_ZL9M32Write0jh>: + 8002ce98: 0019f797 auipc a5,0x19f + 8002ce9c: 56b78023 sb a1,1376(a5) # 801cc3f8 <_ZL4preg> + 8002cea0: f01ff06f j 8002cda0 <_ZL4Syncv> + +000000008002cea4 <_ZL8M32Closev>: + 8002cea4: 0019f517 auipc a0,0x19f + 8002cea8: 53c53503 ld a0,1340(a0) # 801cc3e0 <_ZL4WRAM> + 8002ceac: 02050263 beqz a0,8002ced0 <_ZL8M32Closev+0x2c> + 8002ceb0: ff010113 addi sp,sp,-16 + 8002ceb4: 00113423 sd ra,8(sp) + 8002ceb8: e88e50ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002cebc: 00813083 ld ra,8(sp) + 8002cec0: 0019f797 auipc a5,0x19f + 8002cec4: 5207b023 sd zero,1312(a5) # 801cc3e0 <_ZL4WRAM> + 8002cec8: 01010113 addi sp,sp,16 + 8002cecc: 00008067 ret + 8002ced0: 0019f797 auipc a5,0x19f + 8002ced4: 5007b823 sd zero,1296(a5) # 801cc3e0 <_ZL4WRAM> + 8002ced8: 00008067 ret + +000000008002cedc <_ZL8M32Powerv>: + 8002cedc: ff010113 addi sp,sp,-16 + 8002cee0: 00113423 sd ra,8(sp) + 8002cee4: 00813023 sd s0,0(sp) + 8002cee8: eb9ff0ef jal ra,8002cda0 <_ZL4Syncv> + 8002ceec: 00008437 lui s0,0x8 + 8002cef0: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002cef4: fffe3617 auipc a2,0xfffe3 + 8002cef8: 76c60613 addi a2,a2,1900 # 80010660 <_Z6CartBRj> + 8002cefc: 00006537 lui a0,0x6 + 8002cf00: 31d060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002cf04: fff40593 addi a1,s0,-1 + 8002cf08: fffe3617 auipc a2,0xfffe3 + 8002cf0c: 78460613 addi a2,a2,1924 # 8001068c <_Z6CartBWjh> + 8002cf10: 00006537 lui a0,0x6 + 8002cf14: 415060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002cf18: 000105b7 lui a1,0x10 + 8002cf1c: fffe3617 auipc a2,0xfffe3 + 8002cf20: 74460613 addi a2,a2,1860 # 80010660 <_Z6CartBRj> + 8002cf24: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002cf28: 00008537 lui a0,0x8 + 8002cf2c: 2f1060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002cf30: 000095b7 lui a1,0x9 + 8002cf34: 00000617 auipc a2,0x0 + 8002cf38: f6460613 addi a2,a2,-156 # 8002ce98 <_ZL9M32Write0jh> + 8002cf3c: fff58593 addi a1,a1,-1 # 8fff <_entry_offset+0x8fff> + 8002cf40: 00008537 lui a0,0x8 + 8002cf44: 3e5060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002cf48: 0000a5b7 lui a1,0xa + 8002cf4c: 00000617 auipc a2,0x0 + 8002cf50: f4060613 addi a2,a2,-192 # 8002ce8c <_ZL9M32Write1jh> + 8002cf54: fff58593 addi a1,a1,-1 # 9fff <_entry_offset+0x9fff> + 8002cf58: 00009537 lui a0,0x9 + 8002cf5c: 3cd060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002cf60: 0000b5b7 lui a1,0xb + 8002cf64: 00000617 auipc a2,0x0 + 8002cf68: f1c60613 addi a2,a2,-228 # 8002ce80 <_ZL9M32Write2jh> + 8002cf6c: fff58593 addi a1,a1,-1 # afff <_entry_offset+0xafff> + 8002cf70: 0000a537 lui a0,0xa + 8002cf74: 3b5060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002cf78: 0000c5b7 lui a1,0xc + 8002cf7c: 00000617 auipc a2,0x0 + 8002cf80: eec60613 addi a2,a2,-276 # 8002ce68 <_ZL9M32Write3jh> + 8002cf84: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8002cf88: 0000b537 lui a0,0xb + 8002cf8c: 39d060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002cf90: 00013403 ld s0,0(sp) + 8002cf94: 00813083 ld ra,8(sp) + 8002cf98: 0019f517 auipc a0,0x19f + 8002cf9c: 46452503 lw a0,1124(a0) # 801cc3fc <_ZL8WRAMSIZE> + 8002cfa0: 0019f617 auipc a2,0x19f + 8002cfa4: 44063603 ld a2,1088(a2) # 801cc3e0 <_ZL4WRAM> + 8002cfa8: 000065b7 lui a1,0x6 + 8002cfac: 00a5551b srliw a0,a0,0xa + 8002cfb0: 01010113 addi sp,sp,16 + 8002cfb4: 860d306f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002cfb8 <_Z13Mapper32_InitP8CartInfo>: + 8002cfb8: fe010113 addi sp,sp,-32 + 8002cfbc: 00113c23 sd ra,24(sp) + 8002cfc0: 00813823 sd s0,16(sp) + 8002cfc4: 00913423 sd s1,8(sp) + 8002cfc8: 00000797 auipc a5,0x0 + 8002cfcc: f1478793 addi a5,a5,-236 # 8002cedc <_ZL8M32Powerv> + 8002cfd0: 00f53023 sd a5,0(a0) + 8002cfd4: 00000797 auipc a5,0x0 + 8002cfd8: ed078793 addi a5,a5,-304 # 8002cea4 <_ZL8M32Closev> + 8002cfdc: 00f53823 sd a5,16(a0) + 8002cfe0: 00000797 auipc a5,0x0 + 8002cfe4: e8478793 addi a5,a5,-380 # 8002ce64 <_ZL12StateRestorei> + 8002cfe8: 0019f417 auipc s0,0x19f + 8002cfec: 41440413 addi s0,s0,1044 # 801cc3fc <_ZL8WRAMSIZE> + 8002cff0: 0019f717 auipc a4,0x19f + 8002cff4: 66f73423 sd a5,1640(a4) # 801cc658 + 8002cff8: 00002537 lui a0,0x2 + 8002cffc: 000027b7 lui a5,0x2 + 8002d000: 00f42023 sw a5,0(s0) + 8002d004: c68e50ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002d008: 00042603 lw a2,0(s0) + 8002d00c: 00050593 mv a1,a0 + 8002d010: 0019f497 auipc s1,0x19f + 8002d014: 3d048493 addi s1,s1,976 # 801cc3e0 <_ZL4WRAM> + 8002d018: 00100693 li a3,1 + 8002d01c: 01000513 li a0,16 + 8002d020: 00b4b023 sd a1,0(s1) + 8002d024: cd0e30ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002d028: 00042583 lw a1,0(s0) + 8002d02c: 0004b503 ld a0,0(s1) + 8002d030: 00018697 auipc a3,0x18 + 8002d034: 2f868693 addi a3,a3,760 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002d038: 00000613 li a2,0 + 8002d03c: fd5d20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002d040: 01013403 ld s0,16(sp) + 8002d044: 01813083 ld ra,24(sp) + 8002d048: 00813483 ld s1,8(sp) + 8002d04c: 00000693 li a3,0 + 8002d050: 00000613 li a2,0 + 8002d054: fff00593 li a1,-1 + 8002d058: 0001f517 auipc a0,0x1f + 8002d05c: d3050513 addi a0,a0,-720 # 8004bd88 <_ZL9StateRegs> + 8002d060: 02010113 addi sp,sp,32 + 8002d064: fadd206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002d068 <_ZL4Syncv>: + 8002d068: ff010113 addi sp,sp,-16 + 8002d06c: 0019f597 auipc a1,0x19f + 8002d070: 3945c583 lbu a1,916(a1) # 801cc400 <_ZL3reg> + 8002d074: 00006537 lui a0,0x6 + 8002d078: 00113423 sd ra,8(sp) + 8002d07c: a21e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d080: 00008537 lui a0,0x8 + 8002d084: 00200593 li a1,2 + 8002d088: d8de30ef jal ra,80010e14 <_Z8setprg32jj> + 8002d08c: 00813083 ld ra,8(sp) + 8002d090: 00000513 li a0,0 + 8002d094: 01010113 addi sp,sp,16 + 8002d098: e6de306f j 80010f04 <_Z7setchr8j> + +000000008002d09c <_ZL12StateRestorei>: + 8002d09c: fcdff06f j 8002d068 <_ZL4Syncv> + +000000008002d0a0 <_ZL9M120Writejh>: + 8002d0a0: 000047b7 lui a5,0x4 + 8002d0a4: 1ff78793 addi a5,a5,511 # 41ff <_entry_offset+0x41ff> + 8002d0a8: 00f50463 beq a0,a5,8002d0b0 <_ZL9M120Writejh+0x10> + 8002d0ac: 00008067 ret + 8002d0b0: 0075f593 andi a1,a1,7 + 8002d0b4: 0019f797 auipc a5,0x19f + 8002d0b8: 34b78623 sb a1,844(a5) # 801cc400 <_ZL3reg> + 8002d0bc: fadff06f j 8002d068 <_ZL4Syncv> + +000000008002d0c0 <_ZL9M120Powerv>: + 8002d0c0: ff010113 addi sp,sp,-16 + 8002d0c4: 00113423 sd ra,8(sp) + 8002d0c8: 0019f797 auipc a5,0x19f + 8002d0cc: 32078c23 sb zero,824(a5) # 801cc400 <_ZL3reg> + 8002d0d0: f99ff0ef jal ra,8002d068 <_ZL4Syncv> + 8002d0d4: 000105b7 lui a1,0x10 + 8002d0d8: fffe3617 auipc a2,0xfffe3 + 8002d0dc: 58860613 addi a2,a2,1416 # 80010660 <_Z6CartBRj> + 8002d0e0: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002d0e4: 00006537 lui a0,0x6 + 8002d0e8: 135060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d0ec: 00813083 ld ra,8(sp) + 8002d0f0: 000065b7 lui a1,0x6 + 8002d0f4: 00004537 lui a0,0x4 + 8002d0f8: 00000617 auipc a2,0x0 + 8002d0fc: fa860613 addi a2,a2,-88 # 8002d0a0 <_ZL9M120Writejh> + 8002d100: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8002d104: 10050513 addi a0,a0,256 # 4100 <_entry_offset+0x4100> + 8002d108: 01010113 addi sp,sp,16 + 8002d10c: 21d0606f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002d110 <_Z14Mapper120_InitP8CartInfo>: + 8002d110: 00000797 auipc a5,0x0 + 8002d114: fb078793 addi a5,a5,-80 # 8002d0c0 <_ZL9M120Powerv> + 8002d118: 00f53023 sd a5,0(a0) + 8002d11c: 00000797 auipc a5,0x0 + 8002d120: f8078793 addi a5,a5,-128 # 8002d09c <_ZL12StateRestorei> + 8002d124: 00000693 li a3,0 + 8002d128: 00000613 li a2,0 + 8002d12c: fff00593 li a1,-1 + 8002d130: 0001f517 auipc a0,0x1f + 8002d134: cb850513 addi a0,a0,-840 # 8004bde8 <_ZL9StateRegs> + 8002d138: 0019f717 auipc a4,0x19f + 8002d13c: 52f73023 sd a5,1312(a4) # 801cc658 + 8002d140: ed1d206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002d144 <_ZL4Syncv>: + 8002d144: ff010113 addi sp,sp,-16 + 8002d148: 00000613 li a2,0 + 8002d14c: 000065b7 lui a1,0x6 + 8002d150: 01000513 li a0,16 + 8002d154: 00113423 sd ra,8(sp) + 8002d158: 00813023 sd s0,0(sp) + 8002d15c: f8ce30ef jal ra,800108e8 <_Z8setprg8rijj> + 8002d160: 0019f417 auipc s0,0x19f + 8002d164: 2b040413 addi s0,s0,688 # 801cc410 <_ZL4regs> + 8002d168: 00044583 lbu a1,0(s0) + 8002d16c: 00008537 lui a0,0x8 + 8002d170: ca5e30ef jal ra,80010e14 <_Z8setprg32jj> + 8002d174: 00144583 lbu a1,1(s0) + 8002d178: 00000513 li a0,0 + 8002d17c: d69e30ef jal ra,80010ee4 <_Z7setchr4jj> + 8002d180: 00244583 lbu a1,2(s0) + 8002d184: 00013403 ld s0,0(sp) + 8002d188: 00813083 ld ra,8(sp) + 8002d18c: 00001537 lui a0,0x1 + 8002d190: 01010113 addi sp,sp,16 + 8002d194: d51e306f j 80010ee4 <_Z7setchr4jj> + +000000008002d198 <_ZL12StateRestorei>: + 8002d198: fadff06f j 8002d144 <_ZL4Syncv> + +000000008002d19c <_ZL8M34Writejh>: + 8002d19c: 000087b7 lui a5,0x8 + 8002d1a0: 02f57063 bgeu a0,a5,8002d1c0 <_ZL8M34Writejh+0x24> + 8002d1a4: ffe78713 addi a4,a5,-2 # 7ffe <_entry_offset+0x7ffe> + 8002d1a8: 02e50863 beq a0,a4,8002d1d8 <_ZL8M34Writejh+0x3c> + 8002d1ac: fff78713 addi a4,a5,-1 + 8002d1b0: 00e50e63 beq a0,a4,8002d1cc <_ZL8M34Writejh+0x30> + 8002d1b4: ffd78793 addi a5,a5,-3 + 8002d1b8: 00f50463 beq a0,a5,8002d1c0 <_ZL8M34Writejh+0x24> + 8002d1bc: f89ff06f j 8002d144 <_ZL4Syncv> + 8002d1c0: 0019f797 auipc a5,0x19f + 8002d1c4: 24b78823 sb a1,592(a5) # 801cc410 <_ZL4regs> + 8002d1c8: f7dff06f j 8002d144 <_ZL4Syncv> + 8002d1cc: 0019f797 auipc a5,0x19f + 8002d1d0: 24b78323 sb a1,582(a5) # 801cc412 <_ZL4regs+0x2> + 8002d1d4: f71ff06f j 8002d144 <_ZL4Syncv> + 8002d1d8: 0019f797 auipc a5,0x19f + 8002d1dc: 22b78ca3 sb a1,569(a5) # 801cc411 <_ZL4regs+0x1> + 8002d1e0: f65ff06f j 8002d144 <_ZL4Syncv> + +000000008002d1e4 <_ZL8M34Closev>: + 8002d1e4: 0019f517 auipc a0,0x19f + 8002d1e8: 22453503 ld a0,548(a0) # 801cc408 <_ZL4WRAM> + 8002d1ec: 02050263 beqz a0,8002d210 <_ZL8M34Closev+0x2c> + 8002d1f0: ff010113 addi sp,sp,-16 + 8002d1f4: 00113423 sd ra,8(sp) + 8002d1f8: b48e50ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002d1fc: 00813083 ld ra,8(sp) + 8002d200: 0019f797 auipc a5,0x19f + 8002d204: 2007b423 sd zero,520(a5) # 801cc408 <_ZL4WRAM> + 8002d208: 01010113 addi sp,sp,16 + 8002d20c: 00008067 ret + 8002d210: 0019f797 auipc a5,0x19f + 8002d214: 1e07bc23 sd zero,504(a5) # 801cc408 <_ZL4WRAM> + 8002d218: 00008067 ret + +000000008002d21c <_ZL8M34Powerv>: + 8002d21c: fe010113 addi sp,sp,-32 + 8002d220: 00113c23 sd ra,24(sp) + 8002d224: 00813823 sd s0,16(sp) + 8002d228: 00913423 sd s1,8(sp) + 8002d22c: 0019f797 auipc a5,0x19f + 8002d230: 1e478793 addi a5,a5,484 # 801cc410 <_ZL4regs> + 8002d234: 00100713 li a4,1 + 8002d238: 00079023 sh zero,0(a5) + 8002d23c: 00e78123 sb a4,2(a5) + 8002d240: 00008437 lui s0,0x8 + 8002d244: f01ff0ef jal ra,8002d144 <_ZL4Syncv> + 8002d248: ffc40593 addi a1,s0,-4 # 7ffc <_entry_offset+0x7ffc> + 8002d24c: fffe3617 auipc a2,0xfffe3 + 8002d250: 41460613 addi a2,a2,1044 # 80010660 <_Z6CartBRj> + 8002d254: 00006537 lui a0,0x6 + 8002d258: 7c4060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d25c: ffc40593 addi a1,s0,-4 + 8002d260: fffe3617 auipc a2,0xfffe3 + 8002d264: 42c60613 addi a2,a2,1068 # 8001068c <_Z6CartBWjh> + 8002d268: 00006537 lui a0,0x6 + 8002d26c: 0bd060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002d270: 000104b7 lui s1,0x10 + 8002d274: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8002d278: fffe3617 auipc a2,0xfffe3 + 8002d27c: 3e860613 addi a2,a2,1000 # 80010660 <_Z6CartBRj> + 8002d280: 00008537 lui a0,0x8 + 8002d284: 798060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d288: fff48593 addi a1,s1,-1 + 8002d28c: ffd40513 addi a0,s0,-3 + 8002d290: 00000617 auipc a2,0x0 + 8002d294: f0c60613 addi a2,a2,-244 # 8002d19c <_ZL8M34Writejh> + 8002d298: 091060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002d29c: 01013403 ld s0,16(sp) + 8002d2a0: 01813083 ld ra,24(sp) + 8002d2a4: 00813483 ld s1,8(sp) + 8002d2a8: 0019f517 auipc a0,0x19f + 8002d2ac: 16c52503 lw a0,364(a0) # 801cc414 <_ZL8WRAMSIZE> + 8002d2b0: 0019f617 auipc a2,0x19f + 8002d2b4: 15863603 ld a2,344(a2) # 801cc408 <_ZL4WRAM> + 8002d2b8: 000065b7 lui a1,0x6 + 8002d2bc: 00a5551b srliw a0,a0,0xa + 8002d2c0: 02010113 addi sp,sp,32 + 8002d2c4: d51d206f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002d2c8 <_Z13Mapper34_InitP8CartInfo>: + 8002d2c8: fe010113 addi sp,sp,-32 + 8002d2cc: 00113c23 sd ra,24(sp) + 8002d2d0: 00813823 sd s0,16(sp) + 8002d2d4: 00913423 sd s1,8(sp) + 8002d2d8: 00000797 auipc a5,0x0 + 8002d2dc: f4478793 addi a5,a5,-188 # 8002d21c <_ZL8M34Powerv> + 8002d2e0: 00f53023 sd a5,0(a0) + 8002d2e4: 00000797 auipc a5,0x0 + 8002d2e8: f0078793 addi a5,a5,-256 # 8002d1e4 <_ZL8M34Closev> + 8002d2ec: 00f53823 sd a5,16(a0) + 8002d2f0: 00000797 auipc a5,0x0 + 8002d2f4: ea878793 addi a5,a5,-344 # 8002d198 <_ZL12StateRestorei> + 8002d2f8: 0019f417 auipc s0,0x19f + 8002d2fc: 11c40413 addi s0,s0,284 # 801cc414 <_ZL8WRAMSIZE> + 8002d300: 0019f717 auipc a4,0x19f + 8002d304: 34f73c23 sd a5,856(a4) # 801cc658 + 8002d308: 00002537 lui a0,0x2 + 8002d30c: 000027b7 lui a5,0x2 + 8002d310: 00f42023 sw a5,0(s0) + 8002d314: 958e50ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002d318: 00042603 lw a2,0(s0) + 8002d31c: 00050593 mv a1,a0 + 8002d320: 0019f497 auipc s1,0x19f + 8002d324: 0e848493 addi s1,s1,232 # 801cc408 <_ZL4WRAM> + 8002d328: 00100693 li a3,1 + 8002d32c: 01000513 li a0,16 + 8002d330: 00b4b023 sd a1,0(s1) + 8002d334: 9c0e30ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002d338: 00042583 lw a1,0(s0) + 8002d33c: 0004b503 ld a0,0(s1) + 8002d340: 00018697 auipc a3,0x18 + 8002d344: fe868693 addi a3,a3,-24 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002d348: 00000613 li a2,0 + 8002d34c: cc5d20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002d350: 01013403 ld s0,16(sp) + 8002d354: 01813083 ld ra,24(sp) + 8002d358: 00813483 ld s1,8(sp) + 8002d35c: 00000693 li a3,0 + 8002d360: 00000613 li a2,0 + 8002d364: fff00593 li a1,-1 + 8002d368: 0001f517 auipc a0,0x1f + 8002d36c: ab050513 addi a0,a0,-1360 # 8004be18 <_ZL9StateRegs> + 8002d370: 02010113 addi sp,sp,32 + 8002d374: c9dd206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002d378 <_ZL8M43Resetv>: + 8002d378: 00008067 ret + +000000008002d37c <_ZL4Syncv>: + 8002d37c: ff010113 addi sp,sp,-16 + 8002d380: 01000593 li a1,16 + 8002d384: 00005537 lui a0,0x5 + 8002d388: 00113423 sd ra,8(sp) + 8002d38c: 00813023 sd s0,0(sp) + 8002d390: d48e30ef jal ra,800108d8 <_Z7setprg4jj> + 8002d394: 0019f417 auipc s0,0x19f + 8002d398: 08c40413 addi s0,s0,140 # 801cc420 <_ZL4swap> + 8002d39c: 00044583 lbu a1,0(s0) + 8002d3a0: 00006537 lui a0,0x6 + 8002d3a4: 0015b593 seqz a1,a1 + 8002d3a8: 00159593 slli a1,a1,0x1 + 8002d3ac: ef0e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d3b0: 00100593 li a1,1 + 8002d3b4: 00008537 lui a0,0x8 + 8002d3b8: ee4e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d3bc: 00000593 li a1,0 + 8002d3c0: 0000a537 lui a0,0xa + 8002d3c4: ed8e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d3c8: 0019f597 auipc a1,0x19f + 8002d3cc: 0505c583 lbu a1,80(a1) # 801cc418 <_ZL3reg> + 8002d3d0: 0000c537 lui a0,0xc + 8002d3d4: ec8e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d3d8: 00044583 lbu a1,0(s0) + 8002d3dc: 0000e537 lui a0,0xe + 8002d3e0: 0015b593 seqz a1,a1 + 8002d3e4: 00858593 addi a1,a1,8 + 8002d3e8: eb4e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d3ec: 00013403 ld s0,0(sp) + 8002d3f0: 00813083 ld ra,8(sp) + 8002d3f4: 00000513 li a0,0 + 8002d3f8: 01010113 addi sp,sp,16 + 8002d3fc: b09e306f j 80010f04 <_Z7setchr8j> + +000000008002d400 <_ZL12StateRestorei>: + 8002d400: f7dff06f j 8002d37c <_ZL4Syncv> + +000000008002d404 <_ZL8M43Powerv>: + 8002d404: ff010113 addi sp,sp,-16 + 8002d408: 00113423 sd ra,8(sp) + 8002d40c: 00813023 sd s0,0(sp) + 8002d410: 0019f797 auipc a5,0x19f + 8002d414: 00078823 sb zero,16(a5) # 801cc420 <_ZL4swap> + 8002d418: 00010437 lui s0,0x10 + 8002d41c: 0019f797 auipc a5,0x19f + 8002d420: fe078e23 sb zero,-4(a5) # 801cc418 <_ZL3reg> + 8002d424: f59ff0ef jal ra,8002d37c <_ZL4Syncv> + 8002d428: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002d42c: fffe3617 auipc a2,0xfffe3 + 8002d430: 23460613 addi a2,a2,564 # 80010660 <_Z6CartBRj> + 8002d434: 00005537 lui a0,0x5 + 8002d438: 5e4060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d43c: fff40593 addi a1,s0,-1 + 8002d440: 00013403 ld s0,0(sp) + 8002d444: 00813083 ld ra,8(sp) + 8002d448: 00004537 lui a0,0x4 + 8002d44c: 00000617 auipc a2,0x0 + 8002d450: 05060613 addi a2,a2,80 # 8002d49c <_ZL8M43Writejh> + 8002d454: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 8002d458: 01010113 addi sp,sp,16 + 8002d45c: 6cc0606f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002d460 <_ZL10M43IRQHooki>: + 8002d460: 0019f717 auipc a4,0x19f + 8002d464: fc470713 addi a4,a4,-60 # 801cc424 <_ZL8IRQCount> + 8002d468: 00072783 lw a5,0(a4) + 8002d46c: 0019f697 auipc a3,0x19f + 8002d470: fb06a683 lw a3,-80(a3) # 801cc41c <_ZL4IRQa> + 8002d474: 00a7853b addw a0,a5,a0 + 8002d478: 00a72023 sw a0,0(a4) + 8002d47c: 00068663 beqz a3,8002d488 <_ZL10M43IRQHooki+0x28> + 8002d480: 000017b7 lui a5,0x1 + 8002d484: 00f57463 bgeu a0,a5,8002d48c <_ZL10M43IRQHooki+0x2c> + 8002d488: 00008067 ret + 8002d48c: 00100513 li a0,1 + 8002d490: 0019f797 auipc a5,0x19f + 8002d494: f807a623 sw zero,-116(a5) # 801cc41c <_ZL4IRQa> + 8002d498: 8a1d306f j 80000d38 <_Z14X6502_IRQBegini> + +000000008002d49c <_ZL8M43Writejh>: + 8002d49c: 00300793 li a5,3 + 8002d4a0: 02079793 slli a5,a5,0x20 + 8002d4a4: fd010113 addi sp,sp,-48 + 8002d4a8: 00478813 addi a6,a5,4 + 8002d4ac: 00578613 addi a2,a5,5 + 8002d4b0: 00678693 addi a3,a5,6 + 8002d4b4: 00778793 addi a5,a5,7 + 8002d4b8: 00f13c23 sd a5,24(sp) + 8002d4bc: 0000f7b7 lui a5,0xf + 8002d4c0: 1ff78793 addi a5,a5,511 # f1ff <_entry_offset+0xf1ff> + 8002d4c4: 00004737 lui a4,0x4 + 8002d4c8: 00f57533 and a0,a0,a5 + 8002d4cc: 02113423 sd ra,40(sp) + 8002d4d0: 01013023 sd a6,0(sp) + 8002d4d4: 00c13423 sd a2,8(sp) + 8002d4d8: 00d13823 sd a3,16(sp) + 8002d4dc: 12070793 addi a5,a4,288 # 4120 <_entry_offset+0x4120> + 8002d4e0: 06f50263 beq a0,a5,8002d544 <_ZL8M43Writejh+0xa8> + 8002d4e4: 02a7ea63 bltu a5,a0,8002d518 <_ZL8M43Writejh+0x7c> + 8002d4e8: 02270713 addi a4,a4,34 + 8002d4ec: 04e51663 bne a0,a4,8002d538 <_ZL8M43Writejh+0x9c> + 8002d4f0: 0075f593 andi a1,a1,7 + 8002d4f4: 02010793 addi a5,sp,32 + 8002d4f8: 00259593 slli a1,a1,0x2 + 8002d4fc: 00b785b3 add a1,a5,a1 + 8002d500: fe05a783 lw a5,-32(a1) + 8002d504: 02813083 ld ra,40(sp) + 8002d508: 0019f717 auipc a4,0x19f + 8002d50c: f0f70823 sb a5,-240(a4) # 801cc418 <_ZL3reg> + 8002d510: 03010113 addi sp,sp,48 + 8002d514: e69ff06f j 8002d37c <_ZL4Syncv> + 8002d518: ffffc7b7 lui a5,0xffffc + 8002d51c: ede7879b addiw a5,a5,-290 + 8002d520: 00f5053b addw a0,a0,a5 + 8002d524: ffffc7b7 lui a5,0xffffc + 8002d528: fff78793 addi a5,a5,-1 # ffffffffffffbfff <_end+0xffffffff7fe26fff> + 8002d52c: 00f57533 and a0,a0,a5 + 8002d530: 0005051b sext.w a0,a0 + 8002d534: 02050463 beqz a0,8002d55c <_ZL8M43Writejh+0xc0> + 8002d538: 02813083 ld ra,40(sp) + 8002d53c: 03010113 addi sp,sp,48 + 8002d540: 00008067 ret + 8002d544: 02813083 ld ra,40(sp) + 8002d548: 0015f593 andi a1,a1,1 + 8002d54c: 0019f797 auipc a5,0x19f + 8002d550: ecb78a23 sb a1,-300(a5) # 801cc420 <_ZL4swap> + 8002d554: 03010113 addi sp,sp,48 + 8002d558: e25ff06f j 8002d37c <_ZL4Syncv> + 8002d55c: 0015f593 andi a1,a1,1 + 8002d560: 00100513 li a0,1 + 8002d564: 0019f797 auipc a5,0x19f + 8002d568: eab7ac23 sw a1,-328(a5) # 801cc41c <_ZL4IRQa> + 8002d56c: fe4d30ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002d570: 02813083 ld ra,40(sp) + 8002d574: 0019f797 auipc a5,0x19f + 8002d578: ea07a823 sw zero,-336(a5) # 801cc424 <_ZL8IRQCount> + 8002d57c: 03010113 addi sp,sp,48 + 8002d580: 00008067 ret + +000000008002d584 <_Z13Mapper43_InitP8CartInfo>: + 8002d584: 00000797 auipc a5,0x0 + 8002d588: e8078793 addi a5,a5,-384 # 8002d404 <_ZL8M43Powerv> + 8002d58c: 00f53023 sd a5,0(a0) + 8002d590: 00000797 auipc a5,0x0 + 8002d594: de878793 addi a5,a5,-536 # 8002d378 <_ZL8M43Resetv> + 8002d598: 00f53423 sd a5,8(a0) + 8002d59c: 00000797 auipc a5,0x0 + 8002d5a0: ec478793 addi a5,a5,-316 # 8002d460 <_ZL10M43IRQHooki> + 8002d5a4: 0019e717 auipc a4,0x19e + 8002d5a8: 58f73e23 sd a5,1436(a4) # 801cbb40 + 8002d5ac: 00000797 auipc a5,0x0 + 8002d5b0: e5478793 addi a5,a5,-428 # 8002d400 <_ZL12StateRestorei> + 8002d5b4: 00000693 li a3,0 + 8002d5b8: 00000613 li a2,0 + 8002d5bc: fff00593 li a1,-1 + 8002d5c0: 0001f517 auipc a0,0x1f + 8002d5c4: 88850513 addi a0,a0,-1912 # 8004be48 <_ZL9StateRegs> + 8002d5c8: 0019f717 auipc a4,0x19f + 8002d5cc: 08f73823 sd a5,144(a4) # 801cc658 + 8002d5d0: a41d206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002d5d4 <_ZL4Syncv>: + 8002d5d4: ff010113 addi sp,sp,-16 + 8002d5d8: 00813023 sd s0,0(sp) + 8002d5dc: 0019f417 auipc s0,0x19f + 8002d5e0: e5c40413 addi s0,s0,-420 # 801cc438 <_ZL6latche> + 8002d5e4: 00044583 lbu a1,0(s0) + 8002d5e8: 00008537 lui a0,0x8 + 8002d5ec: 00113423 sd ra,8(sp) + 8002d5f0: 0075f593 andi a1,a1,7 + 8002d5f4: 821e30ef jal ra,80010e14 <_Z8setprg32jj> + 8002d5f8: 00044583 lbu a1,0(s0) + 8002d5fc: 00000513 li a0,0 + 8002d600: 0045d593 srli a1,a1,0x4 + 8002d604: 8c1e30ef jal ra,80010ec4 <_Z7setchr2jj> + 8002d608: 000015b7 lui a1,0x1 + 8002d60c: 00200613 li a2,2 + 8002d610: 80058593 addi a1,a1,-2048 # 800 <_entry_offset+0x800> + 8002d614: 01000513 li a0,16 + 8002d618: 82de30ef jal ra,80010e44 <_Z8setchr2rijj> + 8002d61c: 00013403 ld s0,0(sp) + 8002d620: 00813083 ld ra,8(sp) + 8002d624: 00000613 li a2,0 + 8002d628: 000015b7 lui a1,0x1 + 8002d62c: 01000513 li a0,16 + 8002d630: 01010113 addi sp,sp,16 + 8002d634: 831e306f j 80010e64 <_Z8setchr4rijj> + +000000008002d638 <_ZL12StateRestorei>: + 8002d638: f9dff06f j 8002d5d4 <_ZL4Syncv> + +000000008002d63c <_ZL8M77Writejh>: + 8002d63c: 0019f797 auipc a5,0x19f + 8002d640: deb78e23 sb a1,-516(a5) # 801cc438 <_ZL6latche> + 8002d644: f91ff06f j 8002d5d4 <_ZL4Syncv> + +000000008002d648 <_ZL8M77Closev>: + 8002d648: 0019f517 auipc a0,0x19f + 8002d64c: de853503 ld a0,-536(a0) # 801cc430 <_ZL6CHRRAM> + 8002d650: 02050263 beqz a0,8002d674 <_ZL8M77Closev+0x2c> + 8002d654: ff010113 addi sp,sp,-16 + 8002d658: 00113423 sd ra,8(sp) + 8002d65c: ee5e40ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002d660: 00813083 ld ra,8(sp) + 8002d664: 0019f797 auipc a5,0x19f + 8002d668: dc07b623 sd zero,-564(a5) # 801cc430 <_ZL6CHRRAM> + 8002d66c: 01010113 addi sp,sp,16 + 8002d670: 00008067 ret + 8002d674: 0019f797 auipc a5,0x19f + 8002d678: da07be23 sd zero,-580(a5) # 801cc430 <_ZL6CHRRAM> + 8002d67c: 00008067 ret + +000000008002d680 <_ZL8M77Powerv>: + 8002d680: ff010113 addi sp,sp,-16 + 8002d684: 00113423 sd ra,8(sp) + 8002d688: 00813023 sd s0,0(sp) + 8002d68c: 0019f797 auipc a5,0x19f + 8002d690: da078623 sb zero,-596(a5) # 801cc438 <_ZL6latche> + 8002d694: 00010437 lui s0,0x10 + 8002d698: f3dff0ef jal ra,8002d5d4 <_ZL4Syncv> + 8002d69c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002d6a0: fffe3617 auipc a2,0xfffe3 + 8002d6a4: fc060613 addi a2,a2,-64 # 80010660 <_Z6CartBRj> + 8002d6a8: 00008537 lui a0,0x8 + 8002d6ac: 370060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d6b0: fff40593 addi a1,s0,-1 + 8002d6b4: 00013403 ld s0,0(sp) + 8002d6b8: 00813083 ld ra,8(sp) + 8002d6bc: 00000617 auipc a2,0x0 + 8002d6c0: f8060613 addi a2,a2,-128 # 8002d63c <_ZL8M77Writejh> + 8002d6c4: 00008537 lui a0,0x8 + 8002d6c8: 01010113 addi sp,sp,16 + 8002d6cc: 45c0606f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002d6d0 <_Z13Mapper77_InitP8CartInfo>: + 8002d6d0: fe010113 addi sp,sp,-32 + 8002d6d4: 00113c23 sd ra,24(sp) + 8002d6d8: 00813823 sd s0,16(sp) + 8002d6dc: 00913423 sd s1,8(sp) + 8002d6e0: 00000797 auipc a5,0x0 + 8002d6e4: fa078793 addi a5,a5,-96 # 8002d680 <_ZL8M77Powerv> + 8002d6e8: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002d6ec: 00000797 auipc a5,0x0 + 8002d6f0: f5c78793 addi a5,a5,-164 # 8002d648 <_ZL8M77Closev> + 8002d6f4: 00f53823 sd a5,16(a0) + 8002d6f8: 00000797 auipc a5,0x0 + 8002d6fc: f4078793 addi a5,a5,-192 # 8002d638 <_ZL12StateRestorei> + 8002d700: 0019f717 auipc a4,0x19f + 8002d704: f4f73c23 sd a5,-168(a4) # 801cc658 + 8002d708: 00002537 lui a0,0x2 + 8002d70c: 000027b7 lui a5,0x2 + 8002d710: 0019f417 auipc s0,0x19f + 8002d714: d1840413 addi s0,s0,-744 # 801cc428 <_ZL10CHRRAMSIZE> + 8002d718: 8007879b addiw a5,a5,-2048 + 8002d71c: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8002d720: 00f42023 sw a5,0(s0) + 8002d724: d49e40ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002d728: 00042603 lw a2,0(s0) + 8002d72c: 00050593 mv a1,a0 + 8002d730: 0019f497 auipc s1,0x19f + 8002d734: d0048493 addi s1,s1,-768 # 801cc430 <_ZL6CHRRAM> + 8002d738: 00100693 li a3,1 + 8002d73c: 01000513 li a0,16 + 8002d740: 00b4b023 sd a1,0(s1) + 8002d744: e81e20ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002d748: 00042583 lw a1,0(s0) + 8002d74c: 0004b503 ld a0,0(s1) + 8002d750: 00018697 auipc a3,0x18 + 8002d754: f2868693 addi a3,a3,-216 # 80045678 <_ZL10prot_array+0x10> + 8002d758: 00000613 li a2,0 + 8002d75c: 8b5d20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002d760: 01013403 ld s0,16(sp) + 8002d764: 01813083 ld ra,24(sp) + 8002d768: 00813483 ld s1,8(sp) + 8002d76c: 00000693 li a3,0 + 8002d770: 00000613 li a2,0 + 8002d774: fff00593 li a1,-1 + 8002d778: 0001e517 auipc a0,0x1e + 8002d77c: 74850513 addi a0,a0,1864 # 8004bec0 <_ZL9StateRegs> + 8002d780: 02010113 addi sp,sp,32 + 8002d784: 88dd206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002d788 <_ZL4Syncv>: + 8002d788: 0019f517 auipc a0,0x19f + 8002d78c: cc954503 lbu a0,-823(a0) # 801cc451 <_ZL6mirror> + 8002d790: fd010113 addi sp,sp,-48 + 8002d794: 00154513 xori a0,a0,1 + 8002d798: 02113423 sd ra,40(sp) + 8002d79c: 02813023 sd s0,32(sp) + 8002d7a0: 00913c23 sd s1,24(sp) + 8002d7a4: 01213823 sd s2,16(sp) + 8002d7a8: 01313423 sd s3,8(sp) + 8002d7ac: 0019f417 auipc s0,0x19f + 8002d7b0: c9440413 addi s0,s0,-876 # 801cc440 <_ZL3reg> + 8002d7b4: 895e30ef jal ra,80011048 <_Z9setmirrori> + 8002d7b8: 00044583 lbu a1,0(s0) + 8002d7bc: 00008537 lui a0,0x8 + 8002d7c0: 000019b7 lui s3,0x1 + 8002d7c4: ad8e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d7c8: 00144583 lbu a1,1(s0) + 8002d7cc: 0000a537 lui a0,0xa + 8002d7d0: 0019f917 auipc s2,0x19f + 8002d7d4: c8090913 addi s2,s2,-896 # 801cc450 <_ZL4bank> + 8002d7d8: ac4e30ef jal ra,80010a9c <_Z7setprg8jj> + 8002d7dc: 00244583 lbu a1,2(s0) + 8002d7e0: 00000513 li a0,0 + 8002d7e4: 000024b7 lui s1,0x2 + 8002d7e8: 0015d593 srli a1,a1,0x1 + 8002d7ec: ed8e30ef jal ra,80010ec4 <_Z7setchr2jj> + 8002d7f0: 00344583 lbu a1,3(s0) + 8002d7f4: 80098513 addi a0,s3,-2048 # 800 <_entry_offset+0x800> + 8002d7f8: 0015d593 srli a1,a1,0x1 + 8002d7fc: ec8e30ef jal ra,80010ec4 <_Z7setchr2jj> + 8002d800: 00094783 lbu a5,0(s2) + 8002d804: 00444583 lbu a1,4(s0) + 8002d808: 00001537 lui a0,0x1 + 8002d80c: 0047979b slliw a5,a5,0x4 + 8002d810: 1007f793 andi a5,a5,256 + 8002d814: 00b7e5b3 or a1,a5,a1 + 8002d818: e8ce30ef jal ra,80010ea4 <_Z7setchr1jj> + 8002d81c: 00094783 lbu a5,0(s2) + 8002d820: 00544583 lbu a1,5(s0) + 8002d824: 40098513 addi a0,s3,1024 + 8002d828: 0037979b slliw a5,a5,0x3 + 8002d82c: 1007f793 andi a5,a5,256 + 8002d830: 00b7e5b3 or a1,a5,a1 + 8002d834: e70e30ef jal ra,80010ea4 <_Z7setchr1jj> + 8002d838: 00094783 lbu a5,0(s2) + 8002d83c: 00644583 lbu a1,6(s0) + 8002d840: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 8002d844: 0027979b slliw a5,a5,0x2 + 8002d848: 1007f793 andi a5,a5,256 + 8002d84c: 00b7e5b3 or a1,a5,a1 + 8002d850: e54e30ef jal ra,80010ea4 <_Z7setchr1jj> + 8002d854: 00094783 lbu a5,0(s2) + 8002d858: 00744583 lbu a1,7(s0) + 8002d85c: 02013403 ld s0,32(sp) + 8002d860: 02813083 ld ra,40(sp) + 8002d864: 01013903 ld s2,16(sp) + 8002d868: 00813983 ld s3,8(sp) + 8002d86c: c0048513 addi a0,s1,-1024 + 8002d870: 0017979b slliw a5,a5,0x1 + 8002d874: 01813483 ld s1,24(sp) + 8002d878: 1007f793 andi a5,a5,256 + 8002d87c: 00b7e5b3 or a1,a5,a1 + 8002d880: 03010113 addi sp,sp,48 + 8002d884: e20e306f j 80010ea4 <_Z7setchr1jj> + +000000008002d888 <_ZL12StateRestorei>: + 8002d888: f01ff06f j 8002d788 <_ZL4Syncv> + +000000008002d88c <_ZL9M112Writejh>: + 8002d88c: 0000c7b7 lui a5,0xc + 8002d890: 06f50063 beq a0,a5,8002d8f0 <_ZL9M112Writejh+0x64> + 8002d894: 02a7e863 bltu a5,a0,8002d8c4 <_ZL9M112Writejh+0x38> + 8002d898: 000087b7 lui a5,0x8 + 8002d89c: 04f50263 beq a0,a5,8002d8e0 <_ZL9M112Writejh+0x54> + 8002d8a0: 0000a7b7 lui a5,0xa + 8002d8a4: 04f51c63 bne a0,a5,8002d8fc <_ZL9M112Writejh+0x70> + 8002d8a8: 0019f717 auipc a4,0x19f + 8002d8ac: b9174703 lbu a4,-1135(a4) # 801cc439 <_ZL3cmd> + 8002d8b0: 0019f797 auipc a5,0x19f + 8002d8b4: b9078793 addi a5,a5,-1136 # 801cc440 <_ZL3reg> + 8002d8b8: 00e787b3 add a5,a5,a4 + 8002d8bc: 00b78023 sb a1,0(a5) + 8002d8c0: ec9ff06f j 8002d788 <_ZL4Syncv> + 8002d8c4: 0000e7b7 lui a5,0xe + 8002d8c8: 00f51a63 bne a0,a5,8002d8dc <_ZL9M112Writejh+0x50> + 8002d8cc: 0015f593 andi a1,a1,1 + 8002d8d0: 0019f797 auipc a5,0x19f + 8002d8d4: b8b780a3 sb a1,-1151(a5) # 801cc451 <_ZL6mirror> + 8002d8d8: eb1ff06f j 8002d788 <_ZL4Syncv> + 8002d8dc: 00008067 ret + 8002d8e0: 0075f593 andi a1,a1,7 + 8002d8e4: 0019f797 auipc a5,0x19f + 8002d8e8: b4b78aa3 sb a1,-1195(a5) # 801cc439 <_ZL3cmd> + 8002d8ec: 00008067 ret + 8002d8f0: 0019f797 auipc a5,0x19f + 8002d8f4: b6b78023 sb a1,-1184(a5) # 801cc450 <_ZL4bank> + 8002d8f8: e91ff06f j 8002d788 <_ZL4Syncv> + 8002d8fc: 00008067 ret + +000000008002d900 <_ZL9M112Closev>: + 8002d900: 0019f517 auipc a0,0x19f + 8002d904: b4853503 ld a0,-1208(a0) # 801cc448 <_ZL4WRAM> + 8002d908: 02050263 beqz a0,8002d92c <_ZL9M112Closev+0x2c> + 8002d90c: ff010113 addi sp,sp,-16 + 8002d910: 00113423 sd ra,8(sp) + 8002d914: c2de40ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002d918: 00813083 ld ra,8(sp) + 8002d91c: 0019f797 auipc a5,0x19f + 8002d920: b207b623 sd zero,-1236(a5) # 801cc448 <_ZL4WRAM> + 8002d924: 01010113 addi sp,sp,16 + 8002d928: 00008067 ret + 8002d92c: 0019f797 auipc a5,0x19f + 8002d930: b007be23 sd zero,-1252(a5) # 801cc448 <_ZL4WRAM> + 8002d934: 00008067 ret + +000000008002d938 <_ZL9M112Powerv>: + 8002d938: ff010113 addi sp,sp,-16 + 8002d93c: fff00593 li a1,-1 + 8002d940: 0000c537 lui a0,0xc + 8002d944: 00113423 sd ra,8(sp) + 8002d948: 00813023 sd s0,0(sp) + 8002d94c: 0019f797 auipc a5,0x19f + 8002d950: b0078223 sb zero,-1276(a5) # 801cc450 <_ZL4bank> + 8002d954: b04e30ef jal ra,80010c58 <_Z8setprg16jj> + 8002d958: 00000613 li a2,0 + 8002d95c: 000065b7 lui a1,0x6 + 8002d960: 01000513 li a0,16 + 8002d964: f85e20ef jal ra,800108e8 <_Z8setprg8rijj> + 8002d968: 00010437 lui s0,0x10 + 8002d96c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002d970: fffe3617 auipc a2,0xfffe3 + 8002d974: cf060613 addi a2,a2,-784 # 80010660 <_Z6CartBRj> + 8002d978: 00008537 lui a0,0x8 + 8002d97c: 0a0060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d980: fff40593 addi a1,s0,-1 + 8002d984: 00000617 auipc a2,0x0 + 8002d988: f0860613 addi a2,a2,-248 # 8002d88c <_ZL9M112Writejh> + 8002d98c: 00008537 lui a0,0x8 + 8002d990: 198060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002d994: 000065b7 lui a1,0x6 + 8002d998: 00004537 lui a0,0x4 + 8002d99c: 00000617 auipc a2,0x0 + 8002d9a0: ef060613 addi a2,a2,-272 # 8002d88c <_ZL9M112Writejh> + 8002d9a4: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8002d9a8: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 8002d9ac: 17c060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002d9b0: 00008437 lui s0,0x8 + 8002d9b4: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002d9b8: fffe3617 auipc a2,0xfffe3 + 8002d9bc: ca860613 addi a2,a2,-856 # 80010660 <_Z6CartBRj> + 8002d9c0: 00006537 lui a0,0x6 + 8002d9c4: 058060ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002d9c8: fff40593 addi a1,s0,-1 + 8002d9cc: fffe3617 auipc a2,0xfffe3 + 8002d9d0: cc060613 addi a2,a2,-832 # 8001068c <_Z6CartBWjh> + 8002d9d4: 00006537 lui a0,0x6 + 8002d9d8: 150060ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002d9dc: 00013403 ld s0,0(sp) + 8002d9e0: 00813083 ld ra,8(sp) + 8002d9e4: 0019f617 auipc a2,0x19f + 8002d9e8: a6463603 ld a2,-1436(a2) # 801cc448 <_ZL4WRAM> + 8002d9ec: 000065b7 lui a1,0x6 + 8002d9f0: 00800513 li a0,8 + 8002d9f4: 01010113 addi sp,sp,16 + 8002d9f8: e1cd206f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002d9fc <_Z14Mapper112_InitP8CartInfo>: + 8002d9fc: ff010113 addi sp,sp,-16 + 8002da00: 00113423 sd ra,8(sp) + 8002da04: 00813023 sd s0,0(sp) + 8002da08: 00000797 auipc a5,0x0 + 8002da0c: f3078793 addi a5,a5,-208 # 8002d938 <_ZL9M112Powerv> + 8002da10: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 8002da14: 00000797 auipc a5,0x0 + 8002da18: eec78793 addi a5,a5,-276 # 8002d900 <_ZL9M112Closev> + 8002da1c: 00f53823 sd a5,16(a0) + 8002da20: 00000797 auipc a5,0x0 + 8002da24: e6878793 addi a5,a5,-408 # 8002d888 <_ZL12StateRestorei> + 8002da28: 00002537 lui a0,0x2 + 8002da2c: 0019f717 auipc a4,0x19f + 8002da30: c2f73623 sd a5,-980(a4) # 801cc658 + 8002da34: a39e40ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002da38: 00050593 mv a1,a0 + 8002da3c: 0019f417 auipc s0,0x19f + 8002da40: a0c40413 addi s0,s0,-1524 # 801cc448 <_ZL4WRAM> + 8002da44: 00100693 li a3,1 + 8002da48: 00002637 lui a2,0x2 + 8002da4c: 01000513 li a0,16 + 8002da50: 00b43023 sd a1,0(s0) + 8002da54: aa1e20ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002da58: 00043503 ld a0,0(s0) + 8002da5c: 00018697 auipc a3,0x18 + 8002da60: 8cc68693 addi a3,a3,-1844 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002da64: 00000613 li a2,0 + 8002da68: 000025b7 lui a1,0x2 + 8002da6c: da4d20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002da70: 00013403 ld s0,0(sp) + 8002da74: 00813083 ld ra,8(sp) + 8002da78: 00000693 li a3,0 + 8002da7c: 00000613 li a2,0 + 8002da80: fff00593 li a1,-1 + 8002da84: 0001e517 auipc a0,0x1e + 8002da88: 46c50513 addi a0,a0,1132 # 8004bef0 <_ZL9StateRegs> + 8002da8c: 01010113 addi sp,sp,16 + 8002da90: d80d206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002da94 <_ZL8M186Readj>: + 8002da94: 000047b7 lui a5,0x4 + 8002da98: 20278713 addi a4,a5,514 # 4202 <_entry_offset+0x4202> + 8002da9c: 04e50063 beq a0,a4,8002dadc <_ZL8M186Readj+0x48> + 8002daa0: 00a77e63 bgeu a4,a0,8002dabc <_ZL8M186Readj+0x28> + 8002daa4: 20378793 addi a5,a5,515 + 8002daa8: 40f50533 sub a0,a0,a5 + 8002daac: 00a03533 snez a0,a0 + 8002dab0: 40a0053b negw a0,a0 + 8002dab4: 0ff57513 andi a0,a0,255 + 8002dab8: 00008067 ret + 8002dabc: ffffc7b7 lui a5,0xffffc + 8002dac0: e007879b addiw a5,a5,-512 + 8002dac4: 00a7853b addw a0,a5,a0 + 8002dac8: 00100793 li a5,1 + 8002dacc: 00a7b533 sltu a0,a5,a0 + 8002dad0: 40a0053b negw a0,a0 + 8002dad4: 0ff57513 andi a0,a0,255 + 8002dad8: 00008067 ret + 8002dadc: 04000513 li a0,64 + 8002dae0: 00008067 ret + +000000008002dae4 <_ZL6ASWRAMj>: + 8002dae4: ffffc7b7 lui a5,0xffffc + 8002dae8: c007879b addiw a5,a5,-1024 + 8002daec: 00a787bb addw a5,a5,a0 + 8002daf0: 02079793 slli a5,a5,0x20 + 8002daf4: 0009a517 auipc a0,0x9a + 8002daf8: cdc50513 addi a0,a0,-804 # 800c77d0 <_ZL5SWRAM> + 8002dafc: 0207d793 srli a5,a5,0x20 + 8002db00: 00f507b3 add a5,a0,a5 + 8002db04: 0007c503 lbu a0,0(a5) # ffffffffffffc000 <_end+0xffffffff7fe27000> + 8002db08: 00008067 ret + +000000008002db0c <_ZL6BSWRAMjh>: + 8002db0c: ffffc7b7 lui a5,0xffffc + 8002db10: c007879b addiw a5,a5,-1024 + 8002db14: 00a7853b addw a0,a5,a0 + 8002db18: 02051513 slli a0,a0,0x20 + 8002db1c: 02055513 srli a0,a0,0x20 + 8002db20: 0009a797 auipc a5,0x9a + 8002db24: cb078793 addi a5,a5,-848 # 800c77d0 <_ZL5SWRAM> + 8002db28: 00a78533 add a0,a5,a0 + 8002db2c: 00b50023 sb a1,0(a0) + 8002db30: 00008067 ret + +000000008002db34 <_ZL4Syncv>: + 8002db34: ff010113 addi sp,sp,-16 + 8002db38: 00813023 sd s0,0(sp) + 8002db3c: 0019f417 auipc s0,0x19f + 8002db40: 92440413 addi s0,s0,-1756 # 801cc460 <_ZL4regs> + 8002db44: 00044603 lbu a2,0(s0) + 8002db48: 000065b7 lui a1,0x6 + 8002db4c: 01000513 li a0,16 + 8002db50: 00665613 srli a2,a2,0x6 + 8002db54: 00113423 sd ra,8(sp) + 8002db58: d91e20ef jal ra,800108e8 <_Z8setprg8rijj> + 8002db5c: 00144583 lbu a1,1(s0) + 8002db60: 00008537 lui a0,0x8 + 8002db64: 8f4e30ef jal ra,80010c58 <_Z8setprg16jj> + 8002db68: 00013403 ld s0,0(sp) + 8002db6c: 00813083 ld ra,8(sp) + 8002db70: 00000593 li a1,0 + 8002db74: 0000c537 lui a0,0xc + 8002db78: 01010113 addi sp,sp,16 + 8002db7c: 8dce306f j 80010c58 <_Z8setprg16jj> + +000000008002db80 <_ZL11M186Restorei>: + 8002db80: fb5ff06f j 8002db34 <_ZL4Syncv> + +000000008002db84 <_ZL9M186Writejh>: + 8002db84: 000047b7 lui a5,0x4 + 8002db88: 20378793 addi a5,a5,515 # 4203 <_entry_offset+0x4203> + 8002db8c: 00f577b3 and a5,a0,a5 + 8002db90: 00078c63 beqz a5,8002dba8 <_ZL9M186Writejh+0x24> + 8002db94: 00357513 andi a0,a0,3 + 8002db98: 0019f797 auipc a5,0x19f + 8002db9c: 8c878793 addi a5,a5,-1848 # 801cc460 <_ZL4regs> + 8002dba0: 00a78533 add a0,a5,a0 + 8002dba4: 00b50023 sb a1,0(a0) # c000 <_entry_offset+0xc000> + 8002dba8: f8dff06f j 8002db34 <_ZL4Syncv> + +000000008002dbac <_ZL9M186Closev>: + 8002dbac: 0019f517 auipc a0,0x19f + 8002dbb0: 8ac53503 ld a0,-1876(a0) # 801cc458 <_ZL4WRAM> + 8002dbb4: 02050263 beqz a0,8002dbd8 <_ZL9M186Closev+0x2c> + 8002dbb8: ff010113 addi sp,sp,-16 + 8002dbbc: 00113423 sd ra,8(sp) + 8002dbc0: 981e40ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002dbc4: 00813083 ld ra,8(sp) + 8002dbc8: 0019f797 auipc a5,0x19f + 8002dbcc: 8807b823 sd zero,-1904(a5) # 801cc458 <_ZL4WRAM> + 8002dbd0: 01010113 addi sp,sp,16 + 8002dbd4: 00008067 ret + 8002dbd8: 0019f797 auipc a5,0x19f + 8002dbdc: 8807b023 sd zero,-1920(a5) # 801cc458 <_ZL4WRAM> + 8002dbe0: 00008067 ret + +000000008002dbe4 <_ZL9M186Powerv>: + 8002dbe4: fe010113 addi sp,sp,-32 + 8002dbe8: 00000513 li a0,0 + 8002dbec: 00113c23 sd ra,24(sp) + 8002dbf0: 00813823 sd s0,16(sp) + 8002dbf4: 00913423 sd s1,8(sp) + 8002dbf8: 00010437 lui s0,0x10 + 8002dbfc: b08e30ef jal ra,80010f04 <_Z7setchr8j> + 8002dc00: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002dc04: fffe3617 auipc a2,0xfffe3 + 8002dc08: a5c60613 addi a2,a2,-1444 # 80010660 <_Z6CartBRj> + 8002dc0c: 00006537 lui a0,0x6 + 8002dc10: 60d050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002dc14: fff40593 addi a1,s0,-1 + 8002dc18: fffe3617 auipc a2,0xfffe3 + 8002dc1c: a7460613 addi a2,a2,-1420 # 8001068c <_Z6CartBWjh> + 8002dc20: 00006537 lui a0,0x6 + 8002dc24: 705050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002dc28: 00004437 lui s0,0x4 + 8002dc2c: 3ff40593 addi a1,s0,1023 # 43ff <_entry_offset+0x43ff> + 8002dc30: 20040513 addi a0,s0,512 + 8002dc34: 00000617 auipc a2,0x0 + 8002dc38: e6060613 addi a2,a2,-416 # 8002da94 <_ZL8M186Readj> + 8002dc3c: 5e1050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002dc40: 3ff40593 addi a1,s0,1023 + 8002dc44: 20040513 addi a0,s0,512 + 8002dc48: 00000617 auipc a2,0x0 + 8002dc4c: f3c60613 addi a2,a2,-196 # 8002db84 <_ZL9M186Writejh> + 8002dc50: 6d9050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002dc54: 000054b7 lui s1,0x5 + 8002dc58: fff48593 addi a1,s1,-1 # 4fff <_entry_offset+0x4fff> + 8002dc5c: 40040513 addi a0,s0,1024 + 8002dc60: 00000617 auipc a2,0x0 + 8002dc64: e8460613 addi a2,a2,-380 # 8002dae4 <_ZL6ASWRAMj> + 8002dc68: 5b5050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002dc6c: fff48593 addi a1,s1,-1 + 8002dc70: 40040513 addi a0,s0,1024 + 8002dc74: 00000617 auipc a2,0x0 + 8002dc78: e9860613 addi a2,a2,-360 # 8002db0c <_ZL6BSWRAMjh> + 8002dc7c: 6ad050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002dc80: 0019e617 auipc a2,0x19e + 8002dc84: 7d863603 ld a2,2008(a2) # 801cc458 <_ZL4WRAM> + 8002dc88: 000065b7 lui a1,0x6 + 8002dc8c: 02000513 li a0,32 + 8002dc90: b84d20ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 8002dc94: 0019e797 auipc a5,0x19e + 8002dc98: 7cc78793 addi a5,a5,1996 # 801cc460 <_ZL4regs> + 8002dc9c: 0037c703 lbu a4,3(a5) + 8002dca0: 00e78123 sb a4,2(a5) + 8002dca4: 00e780a3 sb a4,1(a5) + 8002dca8: 00e78023 sb a4,0(a5) + 8002dcac: 01013403 ld s0,16(sp) + 8002dcb0: 01813083 ld ra,24(sp) + 8002dcb4: 00813483 ld s1,8(sp) + 8002dcb8: 02010113 addi sp,sp,32 + 8002dcbc: e79ff06f j 8002db34 <_ZL4Syncv> + +000000008002dcc0 <_Z14Mapper186_InitP8CartInfo>: + 8002dcc0: ff010113 addi sp,sp,-16 + 8002dcc4: 00113423 sd ra,8(sp) + 8002dcc8: 00813023 sd s0,0(sp) + 8002dccc: 00000797 auipc a5,0x0 + 8002dcd0: f1878793 addi a5,a5,-232 # 8002dbe4 <_ZL9M186Powerv> + 8002dcd4: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 8002dcd8: 00000797 auipc a5,0x0 + 8002dcdc: ed478793 addi a5,a5,-300 # 8002dbac <_ZL9M186Closev> + 8002dce0: 00f53823 sd a5,16(a0) + 8002dce4: 00000797 auipc a5,0x0 + 8002dce8: e9c78793 addi a5,a5,-356 # 8002db80 <_ZL11M186Restorei> + 8002dcec: 00008537 lui a0,0x8 + 8002dcf0: 0019f717 auipc a4,0x19f + 8002dcf4: 96f73423 sd a5,-1688(a4) # 801cc658 + 8002dcf8: f74e40ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002dcfc: 00050593 mv a1,a0 + 8002dd00: 0019e417 auipc s0,0x19e + 8002dd04: 75840413 addi s0,s0,1880 # 801cc458 <_ZL4WRAM> + 8002dd08: 00100693 li a3,1 + 8002dd0c: 00008637 lui a2,0x8 + 8002dd10: 01000513 li a0,16 + 8002dd14: 00b43023 sd a1,0(s0) + 8002dd18: fdce20ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002dd1c: 00043503 ld a0,0(s0) + 8002dd20: 00017697 auipc a3,0x17 + 8002dd24: 60868693 addi a3,a3,1544 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002dd28: 00000613 li a2,0 + 8002dd2c: 000085b7 lui a1,0x8 + 8002dd30: ae0d20ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002dd34: 00013403 ld s0,0(sp) + 8002dd38: 00813083 ld ra,8(sp) + 8002dd3c: 00000693 li a3,0 + 8002dd40: 00000613 li a2,0 + 8002dd44: fff00593 li a1,-1 + 8002dd48: 0001e517 auipc a0,0x1e + 8002dd4c: 22050513 addi a0,a0,544 # 8004bf68 <_ZL9StateRegs> + 8002dd50: 01010113 addi sp,sp,16 + 8002dd54: abcd206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002dd58 <_ZL9M170ProtWjh>: + 8002dd58: 00159593 slli a1,a1,0x1 + 8002dd5c: f805f593 andi a1,a1,-128 + 8002dd60: 0019e797 auipc a5,0x19e + 8002dd64: 70b78223 sb a1,1796(a5) # 801cc464 <_ZL3reg> + 8002dd68: 00008067 ret + +000000008002dd6c <_ZL9M170ProtRj>: + 8002dd6c: 0002a797 auipc a5,0x2a + 8002dd70: afc7c783 lbu a5,-1284(a5) # 80057868 + 8002dd74: 07f7f793 andi a5,a5,127 + 8002dd78: 0019e517 auipc a0,0x19e + 8002dd7c: 6ec54503 lbu a0,1772(a0) # 801cc464 <_ZL3reg> + 8002dd80: 00a7e533 or a0,a5,a0 + 8002dd84: 00008067 ret + +000000008002dd88 <_ZL4Syncv>: + 8002dd88: ff010113 addi sp,sp,-16 + 8002dd8c: 00000593 li a1,0 + 8002dd90: 00008537 lui a0,0x8 + 8002dd94: 00113423 sd ra,8(sp) + 8002dd98: ec1e20ef jal ra,80010c58 <_Z8setprg16jj> + 8002dd9c: 0000c537 lui a0,0xc + 8002dda0: fff00593 li a1,-1 + 8002dda4: eb5e20ef jal ra,80010c58 <_Z8setprg16jj> + 8002dda8: 00813083 ld ra,8(sp) + 8002ddac: 00000513 li a0,0 + 8002ddb0: 01010113 addi sp,sp,16 + 8002ddb4: 950e306f j 80010f04 <_Z7setchr8j> + +000000008002ddb8 <_ZL12StateRestorei>: + 8002ddb8: fd1ff06f j 8002dd88 <_ZL4Syncv> + +000000008002ddbc <_ZL9M170Powerv>: + 8002ddbc: ff010113 addi sp,sp,-16 + 8002ddc0: 00113423 sd ra,8(sp) + 8002ddc4: 00813023 sd s0,0(sp) + 8002ddc8: fc1ff0ef jal ra,8002dd88 <_ZL4Syncv> + 8002ddcc: 000065b7 lui a1,0x6 + 8002ddd0: 50258593 addi a1,a1,1282 # 6502 <_entry_offset+0x6502> + 8002ddd4: 00058513 mv a0,a1 + 8002ddd8: 00000617 auipc a2,0x0 + 8002dddc: f8060613 addi a2,a2,-128 # 8002dd58 <_ZL9M170ProtWjh> + 8002dde0: 549050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002dde4: 00000617 auipc a2,0x0 + 8002dde8: f7460613 addi a2,a2,-140 # 8002dd58 <_ZL9M170ProtWjh> + 8002ddec: 000075b7 lui a1,0x7 + 8002ddf0: 00007537 lui a0,0x7 + 8002ddf4: 535050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ddf8: 00007437 lui s0,0x7 + 8002ddfc: 00140593 addi a1,s0,1 # 7001 <_entry_offset+0x7001> + 8002de00: 00058513 mv a0,a1 + 8002de04: 00000617 auipc a2,0x0 + 8002de08: f6860613 addi a2,a2,-152 # 8002dd6c <_ZL9M170ProtRj> + 8002de0c: 411050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002de10: 77740593 addi a1,s0,1911 + 8002de14: 00058513 mv a0,a1 + 8002de18: 00000617 auipc a2,0x0 + 8002de1c: f5460613 addi a2,a2,-172 # 8002dd6c <_ZL9M170ProtRj> + 8002de20: 3fd050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002de24: 00013403 ld s0,0(sp) + 8002de28: 00813083 ld ra,8(sp) + 8002de2c: 000105b7 lui a1,0x10 + 8002de30: fffe3617 auipc a2,0xfffe3 + 8002de34: 83060613 addi a2,a2,-2000 # 80010660 <_Z6CartBRj> + 8002de38: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002de3c: 00008537 lui a0,0x8 + 8002de40: 01010113 addi sp,sp,16 + 8002de44: 3d90506f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008002de48 <_Z14Mapper170_InitP8CartInfo>: + 8002de48: 00000797 auipc a5,0x0 + 8002de4c: f7478793 addi a5,a5,-140 # 8002ddbc <_ZL9M170Powerv> + 8002de50: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002de54: 00000797 auipc a5,0x0 + 8002de58: f6478793 addi a5,a5,-156 # 8002ddb8 <_ZL12StateRestorei> + 8002de5c: 00000693 li a3,0 + 8002de60: 00000613 li a2,0 + 8002de64: fff00593 li a1,-1 + 8002de68: 0001e517 auipc a0,0x1e + 8002de6c: 14850513 addi a0,a0,328 # 8004bfb0 <_ZL9StateRegs> + 8002de70: 0019e717 auipc a4,0x19e + 8002de74: 7ef73423 sd a5,2024(a4) # 801cc658 + 8002de78: 998d206f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002de7c <_ZL4Syncv>: + 8002de7c: fc010113 addi sp,sp,-64 + 8002de80: 00000613 li a2,0 + 8002de84: 000065b7 lui a1,0x6 + 8002de88: 01000513 li a0,16 + 8002de8c: 02113c23 sd ra,56(sp) + 8002de90: 02813823 sd s0,48(sp) + 8002de94: 02913423 sd s1,40(sp) + 8002de98: 0019e417 auipc s0,0x19e + 8002de9c: 5d840413 addi s0,s0,1496 # 801cc470 <_ZL3prg> + 8002dea0: 03213023 sd s2,32(sp) + 8002dea4: 01313c23 sd s3,24(sp) + 8002dea8: 01413823 sd s4,16(sp) + 8002deac: 01513423 sd s5,8(sp) + 8002deb0: a39e20ef jal ra,800108e8 <_Z8setprg8rijj> + 8002deb4: 00044583 lbu a1,0(s0) + 8002deb8: 00008537 lui a0,0x8 + 8002debc: 0019ea97 auipc s5,0x19e + 8002dec0: 5d4a8a93 addi s5,s5,1492 # 801cc490 <_ZL5chrlo> + 8002dec4: bd9e20ef jal ra,80010a9c <_Z7setprg8jj> + 8002dec8: 00144583 lbu a1,1(s0) + 8002decc: 0000a537 lui a0,0xa + 8002ded0: 0019e497 auipc s1,0x19e + 8002ded4: 5b848493 addi s1,s1,1464 # 801cc488 <_ZL5chrhi> + 8002ded8: bc5e20ef jal ra,80010a9c <_Z7setprg8jj> + 8002dedc: ffe00593 li a1,-2 + 8002dee0: 0000c537 lui a0,0xc + 8002dee4: bb9e20ef jal ra,80010a9c <_Z7setprg8jj> + 8002dee8: fff00593 li a1,-1 + 8002deec: 0000e537 lui a0,0xe + 8002def0: bade20ef jal ra,80010a9c <_Z7setprg8jj> + 8002def4: 00000413 li s0,0 + 8002def8: 00100993 li s3,1 + 8002defc: 0019ea17 auipc s4,0x19e + 8002df00: 59ca0a13 addi s4,s4,1436 # 801cc498 <_ZL5vlock> + 8002df04: 00002937 lui s2,0x2 + 8002df08: 0180006f j 8002df20 <_ZL4Syncv+0xa4> + 8002df0c: 4004041b addiw s0,s0,1024 + 8002df10: f95e20ef jal ra,80010ea4 <_Z7setchr1jj> + 8002df14: 001a8a93 addi s5,s5,1 + 8002df18: 00148493 addi s1,s1,1 + 8002df1c: 05240a63 beq s0,s2,8002df70 <_ZL4Syncv+0xf4> + 8002df20: 0004c703 lbu a4,0(s1) + 8002df24: 000ac603 lbu a2,0(s5) + 8002df28: 00040513 mv a0,s0 + 8002df2c: 0087171b slliw a4,a4,0x8 + 8002df30: ffc6079b addiw a5,a2,-4 + 8002df34: 00e66633 or a2,a2,a4 + 8002df38: 0006061b sext.w a2,a2 + 8002df3c: 0ff7f793 andi a5,a5,255 + 8002df40: 00060593 mv a1,a2 + 8002df44: fcf9e4e3 bltu s3,a5,8002df0c <_ZL4Syncv+0x90> + 8002df48: 000a4783 lbu a5,0(s4) + 8002df4c: fc0790e3 bnez a5,8002df0c <_ZL4Syncv+0x90> + 8002df50: 00040593 mv a1,s0 + 8002df54: 00167613 andi a2,a2,1 + 8002df58: 01000513 li a0,16 + 8002df5c: 4004041b addiw s0,s0,1024 + 8002df60: ec5e20ef jal ra,80010e24 <_Z8setchr1rijj> + 8002df64: 001a8a93 addi s5,s5,1 + 8002df68: 00148493 addi s1,s1,1 + 8002df6c: fb241ae3 bne s0,s2,8002df20 <_ZL4Syncv+0xa4> + 8002df70: 0019e797 auipc a5,0x19e + 8002df74: 5107c783 lbu a5,1296(a5) # 801cc480 <_ZL4mirr> + 8002df78: 00200713 li a4,2 + 8002df7c: 06e78663 beq a5,a4,8002dfe8 <_ZL4Syncv+0x16c> + 8002df80: 02f76863 bltu a4,a5,8002dfb0 <_ZL4Syncv+0x134> + 8002df84: 00000513 li a0,0 + 8002df88: 04078c63 beqz a5,8002dfe0 <_ZL4Syncv+0x164> + 8002df8c: 03013403 ld s0,48(sp) + 8002df90: 03813083 ld ra,56(sp) + 8002df94: 02813483 ld s1,40(sp) + 8002df98: 02013903 ld s2,32(sp) + 8002df9c: 01813983 ld s3,24(sp) + 8002dfa0: 01013a03 ld s4,16(sp) + 8002dfa4: 00813a83 ld s5,8(sp) + 8002dfa8: 04010113 addi sp,sp,64 + 8002dfac: 89ce306f j 80011048 <_Z9setmirrori> + 8002dfb0: 00300713 li a4,3 + 8002dfb4: 00300513 li a0,3 + 8002dfb8: fce78ae3 beq a5,a4,8002df8c <_ZL4Syncv+0x110> + 8002dfbc: 03813083 ld ra,56(sp) + 8002dfc0: 03013403 ld s0,48(sp) + 8002dfc4: 02813483 ld s1,40(sp) + 8002dfc8: 02013903 ld s2,32(sp) + 8002dfcc: 01813983 ld s3,24(sp) + 8002dfd0: 01013a03 ld s4,16(sp) + 8002dfd4: 00813a83 ld s5,8(sp) + 8002dfd8: 04010113 addi sp,sp,64 + 8002dfdc: 00008067 ret + 8002dfe0: 00100513 li a0,1 + 8002dfe4: fa9ff06f j 8002df8c <_ZL4Syncv+0x110> + 8002dfe8: 00200513 li a0,2 + 8002dfec: fa1ff06f j 8002df8c <_ZL4Syncv+0x110> + +000000008002dff0 <_ZL12StateRestorei>: + 8002dff0: e8dff06f j 8002de7c <_ZL4Syncv> + +000000008002dff4 <_ZL9M253Closev>: + 8002dff4: ff010113 addi sp,sp,-16 + 8002dff8: 00113423 sd ra,8(sp) + 8002dffc: 0019e517 auipc a0,0x19e + 8002e000: 47c53503 ld a0,1148(a0) # 801cc478 <_ZL4WRAM> + 8002e004: 00050463 beqz a0,8002e00c <_ZL9M253Closev+0x18> + 8002e008: d38e40ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002e00c: 0019e517 auipc a0,0x19e + 8002e010: 49453503 ld a0,1172(a0) # 801cc4a0 <_ZL6CHRRAM> + 8002e014: 00050463 beqz a0,8002e01c <_ZL9M253Closev+0x28> + 8002e018: d28e40ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002e01c: 00813083 ld ra,8(sp) + 8002e020: 0019e797 auipc a5,0x19e + 8002e024: 4807b023 sd zero,1152(a5) # 801cc4a0 <_ZL6CHRRAM> + 8002e028: 0019e797 auipc a5,0x19e + 8002e02c: 4407b823 sd zero,1104(a5) # 801cc478 <_ZL4WRAM> + 8002e030: 01010113 addi sp,sp,16 + 8002e034: 00008067 ret + +000000008002e038 <_ZL9M253Powerv>: + 8002e038: ff010113 addi sp,sp,-16 + 8002e03c: 00113423 sd ra,8(sp) + 8002e040: 00813023 sd s0,0(sp) + 8002e044: 0019e797 auipc a5,0x19e + 8002e048: 44078a23 sb zero,1108(a5) # 801cc498 <_ZL5vlock> + 8002e04c: 00008437 lui s0,0x8 + 8002e050: e2dff0ef jal ra,8002de7c <_ZL4Syncv> + 8002e054: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002e058: fffe2617 auipc a2,0xfffe2 + 8002e05c: 60860613 addi a2,a2,1544 # 80010660 <_Z6CartBRj> + 8002e060: 00006537 lui a0,0x6 + 8002e064: 1b9050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002e068: fff40593 addi a1,s0,-1 + 8002e06c: fffe2617 auipc a2,0xfffe2 + 8002e070: 62060613 addi a2,a2,1568 # 8001068c <_Z6CartBWjh> + 8002e074: 00006537 lui a0,0x6 + 8002e078: 2b1050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002e07c: 00010437 lui s0,0x10 + 8002e080: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002e084: fffe2617 auipc a2,0xfffe2 + 8002e088: 5dc60613 addi a2,a2,1500 # 80010660 <_Z6CartBRj> + 8002e08c: 00008537 lui a0,0x8 + 8002e090: 18d050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002e094: fff40593 addi a1,s0,-1 + 8002e098: 00000617 auipc a2,0x0 + 8002e09c: 10460613 addi a2,a2,260 # 8002e19c <_ZL9M253Writejh> + 8002e0a0: 00008537 lui a0,0x8 + 8002e0a4: 285050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002e0a8: 00013403 ld s0,0(sp) + 8002e0ac: 00813083 ld ra,8(sp) + 8002e0b0: 0019e517 auipc a0,0x19e + 8002e0b4: 40452503 lw a0,1028(a0) # 801cc4b4 <_ZL8WRAMSIZE> + 8002e0b8: 0019e617 auipc a2,0x19e + 8002e0bc: 3c063603 ld a2,960(a2) # 801cc478 <_ZL4WRAM> + 8002e0c0: 000065b7 lui a1,0x6 + 8002e0c4: 00a5551b srliw a0,a0,0xa + 8002e0c8: 01010113 addi sp,sp,16 + 8002e0cc: f49d106f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002e0d0 <_ZL7M253IRQi>: + 8002e0d0: 0019e797 auipc a5,0x19e + 8002e0d4: 3a47a783 lw a5,932(a5) # 801cc474 <_ZL4IRQa> + 8002e0d8: 0c078063 beqz a5,8002e198 <_ZL7M253IRQi+0xc8> + 8002e0dc: fd010113 addi sp,sp,-48 + 8002e0e0: 00913c23 sd s1,24(sp) + 8002e0e4: 0019e497 auipc s1,0x19e + 8002e0e8: 3c448493 addi s1,s1,964 # 801cc4a8 <_ZL8IRQClock> + 8002e0ec: 0004a703 lw a4,0(s1) + 8002e0f0: 0015179b slliw a5,a0,0x1 + 8002e0f4: 00a7853b addw a0,a5,a0 + 8002e0f8: 00e507bb addw a5,a0,a4 + 8002e0fc: 02113423 sd ra,40(sp) + 8002e100: 02813023 sd s0,32(sp) + 8002e104: 01213823 sd s2,16(sp) + 8002e108: 01313423 sd s3,8(sp) + 8002e10c: 00f4a023 sw a5,0(s1) + 8002e110: 15400713 li a4,340 + 8002e114: 06f75463 bge a4,a5,8002e17c <_ZL7M253IRQi+0xac> + 8002e118: 0019e917 auipc s2,0x19e + 8002e11c: 39490913 addi s2,s2,916 # 801cc4ac <_ZL8IRQCount> + 8002e120: 00092703 lw a4,0(s2) + 8002e124: 15400413 li s0,340 + 8002e128: 0019e997 auipc s3,0x19e + 8002e12c: 38898993 addi s3,s3,904 # 801cc4b0 <_ZL8IRQLatch> + 8002e130: 00000613 li a2,0 + 8002e134: 02f45e63 bge s0,a5,8002e170 <_ZL7M253IRQi+0xa0> + 8002e138: 0017071b addiw a4,a4,1 + 8002e13c: 10077693 andi a3,a4,256 + 8002e140: eab7879b addiw a5,a5,-341 + 8002e144: 00100613 li a2,1 + 8002e148: fe0686e3 beqz a3,8002e134 <_ZL7M253IRQi+0x64> + 8002e14c: 00100513 li a0,1 + 8002e150: 00f4a023 sw a5,0(s1) + 8002e154: 00e92023 sw a4,0(s2) + 8002e158: be1d20ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8002e15c: 0009a703 lw a4,0(s3) + 8002e160: 0004a783 lw a5,0(s1) + 8002e164: 00000613 li a2,0 + 8002e168: 00e92023 sw a4,0(s2) + 8002e16c: fc9ff06f j 8002e134 <_ZL7M253IRQi+0x64> + 8002e170: 00060663 beqz a2,8002e17c <_ZL7M253IRQi+0xac> + 8002e174: 00f4a023 sw a5,0(s1) + 8002e178: 00e92023 sw a4,0(s2) + 8002e17c: 02813083 ld ra,40(sp) + 8002e180: 02013403 ld s0,32(sp) + 8002e184: 01813483 ld s1,24(sp) + 8002e188: 01013903 ld s2,16(sp) + 8002e18c: 00813983 ld s3,8(sp) + 8002e190: 03010113 addi sp,sp,48 + 8002e194: 00008067 ret + 8002e198: 00008067 ret + +000000008002e19c <_ZL9M253Writejh>: + 8002e19c: ff010113 addi sp,sp,-16 + 8002e1a0: ffff5737 lui a4,0xffff5 + 8002e1a4: 000037b7 lui a5,0x3 + 8002e1a8: 00813023 sd s0,0(sp) + 8002e1ac: 00113423 sd ra,8(sp) + 8002e1b0: 00a7073b addw a4,a4,a0 + 8002e1b4: 00c78793 addi a5,a5,12 # 300c <_entry_offset+0x300c> + 8002e1b8: 00058413 mv s0,a1 + 8002e1bc: 08e7ee63 bltu a5,a4,8002e258 <_ZL9M253Writejh+0xbc> + 8002e1c0: 0085571b srliw a4,a0,0x8 + 8002e1c4: 00857793 andi a5,a0,8 + 8002e1c8: 00e7e7b3 or a5,a5,a4 + 8002e1cc: 0037d79b srliw a5,a5,0x3 + 8002e1d0: 0027879b addiw a5,a5,2 + 8002e1d4: 0077f813 andi a6,a5,7 + 8002e1d8: 0019e617 auipc a2,0x19e + 8002e1dc: 2b860613 addi a2,a2,696 # 801cc490 <_ZL5chrlo> + 8002e1e0: 01060633 add a2,a2,a6 + 8002e1e4: 00064683 lbu a3,0(a2) + 8002e1e8: 00457513 andi a0,a0,4 + 8002e1ec: 0f000593 li a1,240 + 8002e1f0: 40a5d5bb sraw a1,a1,a0 + 8002e1f4: 00f47713 andi a4,s0,15 + 8002e1f8: 00b6f6b3 and a3,a3,a1 + 8002e1fc: 00a7173b sllw a4,a4,a0 + 8002e200: 00e6e733 or a4,a3,a4 + 8002e204: 0ff77713 andi a4,a4,255 + 8002e208: 00e60023 sb a4,0(a2) + 8002e20c: 02080663 beqz a6,8002e238 <_ZL9M253Writejh+0x9c> + 8002e210: 00050c63 beqz a0,8002e228 <_ZL9M253Writejh+0x8c> + 8002e214: 0019e797 auipc a5,0x19e + 8002e218: 27478793 addi a5,a5,628 # 801cc488 <_ZL5chrhi> + 8002e21c: 01078833 add a6,a5,a6 + 8002e220: 4044541b sraiw s0,s0,0x4 + 8002e224: 00880023 sb s0,0(a6) + 8002e228: 00013403 ld s0,0(sp) + 8002e22c: 00813083 ld ra,8(sp) + 8002e230: 01010113 addi sp,sp,16 + 8002e234: c49ff06f j 8002de7c <_ZL4Syncv> + 8002e238: 0c800793 li a5,200 + 8002e23c: 0af70863 beq a4,a5,8002e2ec <_ZL9M253Writejh+0x150> + 8002e240: 08800793 li a5,136 + 8002e244: fcf716e3 bne a4,a5,8002e210 <_ZL9M253Writejh+0x74> + 8002e248: 00100793 li a5,1 + 8002e24c: 0019e717 auipc a4,0x19e + 8002e250: 24f70623 sb a5,588(a4) # 801cc498 <_ZL5vlock> + 8002e254: fbdff06f j 8002e210 <_ZL9M253Writejh+0x74> + 8002e258: 0000f7b7 lui a5,0xf + 8002e25c: 0cf50a63 beq a0,a5,8002e330 <_ZL9M253Writejh+0x194> + 8002e260: 02a7e463 bltu a5,a0,8002e288 <_ZL9M253Writejh+0xec> + 8002e264: 000097b7 lui a5,0x9 + 8002e268: 40078793 addi a5,a5,1024 # 9400 <_entry_offset+0x9400> + 8002e26c: 0af50a63 beq a0,a5,8002e320 <_ZL9M253Writejh+0x184> + 8002e270: 0000a7b7 lui a5,0xa + 8002e274: 01078793 addi a5,a5,16 # a010 <_entry_offset+0xa010> + 8002e278: 04f51e63 bne a0,a5,8002e2d4 <_ZL9M253Writejh+0x138> + 8002e27c: 0019e797 auipc a5,0x19e + 8002e280: 1eb78aa3 sb a1,501(a5) # 801cc471 <_ZL3prg+0x1> + 8002e284: fa5ff06f j 8002e228 <_ZL9M253Writejh+0x8c> + 8002e288: 00478713 addi a4,a5,4 + 8002e28c: 06e50663 beq a0,a4,8002e2f8 <_ZL9M253Writejh+0x15c> + 8002e290: 00878793 addi a5,a5,8 + 8002e294: 02f51863 bne a0,a5,8002e2c4 <_ZL9M253Writejh+0x128> + 8002e298: 00100513 li a0,1 + 8002e29c: ab5d20ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002e2a0: 0019e797 auipc a5,0x19e + 8002e2a4: 2007a423 sw zero,520(a5) # 801cc4a8 <_ZL8IRQClock> + 8002e2a8: 0019e797 auipc a5,0x19e + 8002e2ac: 2087a783 lw a5,520(a5) # 801cc4b0 <_ZL8IRQLatch> + 8002e2b0: 00247413 andi s0,s0,2 + 8002e2b4: 0019e717 auipc a4,0x19e + 8002e2b8: 1ef72c23 sw a5,504(a4) # 801cc4ac <_ZL8IRQCount> + 8002e2bc: 0019e797 auipc a5,0x19e + 8002e2c0: 1a87ac23 sw s0,440(a5) # 801cc474 <_ZL4IRQa> + 8002e2c4: 00813083 ld ra,8(sp) + 8002e2c8: 00013403 ld s0,0(sp) + 8002e2cc: 01010113 addi sp,sp,16 + 8002e2d0: 00008067 ret + 8002e2d4: 000087b7 lui a5,0x8 + 8002e2d8: 01078793 addi a5,a5,16 # 8010 <_entry_offset+0x8010> + 8002e2dc: fef514e3 bne a0,a5,8002e2c4 <_ZL9M253Writejh+0x128> + 8002e2e0: 0019e797 auipc a5,0x19e + 8002e2e4: 18b78823 sb a1,400(a5) # 801cc470 <_ZL3prg> + 8002e2e8: f41ff06f j 8002e228 <_ZL9M253Writejh+0x8c> + 8002e2ec: 0019e797 auipc a5,0x19e + 8002e2f0: 1a078623 sb zero,428(a5) # 801cc498 <_ZL5vlock> + 8002e2f4: f1dff06f j 8002e210 <_ZL9M253Writejh+0x74> + 8002e2f8: 00100513 li a0,1 + 8002e2fc: a55d20ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002e300: 0019e717 auipc a4,0x19e + 8002e304: 1b070713 addi a4,a4,432 # 801cc4b0 <_ZL8IRQLatch> + 8002e308: 00072783 lw a5,0(a4) + 8002e30c: 0044141b slliw s0,s0,0x4 + 8002e310: 00f7f793 andi a5,a5,15 + 8002e314: 0087e433 or s0,a5,s0 + 8002e318: 00872023 sw s0,0(a4) + 8002e31c: fa9ff06f j 8002e2c4 <_ZL9M253Writejh+0x128> + 8002e320: 0035f413 andi s0,a1,3 + 8002e324: 0019e797 auipc a5,0x19e + 8002e328: 14878e23 sb s0,348(a5) # 801cc480 <_ZL4mirr> + 8002e32c: efdff06f j 8002e228 <_ZL9M253Writejh+0x8c> + 8002e330: 00100513 li a0,1 + 8002e334: a1dd20ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002e338: 0019e717 auipc a4,0x19e + 8002e33c: 17870713 addi a4,a4,376 # 801cc4b0 <_ZL8IRQLatch> + 8002e340: 00072783 lw a5,0(a4) + 8002e344: 00f47413 andi s0,s0,15 + 8002e348: 0f07f793 andi a5,a5,240 + 8002e34c: 0087e433 or s0,a5,s0 + 8002e350: 00872023 sw s0,0(a4) + 8002e354: f71ff06f j 8002e2c4 <_ZL9M253Writejh+0x128> + +000000008002e358 <_Z14Mapper253_InitP8CartInfo>: + 8002e358: fe010113 addi sp,sp,-32 + 8002e35c: 00113c23 sd ra,24(sp) + 8002e360: 00813823 sd s0,16(sp) + 8002e364: 00913423 sd s1,8(sp) + 8002e368: 01213023 sd s2,0(sp) + 8002e36c: 00000797 auipc a5,0x0 + 8002e370: ccc78793 addi a5,a5,-820 # 8002e038 <_ZL9M253Powerv> + 8002e374: 00f53023 sd a5,0(a0) + 8002e378: 00000797 auipc a5,0x0 + 8002e37c: c7c78793 addi a5,a5,-900 # 8002dff4 <_ZL9M253Closev> + 8002e380: 00f53823 sd a5,16(a0) + 8002e384: 00000797 auipc a5,0x0 + 8002e388: d4c78793 addi a5,a5,-692 # 8002e0d0 <_ZL7M253IRQi> + 8002e38c: 0019d717 auipc a4,0x19d + 8002e390: 7af73a23 sd a5,1972(a4) # 801cbb40 + 8002e394: 00000797 auipc a5,0x0 + 8002e398: c5c78793 addi a5,a5,-932 # 8002dff0 <_ZL12StateRestorei> + 8002e39c: 00050413 mv s0,a0 + 8002e3a0: 0019e717 auipc a4,0x19e + 8002e3a4: 2af73c23 sd a5,696(a4) # 801cc658 + 8002e3a8: 00001537 lui a0,0x1 + 8002e3ac: 000017b7 lui a5,0x1 + 8002e3b0: 8007879b addiw a5,a5,-2048 + 8002e3b4: 0019e497 auipc s1,0x19e + 8002e3b8: 0b448493 addi s1,s1,180 # 801cc468 <_ZL10CHRRAMSIZE> + 8002e3bc: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8002e3c0: 00f4a023 sw a5,0(s1) + 8002e3c4: 8a8e40ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002e3c8: 0004a603 lw a2,0(s1) + 8002e3cc: 00050593 mv a1,a0 + 8002e3d0: 0019e917 auipc s2,0x19e + 8002e3d4: 0d090913 addi s2,s2,208 # 801cc4a0 <_ZL6CHRRAM> + 8002e3d8: 00100693 li a3,1 + 8002e3dc: 01000513 li a0,16 + 8002e3e0: 00b93023 sd a1,0(s2) + 8002e3e4: 9e0e20ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002e3e8: 0004a583 lw a1,0(s1) + 8002e3ec: 00093503 ld a0,0(s2) + 8002e3f0: 00017697 auipc a3,0x17 + 8002e3f4: 28868693 addi a3,a3,648 # 80045678 <_ZL10prot_array+0x10> + 8002e3f8: 00000613 li a2,0 + 8002e3fc: c15d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002e400: 000027b7 lui a5,0x2 + 8002e404: 0019e497 auipc s1,0x19e + 8002e408: 0b048493 addi s1,s1,176 # 801cc4b4 <_ZL8WRAMSIZE> + 8002e40c: 00002537 lui a0,0x2 + 8002e410: 00f4a023 sw a5,0(s1) + 8002e414: 858e40ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002e418: 0004a603 lw a2,0(s1) + 8002e41c: 00050593 mv a1,a0 + 8002e420: 0019e917 auipc s2,0x19e + 8002e424: 05890913 addi s2,s2,88 # 801cc478 <_ZL4WRAM> + 8002e428: 00100693 li a3,1 + 8002e42c: 01000513 li a0,16 + 8002e430: 00b93023 sd a1,0(s2) + 8002e434: 8c0e20ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002e438: 0004a583 lw a1,0(s1) + 8002e43c: 00093503 ld a0,0(s2) + 8002e440: 00017697 auipc a3,0x17 + 8002e444: ee868693 addi a3,a3,-280 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002e448: 00000613 li a2,0 + 8002e44c: bc5d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002e450: 04c42783 lw a5,76(s0) + 8002e454: 00078a63 beqz a5,8002e468 <_Z14Mapper253_InitP8CartInfo+0x110> + 8002e458: 00093703 ld a4,0(s2) + 8002e45c: 0004a783 lw a5,0(s1) + 8002e460: 00e43c23 sd a4,24(s0) + 8002e464: 02f42c23 sw a5,56(s0) + 8002e468: 01013403 ld s0,16(sp) + 8002e46c: 01813083 ld ra,24(sp) + 8002e470: 00813483 ld s1,8(sp) + 8002e474: 00013903 ld s2,0(sp) + 8002e478: 00000693 li a3,0 + 8002e47c: 00000613 li a2,0 + 8002e480: fff00593 li a1,-1 + 8002e484: 0001e517 auipc a0,0x1e + 8002e488: b5c50513 addi a0,a0,-1188 # 8004bfe0 <_ZL9StateRegs> + 8002e48c: 02010113 addi sp,sp,32 + 8002e490: b81d106f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002e494 <_ZL11M111FlashIDj>: + 8002e494: 1ff57793 andi a5,a0,511 + 8002e498: 00078c63 beqz a5,8002e4b0 <_ZL11M111FlashIDj+0x1c> + 8002e49c: 00100713 li a4,1 + 8002e4a0: 0ff00513 li a0,255 + 8002e4a4: 00e79863 bne a5,a4,8002e4b4 <_ZL11M111FlashIDj+0x20> + 8002e4a8: 0b700513 li a0,183 + 8002e4ac: 00008067 ret + 8002e4b0: 0bf00513 li a0,191 + 8002e4b4: 00008067 ret + +000000008002e4b8 <_ZL4Syncv>: + 8002e4b8: fc010113 addi sp,sp,-64 + 8002e4bc: 0019e617 auipc a2,0x19e + 8002e4c0: ffe64603 lbu a2,-2(a2) # 801cc4ba <_ZL3reg> + 8002e4c4: 02913423 sd s1,40(sp) + 8002e4c8: 000027b7 lui a5,0x2 + 8002e4cc: 00861493 slli s1,a2,0x8 + 8002e4d0: 00f4f7b3 and a5,s1,a5 + 8002e4d4: 01513423 sd s5,8(sp) + 8002e4d8: 000044b7 lui s1,0x4 + 8002e4dc: 00465a93 srli s5,a2,0x4 + 8002e4e0: 02813823 sd s0,48(sp) + 8002e4e4: 03213023 sd s2,32(sp) + 8002e4e8: 01313c23 sd s3,24(sp) + 8002e4ec: 01413823 sd s4,16(sp) + 8002e4f0: 02113c23 sd ra,56(sp) + 8002e4f4: 001afa93 andi s5,s5,1 + 8002e4f8: 00f67913 andi s2,a2,15 + 8002e4fc: 00f484bb addw s1,s1,a5 + 8002e500: 00000413 li s0,0 + 8002e504: 0019ea17 auipc s4,0x19e + 8002e508: fbca0a13 addi s4,s4,-68 # 801cc4c0 <_ZL6CHRRAM> + 8002e50c: 00400993 li s3,4 + 8002e510: 000a3503 ld a0,0(s4) + 8002e514: 00040613 mv a2,s0 + 8002e518: 00100593 li a1,1 + 8002e51c: 00950533 add a0,a0,s1 + 8002e520: 0014041b addiw s0,s0,1 + 8002e524: 9fde20ef jal ra,80010f20 <_Z9setntamemPhij> + 8002e528: 40048493 addi s1,s1,1024 # 4400 <_entry_offset+0x4400> + 8002e52c: ff3412e3 bne s0,s3,8002e510 <_ZL4Syncv+0x58> + 8002e530: 000a8593 mv a1,s5 + 8002e534: 01000513 li a0,16 + 8002e538: 94de20ef jal ra,80010e84 <_Z8setchr8rij> + 8002e53c: 03013403 ld s0,48(sp) + 8002e540: 03813083 ld ra,56(sp) + 8002e544: 02813483 ld s1,40(sp) + 8002e548: 01813983 ld s3,24(sp) + 8002e54c: 01013a03 ld s4,16(sp) + 8002e550: 00813a83 ld s5,8(sp) + 8002e554: 0019e517 auipc a0,0x19e + 8002e558: f6754503 lbu a0,-153(a0) # 801cc4bb <_ZL5flash> + 8002e55c: 00090613 mv a2,s2 + 8002e560: 02013903 ld s2,32(sp) + 8002e564: 00a03533 snez a0,a0 + 8002e568: 000085b7 lui a1,0x8 + 8002e56c: 00451513 slli a0,a0,0x4 + 8002e570: 04010113 addi sp,sp,64 + 8002e574: ef4e206f j 80010c68 <_Z9setprg32rijj> + +000000008002e578 <_ZL12StateRestorei>: + 8002e578: f41ff06f j 8002e4b8 <_ZL4Syncv> + +000000008002e57c <_ZL9M111Writejh>: + 8002e57c: ffffd7b7 lui a5,0xffffd + 8002e580: 00f57533 and a0,a0,a5 + 8002e584: 000057b7 lui a5,0x5 + 8002e588: 00f50463 beq a0,a5,8002e590 <_ZL9M111Writejh+0x14> + 8002e58c: 00008067 ret + 8002e590: 0019e797 auipc a5,0x19e + 8002e594: f2b78523 sb a1,-214(a5) # 801cc4ba <_ZL3reg> + 8002e598: f21ff06f j 8002e4b8 <_ZL4Syncv> + +000000008002e59c <_ZL9M111Closev>: + 8002e59c: ff010113 addi sp,sp,-16 + 8002e5a0: 00113423 sd ra,8(sp) + 8002e5a4: 0019e517 auipc a0,0x19e + 8002e5a8: f1c53503 ld a0,-228(a0) # 801cc4c0 <_ZL6CHRRAM> + 8002e5ac: 00050463 beqz a0,8002e5b4 <_ZL9M111Closev+0x18> + 8002e5b0: f91e30ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002e5b4: 0019e797 auipc a5,0x19e + 8002e5b8: f007b623 sd zero,-244(a5) # 801cc4c0 <_ZL6CHRRAM> + 8002e5bc: 0019e517 auipc a0,0x19e + 8002e5c0: f0c53503 ld a0,-244(a0) # 801cc4c8 <_ZL8FLASHROM> + 8002e5c4: 00050463 beqz a0,8002e5cc <_ZL9M111Closev+0x30> + 8002e5c8: f79e30ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002e5cc: 00813083 ld ra,8(sp) + 8002e5d0: 0019e797 auipc a5,0x19e + 8002e5d4: ee07bc23 sd zero,-264(a5) # 801cc4c8 <_ZL8FLASHROM> + 8002e5d8: 01010113 addi sp,sp,16 + 8002e5dc: 00008067 ret + +000000008002e5e0 <_ZL9M111Powerv>: + 8002e5e0: ff010113 addi sp,sp,-16 + 8002e5e4: fff00793 li a5,-1 + 8002e5e8: 0019e717 auipc a4,0x19e + 8002e5ec: ecf70923 sb a5,-302(a4) # 801cc4ba <_ZL3reg> + 8002e5f0: 00113423 sd ra,8(sp) + 8002e5f4: 00813023 sd s0,0(sp) + 8002e5f8: ec1ff0ef jal ra,8002e4b8 <_ZL4Syncv> + 8002e5fc: 00010437 lui s0,0x10 + 8002e600: fffe2617 auipc a2,0xfffe2 + 8002e604: 06060613 addi a2,a2,96 # 80010660 <_Z6CartBRj> + 8002e608: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002e60c: 00008537 lui a0,0x8 + 8002e610: 40c050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002e614: 000065b7 lui a1,0x6 + 8002e618: 00000617 auipc a2,0x0 + 8002e61c: f6460613 addi a2,a2,-156 # 8002e57c <_ZL9M111Writejh> + 8002e620: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8002e624: 00005537 lui a0,0x5 + 8002e628: 500050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002e62c: 000085b7 lui a1,0x8 + 8002e630: 00000617 auipc a2,0x0 + 8002e634: f4c60613 addi a2,a2,-180 # 8002e57c <_ZL9M111Writejh> + 8002e638: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8002e63c: 00007537 lui a0,0x7 + 8002e640: 4e8050ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002e644: 0019e797 auipc a5,0x19e + 8002e648: e777c783 lbu a5,-393(a5) # 801cc4bb <_ZL5flash> + 8002e64c: 00079a63 bnez a5,8002e660 <_ZL9M111Powerv+0x80> + 8002e650: 00813083 ld ra,8(sp) + 8002e654: 00013403 ld s0,0(sp) + 8002e658: 01010113 addi sp,sp,16 + 8002e65c: 00008067 ret + 8002e660: fff40593 addi a1,s0,-1 + 8002e664: 00013403 ld s0,0(sp) + 8002e668: 00813083 ld ra,8(sp) + 8002e66c: 0019e797 auipc a5,0x19e + 8002e670: e4078623 sb zero,-436(a5) # 801cc4b8 <_ZL10flash_mode> + 8002e674: 0019e797 auipc a5,0x19e + 8002e678: e40782a3 sb zero,-443(a5) # 801cc4b9 <_ZL14flash_sequence> + 8002e67c: 0019e797 auipc a5,0x19e + 8002e680: e4078a23 sb zero,-428(a5) # 801cc4d0 <_ZL8flash_id> + 8002e684: 00000617 auipc a2,0x0 + 8002e688: 03460613 addi a2,a2,52 # 8002e6b8 <_ZL9M111Flashjh> + 8002e68c: 00008537 lui a0,0x8 + 8002e690: 01010113 addi sp,sp,16 + 8002e694: 4940506f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002e698 <_Z15M111FlashIDExitv.part.0>: + 8002e698: 000105b7 lui a1,0x10 + 8002e69c: fffe2617 auipc a2,0xfffe2 + 8002e6a0: fc460613 addi a2,a2,-60 # 80010660 <_Z6CartBRj> + 8002e6a4: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002e6a8: 00008537 lui a0,0x8 + 8002e6ac: 0019e797 auipc a5,0x19e + 8002e6b0: e2078223 sb zero,-476(a5) # 801cc4d0 <_ZL8flash_id> + 8002e6b4: 3680506f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008002e6b8 <_ZL9M111Flashjh>: + 8002e6b8: ffff87b7 lui a5,0xffff8 + 8002e6bc: 00a787bb addw a5,a5,a0 + 8002e6c0: 00008737 lui a4,0x8 + 8002e6c4: 06e7fc63 bgeu a5,a4,8002e73c <_ZL9M111Flashjh+0x84> + 8002e6c8: 0019e797 auipc a5,0x19e + 8002e6cc: df27c783 lbu a5,-526(a5) # 801cc4ba <_ZL3reg> + 8002e6d0: fff70713 addi a4,a4,-1 # 7fff <_entry_offset+0x7fff> + 8002e6d4: 000786b7 lui a3,0x78 + 8002e6d8: 00f7979b slliw a5,a5,0xf + 8002e6dc: 0019e817 auipc a6,0x19e + 8002e6e0: ddc80813 addi a6,a6,-548 # 801cc4b8 <_ZL10flash_mode> + 8002e6e4: 00d7f7b3 and a5,a5,a3 + 8002e6e8: 00e57533 and a0,a0,a4 + 8002e6ec: 00084683 lbu a3,0(a6) + 8002e6f0: 00a7e7b3 or a5,a5,a0 + 8002e6f4: 0007879b sext.w a5,a5 + 8002e6f8: 00200613 li a2,2 + 8002e6fc: 00e7f733 and a4,a5,a4 + 8002e700: 0ac68063 beq a3,a2,8002e7a0 <_ZL9M111Flashjh+0xe8> + 8002e704: ff010113 addi sp,sp,-16 + 8002e708: 00113423 sd ra,8(sp) + 8002e70c: 00300513 li a0,3 + 8002e710: 06a68063 beq a3,a0,8002e770 <_ZL9M111Flashjh+0xb8> + 8002e714: 00100793 li a5,1 + 8002e718: 02f68463 beq a3,a5,8002e740 <_ZL9M111Flashjh+0x88> + 8002e71c: 000056b7 lui a3,0x5 + 8002e720: 55568693 addi a3,a3,1365 # 5555 <_entry_offset+0x5555> + 8002e724: 14d70a63 beq a4,a3,8002e878 <_ZL9M111Flashjh+0x1c0> + 8002e728: 0f000793 li a5,240 + 8002e72c: 16f58263 beq a1,a5,8002e890 <_ZL9M111Flashjh+0x1d8> + 8002e730: 00813083 ld ra,8(sp) + 8002e734: 01010113 addi sp,sp,16 + 8002e738: 00008067 ret + 8002e73c: 00008067 ret + 8002e740: 0019e517 auipc a0,0x19e + 8002e744: d7950513 addi a0,a0,-647 # 801cc4b9 <_ZL14flash_sequence> + 8002e748: 00054783 lbu a5,0(a0) + 8002e74c: 0c079263 bnez a5,8002e810 <_ZL9M111Flashjh+0x158> + 8002e750: 000037b7 lui a5,0x3 + 8002e754: aaa78793 addi a5,a5,-1366 # 2aaa <_entry_offset+0x2aaa> + 8002e758: 16f70663 beq a4,a5,8002e8c4 <_ZL9M111Flashjh+0x20c> + 8002e75c: 00813083 ld ra,8(sp) + 8002e760: 0019e797 auipc a5,0x19e + 8002e764: d4078c23 sb zero,-680(a5) # 801cc4b8 <_ZL10flash_mode> + 8002e768: 01010113 addi sp,sp,16 + 8002e76c: 00008067 ret + 8002e770: 0019e517 auipc a0,0x19e + 8002e774: d4950513 addi a0,a0,-695 # 801cc4b9 <_ZL14flash_sequence> + 8002e778: 00054683 lbu a3,0(a0) + 8002e77c: 04069863 bnez a3,8002e7cc <_ZL9M111Flashjh+0x114> + 8002e780: 000057b7 lui a5,0x5 + 8002e784: 55578793 addi a5,a5,1365 # 5555 <_entry_offset+0x5555> + 8002e788: fcf71ae3 bne a4,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e78c: 0aa00793 li a5,170 + 8002e790: fcf596e3 bne a1,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e794: 00100793 li a5,1 + 8002e798: 00f50023 sb a5,0(a0) + 8002e79c: f95ff06f j 8002e730 <_ZL9M111Flashjh+0x78> + 8002e7a0: 02079793 slli a5,a5,0x20 + 8002e7a4: 0019e717 auipc a4,0x19e + 8002e7a8: d2473703 ld a4,-732(a4) # 801cc4c8 <_ZL8FLASHROM> + 8002e7ac: 0207d793 srli a5,a5,0x20 + 8002e7b0: 00f707b3 add a5,a4,a5 + 8002e7b4: 0007c703 lbu a4,0(a5) + 8002e7b8: 00e5f5b3 and a1,a1,a4 + 8002e7bc: 00b78023 sb a1,0(a5) + 8002e7c0: 0019e797 auipc a5,0x19e + 8002e7c4: ce078c23 sb zero,-776(a5) # 801cc4b8 <_ZL10flash_mode> + 8002e7c8: 00008067 ret + 8002e7cc: 00100813 li a6,1 + 8002e7d0: 0d068c63 beq a3,a6,8002e8a8 <_ZL9M111Flashjh+0x1f0> + 8002e7d4: f8c694e3 bne a3,a2,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e7d8: 000056b7 lui a3,0x5 + 8002e7dc: 55568693 addi a3,a3,1365 # 5555 <_entry_offset+0x5555> + 8002e7e0: 10d70663 beq a4,a3,8002e8ec <_ZL9M111Flashjh+0x234> + 8002e7e4: 03000713 li a4,48 + 8002e7e8: f6e59ae3 bne a1,a4,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e7ec: 0007f737 lui a4,0x7f + 8002e7f0: 00e7f7b3 and a5,a5,a4 + 8002e7f4: 0019e517 auipc a0,0x19e + 8002e7f8: cd453503 ld a0,-812(a0) # 801cc4c8 <_ZL8FLASHROM> + 8002e7fc: 00001637 lui a2,0x1 + 8002e800: 0ff00593 li a1,255 + 8002e804: 00f50533 add a0,a0,a5 + 8002e808: 2200d0ef jal ra,8003ba28 + 8002e80c: f51ff06f j 8002e75c <_ZL9M111Flashjh+0xa4> + 8002e810: f4d796e3 bne a5,a3,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e814: 000057b7 lui a5,0x5 + 8002e818: 55578793 addi a5,a5,1365 # 5555 <_entry_offset+0x5555> + 8002e81c: f4f710e3 bne a4,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e820: 0019e797 auipc a5,0x19e + 8002e824: c8078ca3 sb zero,-871(a5) # 801cc4b9 <_ZL14flash_sequence> + 8002e828: 0a000793 li a5,160 + 8002e82c: 0ef58063 beq a1,a5,8002e90c <_ZL9M111Flashjh+0x254> + 8002e830: 0ab7e063 bltu a5,a1,8002e8d0 <_ZL9M111Flashjh+0x218> + 8002e834: 08000793 li a5,128 + 8002e838: 0cf58e63 beq a1,a5,8002e914 <_ZL9M111Flashjh+0x25c> + 8002e83c: 09000793 li a5,144 + 8002e840: f0f59ee3 bne a1,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e844: 0019e797 auipc a5,0x19e + 8002e848: c8c78793 addi a5,a5,-884 # 801cc4d0 <_ZL8flash_id> + 8002e84c: 0007c703 lbu a4,0(a5) + 8002e850: f00716e3 bnez a4,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e854: 000105b7 lui a1,0x10 + 8002e858: 00100713 li a4,1 + 8002e85c: 00000617 auipc a2,0x0 + 8002e860: c3860613 addi a2,a2,-968 # 8002e494 <_ZL11M111FlashIDj> + 8002e864: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002e868: 00008537 lui a0,0x8 + 8002e86c: 00e78023 sb a4,0(a5) + 8002e870: 1ac050ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002e874: ee9ff06f j 8002e75c <_ZL9M111Flashjh+0xa4> + 8002e878: 0aa00713 li a4,170 + 8002e87c: eae596e3 bne a1,a4,8002e728 <_ZL9M111Flashjh+0x70> + 8002e880: 00f80023 sb a5,0(a6) + 8002e884: 0019e797 auipc a5,0x19e + 8002e888: c2078aa3 sb zero,-971(a5) # 801cc4b9 <_ZL14flash_sequence> + 8002e88c: ea5ff06f j 8002e730 <_ZL9M111Flashjh+0x78> + 8002e890: 0019e797 auipc a5,0x19e + 8002e894: c407c783 lbu a5,-960(a5) # 801cc4d0 <_ZL8flash_id> + 8002e898: e8078ce3 beqz a5,8002e730 <_ZL9M111Flashjh+0x78> + 8002e89c: 00813083 ld ra,8(sp) + 8002e8a0: 01010113 addi sp,sp,16 + 8002e8a4: df5ff06f j 8002e698 <_Z15M111FlashIDExitv.part.0> + 8002e8a8: 000037b7 lui a5,0x3 + 8002e8ac: aaa78793 addi a5,a5,-1366 # 2aaa <_entry_offset+0x2aaa> + 8002e8b0: eaf716e3 bne a4,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8b4: 05500793 li a5,85 + 8002e8b8: eaf592e3 bne a1,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8bc: 00c50023 sb a2,0(a0) # 8000 <_entry_offset+0x8000> + 8002e8c0: e71ff06f j 8002e730 <_ZL9M111Flashjh+0x78> + 8002e8c4: 05500793 li a5,85 + 8002e8c8: e8f59ae3 bne a1,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8cc: ec9ff06f j 8002e794 <_ZL9M111Flashjh+0xdc> + 8002e8d0: 0f000793 li a5,240 + 8002e8d4: e8f594e3 bne a1,a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8d8: 0019e797 auipc a5,0x19e + 8002e8dc: bf87c783 lbu a5,-1032(a5) # 801cc4d0 <_ZL8flash_id> + 8002e8e0: e6078ee3 beqz a5,8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8e4: db5ff0ef jal ra,8002e698 <_Z15M111FlashIDExitv.part.0> + 8002e8e8: e75ff06f j 8002e75c <_ZL9M111Flashjh+0xa4> + 8002e8ec: 01000713 li a4,16 + 8002e8f0: eee59ae3 bne a1,a4,8002e7e4 <_ZL9M111Flashjh+0x12c> + 8002e8f4: 00080637 lui a2,0x80 + 8002e8f8: 0ff00593 li a1,255 + 8002e8fc: 0019e517 auipc a0,0x19e + 8002e900: bcc53503 ld a0,-1076(a0) # 801cc4c8 <_ZL8FLASHROM> + 8002e904: 1240d0ef jal ra,8003ba28 + 8002e908: e55ff06f j 8002e75c <_ZL9M111Flashjh+0xa4> + 8002e90c: 00c80023 sb a2,0(a6) + 8002e910: e21ff06f j 8002e730 <_ZL9M111Flashjh+0x78> + 8002e914: 00300793 li a5,3 + 8002e918: 00f80023 sb a5,0(a6) + 8002e91c: e15ff06f j 8002e730 <_ZL9M111Flashjh+0x78> + +000000008002e920 <_Z14Mapper111_InitP8CartInfo>: + 8002e920: fe010113 addi sp,sp,-32 + 8002e924: 00113c23 sd ra,24(sp) + 8002e928: 00813823 sd s0,16(sp) + 8002e92c: 00913423 sd s1,8(sp) + 8002e930: 00000797 auipc a5,0x0 + 8002e934: cb078793 addi a5,a5,-848 # 8002e5e0 <_ZL9M111Powerv> + 8002e938: 00f53023 sd a5,0(a0) + 8002e93c: 00000797 auipc a5,0x0 + 8002e940: c6078793 addi a5,a5,-928 # 8002e59c <_ZL9M111Closev> + 8002e944: 00f53823 sd a5,16(a0) + 8002e948: 00050413 mv s0,a0 + 8002e94c: 00008537 lui a0,0x8 + 8002e950: b1de30ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002e954: 00050593 mv a1,a0 + 8002e958: 0019e497 auipc s1,0x19e + 8002e95c: b6848493 addi s1,s1,-1176 # 801cc4c0 <_ZL6CHRRAM> + 8002e960: 00100693 li a3,1 + 8002e964: 00008637 lui a2,0x8 + 8002e968: 01000513 li a0,16 + 8002e96c: 00b4b023 sd a1,0(s1) + 8002e970: c55e10ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 8002e974: 00000797 auipc a5,0x0 + 8002e978: c0478793 addi a5,a5,-1020 # 8002e578 <_ZL12StateRestorei> + 8002e97c: 00000693 li a3,0 + 8002e980: 00000613 li a2,0 + 8002e984: fff00593 li a1,-1 + 8002e988: 0001d517 auipc a0,0x1d + 8002e98c: 74850513 addi a0,a0,1864 # 8004c0d0 <_ZL9StateRegs> + 8002e990: 0019e717 auipc a4,0x19e + 8002e994: ccf73423 sd a5,-824(a4) # 801cc658 + 8002e998: e78d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002e99c: 0004b503 ld a0,0(s1) + 8002e9a0: 00017697 auipc a3,0x17 + 8002e9a4: cd868693 addi a3,a3,-808 # 80045678 <_ZL10prot_array+0x10> + 8002e9a8: 00000613 li a2,0 + 8002e9ac: 000085b7 lui a1,0x8 + 8002e9b0: e60d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002e9b4: 04c42783 lw a5,76(s0) + 8002e9b8: 00f03733 snez a4,a5 + 8002e9bc: 0019e697 auipc a3,0x19e + 8002e9c0: aee68fa3 sb a4,-1281(a3) # 801cc4bb <_ZL5flash> + 8002e9c4: 00079c63 bnez a5,8002e9dc <_Z14Mapper111_InitP8CartInfo+0xbc> + 8002e9c8: 01813083 ld ra,24(sp) + 8002e9cc: 01013403 ld s0,16(sp) + 8002e9d0: 00813483 ld s1,8(sp) + 8002e9d4: 02010113 addi sp,sp,32 + 8002e9d8: 00008067 ret + 8002e9dc: 00080537 lui a0,0x80 + 8002e9e0: a8de30ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002e9e4: 00080737 lui a4,0x80 + 8002e9e8: 02e42c23 sw a4,56(s0) + 8002e9ec: 0019e497 auipc s1,0x19e + 8002e9f0: adc48493 addi s1,s1,-1316 # 801cc4c8 <_ZL8FLASHROM> + 8002e9f4: 00017697 auipc a3,0x17 + 8002e9f8: 6f468693 addi a3,a3,1780 # 800460e8 <_ZL3lut+0x288> + 8002e9fc: 00000613 li a2,0 + 8002ea00: 000805b7 lui a1,0x80 + 8002ea04: 00a43c23 sd a0,24(s0) + 8002ea08: 00a4b023 sd a0,0(s1) + 8002ea0c: e04d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002ea10: fff00593 li a1,-1 + 8002ea14: 0001e517 auipc a0,0x1e + 8002ea18: 6f450513 addi a0,a0,1780 # 8004d108 <_ZL9FlashRegs> + 8002ea1c: 00000693 li a3,0 + 8002ea20: 00000613 li a2,0 + 8002ea24: decd10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002ea28: 0004b583 ld a1,0(s1) + 8002ea2c: 0019d817 auipc a6,0x19d + 8002ea30: 23482803 lw a6,564(a6) # 801cbc60 + 8002ea34: 00080537 lui a0,0x80 + 8002ea38: 00e8181b slliw a6,a6,0xe + 8002ea3c: 00058713 mv a4,a1 + 8002ea40: 00a58533 add a0,a1,a0 + 8002ea44: 00000793 li a5,0 + 8002ea48: 0019d897 auipc a7,0x19d + 8002ea4c: 21088893 addi a7,a7,528 # 801cbc58 + 8002ea50: 0008b683 ld a3,0(a7) + 8002ea54: 02079613 slli a2,a5,0x20 + 8002ea58: 02065613 srli a2,a2,0x20 + 8002ea5c: 00c686b3 add a3,a3,a2 + 8002ea60: 0006c603 lbu a2,0(a3) + 8002ea64: 0017879b addiw a5,a5,1 + 8002ea68: 0107b6b3 sltu a3,a5,a6 + 8002ea6c: 40d006b3 neg a3,a3 + 8002ea70: 00c70023 sb a2,0(a4) # 80000 <_entry_offset+0x80000> + 8002ea74: 00170713 addi a4,a4,1 + 8002ea78: 00d7f7b3 and a5,a5,a3 + 8002ea7c: fca71ae3 bne a4,a0,8002ea50 <_Z14Mapper111_InitP8CartInfo+0x130> + 8002ea80: 01013403 ld s0,16(sp) + 8002ea84: 01813083 ld ra,24(sp) + 8002ea88: 00813483 ld s1,8(sp) + 8002ea8c: 00000693 li a3,0 + 8002ea90: 00080637 lui a2,0x80 + 8002ea94: 01000513 li a0,16 + 8002ea98: 02010113 addi sp,sp,32 + 8002ea9c: a59e106f j 800104f4 <_Z19SetupCartPRGMappingiPhji> + +000000008002eaa0 <_ZL9M156Resetv>: + 8002eaa0: 0019e797 auipc a5,0x19e + 8002eaa4: a5078793 addi a5,a5,-1456 # 801cc4f0 <_ZL5chrlo> + 8002eaa8: 0019e717 auipc a4,0x19e + 8002eaac: a4070713 addi a4,a4,-1472 # 801cc4e8 <_ZL5chrhi> + 8002eab0: 0019e697 auipc a3,0x19e + 8002eab4: a4868693 addi a3,a3,-1464 # 801cc4f8 <_ZL8WRAMSIZE> + 8002eab8: 00078023 sb zero,0(a5) + 8002eabc: 00070023 sb zero,0(a4) + 8002eac0: 00178793 addi a5,a5,1 + 8002eac4: 00170713 addi a4,a4,1 + 8002eac8: fed798e3 bne a5,a3,8002eab8 <_ZL9M156Resetv+0x18> + 8002eacc: 0019e797 auipc a5,0x19e + 8002ead0: a0078323 sb zero,-1530(a5) # 801cc4d2 <_ZL3prg> + 8002ead4: 0019e797 auipc a5,0x19e + 8002ead8: a0078623 sb zero,-1524(a5) # 801cc4e0 <_ZL4mirr> + 8002eadc: 0019e797 auipc a5,0x19e + 8002eae0: 9e078aa3 sb zero,-1547(a5) # 801cc4d1 <_ZL10mirrisused> + 8002eae4: 00008067 ret + +000000008002eae8 <_ZL9M156Closev>: + 8002eae8: 0019e517 auipc a0,0x19e + 8002eaec: 9f053503 ld a0,-1552(a0) # 801cc4d8 <_ZL4WRAM> + 8002eaf0: 02050263 beqz a0,8002eb14 <_ZL9M156Closev+0x2c> + 8002eaf4: ff010113 addi sp,sp,-16 + 8002eaf8: 00113423 sd ra,8(sp) + 8002eafc: a45e30ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002eb00: 00813083 ld ra,8(sp) + 8002eb04: 0019e797 auipc a5,0x19e + 8002eb08: 9c07ba23 sd zero,-1580(a5) # 801cc4d8 <_ZL4WRAM> + 8002eb0c: 01010113 addi sp,sp,16 + 8002eb10: 00008067 ret + 8002eb14: 0019e797 auipc a5,0x19e + 8002eb18: 9c07b223 sd zero,-1596(a5) # 801cc4d8 <_ZL4WRAM> + 8002eb1c: 00008067 ret + +000000008002eb20 <_ZL4Syncv>: + 8002eb20: fd010113 addi sp,sp,-48 + 8002eb24: 02813023 sd s0,32(sp) + 8002eb28: 00913c23 sd s1,24(sp) + 8002eb2c: 01213823 sd s2,16(sp) + 8002eb30: 01313423 sd s3,8(sp) + 8002eb34: 02113423 sd ra,40(sp) + 8002eb38: 0019e917 auipc s2,0x19e + 8002eb3c: 9b890913 addi s2,s2,-1608 # 801cc4f0 <_ZL5chrlo> + 8002eb40: 0019e497 auipc s1,0x19e + 8002eb44: 9a848493 addi s1,s1,-1624 # 801cc4e8 <_ZL5chrhi> + 8002eb48: 00000413 li s0,0 + 8002eb4c: 000029b7 lui s3,0x2 + 8002eb50: 0004c583 lbu a1,0(s1) + 8002eb54: 00094783 lbu a5,0(s2) + 8002eb58: 00040513 mv a0,s0 + 8002eb5c: 0085959b slliw a1,a1,0x8 + 8002eb60: 00f5e5b3 or a1,a1,a5 + 8002eb64: 0005859b sext.w a1,a1 + 8002eb68: 4004041b addiw s0,s0,1024 + 8002eb6c: b38e20ef jal ra,80010ea4 <_Z7setchr1jj> + 8002eb70: 00190913 addi s2,s2,1 + 8002eb74: 00148493 addi s1,s1,1 + 8002eb78: fd341ce3 bne s0,s3,8002eb50 <_ZL4Syncv+0x30> + 8002eb7c: 00000613 li a2,0 + 8002eb80: 000065b7 lui a1,0x6 + 8002eb84: 01000513 li a0,16 + 8002eb88: d61e10ef jal ra,800108e8 <_Z8setprg8rijj> + 8002eb8c: 0019e597 auipc a1,0x19e + 8002eb90: 9465c583 lbu a1,-1722(a1) # 801cc4d2 <_ZL3prg> + 8002eb94: 00008537 lui a0,0x8 + 8002eb98: 8c0e20ef jal ra,80010c58 <_Z8setprg16jj> + 8002eb9c: 0000c537 lui a0,0xc + 8002eba0: fff00593 li a1,-1 + 8002eba4: 8b4e20ef jal ra,80010c58 <_Z8setprg16jj> + 8002eba8: 0019e797 auipc a5,0x19e + 8002ebac: 9297c783 lbu a5,-1751(a5) # 801cc4d1 <_ZL10mirrisused> + 8002ebb0: 00200513 li a0,2 + 8002ebb4: 00078863 beqz a5,8002ebc4 <_ZL4Syncv+0xa4> + 8002ebb8: 0019e517 auipc a0,0x19e + 8002ebbc: 92854503 lbu a0,-1752(a0) # 801cc4e0 <_ZL4mirr> + 8002ebc0: 00154513 xori a0,a0,1 + 8002ebc4: 02013403 ld s0,32(sp) + 8002ebc8: 02813083 ld ra,40(sp) + 8002ebcc: 01813483 ld s1,24(sp) + 8002ebd0: 01013903 ld s2,16(sp) + 8002ebd4: 00813983 ld s3,8(sp) + 8002ebd8: 03010113 addi sp,sp,48 + 8002ebdc: c6ce206f j 80011048 <_Z9setmirrori> + +000000008002ebe0 <_ZL12StateRestorei>: + 8002ebe0: f41ff06f j 8002eb20 <_ZL4Syncv> + +000000008002ebe4 <_ZL9M156Writejh>: + 8002ebe4: ffff47b7 lui a5,0xffff4 + 8002ebe8: 00a787bb addw a5,a5,a0 + 8002ebec: 0007869b sext.w a3,a5 + 8002ebf0: 01400713 li a4,20 + 8002ebf4: 08d76463 bltu a4,a3,8002ec7c <_ZL9M156Writejh+0x98> + 8002ebf8: 02079793 slli a5,a5,0x20 + 8002ebfc: 0207d793 srli a5,a5,0x20 + 8002ec00: 00017717 auipc a4,0x17 + 8002ec04: 50870713 addi a4,a4,1288 # 80046108 <_ZL3lut+0x2a8> + 8002ec08: 00279793 slli a5,a5,0x2 + 8002ec0c: 00e787b3 add a5,a5,a4 + 8002ec10: 0007a783 lw a5,0(a5) # ffffffffffff4000 <_end+0xffffffff7fe1f000> + 8002ec14: 00e787b3 add a5,a5,a4 + 8002ec18: 00078067 jr a5 + 8002ec1c: 00357513 andi a0,a0,3 + 8002ec20: 0019e797 auipc a5,0x19e + 8002ec24: 8cc78793 addi a5,a5,-1844 # 801cc4ec <_ZL5chrhi+0x4> + 8002ec28: 00f50533 add a0,a0,a5 + 8002ec2c: 00b50023 sb a1,0(a0) + 8002ec30: ef1ff06f j 8002eb20 <_ZL4Syncv> + 8002ec34: 00357513 andi a0,a0,3 + 8002ec38: 0019e797 auipc a5,0x19e + 8002ec3c: 8b878793 addi a5,a5,-1864 # 801cc4f0 <_ZL5chrlo> + 8002ec40: 00a78533 add a0,a5,a0 + 8002ec44: 00b50023 sb a1,0(a0) + 8002ec48: ed9ff06f j 8002eb20 <_ZL4Syncv> + 8002ec4c: 00357513 andi a0,a0,3 + 8002ec50: 0019e797 auipc a5,0x19e + 8002ec54: 89878793 addi a5,a5,-1896 # 801cc4e8 <_ZL5chrhi> + 8002ec58: 00a78533 add a0,a5,a0 + 8002ec5c: 00b50023 sb a1,0(a0) + 8002ec60: ec1ff06f j 8002eb20 <_ZL4Syncv> + 8002ec64: 00357513 andi a0,a0,3 + 8002ec68: 0019e797 auipc a5,0x19e + 8002ec6c: 88c78793 addi a5,a5,-1908 # 801cc4f4 <_ZL5chrlo+0x4> + 8002ec70: 00f50533 add a0,a0,a5 + 8002ec74: 00b50023 sb a1,0(a0) + 8002ec78: ea9ff06f j 8002eb20 <_ZL4Syncv> + 8002ec7c: 00008067 ret + 8002ec80: 0019e797 auipc a5,0x19e + 8002ec84: 84b78923 sb a1,-1966(a5) # 801cc4d2 <_ZL3prg> + 8002ec88: e99ff06f j 8002eb20 <_ZL4Syncv> + 8002ec8c: 0019e797 auipc a5,0x19e + 8002ec90: 84b78a23 sb a1,-1964(a5) # 801cc4e0 <_ZL4mirr> + 8002ec94: 00100793 li a5,1 + 8002ec98: 0019e717 auipc a4,0x19e + 8002ec9c: 82f70ca3 sb a5,-1991(a4) # 801cc4d1 <_ZL10mirrisused> + 8002eca0: e81ff06f j 8002eb20 <_ZL4Syncv> + +000000008002eca4 <_ZL9M156Powerv>: + 8002eca4: ff010113 addi sp,sp,-16 + 8002eca8: 00113423 sd ra,8(sp) + 8002ecac: 0019e797 auipc a5,0x19e + 8002ecb0: 84478793 addi a5,a5,-1980 # 801cc4f0 <_ZL5chrlo> + 8002ecb4: 0019e717 auipc a4,0x19e + 8002ecb8: 83470713 addi a4,a4,-1996 # 801cc4e8 <_ZL5chrhi> + 8002ecbc: 0019e697 auipc a3,0x19e + 8002ecc0: 83c68693 addi a3,a3,-1988 # 801cc4f8 <_ZL8WRAMSIZE> + 8002ecc4: 00078023 sb zero,0(a5) + 8002ecc8: 00070023 sb zero,0(a4) + 8002eccc: 00178793 addi a5,a5,1 + 8002ecd0: 00170713 addi a4,a4,1 + 8002ecd4: fed798e3 bne a5,a3,8002ecc4 <_ZL9M156Powerv+0x20> + 8002ecd8: 0019d797 auipc a5,0x19d + 8002ecdc: 7e078d23 sb zero,2042(a5) # 801cc4d2 <_ZL3prg> + 8002ece0: 0019e797 auipc a5,0x19e + 8002ece4: 80078023 sb zero,-2048(a5) # 801cc4e0 <_ZL4mirr> + 8002ece8: 0019d797 auipc a5,0x19d + 8002ecec: 7e0784a3 sb zero,2025(a5) # 801cc4d1 <_ZL10mirrisused> + 8002ecf0: e31ff0ef jal ra,8002eb20 <_ZL4Syncv> + 8002ecf4: 000105b7 lui a1,0x10 + 8002ecf8: fffe2617 auipc a2,0xfffe2 + 8002ecfc: 96860613 addi a2,a2,-1688 # 80010660 <_Z6CartBRj> + 8002ed00: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002ed04: 00006537 lui a0,0x6 + 8002ed08: 515040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002ed0c: 000085b7 lui a1,0x8 + 8002ed10: fffe2617 auipc a2,0xfffe2 + 8002ed14: 97c60613 addi a2,a2,-1668 # 8001068c <_Z6CartBWjh> + 8002ed18: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8002ed1c: 00006537 lui a0,0x6 + 8002ed20: 609040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ed24: 0000d5b7 lui a1,0xd + 8002ed28: 00000617 auipc a2,0x0 + 8002ed2c: ebc60613 addi a2,a2,-324 # 8002ebe4 <_ZL9M156Writejh> + 8002ed30: fff58593 addi a1,a1,-1 # cfff <_entry_offset+0xcfff> + 8002ed34: 0000c537 lui a0,0xc + 8002ed38: 5f1040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ed3c: 00813083 ld ra,8(sp) + 8002ed40: 0019d517 auipc a0,0x19d + 8002ed44: 7b852503 lw a0,1976(a0) # 801cc4f8 <_ZL8WRAMSIZE> + 8002ed48: 0019d617 auipc a2,0x19d + 8002ed4c: 79063603 ld a2,1936(a2) # 801cc4d8 <_ZL4WRAM> + 8002ed50: 000065b7 lui a1,0x6 + 8002ed54: 00a5551b srliw a0,a0,0xa + 8002ed58: 01010113 addi sp,sp,16 + 8002ed5c: ab8d106f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002ed60 <_Z14Mapper156_InitP8CartInfo>: + 8002ed60: fe010113 addi sp,sp,-32 + 8002ed64: 00113c23 sd ra,24(sp) + 8002ed68: 00813823 sd s0,16(sp) + 8002ed6c: 00913423 sd s1,8(sp) + 8002ed70: 00000797 auipc a5,0x0 + 8002ed74: d3078793 addi a5,a5,-720 # 8002eaa0 <_ZL9M156Resetv> + 8002ed78: 00f53423 sd a5,8(a0) + 8002ed7c: 00000797 auipc a5,0x0 + 8002ed80: f2878793 addi a5,a5,-216 # 8002eca4 <_ZL9M156Powerv> + 8002ed84: 00f53023 sd a5,0(a0) + 8002ed88: 00000797 auipc a5,0x0 + 8002ed8c: d6078793 addi a5,a5,-672 # 8002eae8 <_ZL9M156Closev> + 8002ed90: 0019d417 auipc s0,0x19d + 8002ed94: 76840413 addi s0,s0,1896 # 801cc4f8 <_ZL8WRAMSIZE> + 8002ed98: 00f53823 sd a5,16(a0) + 8002ed9c: 000027b7 lui a5,0x2 + 8002eda0: 00002537 lui a0,0x2 + 8002eda4: 00f42023 sw a5,0(s0) + 8002eda8: ec4e30ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002edac: 00042603 lw a2,0(s0) + 8002edb0: 00050593 mv a1,a0 + 8002edb4: 0019d497 auipc s1,0x19d + 8002edb8: 72448493 addi s1,s1,1828 # 801cc4d8 <_ZL4WRAM> + 8002edbc: 00100693 li a3,1 + 8002edc0: 01000513 li a0,16 + 8002edc4: 00b4b023 sd a1,0(s1) + 8002edc8: f2ce10ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002edcc: 00042583 lw a1,0(s0) + 8002edd0: 0004b503 ld a0,0(s1) + 8002edd4: 00016697 auipc a3,0x16 + 8002edd8: 55468693 addi a3,a3,1364 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002eddc: 00000613 li a2,0 + 8002ede0: a30d10ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002ede4: 01013403 ld s0,16(sp) + 8002ede8: 01813083 ld ra,24(sp) + 8002edec: 00813483 ld s1,8(sp) + 8002edf0: 00000797 auipc a5,0x0 + 8002edf4: df078793 addi a5,a5,-528 # 8002ebe0 <_ZL12StateRestorei> + 8002edf8: 0019e717 auipc a4,0x19e + 8002edfc: 86f73023 sd a5,-1952(a4) # 801cc658 + 8002ee00: 00000693 li a3,0 + 8002ee04: 00000613 li a2,0 + 8002ee08: fff00593 li a1,-1 + 8002ee0c: 0001d517 auipc a0,0x1d + 8002ee10: 2f450513 addi a0,a0,756 # 8004c100 <_ZL9StateRegs> + 8002ee14: 02010113 addi sp,sp,32 + 8002ee18: 9f8d106f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002ee1c <_ZL4Syncv>: + 8002ee1c: fe010113 addi sp,sp,-32 + 8002ee20: 00813823 sd s0,16(sp) + 8002ee24: 0019d417 auipc s0,0x19d + 8002ee28: 6da40413 addi s0,s0,1754 # 801cc4fe <_ZL3cmd> + 8002ee2c: 00045503 lhu a0,0(s0) + 8002ee30: 00113c23 sd ra,24(sp) + 8002ee34: 00913423 sd s1,8(sp) + 8002ee38: fff54513 not a0,a0 + 8002ee3c: 00157513 andi a0,a0,1 + 8002ee40: a08e20ef jal ra,80011048 <_Z9setmirrori> + 8002ee44: 00000513 li a0,0 + 8002ee48: 8bce20ef jal ra,80010f04 <_Z7setchr8j> + 8002ee4c: 00045703 lhu a4,0(s0) + 8002ee50: 00277693 andi a3,a4,2 + 8002ee54: 0007079b sext.w a5,a4 + 8002ee58: 08068c63 beqz a3,8002eef0 <_ZL4Syncv+0xd4> + 8002ee5c: 4027d79b sraiw a5,a5,0x2 + 8002ee60: 0019d497 auipc s1,0x19d + 8002ee64: 6a048493 addi s1,s1,1696 # 801cc500 <_ZL4bank> + 8002ee68: 10077713 andi a4,a4,256 + 8002ee6c: 0004d583 lhu a1,0(s1) + 8002ee70: 03f7f793 andi a5,a5,63 + 8002ee74: 04071463 bnez a4,8002eebc <_ZL4Syncv+0xa0> + 8002ee78: 0065f593 andi a1,a1,6 + 8002ee7c: 00f5e5b3 or a1,a1,a5 + 8002ee80: 00008537 lui a0,0x8 + 8002ee84: dd5e10ef jal ra,80010c58 <_Z8setprg16jj> + 8002ee88: 00045783 lhu a5,0(s0) + 8002ee8c: 0004d583 lhu a1,0(s1) + 8002ee90: 01013403 ld s0,16(sp) + 8002ee94: 4027d79b sraiw a5,a5,0x2 + 8002ee98: 01813083 ld ra,24(sp) + 8002ee9c: 00813483 ld s1,8(sp) + 8002eea0: 03f7f793 andi a5,a5,63 + 8002eea4: 0065f593 andi a1,a1,6 + 8002eea8: 00f5e5b3 or a1,a1,a5 + 8002eeac: 0000c537 lui a0,0xc + 8002eeb0: 0015e593 ori a1,a1,1 + 8002eeb4: 02010113 addi sp,sp,32 + 8002eeb8: da1e106f j 80010c58 <_Z8setprg16jj> + 8002eebc: 00b7e5b3 or a1,a5,a1 + 8002eec0: 00008537 lui a0,0x8 + 8002eec4: d95e10ef jal ra,80010c58 <_Z8setprg16jj> + 8002eec8: 00045583 lhu a1,0(s0) + 8002eecc: 01013403 ld s0,16(sp) + 8002eed0: 01813083 ld ra,24(sp) + 8002eed4: 00813483 ld s1,8(sp) + 8002eed8: 4025d59b sraiw a1,a1,0x2 + 8002eedc: 0385f593 andi a1,a1,56 + 8002eee0: 0000c537 lui a0,0xc + 8002eee4: 0075e593 ori a1,a1,7 + 8002eee8: 02010113 addi sp,sp,32 + 8002eeec: d6de106f j 80010c58 <_Z8setprg16jj> + 8002eef0: 0019d497 auipc s1,0x19d + 8002eef4: 61048493 addi s1,s1,1552 # 801cc500 <_ZL4bank> + 8002eef8: 0004d583 lhu a1,0(s1) + 8002eefc: 4027d79b sraiw a5,a5,0x2 + 8002ef00: 03f7f793 andi a5,a5,63 + 8002ef04: 00b7e5b3 or a1,a5,a1 + 8002ef08: 00008537 lui a0,0x8 + 8002ef0c: d4de10ef jal ra,80010c58 <_Z8setprg16jj> + 8002ef10: 00045783 lhu a5,0(s0) + 8002ef14: 0004d583 lhu a1,0(s1) + 8002ef18: 01013403 ld s0,16(sp) + 8002ef1c: 01813083 ld ra,24(sp) + 8002ef20: 00813483 ld s1,8(sp) + 8002ef24: 4027d79b sraiw a5,a5,0x2 + 8002ef28: 03f7f793 andi a5,a5,63 + 8002ef2c: 0000c537 lui a0,0xc + 8002ef30: 00b7e5b3 or a1,a5,a1 + 8002ef34: 02010113 addi sp,sp,32 + 8002ef38: d21e106f j 80010c58 <_Z8setprg16jj> + +000000008002ef3c <_ZL12StateRestorei>: + 8002ef3c: ee1ff06f j 8002ee1c <_ZL4Syncv> + +000000008002ef40 <_ZL19UNLN625092WriteBankjh>: + 8002ef40: 00757513 andi a0,a0,7 + 8002ef44: 0019d797 auipc a5,0x19d + 8002ef48: 5aa79e23 sh a0,1468(a5) # 801cc500 <_ZL4bank> + 8002ef4c: ed1ff06f j 8002ee1c <_ZL4Syncv> + +000000008002ef50 <_ZL15UNLN625092Powerv>: + 8002ef50: ff010113 addi sp,sp,-16 + 8002ef54: 00113423 sd ra,8(sp) + 8002ef58: 00813023 sd s0,0(sp) + 8002ef5c: 0019d797 auipc a5,0x19d + 8002ef60: 5a079123 sh zero,1442(a5) # 801cc4fe <_ZL3cmd> + 8002ef64: 00010437 lui s0,0x10 + 8002ef68: 0019d797 auipc a5,0x19d + 8002ef6c: 58079c23 sh zero,1432(a5) # 801cc500 <_ZL4bank> + 8002ef70: eadff0ef jal ra,8002ee1c <_ZL4Syncv> + 8002ef74: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002ef78: fffe1617 auipc a2,0xfffe1 + 8002ef7c: 6e860613 addi a2,a2,1768 # 80010660 <_Z6CartBRj> + 8002ef80: 00008537 lui a0,0x8 + 8002ef84: 299040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002ef88: 0000c5b7 lui a1,0xc + 8002ef8c: 00000617 auipc a2,0x0 + 8002ef90: 06c60613 addi a2,a2,108 # 8002eff8 <_ZL22UNLN625092WriteCommandjh> + 8002ef94: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 8002ef98: 00008537 lui a0,0x8 + 8002ef9c: 38d040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002efa0: fff40593 addi a1,s0,-1 + 8002efa4: 00013403 ld s0,0(sp) + 8002efa8: 00813083 ld ra,8(sp) + 8002efac: 00000617 auipc a2,0x0 + 8002efb0: f9460613 addi a2,a2,-108 # 8002ef40 <_ZL19UNLN625092WriteBankjh> + 8002efb4: 0000c537 lui a0,0xc + 8002efb8: 01010113 addi sp,sp,16 + 8002efbc: 36d0406f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002efc0 <_ZL15UNLN625092Resetv>: + 8002efc0: 0019d797 auipc a5,0x19d + 8002efc4: 53c78793 addi a5,a5,1340 # 801cc4fc <_ZL3ass> + 8002efc8: 0007d583 lhu a1,0(a5) + 8002efcc: 00017517 auipc a0,0x17 + 8002efd0: 19c50513 addi a0,a0,412 # 80046168 <_ZL3lut+0x308> + 8002efd4: 0019d717 auipc a4,0x19d + 8002efd8: 52071523 sh zero,1322(a4) # 801cc4fe <_ZL3cmd> + 8002efdc: 0015859b addiw a1,a1,1 + 8002efe0: 03059593 slli a1,a1,0x30 + 8002efe4: 0305d593 srli a1,a1,0x30 + 8002efe8: 0019d717 auipc a4,0x19d + 8002efec: 50071c23 sh zero,1304(a4) # 801cc500 <_ZL4bank> + 8002eff0: 00b79023 sh a1,0(a5) + 8002eff4: 5540c06f j 8003b548 + +000000008002eff8 <_ZL22UNLN625092WriteCommandjh>: + 8002eff8: 0019d797 auipc a5,0x19d + 8002effc: 50a79323 sh a0,1286(a5) # 801cc4fe <_ZL3cmd> + 8002f000: 000087b7 lui a5,0x8 + 8002f004: 0f878793 addi a5,a5,248 # 80f8 <_entry_offset+0x80f8> + 8002f008: 00f50463 beq a0,a5,8002f010 <_ZL22UNLN625092WriteCommandjh+0x18> + 8002f00c: e11ff06f j 8002ee1c <_ZL4Syncv> + 8002f010: ff010113 addi sp,sp,-16 + 8002f014: 00813023 sd s0,0(sp) + 8002f018: 0019d417 auipc s0,0x19d + 8002f01c: 4e440413 addi s0,s0,1252 # 801cc4fc <_ZL3ass> + 8002f020: 00045583 lhu a1,0(s0) + 8002f024: 00008537 lui a0,0x8 + 8002f028: 00113423 sd ra,8(sp) + 8002f02c: c2de10ef jal ra,80010c58 <_Z8setprg16jj> + 8002f030: 00045583 lhu a1,0(s0) + 8002f034: 00013403 ld s0,0(sp) + 8002f038: 00813083 ld ra,8(sp) + 8002f03c: 0000c537 lui a0,0xc + 8002f040: 01010113 addi sp,sp,16 + 8002f044: c15e106f j 80010c58 <_Z8setprg16jj> + +000000008002f048 <_Z15UNLN625092_InitP8CartInfo>: + 8002f048: 00000797 auipc a5,0x0 + 8002f04c: f0878793 addi a5,a5,-248 # 8002ef50 <_ZL15UNLN625092Powerv> + 8002f050: 00f53023 sd a5,0(a0) # c000 <_entry_offset+0xc000> + 8002f054: 00000797 auipc a5,0x0 + 8002f058: f6c78793 addi a5,a5,-148 # 8002efc0 <_ZL15UNLN625092Resetv> + 8002f05c: 00f53423 sd a5,8(a0) + 8002f060: 00000797 auipc a5,0x0 + 8002f064: edc78793 addi a5,a5,-292 # 8002ef3c <_ZL12StateRestorei> + 8002f068: 00000693 li a3,0 + 8002f06c: 00000613 li a2,0 + 8002f070: fff00593 li a1,-1 + 8002f074: 0001d517 auipc a0,0x1d + 8002f078: 10450513 addi a0,a0,260 # 8004c178 <_ZL9StateRegs> + 8002f07c: 0019d717 auipc a4,0x19d + 8002f080: 5cf73e23 sd a5,1500(a4) # 801cc658 + 8002f084: f8dd006f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002f088 <_ZL4Syncv>: + 8002f088: ff010113 addi sp,sp,-16 + 8002f08c: 00813023 sd s0,0(sp) + 8002f090: 0019d417 auipc s0,0x19d + 8002f094: 49040413 addi s0,s0,1168 # 801cc520 <_ZL7chr_reg> + 8002f098: 00044583 lbu a1,0(s0) + 8002f09c: 00000513 li a0,0 + 8002f0a0: 00113423 sd ra,8(sp) + 8002f0a4: e21e10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f0a8: 00144583 lbu a1,1(s0) + 8002f0ac: 00001537 lui a0,0x1 + 8002f0b0: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8002f0b4: e11e10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f0b8: 00244583 lbu a1,2(s0) + 8002f0bc: 00001537 lui a0,0x1 + 8002f0c0: e05e10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f0c4: 00344583 lbu a1,3(s0) + 8002f0c8: 00002537 lui a0,0x2 + 8002f0cc: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 8002f0d0: df5e10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f0d4: 01000513 li a0,16 + 8002f0d8: 00000613 li a2,0 + 8002f0dc: 000065b7 lui a1,0x6 + 8002f0e0: 809e10ef jal ra,800108e8 <_Z8setprg8rijj> + 8002f0e4: 00094797 auipc a5,0x94 + 8002f0e8: 9c47b783 ld a5,-1596(a5) # 800c2aa8 + 8002f0ec: 00000513 li a0,0 + 8002f0f0: 00078663 beqz a5,8002f0fc <_ZL4Syncv+0x74> + 8002f0f4: 0019d517 auipc a0,0x19d + 8002f0f8: 42454503 lbu a0,1060(a0) # 801cc518 <_ZL6kogame> + 8002f0fc: 000085b7 lui a1,0x8 + 8002f100: 0019d617 auipc a2,0x19d + 8002f104: 42464603 lbu a2,1060(a2) # 801cc524 <_ZL7prg_reg> + 8002f108: 9a5e10ef jal ra,80010aac <_Z9setprg16rijj> + 8002f10c: 00013403 ld s0,0(sp) + 8002f110: 00813083 ld ra,8(sp) + 8002f114: fff00593 li a1,-1 + 8002f118: 0000c537 lui a0,0xc + 8002f11c: 01010113 addi sp,sp,16 + 8002f120: b39e106f j 80010c58 <_Z8setprg16jj> + +000000008002f124 <_ZL11M68WriteROMjh>: + 8002f124: 0035d79b srliw a5,a1,0x3 + 8002f128: 0017c793 xori a5,a5,1 + 8002f12c: 0075f593 andi a1,a1,7 + 8002f130: 0017f793 andi a5,a5,1 + 8002f134: 0019d717 auipc a4,0x19d + 8002f138: 3eb70823 sb a1,1008(a4) # 801cc524 <_ZL7prg_reg> + 8002f13c: 0019d717 auipc a4,0x19d + 8002f140: 3cf70e23 sb a5,988(a4) # 801cc518 <_ZL6kogame> + 8002f144: f45ff06f j 8002f088 <_ZL4Syncv> + +000000008002f148 <_ZL11M68WriteCHRjh>: + 8002f148: 00c5551b srliw a0,a0,0xc + 8002f14c: 00357513 andi a0,a0,3 + 8002f150: 0019d797 auipc a5,0x19d + 8002f154: 3d078793 addi a5,a5,976 # 801cc520 <_ZL7chr_reg> + 8002f158: 00a78533 add a0,a5,a0 + 8002f15c: 00b50023 sb a1,0(a0) # c000 <_entry_offset+0xc000> + 8002f160: f29ff06f j 8002f088 <_ZL4Syncv> + +000000008002f164 <_ZL8M68NTfixv>: + 8002f164: 0019d717 auipc a4,0x19d + 8002f168: 3ac74703 lbu a4,940(a4) # 801cc510 <_ZL4mirr> + 8002f16c: 0019d697 auipc a3,0x19d + 8002f170: 9746b683 ld a3,-1676(a3) # 801cbae0 + 8002f174: 00377793 andi a5,a4,3 + 8002f178: 02068263 beqz a3,8002f19c <_ZL8M68NTfixv+0x38> + 8002f17c: 00200713 li a4,2 + 8002f180: 0ce78063 beq a5,a4,8002f240 <_ZL8M68NTfixv+0xdc> + 8002f184: 00300713 li a4,3 + 8002f188: 0ae78863 beq a5,a4,8002f238 <_ZL8M68NTfixv+0xd4> + 8002f18c: 00100713 li a4,1 + 8002f190: 0ae78063 beq a5,a4,8002f230 <_ZL8M68NTfixv+0xcc> + 8002f194: 00100513 li a0,1 + 8002f198: eb1e106f j 80011048 <_Z9setmirrori> + 8002f19c: 01077713 andi a4,a4,16 + 8002f1a0: fc070ee3 beqz a4,8002f17c <_ZL8M68NTfixv+0x18> + 8002f1a4: 0019d717 auipc a4,0x19d + 8002f1a8: 56070123 sb zero,1378(a4) # 801cc706 + 8002f1ac: 00200613 li a2,2 + 8002f1b0: 00093697 auipc a3,0x93 + 8002f1b4: 4506b683 ld a3,1104(a3) # 800c2600 + 8002f1b8: 00093717 auipc a4,0x93 + 8002f1bc: 24872703 lw a4,584(a4) # 800c2400 + 8002f1c0: 08c78463 beq a5,a2,8002f248 <_ZL8M68NTfixv+0xe4> + 8002f1c4: 00300613 li a2,3 + 8002f1c8: 10c78c63 beq a5,a2,8002f2e0 <_ZL8M68NTfixv+0x17c> + 8002f1cc: 00100613 li a2,1 + 8002f1d0: 0ac78a63 beq a5,a2,8002f284 <_ZL8M68NTfixv+0x120> + 8002f1d4: 0019d617 auipc a2,0x19d + 8002f1d8: 32e64603 lbu a2,814(a2) # 801cc502 <_ZL3nt1> + 8002f1dc: 0019d797 auipc a5,0x19d + 8002f1e0: 3277c783 lbu a5,807(a5) # 801cc503 <_ZL3nt2> + 8002f1e4: 08066613 ori a2,a2,128 + 8002f1e8: 0807e793 ori a5,a5,128 + 8002f1ec: 00c77633 and a2,a4,a2 + 8002f1f0: 00f777b3 and a5,a4,a5 + 8002f1f4: 00a7979b slliw a5,a5,0xa + 8002f1f8: 00a6171b slliw a4,a2,0xa + 8002f1fc: 02071713 slli a4,a4,0x20 + 8002f200: 02079793 slli a5,a5,0x20 + 8002f204: 02075713 srli a4,a4,0x20 + 8002f208: 0207d793 srli a5,a5,0x20 + 8002f20c: 0019d617 auipc a2,0x19d + 8002f210: 8b460613 addi a2,a2,-1868 # 801cbac0 + 8002f214: 00e68733 add a4,a3,a4 + 8002f218: 00f687b3 add a5,a3,a5 + 8002f21c: 00e63823 sd a4,16(a2) + 8002f220: 00e63023 sd a4,0(a2) + 8002f224: 00f63c23 sd a5,24(a2) + 8002f228: 00f63423 sd a5,8(a2) + 8002f22c: 00008067 ret + 8002f230: 00000513 li a0,0 + 8002f234: e15e106f j 80011048 <_Z9setmirrori> + 8002f238: 00300513 li a0,3 + 8002f23c: e0de106f j 80011048 <_Z9setmirrori> + 8002f240: 00200513 li a0,2 + 8002f244: e05e106f j 80011048 <_Z9setmirrori> + 8002f248: 0019d797 auipc a5,0x19d + 8002f24c: 2ba7c783 lbu a5,698(a5) # 801cc502 <_ZL3nt1> + 8002f250: 0807e793 ori a5,a5,128 + 8002f254: 00f777b3 and a5,a4,a5 + 8002f258: 00a7979b slliw a5,a5,0xa + 8002f25c: 02079793 slli a5,a5,0x20 + 8002f260: 0207d793 srli a5,a5,0x20 + 8002f264: 00f687b3 add a5,a3,a5 + 8002f268: 0019d717 auipc a4,0x19d + 8002f26c: 85870713 addi a4,a4,-1960 # 801cbac0 + 8002f270: 00f73c23 sd a5,24(a4) + 8002f274: 00f73823 sd a5,16(a4) + 8002f278: 00f73423 sd a5,8(a4) + 8002f27c: 00f73023 sd a5,0(a4) + 8002f280: 00008067 ret + 8002f284: 0019d617 auipc a2,0x19d + 8002f288: 27e64603 lbu a2,638(a2) # 801cc502 <_ZL3nt1> + 8002f28c: 0019d797 auipc a5,0x19d + 8002f290: 2777c783 lbu a5,631(a5) # 801cc503 <_ZL3nt2> + 8002f294: 08066613 ori a2,a2,128 + 8002f298: 0807e793 ori a5,a5,128 + 8002f29c: 00c77633 and a2,a4,a2 + 8002f2a0: 00f777b3 and a5,a4,a5 + 8002f2a4: 00a7979b slliw a5,a5,0xa + 8002f2a8: 00a6171b slliw a4,a2,0xa + 8002f2ac: 02071713 slli a4,a4,0x20 + 8002f2b0: 02079793 slli a5,a5,0x20 + 8002f2b4: 02075713 srli a4,a4,0x20 + 8002f2b8: 0207d793 srli a5,a5,0x20 + 8002f2bc: 0019d617 auipc a2,0x19d + 8002f2c0: 80460613 addi a2,a2,-2044 # 801cbac0 + 8002f2c4: 00e68733 add a4,a3,a4 + 8002f2c8: 00f687b3 add a5,a3,a5 + 8002f2cc: 00e63423 sd a4,8(a2) + 8002f2d0: 00e63023 sd a4,0(a2) + 8002f2d4: 00f63c23 sd a5,24(a2) + 8002f2d8: 00f63823 sd a5,16(a2) + 8002f2dc: 00008067 ret + 8002f2e0: 0019d797 auipc a5,0x19d + 8002f2e4: 2237c783 lbu a5,547(a5) # 801cc503 <_ZL3nt2> + 8002f2e8: 0807e793 ori a5,a5,128 + 8002f2ec: 00f77733 and a4,a4,a5 + 8002f2f0: 00a7171b slliw a4,a4,0xa + 8002f2f4: 02071713 slli a4,a4,0x20 + 8002f2f8: 02075713 srli a4,a4,0x20 + 8002f2fc: 00e687b3 add a5,a3,a4 + 8002f300: f69ff06f j 8002f268 <_ZL8M68NTfixv+0x104> + +000000008002f304 <_ZL12StateRestorei>: + 8002f304: ff010113 addi sp,sp,-16 + 8002f308: 00113423 sd ra,8(sp) + 8002f30c: d7dff0ef jal ra,8002f088 <_ZL4Syncv> + 8002f310: 00813083 ld ra,8(sp) + 8002f314: 01010113 addi sp,sp,16 + 8002f318: e4dff06f j 8002f164 <_ZL8M68NTfixv> + +000000008002f31c <_ZL11M68WriteMIRjh>: + 8002f31c: 0019d797 auipc a5,0x19d + 8002f320: 1eb78a23 sb a1,500(a5) # 801cc510 <_ZL4mirr> + 8002f324: e41ff06f j 8002f164 <_ZL8M68NTfixv> + +000000008002f328 <_ZL11M68WriteNT2jh>: + 8002f328: 0019d797 auipc a5,0x19d + 8002f32c: 1cb78da3 sb a1,475(a5) # 801cc503 <_ZL3nt2> + 8002f330: e35ff06f j 8002f164 <_ZL8M68NTfixv> + +000000008002f334 <_ZL11M68WriteNT1jh>: + 8002f334: 0019d797 auipc a5,0x19d + 8002f338: 1cb78723 sb a1,462(a5) # 801cc502 <_ZL3nt1> + 8002f33c: e29ff06f j 8002f164 <_ZL8M68NTfixv> + +000000008002f340 <_ZL8M68Closev>: + 8002f340: 0019d517 auipc a0,0x19d + 8002f344: 1c853503 ld a0,456(a0) # 801cc508 <_ZL4WRAM> + 8002f348: 02050263 beqz a0,8002f36c <_ZL8M68Closev+0x2c> + 8002f34c: ff010113 addi sp,sp,-16 + 8002f350: 00113423 sd ra,8(sp) + 8002f354: 9ece30ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002f358: 00813083 ld ra,8(sp) + 8002f35c: 0019d797 auipc a5,0x19d + 8002f360: 1a07b623 sd zero,428(a5) # 801cc508 <_ZL4WRAM> + 8002f364: 01010113 addi sp,sp,16 + 8002f368: 00008067 ret + 8002f36c: 0019d797 auipc a5,0x19d + 8002f370: 1807be23 sd zero,412(a5) # 801cc508 <_ZL4WRAM> + 8002f374: 00008067 ret + +000000008002f378 <_ZL7M68Readj>: + 8002f378: ff010113 addi sp,sp,-16 + 8002f37c: 0019d797 auipc a5,0x19d + 8002f380: 19c7c783 lbu a5,412(a5) # 801cc518 <_ZL6kogame> + 8002f384: 00813023 sd s0,0(sp) + 8002f388: 00113423 sd ra,8(sp) + 8002f38c: 0087f793 andi a5,a5,8 + 8002f390: 00050413 mv s0,a0 + 8002f394: 02079063 bnez a5,8002f3b4 <_ZL7M68Readj+0x3c> + 8002f398: 0019d717 auipc a4,0x19d + 8002f39c: 17c70713 addi a4,a4,380 # 801cc514 <_ZL5count> + 8002f3a0: 00072783 lw a5,0(a4) + 8002f3a4: 6f800693 li a3,1784 + 8002f3a8: 0017861b addiw a2,a5,1 + 8002f3ac: 00c72023 sw a2,0(a4) + 8002f3b0: 00d60c63 beq a2,a3,8002f3c8 <_ZL7M68Readj+0x50> + 8002f3b4: 00040513 mv a0,s0 + 8002f3b8: 00013403 ld s0,0(sp) + 8002f3bc: 00813083 ld ra,8(sp) + 8002f3c0: 01010113 addi sp,sp,16 + 8002f3c4: a9ce106f j 80010660 <_Z6CartBRj> + 8002f3c8: 00000513 li a0,0 + 8002f3cc: 0019d617 auipc a2,0x19d + 8002f3d0: 15864603 lbu a2,344(a2) # 801cc524 <_ZL7prg_reg> + 8002f3d4: 000085b7 lui a1,0x8 + 8002f3d8: ed4e10ef jal ra,80010aac <_Z9setprg16rijj> + 8002f3dc: 00040513 mv a0,s0 + 8002f3e0: 00013403 ld s0,0(sp) + 8002f3e4: 00813083 ld ra,8(sp) + 8002f3e8: 01010113 addi sp,sp,16 + 8002f3ec: a74e106f j 80010660 <_Z6CartBRj> + +000000008002f3f0 <_ZL10M68WriteLojh>: + 8002f3f0: fe010113 addi sp,sp,-32 + 8002f3f4: 00813823 sd s0,16(sp) + 8002f3f8: 00913423 sd s1,8(sp) + 8002f3fc: 00113c23 sd ra,24(sp) + 8002f400: 00058413 mv s0,a1 + 8002f404: 00050493 mv s1,a0 + 8002f408: 02059a63 bnez a1,8002f43c <_ZL10M68WriteLojh+0x4c> + 8002f40c: 0019d797 auipc a5,0x19d + 8002f410: 1007a423 sw zero,264(a5) # 801cc514 <_ZL5count> + 8002f414: 00093797 auipc a5,0x93 + 8002f418: 6947b783 ld a5,1684(a5) # 800c2aa8 + 8002f41c: 00000513 li a0,0 + 8002f420: 00078663 beqz a5,8002f42c <_ZL10M68WriteLojh+0x3c> + 8002f424: 0019d517 auipc a0,0x19d + 8002f428: 0f454503 lbu a0,244(a0) # 801cc518 <_ZL6kogame> + 8002f42c: 0019d617 auipc a2,0x19d + 8002f430: 0f864603 lbu a2,248(a2) # 801cc524 <_ZL7prg_reg> + 8002f434: 000085b7 lui a1,0x8 + 8002f438: e74e10ef jal ra,80010aac <_Z9setprg16rijj> + 8002f43c: 00040593 mv a1,s0 + 8002f440: 01013403 ld s0,16(sp) + 8002f444: 01813083 ld ra,24(sp) + 8002f448: 00048513 mv a0,s1 + 8002f44c: 00813483 ld s1,8(sp) + 8002f450: 02010113 addi sp,sp,32 + 8002f454: a38e106f j 8001068c <_Z6CartBWjh> + +000000008002f458 <_ZL8M68Powerv>: + 8002f458: fe010113 addi sp,sp,-32 + 8002f45c: 00113c23 sd ra,24(sp) + 8002f460: 00813823 sd s0,16(sp) + 8002f464: 00913423 sd s1,8(sp) + 8002f468: 01213023 sd s2,0(sp) + 8002f46c: 00008437 lui s0,0x8 + 8002f470: 0019d797 auipc a5,0x19d + 8002f474: 0a078a23 sb zero,180(a5) # 801cc524 <_ZL7prg_reg> + 8002f478: 0019d797 auipc a5,0x19d + 8002f47c: 0a078023 sb zero,160(a5) # 801cc518 <_ZL6kogame> + 8002f480: c09ff0ef jal ra,8002f088 <_ZL4Syncv> + 8002f484: ce1ff0ef jal ra,8002f164 <_ZL8M68NTfixv> + 8002f488: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002f48c: fffe1617 auipc a2,0xfffe1 + 8002f490: 1d460613 addi a2,a2,468 # 80010660 <_Z6CartBRj> + 8002f494: 00006537 lui a0,0x6 + 8002f498: 584040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002f49c: 0000c937 lui s2,0xc + 8002f4a0: fff90593 addi a1,s2,-1 # bfff <_entry_offset+0xbfff> + 8002f4a4: 00000617 auipc a2,0x0 + 8002f4a8: ed460613 addi a2,a2,-300 # 8002f378 <_ZL7M68Readj> + 8002f4ac: 00008537 lui a0,0x8 + 8002f4b0: 56c040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002f4b4: 000104b7 lui s1,0x10 + 8002f4b8: fff48593 addi a1,s1,-1 # ffff <_entry_offset+0xffff> + 8002f4bc: fffe1617 auipc a2,0xfffe1 + 8002f4c0: 1a460613 addi a2,a2,420 # 80010660 <_Z6CartBRj> + 8002f4c4: 0000c537 lui a0,0xc + 8002f4c8: 554040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002f4cc: fff90593 addi a1,s2,-1 + 8002f4d0: 00000617 auipc a2,0x0 + 8002f4d4: c7860613 addi a2,a2,-904 # 8002f148 <_ZL11M68WriteCHRjh> + 8002f4d8: 00008537 lui a0,0x8 + 8002f4dc: 64c040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f4e0: 0000d5b7 lui a1,0xd + 8002f4e4: 00000617 auipc a2,0x0 + 8002f4e8: e5060613 addi a2,a2,-432 # 8002f334 <_ZL11M68WriteNT1jh> + 8002f4ec: fff58593 addi a1,a1,-1 # cfff <_entry_offset+0xcfff> + 8002f4f0: 0000c537 lui a0,0xc + 8002f4f4: 634040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f4f8: 0000e5b7 lui a1,0xe + 8002f4fc: 00000617 auipc a2,0x0 + 8002f500: e2c60613 addi a2,a2,-468 # 8002f328 <_ZL11M68WriteNT2jh> + 8002f504: fff58593 addi a1,a1,-1 # dfff <_entry_offset+0xdfff> + 8002f508: 0000d537 lui a0,0xd + 8002f50c: 61c040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f510: 0000f5b7 lui a1,0xf + 8002f514: 00000617 auipc a2,0x0 + 8002f518: e0860613 addi a2,a2,-504 # 8002f31c <_ZL11M68WriteMIRjh> + 8002f51c: fff58593 addi a1,a1,-1 # efff <_entry_offset+0xefff> + 8002f520: 0000e537 lui a0,0xe + 8002f524: 604040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f528: fff48593 addi a1,s1,-1 + 8002f52c: 00000617 auipc a2,0x0 + 8002f530: bf860613 addi a2,a2,-1032 # 8002f124 <_ZL11M68WriteROMjh> + 8002f534: 0000f537 lui a0,0xf + 8002f538: 5f0040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f53c: 00000617 auipc a2,0x0 + 8002f540: eb460613 addi a2,a2,-332 # 8002f3f0 <_ZL10M68WriteLojh> + 8002f544: 000065b7 lui a1,0x6 + 8002f548: 00006537 lui a0,0x6 + 8002f54c: 5dc040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f550: 00006537 lui a0,0x6 + 8002f554: fff40593 addi a1,s0,-1 + 8002f558: fffe1617 auipc a2,0xfffe1 + 8002f55c: 13460613 addi a2,a2,308 # 8001068c <_Z6CartBWjh> + 8002f560: 00150513 addi a0,a0,1 # 6001 <_entry_offset+0x6001> + 8002f564: 5c4040ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002f568: 01013403 ld s0,16(sp) + 8002f56c: 01813083 ld ra,24(sp) + 8002f570: 00813483 ld s1,8(sp) + 8002f574: 00013903 ld s2,0(sp) + 8002f578: 0019d517 auipc a0,0x19d + 8002f57c: fb052503 lw a0,-80(a0) # 801cc528 <_ZL8WRAMSIZE> + 8002f580: 0019d617 auipc a2,0x19d + 8002f584: f8863603 ld a2,-120(a2) # 801cc508 <_ZL4WRAM> + 8002f588: 000065b7 lui a1,0x6 + 8002f58c: 00a5551b srliw a0,a0,0xa + 8002f590: 02010113 addi sp,sp,32 + 8002f594: a81d006f j 80000014 <_Z16FCEU_CheatAddRAMijPh> + +000000008002f598 <_Z13Mapper68_InitP8CartInfo>: + 8002f598: fe010113 addi sp,sp,-32 + 8002f59c: 00113c23 sd ra,24(sp) + 8002f5a0: 00813823 sd s0,16(sp) + 8002f5a4: 00913423 sd s1,8(sp) + 8002f5a8: 01213023 sd s2,0(sp) + 8002f5ac: 00000797 auipc a5,0x0 + 8002f5b0: eac78793 addi a5,a5,-340 # 8002f458 <_ZL8M68Powerv> + 8002f5b4: 00f53023 sd a5,0(a0) + 8002f5b8: 00000797 auipc a5,0x0 + 8002f5bc: d8878793 addi a5,a5,-632 # 8002f340 <_ZL8M68Closev> + 8002f5c0: 00f53823 sd a5,16(a0) + 8002f5c4: 00000797 auipc a5,0x0 + 8002f5c8: d4078793 addi a5,a5,-704 # 8002f304 <_ZL12StateRestorei> + 8002f5cc: 0019d497 auipc s1,0x19d + 8002f5d0: f5c48493 addi s1,s1,-164 # 801cc528 <_ZL8WRAMSIZE> + 8002f5d4: 00050413 mv s0,a0 + 8002f5d8: 0019d717 auipc a4,0x19d + 8002f5dc: 08f73023 sd a5,128(a4) # 801cc658 + 8002f5e0: 00002537 lui a0,0x2 + 8002f5e4: 000027b7 lui a5,0x2 + 8002f5e8: 00f4a023 sw a5,0(s1) + 8002f5ec: e81e20ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002f5f0: 0004a603 lw a2,0(s1) + 8002f5f4: 00050593 mv a1,a0 + 8002f5f8: 0019d917 auipc s2,0x19d + 8002f5fc: f1090913 addi s2,s2,-240 # 801cc508 <_ZL4WRAM> + 8002f600: 01000513 li a0,16 + 8002f604: 00100693 li a3,1 + 8002f608: 00b93023 sd a1,0(s2) + 8002f60c: ee9e00ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002f610: 04c42783 lw a5,76(s0) + 8002f614: 00093503 ld a0,0(s2) + 8002f618: 0004a583 lw a1,0(s1) + 8002f61c: 00078663 beqz a5,8002f628 <_Z13Mapper68_InitP8CartInfo+0x90> + 8002f620: 00a43c23 sd a0,24(s0) + 8002f624: 02b42c23 sw a1,56(s0) + 8002f628: 00016697 auipc a3,0x16 + 8002f62c: d0068693 addi a3,a3,-768 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002f630: 00000613 li a2,0 + 8002f634: 9ddd00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002f638: 01013403 ld s0,16(sp) + 8002f63c: 01813083 ld ra,24(sp) + 8002f640: 00813483 ld s1,8(sp) + 8002f644: 00013903 ld s2,0(sp) + 8002f648: 00000693 li a3,0 + 8002f64c: 00000613 li a2,0 + 8002f650: fff00593 li a1,-1 + 8002f654: 0001d517 auipc a0,0x1d + 8002f658: b6c50513 addi a0,a0,-1172 # 8004c1c0 <_ZL9StateRegs> + 8002f65c: 02010113 addi sp,sp,32 + 8002f660: 9b1d006f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002f664 <_ZL4Syncv>: + 8002f664: fd010113 addi sp,sp,-48 + 8002f668: 02813023 sd s0,32(sp) + 8002f66c: 00913c23 sd s1,24(sp) + 8002f670: 01213823 sd s2,16(sp) + 8002f674: 01313423 sd s3,8(sp) + 8002f678: 02113423 sd ra,40(sp) + 8002f67c: 0019d497 auipc s1,0x19d + 8002f680: eb448493 addi s1,s1,-332 # 801cc530 <_ZL4regs> + 8002f684: 00008437 lui s0,0x8 + 8002f688: 000019b7 lui s3,0x1 + 8002f68c: 00010937 lui s2,0x10 + 8002f690: 0004c583 lbu a1,0(s1) + 8002f694: 00040513 mv a0,s0 + 8002f698: 0089843b addw s0,s3,s0 + 8002f69c: a3ce10ef jal ra,800108d8 <_Z7setprg4jj> + 8002f6a0: 00148493 addi s1,s1,1 + 8002f6a4: ff2416e3 bne s0,s2,8002f690 <_ZL4Syncv+0x2c> + 8002f6a8: 02813083 ld ra,40(sp) + 8002f6ac: 02013403 ld s0,32(sp) + 8002f6b0: 01813483 ld s1,24(sp) + 8002f6b4: 01013903 ld s2,16(sp) + 8002f6b8: 00813983 ld s3,8(sp) + 8002f6bc: 03010113 addi sp,sp,48 + 8002f6c0: 00008067 ret + +000000008002f6c4 <_ZL12StateRestorei>: + 8002f6c4: fa1ff06f j 8002f664 <_ZL4Syncv> + +000000008002f6c8 <_ZL8M31Writejh>: + 8002f6c8: ffffb7b7 lui a5,0xffffb + 8002f6cc: 00a787bb addw a5,a5,a0 + 8002f6d0: 00001737 lui a4,0x1 + 8002f6d4: 00e7e463 bltu a5,a4,8002f6dc <_ZL8M31Writejh+0x14> + 8002f6d8: 00008067 ret + 8002f6dc: 00757513 andi a0,a0,7 + 8002f6e0: 0019d797 auipc a5,0x19d + 8002f6e4: e5078793 addi a5,a5,-432 # 801cc530 <_ZL4regs> + 8002f6e8: 00a78533 add a0,a5,a0 + 8002f6ec: 00b50023 sb a1,0(a0) + 8002f6f0: f75ff06f j 8002f664 <_ZL4Syncv> + +000000008002f6f4 <_ZL8M31Powerv>: + 8002f6f4: ff010113 addi sp,sp,-16 + 8002f6f8: 00000513 li a0,0 + 8002f6fc: 00113423 sd ra,8(sp) + 8002f700: 805e10ef jal ra,80010f04 <_Z7setchr8j> + 8002f704: fff00793 li a5,-1 + 8002f708: 0019d717 auipc a4,0x19d + 8002f70c: e2f707a3 sb a5,-465(a4) # 801cc537 <_ZL4regs+0x7> + 8002f710: f55ff0ef jal ra,8002f664 <_ZL4Syncv> + 8002f714: 000105b7 lui a1,0x10 + 8002f718: fffe1617 auipc a2,0xfffe1 + 8002f71c: f4860613 addi a2,a2,-184 # 80010660 <_Z6CartBRj> + 8002f720: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8002f724: 00008537 lui a0,0x8 + 8002f728: 2f4040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002f72c: 00813083 ld ra,8(sp) + 8002f730: 000065b7 lui a1,0x6 + 8002f734: 00000617 auipc a2,0x0 + 8002f738: f9460613 addi a2,a2,-108 # 8002f6c8 <_ZL8M31Writejh> + 8002f73c: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 8002f740: 00005537 lui a0,0x5 + 8002f744: 01010113 addi sp,sp,16 + 8002f748: 3e00406f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002f74c <_Z13Mapper31_InitP8CartInfo>: + 8002f74c: 00000797 auipc a5,0x0 + 8002f750: fa878793 addi a5,a5,-88 # 8002f6f4 <_ZL8M31Powerv> + 8002f754: 00f53023 sd a5,0(a0) # 5000 <_entry_offset+0x5000> + 8002f758: 00000797 auipc a5,0x0 + 8002f75c: f6c78793 addi a5,a5,-148 # 8002f6c4 <_ZL12StateRestorei> + 8002f760: 00000693 li a3,0 + 8002f764: 00000613 li a2,0 + 8002f768: fff00593 li a1,-1 + 8002f76c: 0001d517 auipc a0,0x1d + 8002f770: b1450513 addi a0,a0,-1260 # 8004c280 <_ZL9StateRegs> + 8002f774: 0019d717 auipc a4,0x19d + 8002f778: eef73223 sd a5,-284(a4) # 801cc658 + 8002f77c: 895d006f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002f780 <_ZL4Syncv>: + 8002f780: fe010113 addi sp,sp,-32 + 8002f784: 0019d797 auipc a5,0x19d + 8002f788: db47c783 lbu a5,-588(a5) # 801cc538 <_ZL3cmd> + 8002f78c: 00813823 sd s0,16(sp) + 8002f790: 00113c23 sd ra,24(sp) + 8002f794: 00099417 auipc s0,0x99 + 8002f798: c3c40413 addi s0,s0,-964 # 800c83d0 <_ZL4regs> + 8002f79c: 00913423 sd s1,8(sp) + 8002f7a0: 0207f793 andi a5,a5,32 + 8002f7a4: 00044583 lbu a1,0(s0) + 8002f7a8: 0a078c63 beqz a5,8002f860 <_ZL4Syncv+0xe0> + 8002f7ac: 00000513 li a0,0 + 8002f7b0: ef4e10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7b4: 00844583 lbu a1,8(s0) + 8002f7b8: 40000513 li a0,1024 + 8002f7bc: 000014b7 lui s1,0x1 + 8002f7c0: ee4e10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7c4: 00144583 lbu a1,1(s0) + 8002f7c8: 80048513 addi a0,s1,-2048 # 800 <_entry_offset+0x800> + 8002f7cc: ed8e10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7d0: 00944583 lbu a1,9(s0) + 8002f7d4: c0048513 addi a0,s1,-1024 + 8002f7d8: ecce10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7dc: 00244583 lbu a1,2(s0) + 8002f7e0: 00001537 lui a0,0x1 + 8002f7e4: 000024b7 lui s1,0x2 + 8002f7e8: ebce10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7ec: 00344583 lbu a1,3(s0) + 8002f7f0: 00001537 lui a0,0x1 + 8002f7f4: 40050513 addi a0,a0,1024 # 1400 <_entry_offset+0x1400> + 8002f7f8: eace10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f7fc: 00444583 lbu a1,4(s0) + 8002f800: 80048513 addi a0,s1,-2048 # 1800 <_entry_offset+0x1800> + 8002f804: ea0e10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f808: 00544583 lbu a1,5(s0) + 8002f80c: c0048513 addi a0,s1,-1024 + 8002f810: e94e10ef jal ra,80010ea4 <_Z7setchr1jj> + 8002f814: 00644583 lbu a1,6(s0) + 8002f818: 00008537 lui a0,0x8 + 8002f81c: a80e10ef jal ra,80010a9c <_Z7setprg8jj> + 8002f820: 00744583 lbu a1,7(s0) + 8002f824: 0000a537 lui a0,0xa + 8002f828: a74e10ef jal ra,80010a9c <_Z7setprg8jj> + 8002f82c: 00a44583 lbu a1,10(s0) + 8002f830: 0000c537 lui a0,0xc + 8002f834: a68e10ef jal ra,80010a9c <_Z7setprg8jj> + 8002f838: 0000e537 lui a0,0xe + 8002f83c: fff00593 li a1,-1 + 8002f840: a5ce10ef jal ra,80010a9c <_Z7setprg8jj> + 8002f844: 01013403 ld s0,16(sp) + 8002f848: 01813083 ld ra,24(sp) + 8002f84c: 00813483 ld s1,8(sp) + 8002f850: 0019d517 auipc a0,0x19d + 8002f854: cea54503 lbu a0,-790(a0) # 801cc53a <_ZL4mirr> + 8002f858: 02010113 addi sp,sp,32 + 8002f85c: fece106f j 80011048 <_Z9setmirrori> + 8002f860: 0015d593 srli a1,a1,0x1 + 8002f864: 00000513 li a0,0 + 8002f868: e5ce10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f86c: 00144583 lbu a1,1(s0) + 8002f870: 00001537 lui a0,0x1 + 8002f874: 80050513 addi a0,a0,-2048 # 800 <_entry_offset+0x800> + 8002f878: 0015d593 srli a1,a1,0x1 + 8002f87c: e48e10ef jal ra,80010ec4 <_Z7setchr2jj> + 8002f880: f5dff06f j 8002f7dc <_ZL4Syncv+0x5c> + +000000008002f884 <_ZL12StateRestorei>: + 8002f884: efdff06f j 8002f780 <_ZL4Syncv> + +000000008002f888 <_ZL8M64Powerv>: + 8002f888: ff010113 addi sp,sp,-16 + 8002f88c: 00113423 sd ra,8(sp) + 8002f890: 00813023 sd s0,0(sp) + 8002f894: 00099797 auipc a5,0x99 + 8002f898: b3c78793 addi a5,a5,-1220 # 800c83d0 <_ZL4regs> + 8002f89c: fff00713 li a4,-1 + 8002f8a0: 00e7b023 sd a4,0(a5) + 8002f8a4: 00e79423 sh a4,8(a5) + 8002f8a8: 00010437 lui s0,0x10 + 8002f8ac: 00e78523 sb a4,10(a5) + 8002f8b0: 0019d697 auipc a3,0x19d + 8002f8b4: c8068523 sb zero,-886(a3) # 801cc53a <_ZL4mirr> + 8002f8b8: 0019d697 auipc a3,0x19d + 8002f8bc: c8068023 sb zero,-896(a3) # 801cc538 <_ZL3cmd> + 8002f8c0: ec1ff0ef jal ra,8002f780 <_ZL4Syncv> + 8002f8c4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002f8c8: fffe1617 auipc a2,0xfffe1 + 8002f8cc: d9860613 addi a2,a2,-616 # 80010660 <_Z6CartBRj> + 8002f8d0: 00008537 lui a0,0x8 + 8002f8d4: 148040ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002f8d8: fff40593 addi a1,s0,-1 + 8002f8dc: 00013403 ld s0,0(sp) + 8002f8e0: 00813083 ld ra,8(sp) + 8002f8e4: 00000617 auipc a2,0x0 + 8002f8e8: 01460613 addi a2,a2,20 # 8002f8f8 <_ZL8M64Writejh> + 8002f8ec: 00008537 lui a0,0x8 + 8002f8f0: 01010113 addi sp,sp,16 + 8002f8f4: 2340406f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002f8f8 <_ZL8M64Writejh>: + 8002f8f8: 0000f7b7 lui a5,0xf + 8002f8fc: 00178793 addi a5,a5,1 # f001 <_entry_offset+0xf001> + 8002f900: 00f57533 and a0,a0,a5 + 8002f904: 0000c7b7 lui a5,0xc + 8002f908: 0af50663 beq a0,a5,8002f9b4 <_ZL8M64Writejh+0xbc> + 8002f90c: 02a7e663 bltu a5,a0,8002f938 <_ZL8M64Writejh+0x40> + 8002f910: 000087b7 lui a5,0x8 + 8002f914: 00178713 addi a4,a5,1 # 8001 <_entry_offset+0x8001> + 8002f918: 0ce50063 beq a0,a4,8002f9d8 <_ZL8M64Writejh+0xe0> + 8002f91c: 0000a737 lui a4,0xa + 8002f920: 06e51e63 bne a0,a4,8002f99c <_ZL8M64Writejh+0xa4> + 8002f924: fff5c593 not a1,a1 + 8002f928: 0015f593 andi a1,a1,1 + 8002f92c: 0019d797 auipc a5,0x19d + 8002f930: c0b78723 sb a1,-1010(a5) # 801cc53a <_ZL4mirr> + 8002f934: e4dff06f j 8002f780 <_ZL4Syncv> + 8002f938: 0000e737 lui a4,0xe + 8002f93c: 0ce50263 beq a0,a4,8002fa00 <_ZL8M64Writejh+0x108> + 8002f940: 00170713 addi a4,a4,1 # e001 <_entry_offset+0xe001> + 8002f944: 02e51263 bne a0,a4,8002f968 <_ZL8M64Writejh+0x70> + 8002f948: 00100793 li a5,1 + 8002f94c: 0019d717 auipc a4,0x19d + 8002f950: bef706a3 sb a5,-1043(a4) # 801cc539 <_ZL4IRQa> + 8002f954: 0019d717 auipc a4,0x19d + 8002f958: be774703 lbu a4,-1049(a4) # 801cc53b <_ZL5rmode> + 8002f95c: 00100793 li a5,1 + 8002f960: 0ef70c63 beq a4,a5,8002fa58 <_ZL8M64Writejh+0x160> + 8002f964: 00008067 ret + 8002f968: 00178793 addi a5,a5,1 + 8002f96c: 04f51063 bne a0,a5,8002f9ac <_ZL8M64Writejh+0xb4> + 8002f970: 00100793 li a5,1 + 8002f974: 0015f593 andi a1,a1,1 + 8002f978: 0019d717 auipc a4,0x19d + 8002f97c: bcf701a3 sb a5,-1085(a4) # 801cc53b <_ZL5rmode> + 8002f980: 0019d797 auipc a5,0x19d + 8002f984: bbe7c783 lbu a5,-1090(a5) # 801cc53e <_ZL8IRQLatch> + 8002f988: 0019d717 auipc a4,0x19d + 8002f98c: baf70aa3 sb a5,-1099(a4) # 801cc53d <_ZL8IRQCount> + 8002f990: 0019d797 auipc a5,0x19d + 8002f994: bab78623 sb a1,-1108(a5) # 801cc53c <_ZL7IRQmode> + 8002f998: 00008067 ret + 8002f99c: 00f51a63 bne a0,a5,8002f9b0 <_ZL8M64Writejh+0xb8> + 8002f9a0: 0019d797 auipc a5,0x19d + 8002f9a4: b8b78c23 sb a1,-1128(a5) # 801cc538 <_ZL3cmd> + 8002f9a8: 00008067 ret + 8002f9ac: 00008067 ret + 8002f9b0: 00008067 ret + 8002f9b4: 0019d797 auipc a5,0x19d + 8002f9b8: b8b78523 sb a1,-1142(a5) # 801cc53e <_ZL8IRQLatch> + 8002f9bc: 0019d717 auipc a4,0x19d + 8002f9c0: b7f74703 lbu a4,-1153(a4) # 801cc53b <_ZL5rmode> + 8002f9c4: 00100793 li a5,1 + 8002f9c8: f8f71ee3 bne a4,a5,8002f964 <_ZL8M64Writejh+0x6c> + 8002f9cc: 0019d797 auipc a5,0x19d + 8002f9d0: b6b788a3 sb a1,-1167(a5) # 801cc53d <_ZL8IRQCount> + 8002f9d4: 00008067 ret + 8002f9d8: 0019d797 auipc a5,0x19d + 8002f9dc: b607c783 lbu a5,-1184(a5) # 801cc538 <_ZL3cmd> + 8002f9e0: 00f7f793 andi a5,a5,15 + 8002f9e4: 00900713 li a4,9 + 8002f9e8: 04f76e63 bltu a4,a5,8002fa44 <_ZL8M64Writejh+0x14c> + 8002f9ec: 00099717 auipc a4,0x99 + 8002f9f0: 9e470713 addi a4,a4,-1564 # 800c83d0 <_ZL4regs> + 8002f9f4: 00f707b3 add a5,a4,a5 + 8002f9f8: 00b78023 sb a1,0(a5) + 8002f9fc: d85ff06f j 8002f780 <_ZL4Syncv> + 8002fa00: ff010113 addi sp,sp,-16 + 8002fa04: 00100513 li a0,1 + 8002fa08: 0019d797 auipc a5,0x19d + 8002fa0c: b20788a3 sb zero,-1231(a5) # 801cc539 <_ZL4IRQa> + 8002fa10: 00113423 sd ra,8(sp) + 8002fa14: b3cd10ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8002fa18: 0019d717 auipc a4,0x19d + 8002fa1c: b2374703 lbu a4,-1245(a4) # 801cc53b <_ZL5rmode> + 8002fa20: 00100793 li a5,1 + 8002fa24: 00f71a63 bne a4,a5,8002fa38 <_ZL8M64Writejh+0x140> + 8002fa28: 0019d797 auipc a5,0x19d + 8002fa2c: b167c783 lbu a5,-1258(a5) # 801cc53e <_ZL8IRQLatch> + 8002fa30: 0019d717 auipc a4,0x19d + 8002fa34: b0f706a3 sb a5,-1267(a4) # 801cc53d <_ZL8IRQCount> + 8002fa38: 00813083 ld ra,8(sp) + 8002fa3c: 01010113 addi sp,sp,16 + 8002fa40: 00008067 ret + 8002fa44: 00f00713 li a4,15 + 8002fa48: fae79ae3 bne a5,a4,8002f9fc <_ZL8M64Writejh+0x104> + 8002fa4c: 00099797 auipc a5,0x99 + 8002fa50: 98b78723 sb a1,-1650(a5) # 800c83da <_ZL4regs+0xa> + 8002fa54: fa9ff06f j 8002f9fc <_ZL8M64Writejh+0x104> + 8002fa58: 0019d797 auipc a5,0x19d + 8002fa5c: ae67c783 lbu a5,-1306(a5) # 801cc53e <_ZL8IRQLatch> + 8002fa60: 0019d717 auipc a4,0x19d + 8002fa64: acf70ea3 sb a5,-1315(a4) # 801cc53d <_ZL8IRQCount> + 8002fa68: 00008067 ret + +000000008002fa6c <_ZL10M64IRQHooki>: + 8002fa6c: 0019d797 auipc a5,0x19d + 8002fa70: ad07c783 lbu a5,-1328(a5) # 801cc53c <_ZL7IRQmode> + 8002fa74: 08078a63 beqz a5,8002fb08 <_ZL10M64IRQHooki+0x9c> + 8002fa78: fd010113 addi sp,sp,-48 + 8002fa7c: 00913c23 sd s1,24(sp) + 8002fa80: 0019d497 auipc s1,0x19d + 8002fa84: ac048493 addi s1,s1,-1344 # 801cc540 <_ZZL10M64IRQHookiE10smallcount> + 8002fa88: 0004a783 lw a5,0(s1) + 8002fa8c: 02113423 sd ra,40(sp) + 8002fa90: 02813023 sd s0,32(sp) + 8002fa94: 00a7873b addw a4,a5,a0 + 8002fa98: 01213823 sd s2,16(sp) + 8002fa9c: 01313423 sd s3,8(sp) + 8002faa0: 01413023 sd s4,0(sp) + 8002faa4: 00300693 li a3,3 + 8002faa8: 00e4a023 sw a4,0(s1) + 8002faac: 02e6de63 bge a3,a4,8002fae8 <_ZL10M64IRQHooki+0x7c> + 8002fab0: 0019d417 auipc s0,0x19d + 8002fab4: a8d40413 addi s0,s0,-1395 # 801cc53d <_ZL8IRQCount> + 8002fab8: 0ff00993 li s3,255 + 8002fabc: 0019da17 auipc s4,0x19d + 8002fac0: a7da0a13 addi s4,s4,-1411 # 801cc539 <_ZL4IRQa> + 8002fac4: 00300913 li s2,3 + 8002fac8: 00044783 lbu a5,0(s0) + 8002facc: ffc7071b addiw a4,a4,-4 + 8002fad0: 00e4a023 sw a4,0(s1) + 8002fad4: fff7879b addiw a5,a5,-1 + 8002fad8: 0ff7f793 andi a5,a5,255 + 8002fadc: 00f40023 sb a5,0(s0) + 8002fae0: 03378663 beq a5,s3,8002fb0c <_ZL10M64IRQHooki+0xa0> + 8002fae4: fee942e3 blt s2,a4,8002fac8 <_ZL10M64IRQHooki+0x5c> + 8002fae8: 02813083 ld ra,40(sp) + 8002faec: 02013403 ld s0,32(sp) + 8002faf0: 01813483 ld s1,24(sp) + 8002faf4: 01013903 ld s2,16(sp) + 8002faf8: 00813983 ld s3,8(sp) + 8002fafc: 00013a03 ld s4,0(sp) + 8002fb00: 03010113 addi sp,sp,48 + 8002fb04: 00008067 ret + 8002fb08: 00008067 ret + 8002fb0c: 000a4783 lbu a5,0(s4) + 8002fb10: fc078ae3 beqz a5,8002fae4 <_ZL10M64IRQHooki+0x78> + 8002fb14: 00100513 li a0,1 + 8002fb18: a20d10ef jal ra,80000d38 <_Z14X6502_IRQBegini> + 8002fb1c: 0004a703 lw a4,0(s1) + 8002fb20: fae944e3 blt s2,a4,8002fac8 <_ZL10M64IRQHooki+0x5c> + 8002fb24: fc5ff06f j 8002fae8 <_ZL10M64IRQHooki+0x7c> + +000000008002fb28 <_ZL9M64HBHookv>: + 8002fb28: 0019d797 auipc a5,0x19d + 8002fb2c: a147c783 lbu a5,-1516(a5) # 801cc53c <_ZL7IRQmode> + 8002fb30: 02079e63 bnez a5,8002fb6c <_ZL9M64HBHookv+0x44> + 8002fb34: 0019d717 auipc a4,0x19d + 8002fb38: c6c72703 lw a4,-916(a4) # 801cc7a0 + 8002fb3c: 0f000793 li a5,240 + 8002fb40: 02f70663 beq a4,a5,8002fb6c <_ZL9M64HBHookv+0x44> + 8002fb44: 0019d717 auipc a4,0x19d + 8002fb48: 9f970713 addi a4,a4,-1543 # 801cc53d <_ZL8IRQCount> + 8002fb4c: 00074783 lbu a5,0(a4) + 8002fb50: 0019d697 auipc a3,0x19d + 8002fb54: 9e0685a3 sb zero,-1557(a3) # 801cc53b <_ZL5rmode> + 8002fb58: 0ff00693 li a3,255 + 8002fb5c: fff7879b addiw a5,a5,-1 + 8002fb60: 0ff7f793 andi a5,a5,255 + 8002fb64: 00f70023 sb a5,0(a4) + 8002fb68: 00d78463 beq a5,a3,8002fb70 <_ZL9M64HBHookv+0x48> + 8002fb6c: 00008067 ret + 8002fb70: 0019d797 auipc a5,0x19d + 8002fb74: 9c97c783 lbu a5,-1591(a5) # 801cc539 <_ZL4IRQa> + 8002fb78: fe078ae3 beqz a5,8002fb6c <_ZL9M64HBHookv+0x44> + 8002fb7c: 00100793 li a5,1 + 8002fb80: 00100513 li a0,1 + 8002fb84: 0019d717 auipc a4,0x19d + 8002fb88: 9af70ba3 sb a5,-1609(a4) # 801cc53b <_ZL5rmode> + 8002fb8c: 9acd106f j 80000d38 <_Z14X6502_IRQBegini> + +000000008002fb90 <_Z13Mapper64_InitP8CartInfo>: + 8002fb90: 00000797 auipc a5,0x0 + 8002fb94: cf878793 addi a5,a5,-776 # 8002f888 <_ZL8M64Powerv> + 8002fb98: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 8002fb9c: 00000797 auipc a5,0x0 + 8002fba0: f8c78793 addi a5,a5,-116 # 8002fb28 <_ZL9M64HBHookv> + 8002fba4: 0019d717 auipc a4,0x19d + 8002fba8: b0f73e23 sd a5,-1252(a4) # 801cc6c0 + 8002fbac: 00000797 auipc a5,0x0 + 8002fbb0: ec078793 addi a5,a5,-320 # 8002fa6c <_ZL10M64IRQHooki> + 8002fbb4: 0019c717 auipc a4,0x19c + 8002fbb8: f8f73623 sd a5,-116(a4) # 801cbb40 + 8002fbbc: 00000797 auipc a5,0x0 + 8002fbc0: cc878793 addi a5,a5,-824 # 8002f884 <_ZL12StateRestorei> + 8002fbc4: 00000693 li a3,0 + 8002fbc8: 00000613 li a2,0 + 8002fbcc: fff00593 li a1,-1 + 8002fbd0: 0001c517 auipc a0,0x1c + 8002fbd4: 6e050513 addi a0,a0,1760 # 8004c2b0 <_ZL9StateRegs> + 8002fbd8: 0019d717 auipc a4,0x19d + 8002fbdc: a8f73023 sd a5,-1408(a4) # 801cc658 + 8002fbe0: c30d006f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002fbe4 <_ZL19UNL6035052ProtWritejh>: + 8002fbe4: 0035f793 andi a5,a1,3 + 8002fbe8: 00017597 auipc a1,0x17 + 8002fbec: df858593 addi a1,a1,-520 # 800469e0 <_ZL3lut> + 8002fbf0: 00f585b3 add a1,a1,a5 + 8002fbf4: 0005c783 lbu a5,0(a1) + 8002fbf8: 0019c717 auipc a4,0x19c + 8002fbfc: 64f70c23 sb a5,1624(a4) # 801cc250 + 8002fc00: 00008067 ret + +000000008002fc04 <_ZL18UNL6035052ProtReadj>: + 8002fc04: 0019c517 auipc a0,0x19c + 8002fc08: 64c54503 lbu a0,1612(a0) # 801cc250 + 8002fc0c: 00008067 ret + +000000008002fc10 <_ZL15UNL6035052Powerv>: + 8002fc10: fe010113 addi sp,sp,-32 + 8002fc14: 00113c23 sd ra,24(sp) + 8002fc18: 00813823 sd s0,16(sp) + 8002fc1c: 00913423 sd s1,8(sp) + 8002fc20: 00004437 lui s0,0x4 + 8002fc24: d9cf60ef jal ra,800261c0 <_Z12GenMMC3Powerv> + 8002fc28: 000084b7 lui s1,0x8 + 8002fc2c: fff48593 addi a1,s1,-1 # 7fff <_entry_offset+0x7fff> + 8002fc30: 02040513 addi a0,s0,32 # 4020 <_entry_offset+0x4020> + 8002fc34: 00000617 auipc a2,0x0 + 8002fc38: fb060613 addi a2,a2,-80 # 8002fbe4 <_ZL19UNL6035052ProtWritejh> + 8002fc3c: 6ed030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002fc40: 02040513 addi a0,s0,32 + 8002fc44: 01013403 ld s0,16(sp) + 8002fc48: 01813083 ld ra,24(sp) + 8002fc4c: fff48593 addi a1,s1,-1 + 8002fc50: 00813483 ld s1,8(sp) + 8002fc54: 00000617 auipc a2,0x0 + 8002fc58: fb060613 addi a2,a2,-80 # 8002fc04 <_ZL18UNL6035052ProtReadj> + 8002fc5c: 02010113 addi sp,sp,32 + 8002fc60: 5bd0306f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +000000008002fc64 <_Z15UNL6035052_InitP8CartInfo>: + 8002fc64: ff010113 addi sp,sp,-16 + 8002fc68: 00000693 li a3,0 + 8002fc6c: 10000613 li a2,256 + 8002fc70: 08000593 li a1,128 + 8002fc74: 00000713 li a4,0 + 8002fc78: 00813023 sd s0,0(sp) + 8002fc7c: 00113423 sd ra,8(sp) + 8002fc80: 00050413 mv s0,a0 + 8002fc84: b94f70ef jal ra,80027018 <_Z12GenMMC3_InitP8CartInfoiiii> + 8002fc88: 00000797 auipc a5,0x0 + 8002fc8c: f8878793 addi a5,a5,-120 # 8002fc10 <_ZL15UNL6035052Powerv> + 8002fc90: 00f43023 sd a5,0(s0) + 8002fc94: 00013403 ld s0,0(sp) + 8002fc98: 00813083 ld ra,8(sp) + 8002fc9c: 00016697 auipc a3,0x16 + 8002fca0: 85468693 addi a3,a3,-1964 # 800454f0 <_ZZL8SetInputvE3moo+0x6a0> + 8002fca4: 00000613 li a2,0 + 8002fca8: 00600593 li a1,6 + 8002fcac: 0019c517 auipc a0,0x19c + 8002fcb0: 5a450513 addi a0,a0,1444 # 801cc250 + 8002fcb4: 01010113 addi sp,sp,16 + 8002fcb8: b58d006f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008002fcbc <_ZL13M103RamWrite0jh>: + 8002fcbc: 03351513 slli a0,a0,0x33 + 8002fcc0: 03355513 srli a0,a0,0x33 + 8002fcc4: 0019d797 auipc a5,0x19d + 8002fcc8: 8847b783 ld a5,-1916(a5) # 801cc548 <_ZL4WRAM> + 8002fccc: 00a78533 add a0,a5,a0 + 8002fcd0: 00b50023 sb a1,0(a0) + 8002fcd4: 00008067 ret + +000000008002fcd8 <_ZL13M103RamWrite1jh>: + 8002fcd8: ffff57b7 lui a5,0xffff5 + 8002fcdc: 8007879b addiw a5,a5,-2048 + 8002fce0: 00a787bb addw a5,a5,a0 + 8002fce4: 03379793 slli a5,a5,0x33 + 8002fce8: 00002737 lui a4,0x2 + 8002fcec: 0337d793 srli a5,a5,0x33 + 8002fcf0: 00f707bb addw a5,a4,a5 + 8002fcf4: 02079793 slli a5,a5,0x20 + 8002fcf8: 0207d793 srli a5,a5,0x20 + 8002fcfc: 0019d717 auipc a4,0x19d + 8002fd00: 84c73703 ld a4,-1972(a4) # 801cc548 <_ZL4WRAM> + 8002fd04: 00f707b3 add a5,a4,a5 + 8002fd08: 00b78023 sb a1,0(a5) # ffffffffffff5000 <_end+0xffffffff7fe20000> + 8002fd0c: 00008067 ret + +000000008002fd10 <_ZL4Syncv>: + 8002fd10: ff010113 addi sp,sp,-16 + 8002fd14: 00000513 li a0,0 + 8002fd18: 00113423 sd ra,8(sp) + 8002fd1c: 9e8e10ef jal ra,80010f04 <_Z7setchr8j> + 8002fd20: 00c00593 li a1,12 + 8002fd24: 00008537 lui a0,0x8 + 8002fd28: d75e00ef jal ra,80010a9c <_Z7setprg8jj> + 8002fd2c: 00f00593 li a1,15 + 8002fd30: 0000e537 lui a0,0xe + 8002fd34: d69e00ef jal ra,80010a9c <_Z7setprg8jj> + 8002fd38: 0019d797 auipc a5,0x19d + 8002fd3c: 81a7c783 lbu a5,-2022(a5) # 801cc552 <_ZL4reg2> + 8002fd40: 0107f793 andi a5,a5,16 + 8002fd44: 04078263 beqz a5,8002fd88 <_ZL4Syncv+0x78> + 8002fd48: 0019d597 auipc a1,0x19d + 8002fd4c: 8085c583 lbu a1,-2040(a1) # 801cc550 <_ZL4reg0> + 8002fd50: 00006537 lui a0,0x6 + 8002fd54: d49e00ef jal ra,80010a9c <_Z7setprg8jj> + 8002fd58: 00d00593 li a1,13 + 8002fd5c: 0000a537 lui a0,0xa + 8002fd60: d3de00ef jal ra,80010a9c <_Z7setprg8jj> + 8002fd64: 0000c537 lui a0,0xc + 8002fd68: 00e00593 li a1,14 + 8002fd6c: d31e00ef jal ra,80010a9c <_Z7setprg8jj> + 8002fd70: 00813083 ld ra,8(sp) + 8002fd74: 0019c517 auipc a0,0x19c + 8002fd78: 7dd54503 lbu a0,2013(a0) # 801cc551 <_ZL4reg1> + 8002fd7c: 00154513 xori a0,a0,1 + 8002fd80: 01010113 addi sp,sp,16 + 8002fd84: ac4e106f j 80011048 <_Z9setmirrori> + 8002fd88: 00000613 li a2,0 + 8002fd8c: 000065b7 lui a1,0x6 + 8002fd90: 01000513 li a0,16 + 8002fd94: b55e00ef jal ra,800108e8 <_Z8setprg8rijj> + 8002fd98: 01a00593 li a1,26 + 8002fd9c: 0000a537 lui a0,0xa + 8002fda0: b39e00ef jal ra,800108d8 <_Z7setprg4jj> + 8002fda4: 03600593 li a1,54 + 8002fda8: 0000b537 lui a0,0xb + 8002fdac: a21e00ef jal ra,800107cc <_Z7setprg2jj> + 8002fdb0: 0000c5b7 lui a1,0xc + 8002fdb4: 00400613 li a2,4 + 8002fdb8: 80058593 addi a1,a1,-2048 # b800 <_entry_offset+0xb800> + 8002fdbc: 01000513 li a0,16 + 8002fdc0: 94de00ef jal ra,8001070c <_Z8setprg2rijj> + 8002fdc4: 00500613 li a2,5 + 8002fdc8: 0000c5b7 lui a1,0xc + 8002fdcc: 01000513 li a0,16 + 8002fdd0: 93de00ef jal ra,8001070c <_Z8setprg2rijj> + 8002fdd4: 0000d5b7 lui a1,0xd + 8002fdd8: 00600613 li a2,6 + 8002fddc: 80058593 addi a1,a1,-2048 # c800 <_entry_offset+0xc800> + 8002fde0: 01000513 li a0,16 + 8002fde4: 929e00ef jal ra,8001070c <_Z8setprg2rijj> + 8002fde8: 0000d5b7 lui a1,0xd + 8002fdec: 01000513 li a0,16 + 8002fdf0: 00700613 li a2,7 + 8002fdf4: 919e00ef jal ra,8001070c <_Z8setprg2rijj> + 8002fdf8: 0000e537 lui a0,0xe + 8002fdfc: 80050513 addi a0,a0,-2048 # d800 <_entry_offset+0xd800> + 8002fe00: 03b00593 li a1,59 + 8002fe04: 9c9e00ef jal ra,800107cc <_Z7setprg2jj> + 8002fe08: 00813083 ld ra,8(sp) + 8002fe0c: 0019c517 auipc a0,0x19c + 8002fe10: 74554503 lbu a0,1861(a0) # 801cc551 <_ZL4reg1> + 8002fe14: 00154513 xori a0,a0,1 + 8002fe18: 01010113 addi sp,sp,16 + 8002fe1c: a2ce106f j 80011048 <_Z9setmirrori> + +000000008002fe20 <_ZL12StateRestorei>: + 8002fe20: ef1ff06f j 8002fd10 <_ZL4Syncv> + +000000008002fe24 <_ZL10M103Write2jh>: + 8002fe24: 0019c797 auipc a5,0x19c + 8002fe28: 72b78723 sb a1,1838(a5) # 801cc552 <_ZL4reg2> + 8002fe2c: ee5ff06f j 8002fd10 <_ZL4Syncv> + +000000008002fe30 <_ZL10M103Write1jh>: + 8002fe30: 0035d593 srli a1,a1,0x3 + 8002fe34: 0015f593 andi a1,a1,1 + 8002fe38: 0019c797 auipc a5,0x19c + 8002fe3c: 70b78ca3 sb a1,1817(a5) # 801cc551 <_ZL4reg1> + 8002fe40: ed1ff06f j 8002fd10 <_ZL4Syncv> + +000000008002fe44 <_ZL10M103Write0jh>: + 8002fe44: 00f5f593 andi a1,a1,15 + 8002fe48: 0019c797 auipc a5,0x19c + 8002fe4c: 70b78423 sb a1,1800(a5) # 801cc550 <_ZL4reg0> + 8002fe50: ec1ff06f j 8002fd10 <_ZL4Syncv> + +000000008002fe54 <_ZL9M103Closev>: + 8002fe54: 0019c517 auipc a0,0x19c + 8002fe58: 6f453503 ld a0,1780(a0) # 801cc548 <_ZL4WRAM> + 8002fe5c: 02050263 beqz a0,8002fe80 <_ZL9M103Closev+0x2c> + 8002fe60: ff010113 addi sp,sp,-16 + 8002fe64: 00113423 sd ra,8(sp) + 8002fe68: ed8e20ef jal ra,80012540 <_Z10FCEU_gfreePv> + 8002fe6c: 00813083 ld ra,8(sp) + 8002fe70: 0019c797 auipc a5,0x19c + 8002fe74: 6c07bc23 sd zero,1752(a5) # 801cc548 <_ZL4WRAM> + 8002fe78: 01010113 addi sp,sp,16 + 8002fe7c: 00008067 ret + 8002fe80: 0019c797 auipc a5,0x19c + 8002fe84: 6c07b423 sd zero,1736(a5) # 801cc548 <_ZL4WRAM> + 8002fe88: 00008067 ret + +000000008002fe8c <_ZL9M103Powerv>: + 8002fe8c: ff010113 addi sp,sp,-16 + 8002fe90: 00113423 sd ra,8(sp) + 8002fe94: 00813023 sd s0,0(sp) + 8002fe98: 0019c797 auipc a5,0x19c + 8002fe9c: 6a078ca3 sb zero,1721(a5) # 801cc551 <_ZL4reg1> + 8002fea0: 00008437 lui s0,0x8 + 8002fea4: 0019c797 auipc a5,0x19c + 8002fea8: 6a078623 sb zero,1708(a5) # 801cc550 <_ZL4reg0> + 8002feac: 0019c797 auipc a5,0x19c + 8002feb0: 6a078323 sb zero,1702(a5) # 801cc552 <_ZL4reg2> + 8002feb4: e5dff0ef jal ra,8002fd10 <_ZL4Syncv> + 8002feb8: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 8002febc: fffe0617 auipc a2,0xfffe0 + 8002fec0: 7a460613 addi a2,a2,1956 # 80010660 <_Z6CartBRj> + 8002fec4: 00006537 lui a0,0x6 + 8002fec8: 355030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002fecc: fff40593 addi a1,s0,-1 + 8002fed0: 00000617 auipc a2,0x0 + 8002fed4: dec60613 addi a2,a2,-532 # 8002fcbc <_ZL13M103RamWrite0jh> + 8002fed8: 00006537 lui a0,0x6 + 8002fedc: 44d030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002fee0: 00010437 lui s0,0x10 + 8002fee4: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8002fee8: fffe0617 auipc a2,0xfffe0 + 8002feec: 77860613 addi a2,a2,1912 # 80010660 <_Z6CartBRj> + 8002fef0: 00008537 lui a0,0x8 + 8002fef4: 329030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8002fef8: 0000d5b7 lui a1,0xd + 8002fefc: 0000c537 lui a0,0xc + 8002ff00: 00000617 auipc a2,0x0 + 8002ff04: dd860613 addi a2,a2,-552 # 8002fcd8 <_ZL13M103RamWrite1jh> + 8002ff08: 7ff58593 addi a1,a1,2047 # d7ff <_entry_offset+0xd7ff> + 8002ff0c: 80050513 addi a0,a0,-2048 # b800 <_entry_offset+0xb800> + 8002ff10: 419030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ff14: 000095b7 lui a1,0x9 + 8002ff18: 00000617 auipc a2,0x0 + 8002ff1c: f2c60613 addi a2,a2,-212 # 8002fe44 <_ZL10M103Write0jh> + 8002ff20: fff58593 addi a1,a1,-1 # 8fff <_entry_offset+0x8fff> + 8002ff24: 00008537 lui a0,0x8 + 8002ff28: 401030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ff2c: 0000f5b7 lui a1,0xf + 8002ff30: 00000617 auipc a2,0x0 + 8002ff34: f0060613 addi a2,a2,-256 # 8002fe30 <_ZL10M103Write1jh> + 8002ff38: fff58593 addi a1,a1,-1 # efff <_entry_offset+0xefff> + 8002ff3c: 0000e537 lui a0,0xe + 8002ff40: 3e9030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8002ff44: fff40593 addi a1,s0,-1 + 8002ff48: 00013403 ld s0,0(sp) + 8002ff4c: 00813083 ld ra,8(sp) + 8002ff50: 00000617 auipc a2,0x0 + 8002ff54: ed460613 addi a2,a2,-300 # 8002fe24 <_ZL10M103Write2jh> + 8002ff58: 0000f537 lui a0,0xf + 8002ff5c: 01010113 addi sp,sp,16 + 8002ff60: 3c90306f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008002ff64 <_Z14Mapper103_InitP8CartInfo>: + 8002ff64: fe010113 addi sp,sp,-32 + 8002ff68: 00113c23 sd ra,24(sp) + 8002ff6c: 00813823 sd s0,16(sp) + 8002ff70: 00913423 sd s1,8(sp) + 8002ff74: 00000797 auipc a5,0x0 + 8002ff78: f1878793 addi a5,a5,-232 # 8002fe8c <_ZL9M103Powerv> + 8002ff7c: 00f53023 sd a5,0(a0) # f000 <_entry_offset+0xf000> + 8002ff80: 00000797 auipc a5,0x0 + 8002ff84: ed478793 addi a5,a5,-300 # 8002fe54 <_ZL9M103Closev> + 8002ff88: 00f53823 sd a5,16(a0) + 8002ff8c: 00000797 auipc a5,0x0 + 8002ff90: e9478793 addi a5,a5,-364 # 8002fe20 <_ZL12StateRestorei> + 8002ff94: 0019c417 auipc s0,0x19c + 8002ff98: 5c040413 addi s0,s0,1472 # 801cc554 <_ZL8WRAMSIZE> + 8002ff9c: 0019c717 auipc a4,0x19c + 8002ffa0: 6af73e23 sd a5,1724(a4) # 801cc658 + 8002ffa4: 00004537 lui a0,0x4 + 8002ffa8: 000047b7 lui a5,0x4 + 8002ffac: 00f42023 sw a5,0(s0) + 8002ffb0: cbce20ef jal ra,8001246c <_Z12FCEU_gmallocj> + 8002ffb4: 00042603 lw a2,0(s0) + 8002ffb8: 00050593 mv a1,a0 + 8002ffbc: 0019c497 auipc s1,0x19c + 8002ffc0: 58c48493 addi s1,s1,1420 # 801cc548 <_ZL4WRAM> + 8002ffc4: 00100693 li a3,1 + 8002ffc8: 01000513 li a0,16 + 8002ffcc: 00b4b023 sd a1,0(s1) + 8002ffd0: d24e00ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 8002ffd4: 00042583 lw a1,0(s0) + 8002ffd8: 0004b503 ld a0,0(s1) + 8002ffdc: 00015697 auipc a3,0x15 + 8002ffe0: 34c68693 addi a3,a3,844 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 8002ffe4: 00000613 li a2,0 + 8002ffe8: 828d00ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8002ffec: 01013403 ld s0,16(sp) + 8002fff0: 01813083 ld ra,24(sp) + 8002fff4: 00813483 ld s1,8(sp) + 8002fff8: 00000693 li a3,0 + 8002fffc: 00000613 li a2,0 + 80030000: fff00593 li a1,-1 + 80030004: 0001c517 auipc a0,0x1c + 80030008: 38450513 addi a0,a0,900 # 8004c388 <_ZL9StateRegs> + 8003000c: 02010113 addi sp,sp,32 + 80030010: 800d006f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030014 <_ZL8WriteEXPjh>: + 80030014: 0815f593 andi a1,a1,129 + 80030018: 0019c797 auipc a5,0x19c + 8003001c: 54b78423 sb a1,1352(a5) # 801cc560 + 80030020: 00008067 ret + +0000000080030024 <_ZL8M28Closev>: + 80030024: 00008067 ret + +0000000080030028 <_ZL4Syncv>: + 80030028: 0019c797 auipc a5,0x19c + 8003002c: 5357c783 lbu a5,1333(a5) # 801cc55d + 80030030: 03c7f793 andi a5,a5,60 + 80030034: 00016697 auipc a3,0x16 + 80030038: 16c68693 addi a3,a3,364 # 800461a0 <_ZL3lut+0x340> + 8003003c: 00279793 slli a5,a5,0x2 + 80030040: 00d787b3 add a5,a5,a3 + 80030044: 0007a703 lw a4,0(a5) + 80030048: ff010113 addi sp,sp,-16 + 8003004c: 0019c797 auipc a5,0x19c + 80030050: 5127c783 lbu a5,1298(a5) # 801cc55e + 80030054: 00d70733 add a4,a4,a3 + 80030058: 00113423 sd ra,8(sp) + 8003005c: 00813023 sd s0,0(sp) + 80030060: 0017979b slliw a5,a5,0x1 + 80030064: 00070067 jr a4 + 80030068: 00000713 li a4,0 + 8003006c: 00000793 li a5,0 + 80030070: 0019c597 auipc a1,0x19c + 80030074: 4e85a583 lw a1,1256(a1) # 801cc558 <_ZL12prg_mask_16k> + 80030078: 00e5f433 and s0,a1,a4 + 8003007c: 00008537 lui a0,0x8 + 80030080: 00f5f5b3 and a1,a1,a5 + 80030084: bd5e00ef jal ra,80010c58 <_Z8setprg16jj> + 80030088: 00040593 mv a1,s0 + 8003008c: 0000c537 lui a0,0xc + 80030090: bc9e00ef jal ra,80010c58 <_Z8setprg16jj> + 80030094: 00013403 ld s0,0(sp) + 80030098: 00813083 ld ra,8(sp) + 8003009c: 0019c517 auipc a0,0x19c + 800300a0: 4c054503 lbu a0,1216(a0) # 801cc55c + 800300a4: 01010113 addi sp,sp,16 + 800300a8: e5de006f j 80010f04 <_Z7setchr8j> + 800300ac: 0019c717 auipc a4,0x19c + 800300b0: 4b374703 lbu a4,1203(a4) # 801cc55f + 800300b4: 0017171b slliw a4,a4,0x1 + 800300b8: 00277713 andi a4,a4,2 + 800300bc: ffd7f793 andi a5,a5,-3 + 800300c0: 00f767b3 or a5,a4,a5 + 800300c4: 0017e713 ori a4,a5,1 + 800300c8: fa9ff06f j 80030070 <_ZL4Syncv+0x48> + 800300cc: 0019c717 auipc a4,0x19c + 800300d0: 49374703 lbu a4,1171(a4) # 801cc55f + 800300d4: 0017171b slliw a4,a4,0x1 + 800300d8: 00677713 andi a4,a4,6 + 800300dc: ff97f793 andi a5,a5,-7 + 800300e0: 00f767b3 or a5,a4,a5 + 800300e4: 0007879b sext.w a5,a5 + 800300e8: 0017e713 ori a4,a5,1 + 800300ec: f85ff06f j 80030070 <_ZL4Syncv+0x48> + 800300f0: 0019c717 auipc a4,0x19c + 800300f4: 46f74703 lbu a4,1135(a4) # 801cc55f + 800300f8: 0017171b slliw a4,a4,0x1 + 800300fc: 00e77713 andi a4,a4,14 + 80030100: ff17f793 andi a5,a5,-15 + 80030104: 00f767b3 or a5,a4,a5 + 80030108: 0007879b sext.w a5,a5 + 8003010c: 0017e713 ori a4,a5,1 + 80030110: f61ff06f j 80030070 <_ZL4Syncv+0x48> + 80030114: 0019c717 auipc a4,0x19c + 80030118: 44b74703 lbu a4,1099(a4) # 801cc55f + 8003011c: ff97f693 andi a3,a5,-7 + 80030120: 00777713 andi a4,a4,7 + 80030124: 00e6e6b3 or a3,a3,a4 + 80030128: 0017e713 ori a4,a5,1 + 8003012c: 0006879b sext.w a5,a3 + 80030130: f41ff06f j 80030070 <_ZL4Syncv+0x48> + 80030134: 0019c717 auipc a4,0x19c + 80030138: 42b74703 lbu a4,1067(a4) # 801cc55f + 8003013c: 00f77693 andi a3,a4,15 + 80030140: ff17f713 andi a4,a5,-15 + 80030144: 00d76733 or a4,a4,a3 + 80030148: 0007071b sext.w a4,a4 + 8003014c: f25ff06f j 80030070 <_ZL4Syncv+0x48> + 80030150: 0019c717 auipc a4,0x19c + 80030154: 40f74703 lbu a4,1039(a4) # 801cc55f + 80030158: 00777693 andi a3,a4,7 + 8003015c: ff97f713 andi a4,a5,-7 + 80030160: 00d76733 or a4,a4,a3 + 80030164: 0007071b sext.w a4,a4 + 80030168: f09ff06f j 80030070 <_ZL4Syncv+0x48> + 8003016c: 0019c717 auipc a4,0x19c + 80030170: 3f374703 lbu a4,1011(a4) # 801cc55f + 80030174: ffd7f693 andi a3,a5,-3 + 80030178: 00377713 andi a4,a4,3 + 8003017c: 00e6e6b3 or a3,a3,a4 + 80030180: 0017e713 ori a4,a5,1 + 80030184: 0006879b sext.w a5,a3 + 80030188: ee9ff06f j 80030070 <_ZL4Syncv+0x48> + 8003018c: 0019c717 auipc a4,0x19c + 80030190: 3d374703 lbu a4,979(a4) # 801cc55f + 80030194: ff17f693 andi a3,a5,-15 + 80030198: 00f77713 andi a4,a4,15 + 8003019c: 00e6e6b3 or a3,a3,a4 + 800301a0: 0017e713 ori a4,a5,1 + 800301a4: 0006879b sext.w a5,a3 + 800301a8: ec9ff06f j 80030070 <_ZL4Syncv+0x48> + 800301ac: 0019c717 auipc a4,0x19c + 800301b0: 3b374703 lbu a4,947(a4) # 801cc55f + 800301b4: 00177713 andi a4,a4,1 + 800301b8: 00f76733 or a4,a4,a5 + 800301bc: eb5ff06f j 80030070 <_ZL4Syncv+0x48> + 800301c0: 0019c697 auipc a3,0x19c + 800301c4: 39f6c683 lbu a3,927(a3) # 801cc55f + 800301c8: 0016f693 andi a3,a3,1 + 800301cc: 0017e713 ori a4,a5,1 + 800301d0: 00f6e7b3 or a5,a3,a5 + 800301d4: e9dff06f j 80030070 <_ZL4Syncv+0x48> + 800301d8: 0019c717 auipc a4,0x19c + 800301dc: 38774703 lbu a4,903(a4) # 801cc55f + 800301e0: 00377693 andi a3,a4,3 + 800301e4: ffd7f713 andi a4,a5,-3 + 800301e8: 00d76733 or a4,a4,a3 + 800301ec: 0007071b sext.w a4,a4 + 800301f0: e81ff06f j 80030070 <_ZL4Syncv+0x48> + +00000000800301f4 <_ZL12StateRestorei>: + 800301f4: e35ff06f j 80030028 <_ZL4Syncv> + +00000000800301f8 <_ZL8M28Resetv>: + 800301f8: 03f00793 li a5,63 + 800301fc: 0019c717 auipc a4,0x19c + 80030200: 36f70123 sb a5,866(a4) # 801cc55e + 80030204: 00f00793 li a5,15 + 80030208: 0019c717 auipc a4,0x19c + 8003020c: 34f70ba3 sb a5,855(a4) # 801cc55f + 80030210: e19ff06f j 80030028 <_ZL4Syncv> + +0000000080030214 <_ZL8M28Powerv>: + 80030214: 00093797 auipc a5,0x93 + 80030218: 9ac7a783 lw a5,-1620(a5) # 800c2bc0 + 8003021c: 000065b7 lui a1,0x6 + 80030220: ff010113 addi sp,sp,-16 + 80030224: fff7879b addiw a5,a5,-1 + 80030228: 00000617 auipc a2,0x0 + 8003022c: dec60613 addi a2,a2,-532 # 80030014 <_ZL8WriteEXPjh> + 80030230: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 80030234: 00005537 lui a0,0x5 + 80030238: 00113423 sd ra,8(sp) + 8003023c: 00813023 sd s0,0(sp) + 80030240: 0019c717 auipc a4,0x19c + 80030244: 30f72c23 sw a5,792(a4) # 801cc558 <_ZL12prg_mask_16k> + 80030248: 00010437 lui s0,0x10 + 8003024c: 0dd030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030250: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80030254: 00000617 auipc a2,0x0 + 80030258: 0e860613 addi a2,a2,232 # 8003033c <_ZL8WritePRGjh> + 8003025c: 00008537 lui a0,0x8 + 80030260: 0c9030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030264: fff40593 addi a1,s0,-1 + 80030268: fffe0617 auipc a2,0xfffe0 + 8003026c: 3f860613 addi a2,a2,1016 # 80010660 <_Z6CartBRj> + 80030270: 00008537 lui a0,0x8 + 80030274: 7a8030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80030278: 00008437 lui s0,0x8 + 8003027c: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 80030280: fffe0617 auipc a2,0xfffe0 + 80030284: 3e060613 addi a2,a2,992 # 80010660 <_Z6CartBRj> + 80030288: 00006537 lui a0,0x6 + 8003028c: 790030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80030290: fff40593 addi a1,s0,-1 + 80030294: fffe0617 auipc a2,0xfffe0 + 80030298: 3f860613 addi a2,a2,1016 # 8001068c <_Z6CartBWjh> + 8003029c: 00006537 lui a0,0x6 + 800302a0: 089030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800302a4: 03f00793 li a5,63 + 800302a8: 00013403 ld s0,0(sp) + 800302ac: 00813083 ld ra,8(sp) + 800302b0: 0019c717 auipc a4,0x19c + 800302b4: 2af70723 sb a5,686(a4) # 801cc55e + 800302b8: 00f00793 li a5,15 + 800302bc: 0019c717 auipc a4,0x19c + 800302c0: 2af701a3 sb a5,675(a4) # 801cc55f + 800302c4: 01010113 addi sp,sp,16 + 800302c8: d61ff06f j 80030028 <_ZL4Syncv> + +00000000800302cc <_Z10SyncMirrorv>: + 800302cc: 0019c797 auipc a5,0x19c + 800302d0: 2917c783 lbu a5,657(a5) # 801cc55d + 800302d4: 0037f793 andi a5,a5,3 + 800302d8: 00200713 li a4,2 + 800302dc: 00e78e63 beq a5,a4,800302f8 <_Z10SyncMirrorv+0x2c> + 800302e0: 00300713 li a4,3 + 800302e4: 02e78263 beq a5,a4,80030308 <_Z10SyncMirrorv+0x3c> + 800302e8: 00100713 li a4,1 + 800302ec: 00e78a63 beq a5,a4,80030300 <_Z10SyncMirrorv+0x34> + 800302f0: 00200513 li a0,2 + 800302f4: d55e006f j 80011048 <_Z9setmirrori> + 800302f8: 00100513 li a0,1 + 800302fc: d4de006f j 80011048 <_Z9setmirrori> + 80030300: 00300513 li a0,3 + 80030304: d45e006f j 80011048 <_Z9setmirrori> + 80030308: 00000513 li a0,0 + 8003030c: d3de006f j 80011048 <_Z9setmirrori> + +0000000080030310 <_Z6Mirrorh>: + 80030310: 0019c717 auipc a4,0x19c + 80030314: 24d70713 addi a4,a4,589 # 801cc55d + 80030318: 00074783 lbu a5,0(a4) + 8003031c: 0027f693 andi a3,a5,2 + 80030320: 00069c63 bnez a3,80030338 <_Z6Mirrorh+0x28> + 80030324: 4045551b sraiw a0,a0,0x4 + 80030328: 00157513 andi a0,a0,1 + 8003032c: ffe7f793 andi a5,a5,-2 + 80030330: 00f56533 or a0,a0,a5 + 80030334: 00a70023 sb a0,0(a4) + 80030338: f95ff06f j 800302cc <_Z10SyncMirrorv> + +000000008003033c <_ZL8WritePRGjh>: + 8003033c: ff010113 addi sp,sp,-16 + 80030340: 00113423 sd ra,8(sp) + 80030344: 0019c797 auipc a5,0x19c + 80030348: 21c7c783 lbu a5,540(a5) # 801cc560 + 8003034c: 08000713 li a4,128 + 80030350: 08e78063 beq a5,a4,800303d0 <_ZL8WritePRGjh+0x94> + 80030354: 02f76863 bltu a4,a5,80030384 <_ZL8WritePRGjh+0x48> + 80030358: 04078c63 beqz a5,800303b0 <_ZL8WritePRGjh+0x74> + 8003035c: 00100713 li a4,1 + 80030360: 04e79263 bne a5,a4,800303a4 <_ZL8WritePRGjh+0x68> + 80030364: 00f5f793 andi a5,a1,15 + 80030368: 00058513 mv a0,a1 + 8003036c: 0019c717 auipc a4,0x19c + 80030370: 1ef709a3 sb a5,499(a4) # 801cc55f + 80030374: f9dff0ef jal ra,80030310 <_Z6Mirrorh> + 80030378: 00813083 ld ra,8(sp) + 8003037c: 01010113 addi sp,sp,16 + 80030380: ca9ff06f j 80030028 <_ZL4Syncv> + 80030384: 08100713 li a4,129 + 80030388: 00e79e63 bne a5,a4,800303a4 <_ZL8WritePRGjh+0x68> + 8003038c: 00813083 ld ra,8(sp) + 80030390: 03f5f513 andi a0,a1,63 + 80030394: 0019c797 auipc a5,0x19c + 80030398: 1ca78523 sb a0,458(a5) # 801cc55e + 8003039c: 01010113 addi sp,sp,16 + 800303a0: c89ff06f j 80030028 <_ZL4Syncv> + 800303a4: 00813083 ld ra,8(sp) + 800303a8: 01010113 addi sp,sp,16 + 800303ac: 00008067 ret + 800303b0: 0035f793 andi a5,a1,3 + 800303b4: 00058513 mv a0,a1 + 800303b8: 0019c717 auipc a4,0x19c + 800303bc: 1af70223 sb a5,420(a4) # 801cc55c + 800303c0: f51ff0ef jal ra,80030310 <_Z6Mirrorh> + 800303c4: 00813083 ld ra,8(sp) + 800303c8: 01010113 addi sp,sp,16 + 800303cc: c5dff06f j 80030028 <_ZL4Syncv> + 800303d0: 03f5f513 andi a0,a1,63 + 800303d4: 0019c797 auipc a5,0x19c + 800303d8: 18a784a3 sb a0,393(a5) # 801cc55d + 800303dc: ef1ff0ef jal ra,800302cc <_Z10SyncMirrorv> + 800303e0: 00813083 ld ra,8(sp) + 800303e4: 01010113 addi sp,sp,16 + 800303e8: c41ff06f j 80030028 <_ZL4Syncv> + +00000000800303ec <_Z13Mapper28_InitP8CartInfo>: + 800303ec: 00000797 auipc a5,0x0 + 800303f0: e2878793 addi a5,a5,-472 # 80030214 <_ZL8M28Powerv> + 800303f4: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 800303f8: 00000797 auipc a5,0x0 + 800303fc: e0078793 addi a5,a5,-512 # 800301f8 <_ZL8M28Resetv> + 80030400: 00f53423 sd a5,8(a0) + 80030404: 00000797 auipc a5,0x0 + 80030408: c2078793 addi a5,a5,-992 # 80030024 <_ZL8M28Closev> + 8003040c: 00f53823 sd a5,16(a0) + 80030410: 00000797 auipc a5,0x0 + 80030414: de478793 addi a5,a5,-540 # 800301f4 <_ZL12StateRestorei> + 80030418: 00000693 li a3,0 + 8003041c: 00000613 li a2,0 + 80030420: fff00593 li a1,-1 + 80030424: 0001c517 auipc a0,0x1c + 80030428: fc450513 addi a0,a0,-60 # 8004c3e8 <_ZL9StateRegs> + 8003042c: 0019c717 auipc a4,0x19c + 80030430: 22f73623 sd a5,556(a4) # 801cc658 + 80030434: bddcf06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030438 <_ZL9M168Dummyjh>: + 80030438: 00008067 ret + +000000008003043c <_ZL4Syncv>: + 8003043c: ff010113 addi sp,sp,-16 + 80030440: 00000613 li a2,0 + 80030444: 00000593 li a1,0 + 80030448: 01000513 li a0,16 + 8003044c: 00113423 sd ra,8(sp) + 80030450: 00813023 sd s0,0(sp) + 80030454: a11e00ef jal ra,80010e64 <_Z8setchr4rijj> + 80030458: 0019c417 auipc s0,0x19c + 8003045c: 11040413 addi s0,s0,272 # 801cc568 <_ZL3reg> + 80030460: 00044603 lbu a2,0(s0) + 80030464: 000015b7 lui a1,0x1 + 80030468: 01000513 li a0,16 + 8003046c: 00f67613 andi a2,a2,15 + 80030470: 9f5e00ef jal ra,80010e64 <_Z8setchr4rijj> + 80030474: 00044583 lbu a1,0(s0) + 80030478: 00008537 lui a0,0x8 + 8003047c: 0065d593 srli a1,a1,0x6 + 80030480: fd8e00ef jal ra,80010c58 <_Z8setprg16jj> + 80030484: 00013403 ld s0,0(sp) + 80030488: 00813083 ld ra,8(sp) + 8003048c: fff00593 li a1,-1 + 80030490: 0000c537 lui a0,0xc + 80030494: 01010113 addi sp,sp,16 + 80030498: fc0e006f j 80010c58 <_Z8setprg16jj> + +000000008003049c <_ZL12StateRestorei>: + 8003049c: fa1ff06f j 8003043c <_ZL4Syncv> + +00000000800304a0 <_ZL9M168Writejh>: + 800304a0: 0019c797 auipc a5,0x19c + 800304a4: 0cb78423 sb a1,200(a5) # 801cc568 <_ZL3reg> + 800304a8: f95ff06f j 8003043c <_ZL4Syncv> + +00000000800304ac <_ZL9M168Closev>: + 800304ac: 0019c517 auipc a0,0x19c + 800304b0: 0c453503 ld a0,196(a0) # 801cc570 <_ZL6CHRRAM> + 800304b4: 02050263 beqz a0,800304d8 <_ZL9M168Closev+0x2c> + 800304b8: ff010113 addi sp,sp,-16 + 800304bc: 00113423 sd ra,8(sp) + 800304c0: 880e20ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800304c4: 00813083 ld ra,8(sp) + 800304c8: 0019c797 auipc a5,0x19c + 800304cc: 0a07b423 sd zero,168(a5) # 801cc570 <_ZL6CHRRAM> + 800304d0: 01010113 addi sp,sp,16 + 800304d4: 00008067 ret + 800304d8: 0019c797 auipc a5,0x19c + 800304dc: 0807bc23 sd zero,152(a5) # 801cc570 <_ZL6CHRRAM> + 800304e0: 00008067 ret + +00000000800304e4 <_ZL9M168Powerv>: + 800304e4: ff010113 addi sp,sp,-16 + 800304e8: 00113423 sd ra,8(sp) + 800304ec: 0019c797 auipc a5,0x19c + 800304f0: 06078e23 sb zero,124(a5) # 801cc568 <_ZL3reg> + 800304f4: f49ff0ef jal ra,8003043c <_ZL4Syncv> + 800304f8: 000085b7 lui a1,0x8 + 800304fc: 00004537 lui a0,0x4 + 80030500: 00000617 auipc a2,0x0 + 80030504: f3860613 addi a2,a2,-200 # 80030438 <_ZL9M168Dummyjh> + 80030508: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 8003050c: 02050513 addi a0,a0,32 # 4020 <_entry_offset+0x4020> + 80030510: 618030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030514: 00000617 auipc a2,0x0 + 80030518: f8c60613 addi a2,a2,-116 # 800304a0 <_ZL9M168Writejh> + 8003051c: 0000b5b7 lui a1,0xb + 80030520: 0000b537 lui a0,0xb + 80030524: 604030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030528: 00000617 auipc a2,0x0 + 8003052c: f1060613 addi a2,a2,-240 # 80030438 <_ZL9M168Dummyjh> + 80030530: 0000f5b7 lui a1,0xf + 80030534: 0000f537 lui a0,0xf + 80030538: 5f0030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8003053c: 0000f5b7 lui a1,0xf + 80030540: 08058593 addi a1,a1,128 # f080 <_entry_offset+0xf080> + 80030544: 00058513 mv a0,a1 + 80030548: 00000617 auipc a2,0x0 + 8003054c: ef060613 addi a2,a2,-272 # 80030438 <_ZL9M168Dummyjh> + 80030550: 5d8030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030554: 00813083 ld ra,8(sp) + 80030558: 000105b7 lui a1,0x10 + 8003055c: fffe0617 auipc a2,0xfffe0 + 80030560: 10460613 addi a2,a2,260 # 80010660 <_Z6CartBRj> + 80030564: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80030568: 00008537 lui a0,0x8 + 8003056c: 01010113 addi sp,sp,16 + 80030570: 4ac0306f j 80033a1c <_Z14SetReadHandleriiPFhjE> + +0000000080030574 <_Z14Mapper168_InitP8CartInfo>: + 80030574: fe010113 addi sp,sp,-32 + 80030578: 00113c23 sd ra,24(sp) + 8003057c: 00813823 sd s0,16(sp) + 80030580: 00913423 sd s1,8(sp) + 80030584: 00000717 auipc a4,0x0 + 80030588: f6070713 addi a4,a4,-160 # 800304e4 <_ZL9M168Powerv> + 8003058c: 00e53023 sd a4,0(a0) # 8000 <_entry_offset+0x8000> + 80030590: 00050793 mv a5,a0 + 80030594: 00000717 auipc a4,0x0 + 80030598: f1870713 addi a4,a4,-232 # 800304ac <_ZL9M168Closev> + 8003059c: 00e7b823 sd a4,16(a5) + 800305a0: 00000693 li a3,0 + 800305a4: 00000613 li a2,0 + 800305a8: fff00593 li a1,-1 + 800305ac: 00000797 auipc a5,0x0 + 800305b0: ef078793 addi a5,a5,-272 # 8003049c <_ZL12StateRestorei> + 800305b4: 0001c517 auipc a0,0x1c + 800305b8: ec450513 addi a0,a0,-316 # 8004c478 <_ZL9StateRegs> + 800305bc: 0019c717 auipc a4,0x19c + 800305c0: 08f73e23 sd a5,156(a4) # 801cc658 + 800305c4: a4dcf0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800305c8: 0019c417 auipc s0,0x19c + 800305cc: f9c40413 addi s0,s0,-100 # 801cc564 <_ZL10CHRRAMSIZE> + 800305d0: 000107b7 lui a5,0x10 + 800305d4: 00010537 lui a0,0x10 + 800305d8: 00f42023 sw a5,0(s0) + 800305dc: e91e10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800305e0: 00042603 lw a2,0(s0) + 800305e4: 00050593 mv a1,a0 + 800305e8: 0019c497 auipc s1,0x19c + 800305ec: f8848493 addi s1,s1,-120 # 801cc570 <_ZL6CHRRAM> + 800305f0: 00100693 li a3,1 + 800305f4: 01000513 li a0,16 + 800305f8: 00b4b023 sd a1,0(s1) + 800305fc: fc9df0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 80030600: 00042583 lw a1,0(s0) + 80030604: 01013403 ld s0,16(sp) + 80030608: 0004b503 ld a0,0(s1) + 8003060c: 01813083 ld ra,24(sp) + 80030610: 00813483 ld s1,8(sp) + 80030614: 00015697 auipc a3,0x15 + 80030618: 06468693 addi a3,a3,100 # 80045678 <_ZL10prot_array+0x10> + 8003061c: 00000613 li a2,0 + 80030620: 02010113 addi sp,sp,32 + 80030624: 9edcf06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030628 <_ZL12StateRestorei>: + 80030628: 0019c317 auipc t1,0x19c + 8003062c: f6833303 ld t1,-152(t1) # 801cc590 <_ZL5WSync> + 80030630: 00030067 jr t1 + +0000000080030634 <_ZL6Write3jh>: + 80030634: 0085551b srliw a0,a0,0x8 + 80030638: 00357513 andi a0,a0,3 + 8003063c: 0019c797 auipc a5,0x19c + 80030640: f4478793 addi a5,a5,-188 # 801cc580 <_ZL3reg> + 80030644: 00a78533 add a0,a5,a0 + 80030648: 00b50023 sb a1,0(a0) # 10000 <_entry_offset+0x10000> + 8003064c: 0019c317 auipc t1,0x19c + 80030650: f4433303 ld t1,-188(t1) # 801cc590 <_ZL5WSync> + 80030654: 00030067 jr t1 + +0000000080030658 <_ZL4Syncv>: + 80030658: ff010113 addi sp,sp,-16 + 8003065c: 00000613 li a2,0 + 80030660: 000065b7 lui a1,0x6 + 80030664: 01000513 li a0,16 + 80030668: 00113423 sd ra,8(sp) + 8003066c: a7ce00ef jal ra,800108e8 <_Z8setprg8rijj> + 80030670: 0019c797 auipc a5,0x19c + 80030674: f1078793 addi a5,a5,-240 # 801cc580 <_ZL3reg> + 80030678: 0007c583 lbu a1,0(a5) + 8003067c: 0017c783 lbu a5,1(a5) + 80030680: 00008537 lui a0,0x8 + 80030684: 0045959b slliw a1,a1,0x4 + 80030688: 00f7f793 andi a5,a5,15 + 8003068c: 00f5e5b3 or a1,a1,a5 + 80030690: 0005859b sext.w a1,a1 + 80030694: f80e00ef jal ra,80010e14 <_Z8setprg32jj> + 80030698: 00813083 ld ra,8(sp) + 8003069c: 00000513 li a0,0 + 800306a0: 01010113 addi sp,sp,16 + 800306a4: 861e006f j 80010f04 <_Z7setchr8j> + +00000000800306a8 <_ZL5Sync3v>: + 800306a8: ff010113 addi sp,sp,-16 + 800306ac: 00000513 li a0,0 + 800306b0: 00113423 sd ra,8(sp) + 800306b4: 851e00ef jal ra,80010f04 <_Z7setchr8j> + 800306b8: 00000613 li a2,0 + 800306bc: 000065b7 lui a1,0x6 + 800306c0: 01000513 li a0,16 + 800306c4: a24e00ef jal ra,800108e8 <_Z8setprg8rijj> + 800306c8: 0019c717 auipc a4,0x19c + 800306cc: eb870713 addi a4,a4,-328 # 801cc580 <_ZL3reg> + 800306d0: 00374783 lbu a5,3(a4) + 800306d4: 00016697 auipc a3,0x16 + 800306d8: bcc68693 addi a3,a3,-1076 # 800462a0 <_ZL3lut+0x440> + 800306dc: 0077f793 andi a5,a5,7 + 800306e0: 00279793 slli a5,a5,0x2 + 800306e4: 00d787b3 add a5,a5,a3 + 800306e8: 0007a783 lw a5,0(a5) + 800306ec: 00d787b3 add a5,a5,a3 + 800306f0: 00078067 jr a5 + 800306f4: 00274783 lbu a5,2(a4) + 800306f8: 00074583 lbu a1,0(a4) + 800306fc: 00813083 ld ra,8(sp) + 80030700: 0047979b slliw a5,a5,0x4 + 80030704: 0ff7f793 andi a5,a5,255 + 80030708: 00f5f593 andi a1,a1,15 + 8003070c: 00008537 lui a0,0x8 + 80030710: 00f5e5b3 or a1,a1,a5 + 80030714: 01010113 addi sp,sp,16 + 80030718: efce006f j 80010e14 <_Z8setprg32jj> + 8003071c: 00174783 lbu a5,1(a4) + 80030720: 00274583 lbu a1,2(a4) + 80030724: 00074703 lbu a4,0(a4) + 80030728: 4017d79b sraiw a5,a5,0x1 + 8003072c: 0045959b slliw a1,a1,0x4 + 80030730: 00813083 ld ra,8(sp) + 80030734: 0ff5f593 andi a1,a1,255 + 80030738: 0017f793 andi a5,a5,1 + 8003073c: 00b7e7b3 or a5,a5,a1 + 80030740: 00e77593 andi a1,a4,14 + 80030744: 00f5e5b3 or a1,a1,a5 + 80030748: 00008537 lui a0,0x8 + 8003074c: 01010113 addi sp,sp,16 + 80030750: ec4e006f j 80010e14 <_Z8setprg32jj> + 80030754: 00274783 lbu a5,2(a4) + 80030758: 00074583 lbu a1,0(a4) + 8003075c: 00813083 ld ra,8(sp) + 80030760: 0047979b slliw a5,a5,0x4 + 80030764: 0ff7f793 andi a5,a5,255 + 80030768: 00c5f593 andi a1,a1,12 + 8003076c: 00008537 lui a0,0x8 + 80030770: 00f5e5b3 or a1,a1,a5 + 80030774: 01010113 addi sp,sp,16 + 80030778: e9ce006f j 80010e14 <_Z8setprg32jj> + 8003077c: 00074583 lbu a1,0(a4) + 80030780: 00274783 lbu a5,2(a4) + 80030784: 00174683 lbu a3,1(a4) + 80030788: 00813083 ld ra,8(sp) + 8003078c: 00c5f593 andi a1,a1,12 + 80030790: 0026f713 andi a4,a3,2 + 80030794: 0047979b slliw a5,a5,0x4 + 80030798: 0ff7f793 andi a5,a5,255 + 8003079c: 00e5e5b3 or a1,a1,a4 + 800307a0: 00f5e5b3 or a1,a1,a5 + 800307a4: 00008537 lui a0,0x8 + 800307a8: 01010113 addi sp,sp,16 + 800307ac: e68e006f j 80010e14 <_Z8setprg32jj> + +00000000800307b0 <_ZL5Closev>: + 800307b0: 0019c517 auipc a0,0x19c + 800307b4: dd853503 ld a0,-552(a0) # 801cc588 <_ZL4WRAM> + 800307b8: 02050263 beqz a0,800307dc <_ZL5Closev+0x2c> + 800307bc: ff010113 addi sp,sp,-16 + 800307c0: 00113423 sd ra,8(sp) + 800307c4: d7de10ef jal ra,80012540 <_Z10FCEU_gfreePv> + 800307c8: 00813083 ld ra,8(sp) + 800307cc: 0019c797 auipc a5,0x19c + 800307d0: da07be23 sd zero,-580(a5) # 801cc588 <_ZL4WRAM> + 800307d4: 01010113 addi sp,sp,16 + 800307d8: 00008067 ret + 800307dc: 0019c797 auipc a5,0x19c + 800307e0: da07b623 sd zero,-596(a5) # 801cc588 <_ZL4WRAM> + 800307e4: 00008067 ret + +00000000800307e8 <_ZL5Powerv>: + 800307e8: ff010113 addi sp,sp,-16 + 800307ec: 00813023 sd s0,0(sp) + 800307f0: 0019c417 auipc s0,0x19c + 800307f4: d9040413 addi s0,s0,-624 # 801cc580 <_ZL3reg> + 800307f8: 00800613 li a2,8 + 800307fc: 00000593 li a1,0 + 80030800: 00040513 mv a0,s0 + 80030804: 00113423 sd ra,8(sp) + 80030808: 2200b0ef jal ra,8003ba28 + 8003080c: 000065b7 lui a1,0x6 + 80030810: fff00793 li a5,-1 + 80030814: 00000617 auipc a2,0x0 + 80030818: 25460613 addi a2,a2,596 # 80030a68 <_ZL5Writejh> + 8003081c: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 80030820: 00005537 lui a0,0x5 + 80030824: 00f400a3 sb a5,1(s0) + 80030828: 300030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8003082c: 000105b7 lui a1,0x10 + 80030830: fffe0617 auipc a2,0xfffe0 + 80030834: e3060613 addi a2,a2,-464 # 80010660 <_Z6CartBRj> + 80030838: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 8003083c: 00006537 lui a0,0x6 + 80030840: 1dc030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80030844: 000085b7 lui a1,0x8 + 80030848: fffe0617 auipc a2,0xfffe0 + 8003084c: e4460613 addi a2,a2,-444 # 8001068c <_Z6CartBWjh> + 80030850: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 80030854: 00006537 lui a0,0x6 + 80030858: 2d0030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8003085c: 0019c517 auipc a0,0x19c + 80030860: d4052503 lw a0,-704(a0) # 801cc59c <_ZL8WRAMSIZE> + 80030864: 0019c617 auipc a2,0x19c + 80030868: d2463603 ld a2,-732(a2) # 801cc588 <_ZL4WRAM> + 8003086c: 000065b7 lui a1,0x6 + 80030870: 00a5551b srliw a0,a0,0xa + 80030874: fa0cf0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 80030878: 00013403 ld s0,0(sp) + 8003087c: 00813083 ld ra,8(sp) + 80030880: 0019c317 auipc t1,0x19c + 80030884: d1033303 ld t1,-752(t1) # 801cc590 <_ZL5WSync> + 80030888: 01010113 addi sp,sp,16 + 8003088c: 00030067 jr t1 + +0000000080030890 <_ZL6Power3v>: + 80030890: 000065b7 lui a1,0x6 + 80030894: 070007b7 lui a5,0x7000 + 80030898: ff010113 addi sp,sp,-16 + 8003089c: 0037879b addiw a5,a5,3 + 800308a0: 00000617 auipc a2,0x0 + 800308a4: d9460613 addi a2,a2,-620 # 80030634 <_ZL6Write3jh> + 800308a8: fff58593 addi a1,a1,-1 # 5fff <_entry_offset+0x5fff> + 800308ac: 00005537 lui a0,0x5 + 800308b0: 00113423 sd ra,8(sp) + 800308b4: 0019c717 auipc a4,0x19c + 800308b8: ccf72623 sw a5,-820(a4) # 801cc580 <_ZL3reg> + 800308bc: 26c030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800308c0: 000105b7 lui a1,0x10 + 800308c4: fffe0617 auipc a2,0xfffe0 + 800308c8: d9c60613 addi a2,a2,-612 # 80010660 <_Z6CartBRj> + 800308cc: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 800308d0: 00006537 lui a0,0x6 + 800308d4: 148030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800308d8: 000085b7 lui a1,0x8 + 800308dc: fffe0617 auipc a2,0xfffe0 + 800308e0: db060613 addi a2,a2,-592 # 8001068c <_Z6CartBWjh> + 800308e4: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800308e8: 00006537 lui a0,0x6 + 800308ec: 23c030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800308f0: 0019c517 auipc a0,0x19c + 800308f4: cac52503 lw a0,-852(a0) # 801cc59c <_ZL8WRAMSIZE> + 800308f8: 0019c617 auipc a2,0x19c + 800308fc: c9063603 ld a2,-880(a2) # 801cc588 <_ZL4WRAM> + 80030900: 000065b7 lui a1,0x6 + 80030904: 00a5551b srliw a0,a0,0xa + 80030908: f0ccf0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 8003090c: 00813083 ld ra,8(sp) + 80030910: 0019c317 auipc t1,0x19c + 80030914: c8033303 ld t1,-896(t1) # 801cc590 <_ZL5WSync> + 80030918: 01010113 addi sp,sp,16 + 8003091c: 00030067 jr t1 + +0000000080030920 <_ZL6Power2v>: + 80030920: ff010113 addi sp,sp,-16 + 80030924: 00800613 li a2,8 + 80030928: 00000593 li a1,0 + 8003092c: 0019c517 auipc a0,0x19c + 80030930: c5450513 addi a0,a0,-940 # 801cc580 <_ZL3reg> + 80030934: 00113423 sd ra,8(sp) + 80030938: 00813023 sd s0,0(sp) + 8003093c: 0ec0b0ef jal ra,8003ba28 + 80030940: 00004537 lui a0,0x4 + 80030944: 00100793 li a5,1 + 80030948: 01150513 addi a0,a0,17 # 4011 <_entry_offset+0x4011> + 8003094c: 0019c717 auipc a4,0x19c + 80030950: c2f70623 sb a5,-980(a4) # 801cc578 <_ZL10laststrobe> + 80030954: 00006437 lui s0,0x6 + 80030958: 184030ef jal ra,80033adc <_Z15GetWriteHandleri> + 8003095c: fff40593 addi a1,s0,-1 # 5fff <_entry_offset+0x5fff> + 80030960: 00000617 auipc a2,0x0 + 80030964: 08860613 addi a2,a2,136 # 800309e8 <_ZL7ReadLowj> + 80030968: 00005537 lui a0,0x5 + 8003096c: 0b0030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80030970: fff40593 addi a1,s0,-1 + 80030974: 00000617 auipc a2,0x0 + 80030978: 17460613 addi a2,a2,372 # 80030ae8 <_ZL6Write2jh> + 8003097c: 00005537 lui a0,0x5 + 80030980: 1a8030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80030984: 000105b7 lui a1,0x10 + 80030988: fffe0617 auipc a2,0xfffe0 + 8003098c: cd860613 addi a2,a2,-808 # 80010660 <_Z6CartBRj> + 80030990: fff58593 addi a1,a1,-1 # ffff <_entry_offset+0xffff> + 80030994: 00006537 lui a0,0x6 + 80030998: 084030ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8003099c: 000085b7 lui a1,0x8 + 800309a0: fffe0617 auipc a2,0xfffe0 + 800309a4: cec60613 addi a2,a2,-788 # 8001068c <_Z6CartBWjh> + 800309a8: fff58593 addi a1,a1,-1 # 7fff <_entry_offset+0x7fff> + 800309ac: 00006537 lui a0,0x6 + 800309b0: 178030ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800309b4: 0019c517 auipc a0,0x19c + 800309b8: be852503 lw a0,-1048(a0) # 801cc59c <_ZL8WRAMSIZE> + 800309bc: 0019c617 auipc a2,0x19c + 800309c0: bcc63603 ld a2,-1076(a2) # 801cc588 <_ZL4WRAM> + 800309c4: 000065b7 lui a1,0x6 + 800309c8: 00a5551b srliw a0,a0,0xa + 800309cc: e48cf0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 800309d0: 00013403 ld s0,0(sp) + 800309d4: 00813083 ld ra,8(sp) + 800309d8: 0019c317 auipc t1,0x19c + 800309dc: bb833303 ld t1,-1096(t1) # 801cc590 <_ZL5WSync> + 800309e0: 01010113 addi sp,sp,16 + 800309e4: 00030067 jr t1 + +00000000800309e8 <_ZL7ReadLowj>: + 800309e8: 00007737 lui a4,0x7 + 800309ec: 70070713 addi a4,a4,1792 # 7700 <_entry_offset+0x7700> + 800309f0: 000057b7 lui a5,0x5 + 800309f4: 00e57533 and a0,a0,a4 + 800309f8: 10078713 addi a4,a5,256 # 5100 <_entry_offset+0x5100> + 800309fc: 02e50e63 beq a0,a4,80030a38 <_ZL7ReadLowj+0x50> + 80030a00: 50078793 addi a5,a5,1280 + 80030a04: 02f51663 bne a0,a5,80030a30 <_ZL7ReadLowj+0x48> + 80030a08: 0019c517 auipc a0,0x19c + 80030a0c: b9054503 lbu a0,-1136(a0) # 801cc598 <_ZL7trigger> + 80030a10: 00050e63 beqz a0,80030a2c <_ZL7ReadLowj+0x44> + 80030a14: 0019c797 auipc a5,0x19c + 80030a18: b6c78793 addi a5,a5,-1172 # 801cc580 <_ZL3reg> + 80030a1c: 0027c503 lbu a0,2(a5) + 80030a20: 0017c783 lbu a5,1(a5) + 80030a24: 00f56533 or a0,a0,a5 + 80030a28: 0ff57513 andi a0,a0,255 + 80030a2c: 00008067 ret + 80030a30: 00400513 li a0,4 + 80030a34: 00008067 ret + 80030a38: 0019c797 auipc a5,0x19c + 80030a3c: b4878793 addi a5,a5,-1208 # 801cc580 <_ZL3reg> + 80030a40: 0027c503 lbu a0,2(a5) + 80030a44: 0007c683 lbu a3,0(a5) + 80030a48: 0017c703 lbu a4,1(a5) + 80030a4c: 0037c783 lbu a5,3(a5) + 80030a50: 00d56533 or a0,a0,a3 + 80030a54: 00e56533 or a0,a0,a4 + 80030a58: fff7c793 not a5,a5 + 80030a5c: 00f56533 or a0,a0,a5 + 80030a60: 0ff57513 andi a0,a0,255 + 80030a64: 00008067 ret + +0000000080030a68 <_ZL5Writejh>: + 80030a68: 00007737 lui a4,0x7 + 80030a6c: 30070713 addi a4,a4,768 # 7300 <_entry_offset+0x7300> + 80030a70: 000057b7 lui a5,0x5 + 80030a74: 00e57533 and a0,a0,a4 + 80030a78: 20078713 addi a4,a5,512 # 5200 <_entry_offset+0x5200> + 80030a7c: 04e50a63 beq a0,a4,80030ad0 <_ZL5Writejh+0x68> + 80030a80: 02a76263 bltu a4,a0,80030aa4 <_ZL5Writejh+0x3c> + 80030a84: 02f50c63 beq a0,a5,80030abc <_ZL5Writejh+0x54> + 80030a88: 10078793 addi a5,a5,256 + 80030a8c: 04f51c63 bne a0,a5,80030ae4 <_ZL5Writejh+0x7c> + 80030a90: 0019c797 auipc a5,0x19c + 80030a94: aeb78823 sb a1,-1296(a5) # 801cc580 <_ZL3reg> + 80030a98: 0019c317 auipc t1,0x19c + 80030a9c: af833303 ld t1,-1288(t1) # 801cc590 <_ZL5WSync> + 80030aa0: 00030067 jr t1 + 80030aa4: 30078793 addi a5,a5,768 + 80030aa8: 00f51863 bne a0,a5,80030ab8 <_ZL5Writejh+0x50> + 80030aac: 0019c797 auipc a5,0x19c + 80030ab0: acb78b23 sb a1,-1322(a5) # 801cc582 <_ZL3reg+0x2> + 80030ab4: 00008067 ret + 80030ab8: 00008067 ret + 80030abc: 0019c797 auipc a5,0x19c + 80030ac0: acb782a3 sb a1,-1339(a5) # 801cc581 <_ZL3reg+0x1> + 80030ac4: 0019c317 auipc t1,0x19c + 80030ac8: acc33303 ld t1,-1332(t1) # 801cc590 <_ZL5WSync> + 80030acc: 00030067 jr t1 + 80030ad0: 0019c797 auipc a5,0x19c + 80030ad4: aab789a3 sb a1,-1357(a5) # 801cc583 <_ZL3reg+0x3> + 80030ad8: 0019c317 auipc t1,0x19c + 80030adc: ab833303 ld t1,-1352(t1) # 801cc590 <_ZL5WSync> + 80030ae0: 00030067 jr t1 + 80030ae4: 00008067 ret + +0000000080030ae8 <_ZL6Write2jh>: + 80030ae8: 000057b7 lui a5,0x5 + 80030aec: 10178713 addi a4,a5,257 # 5101 <_entry_offset+0x5101> + 80030af0: 0ae50663 beq a0,a4,80030b9c <_ZL6Write2jh+0xb4> + 80030af4: 10078693 addi a3,a5,256 + 80030af8: 06d50663 beq a0,a3,80030b64 <_ZL6Write2jh+0x7c> + 80030afc: 00007737 lui a4,0x7 + 80030b00: 30070713 addi a4,a4,768 # 7300 <_entry_offset+0x7300> + 80030b04: 00e57533 and a0,a0,a4 + 80030b08: 20078713 addi a4,a5,512 + 80030b0c: 0ce50263 beq a0,a4,80030bd0 <_ZL6Write2jh+0xe8> + 80030b10: 06a76c63 bltu a4,a0,80030b88 <_ZL6Write2jh+0xa0> + 80030b14: 06f51663 bne a0,a5,80030b80 <_ZL6Write2jh+0x98> + 80030b18: ff010113 addi sp,sp,-16 + 80030b1c: 00813023 sd s0,0(sp) + 80030b20: 0019c417 auipc s0,0x19c + 80030b24: a6040413 addi s0,s0,-1440 # 801cc580 <_ZL3reg> + 80030b28: 0019c797 auipc a5,0x19c + 80030b2c: a687b783 ld a5,-1432(a5) # 801cc590 <_ZL5WSync> + 80030b30: 00113423 sd ra,8(sp) + 80030b34: 00b400a3 sb a1,1(s0) + 80030b38: 000780e7 jalr a5 + 80030b3c: 00140783 lb a5,1(s0) + 80030b40: 0007ca63 bltz a5,80030b54 <_ZL6Write2jh+0x6c> + 80030b44: 0019c717 auipc a4,0x19c + 80030b48: c5c72703 lw a4,-932(a4) # 801cc7a0 + 80030b4c: 07f00793 li a5,127 + 80030b50: 0ae7d063 bge a5,a4,80030bf0 <_ZL6Write2jh+0x108> + 80030b54: 00813083 ld ra,8(sp) + 80030b58: 00013403 ld s0,0(sp) + 80030b5c: 01010113 addi sp,sp,16 + 80030b60: 00008067 ret + 80030b64: 00600793 li a5,6 + 80030b68: 06f58e63 beq a1,a5,80030be4 <_ZL6Write2jh+0xfc> + 80030b6c: 0019c797 auipc a5,0x19c + 80030b70: a0b78ba3 sb a1,-1513(a5) # 801cc583 <_ZL3reg+0x3> + 80030b74: 0019c317 auipc t1,0x19c + 80030b78: a1c33303 ld t1,-1508(t1) # 801cc590 <_ZL5WSync> + 80030b7c: 00030067 jr t1 + 80030b80: fed506e3 beq a0,a3,80030b6c <_ZL6Write2jh+0x84> + 80030b84: 00008067 ret + 80030b88: 30078793 addi a5,a5,768 + 80030b8c: 04f51063 bne a0,a5,80030bcc <_ZL6Write2jh+0xe4> + 80030b90: 0019c797 auipc a5,0x19c + 80030b94: 9eb78923 sb a1,-1550(a5) # 801cc582 <_ZL3reg+0x2> + 80030b98: 00008067 ret + 80030b9c: 0019c797 auipc a5,0x19c + 80030ba0: 9dc78793 addi a5,a5,-1572 # 801cc578 <_ZL10laststrobe> + 80030ba4: 0007c703 lbu a4,0(a5) + 80030ba8: 00070e63 beqz a4,80030bc4 <_ZL6Write2jh+0xdc> + 80030bac: 00059c63 bnez a1,80030bc4 <_ZL6Write2jh+0xdc> + 80030bb0: 0019c697 auipc a3,0x19c + 80030bb4: 9e868693 addi a3,a3,-1560 # 801cc598 <_ZL7trigger> + 80030bb8: 0006c703 lbu a4,0(a3) + 80030bbc: 00174713 xori a4,a4,1 + 80030bc0: 00e68023 sb a4,0(a3) + 80030bc4: 00b78023 sb a1,0(a5) + 80030bc8: 00008067 ret + 80030bcc: 00008067 ret + 80030bd0: 0019c797 auipc a5,0x19c + 80030bd4: 9ab78823 sb a1,-1616(a5) # 801cc580 <_ZL3reg> + 80030bd8: 0019c317 auipc t1,0x19c + 80030bdc: 9b833303 ld t1,-1608(t1) # 801cc590 <_ZL5WSync> + 80030be0: 00030067 jr t1 + 80030be4: 00300593 li a1,3 + 80030be8: 00008537 lui a0,0x8 + 80030bec: a28e006f j 80010e14 <_Z8setprg32jj> + 80030bf0: 00013403 ld s0,0(sp) + 80030bf4: 00813083 ld ra,8(sp) + 80030bf8: 00000513 li a0,0 + 80030bfc: 01010113 addi sp,sp,16 + 80030c00: b04e006f j 80010f04 <_Z7setchr8j> + +0000000080030c04 <_ZL6M163HBv>: + 80030c04: 0019c797 auipc a5,0x19c + 80030c08: 97d78783 lb a5,-1667(a5) # 801cc581 <_ZL3reg+0x1> + 80030c0c: 0007c463 bltz a5,80030c14 <_ZL6M163HBv+0x10> + 80030c10: 00008067 ret + 80030c14: ff010113 addi sp,sp,-16 + 80030c18: 00113423 sd ra,8(sp) + 80030c1c: 0019c797 auipc a5,0x19c + 80030c20: b847a783 lw a5,-1148(a5) # 801cc7a0 + 80030c24: 0ef00713 li a4,239 + 80030c28: 00e78c63 beq a5,a4,80030c40 <_ZL6M163HBv+0x3c> + 80030c2c: 07f00713 li a4,127 + 80030c30: 02e78863 beq a5,a4,80030c60 <_ZL6M163HBv+0x5c> + 80030c34: 00813083 ld ra,8(sp) + 80030c38: 01010113 addi sp,sp,16 + 80030c3c: 00008067 ret + 80030c40: 00000593 li a1,0 + 80030c44: 00000513 li a0,0 + 80030c48: a9ce00ef jal ra,80010ee4 <_Z7setchr4jj> + 80030c4c: 00000593 li a1,0 + 80030c50: 00813083 ld ra,8(sp) + 80030c54: 00001537 lui a0,0x1 + 80030c58: 01010113 addi sp,sp,16 + 80030c5c: a88e006f j 80010ee4 <_Z7setchr4jj> + 80030c60: 00100593 li a1,1 + 80030c64: 00000513 li a0,0 + 80030c68: a7ce00ef jal ra,80010ee4 <_Z7setchr4jj> + 80030c6c: 00100593 li a1,1 + 80030c70: fe1ff06f j 80030c50 <_ZL6M163HBv+0x4c> + +0000000080030c74 <_Z14Mapper164_InitP8CartInfo>: + 80030c74: fe010113 addi sp,sp,-32 + 80030c78: 00113c23 sd ra,24(sp) + 80030c7c: 00813823 sd s0,16(sp) + 80030c80: 00913423 sd s1,8(sp) + 80030c84: 01213023 sd s2,0(sp) + 80030c88: 00000797 auipc a5,0x0 + 80030c8c: b6078793 addi a5,a5,-1184 # 800307e8 <_ZL5Powerv> + 80030c90: 00f53023 sd a5,0(a0) # 1000 <_entry_offset+0x1000> + 80030c94: 00000797 auipc a5,0x0 + 80030c98: b1c78793 addi a5,a5,-1252 # 800307b0 <_ZL5Closev> + 80030c9c: 00f53823 sd a5,16(a0) + 80030ca0: 00000797 auipc a5,0x0 + 80030ca4: 9b878793 addi a5,a5,-1608 # 80030658 <_ZL4Syncv> + 80030ca8: 0019c497 auipc s1,0x19c + 80030cac: 8f448493 addi s1,s1,-1804 # 801cc59c <_ZL8WRAMSIZE> + 80030cb0: 00050413 mv s0,a0 + 80030cb4: 0019c717 auipc a4,0x19c + 80030cb8: 8cf73e23 sd a5,-1828(a4) # 801cc590 <_ZL5WSync> + 80030cbc: 00002537 lui a0,0x2 + 80030cc0: 000027b7 lui a5,0x2 + 80030cc4: 00f4a023 sw a5,0(s1) + 80030cc8: fa4e10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80030ccc: 0004a603 lw a2,0(s1) + 80030cd0: 00050593 mv a1,a0 + 80030cd4: 0019c917 auipc s2,0x19c + 80030cd8: 8b490913 addi s2,s2,-1868 # 801cc588 <_ZL4WRAM> + 80030cdc: 00100693 li a3,1 + 80030ce0: 01000513 li a0,16 + 80030ce4: 00b93023 sd a1,0(s2) + 80030ce8: 80ddf0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80030cec: 0004a583 lw a1,0(s1) + 80030cf0: 00093503 ld a0,0(s2) + 80030cf4: 00014697 auipc a3,0x14 + 80030cf8: 63468693 addi a3,a3,1588 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80030cfc: 00000613 li a2,0 + 80030d00: b10cf0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80030d04: 04c42783 lw a5,76(s0) + 80030d08: 00078a63 beqz a5,80030d1c <_Z14Mapper164_InitP8CartInfo+0xa8> + 80030d0c: 00093703 ld a4,0(s2) + 80030d10: 0004a783 lw a5,0(s1) + 80030d14: 00e43c23 sd a4,24(s0) + 80030d18: 02f42c23 sw a5,56(s0) + 80030d1c: 01013403 ld s0,16(sp) + 80030d20: 01813083 ld ra,24(sp) + 80030d24: 00813483 ld s1,8(sp) + 80030d28: 00013903 ld s2,0(sp) + 80030d2c: 00000797 auipc a5,0x0 + 80030d30: 8fc78793 addi a5,a5,-1796 # 80030628 <_ZL12StateRestorei> + 80030d34: 0019c717 auipc a4,0x19c + 80030d38: 92f73223 sd a5,-1756(a4) # 801cc658 + 80030d3c: 00000693 li a3,0 + 80030d40: 00000613 li a2,0 + 80030d44: fff00593 li a1,-1 + 80030d48: 0001b517 auipc a0,0x1b + 80030d4c: 76050513 addi a0,a0,1888 # 8004c4a8 <_ZL9StateRegs> + 80030d50: 02010113 addi sp,sp,32 + 80030d54: abccf06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030d58 <_Z14Mapper163_InitP8CartInfo>: + 80030d58: fe010113 addi sp,sp,-32 + 80030d5c: 00113c23 sd ra,24(sp) + 80030d60: 00813823 sd s0,16(sp) + 80030d64: 00913423 sd s1,8(sp) + 80030d68: 01213023 sd s2,0(sp) + 80030d6c: 00000797 auipc a5,0x0 + 80030d70: bb478793 addi a5,a5,-1100 # 80030920 <_ZL6Power2v> + 80030d74: 00f53023 sd a5,0(a0) + 80030d78: 00000797 auipc a5,0x0 + 80030d7c: a3878793 addi a5,a5,-1480 # 800307b0 <_ZL5Closev> + 80030d80: 00f53823 sd a5,16(a0) + 80030d84: 00000797 auipc a5,0x0 + 80030d88: 8d478793 addi a5,a5,-1836 # 80030658 <_ZL4Syncv> + 80030d8c: 0019c717 auipc a4,0x19c + 80030d90: 80f73223 sd a5,-2044(a4) # 801cc590 <_ZL5WSync> + 80030d94: 00000797 auipc a5,0x0 + 80030d98: e7078793 addi a5,a5,-400 # 80030c04 <_ZL6M163HBv> + 80030d9c: 0019c497 auipc s1,0x19c + 80030da0: 80048493 addi s1,s1,-2048 # 801cc59c <_ZL8WRAMSIZE> + 80030da4: 00050413 mv s0,a0 + 80030da8: 0019c717 auipc a4,0x19c + 80030dac: 90f73c23 sd a5,-1768(a4) # 801cc6c0 + 80030db0: 00002537 lui a0,0x2 + 80030db4: 000027b7 lui a5,0x2 + 80030db8: 00f4a023 sw a5,0(s1) + 80030dbc: eb0e10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80030dc0: 0004a603 lw a2,0(s1) + 80030dc4: 00050593 mv a1,a0 + 80030dc8: 0019b917 auipc s2,0x19b + 80030dcc: 7c090913 addi s2,s2,1984 # 801cc588 <_ZL4WRAM> + 80030dd0: 00100693 li a3,1 + 80030dd4: 01000513 li a0,16 + 80030dd8: 00b93023 sd a1,0(s2) + 80030ddc: f18df0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80030de0: 0004a583 lw a1,0(s1) + 80030de4: 00093503 ld a0,0(s2) + 80030de8: 00014697 auipc a3,0x14 + 80030dec: 54068693 addi a3,a3,1344 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80030df0: 00000613 li a2,0 + 80030df4: a1ccf0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80030df8: 04c42783 lw a5,76(s0) + 80030dfc: 00078a63 beqz a5,80030e10 <_Z14Mapper163_InitP8CartInfo+0xb8> + 80030e00: 00093703 ld a4,0(s2) + 80030e04: 0004a783 lw a5,0(s1) + 80030e08: 00e43c23 sd a4,24(s0) + 80030e0c: 02f42c23 sw a5,56(s0) + 80030e10: 01013403 ld s0,16(sp) + 80030e14: 01813083 ld ra,24(sp) + 80030e18: 00813483 ld s1,8(sp) + 80030e1c: 00013903 ld s2,0(sp) + 80030e20: 00000797 auipc a5,0x0 + 80030e24: 80878793 addi a5,a5,-2040 # 80030628 <_ZL12StateRestorei> + 80030e28: 0019c717 auipc a4,0x19c + 80030e2c: 82f73823 sd a5,-2000(a4) # 801cc658 + 80030e30: 00000693 li a3,0 + 80030e34: 00000613 li a2,0 + 80030e38: fff00593 li a1,-1 + 80030e3c: 0001b517 auipc a0,0x1b + 80030e40: 66c50513 addi a0,a0,1644 # 8004c4a8 <_ZL9StateRegs> + 80030e44: 02010113 addi sp,sp,32 + 80030e48: 9c8cf06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030e4c <_Z13UNLFS304_InitP8CartInfo>: + 80030e4c: fe010113 addi sp,sp,-32 + 80030e50: 00113c23 sd ra,24(sp) + 80030e54: 00813823 sd s0,16(sp) + 80030e58: 00913423 sd s1,8(sp) + 80030e5c: 01213023 sd s2,0(sp) + 80030e60: 00000797 auipc a5,0x0 + 80030e64: a3078793 addi a5,a5,-1488 # 80030890 <_ZL6Power3v> + 80030e68: 00f53023 sd a5,0(a0) + 80030e6c: 00000797 auipc a5,0x0 + 80030e70: 94478793 addi a5,a5,-1724 # 800307b0 <_ZL5Closev> + 80030e74: 00f53823 sd a5,16(a0) + 80030e78: 00000797 auipc a5,0x0 + 80030e7c: 83078793 addi a5,a5,-2000 # 800306a8 <_ZL5Sync3v> + 80030e80: 0019b497 auipc s1,0x19b + 80030e84: 71c48493 addi s1,s1,1820 # 801cc59c <_ZL8WRAMSIZE> + 80030e88: 00050413 mv s0,a0 + 80030e8c: 0019b717 auipc a4,0x19b + 80030e90: 70f73223 sd a5,1796(a4) # 801cc590 <_ZL5WSync> + 80030e94: 00002537 lui a0,0x2 + 80030e98: 000027b7 lui a5,0x2 + 80030e9c: 00f4a023 sw a5,0(s1) + 80030ea0: dcce10ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80030ea4: 0004a603 lw a2,0(s1) + 80030ea8: 00050593 mv a1,a0 + 80030eac: 0019b917 auipc s2,0x19b + 80030eb0: 6dc90913 addi s2,s2,1756 # 801cc588 <_ZL4WRAM> + 80030eb4: 00100693 li a3,1 + 80030eb8: 01000513 li a0,16 + 80030ebc: 00b93023 sd a1,0(s2) + 80030ec0: e34df0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80030ec4: 0004a583 lw a1,0(s1) + 80030ec8: 00093503 ld a0,0(s2) + 80030ecc: 00014697 auipc a3,0x14 + 80030ed0: 45c68693 addi a3,a3,1116 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 80030ed4: 00000613 li a2,0 + 80030ed8: 938cf0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80030edc: 04c42783 lw a5,76(s0) + 80030ee0: 00078a63 beqz a5,80030ef4 <_Z13UNLFS304_InitP8CartInfo+0xa8> + 80030ee4: 00093703 ld a4,0(s2) + 80030ee8: 0004a783 lw a5,0(s1) + 80030eec: 00e43c23 sd a4,24(s0) + 80030ef0: 02f42c23 sw a5,56(s0) + 80030ef4: 01013403 ld s0,16(sp) + 80030ef8: 01813083 ld ra,24(sp) + 80030efc: 00813483 ld s1,8(sp) + 80030f00: 00013903 ld s2,0(sp) + 80030f04: fffff797 auipc a5,0xfffff + 80030f08: 72478793 addi a5,a5,1828 # 80030628 <_ZL12StateRestorei> + 80030f0c: 0019b717 auipc a4,0x19b + 80030f10: 74f73623 sd a5,1868(a4) # 801cc658 + 80030f14: 00000693 li a3,0 + 80030f18: 00000613 li a2,0 + 80030f1c: fff00593 li a1,-1 + 80030f20: 0001b517 auipc a0,0x1b + 80030f24: 58850513 addi a0,a0,1416 # 8004c4a8 <_ZL9StateRegs> + 80030f28: 02010113 addi sp,sp,32 + 80030f2c: 8e4cf06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080030f30 <_ZL9M193Resetv>: + 80030f30: 00008067 ret + +0000000080030f34 <_ZL4Syncv>: + 80030f34: 0019b517 auipc a0,0x19b + 80030f38: 67d54503 lbu a0,1661(a0) # 801cc5b1 <_ZL6mirror> + 80030f3c: ff010113 addi sp,sp,-16 + 80030f40: 00154513 xori a0,a0,1 + 80030f44: 00113423 sd ra,8(sp) + 80030f48: 00813023 sd s0,0(sp) + 80030f4c: 0019b417 auipc s0,0x19b + 80030f50: 65c40413 addi s0,s0,1628 # 801cc5a8 <_ZL3reg> + 80030f54: 8f4e00ef jal ra,80011048 <_Z9setmirrori> + 80030f58: 00344583 lbu a1,3(s0) + 80030f5c: 00008537 lui a0,0x8 + 80030f60: b3ddf0ef jal ra,80010a9c <_Z7setprg8jj> + 80030f64: 00d00593 li a1,13 + 80030f68: 0000a537 lui a0,0xa + 80030f6c: b31df0ef jal ra,80010a9c <_Z7setprg8jj> + 80030f70: 00e00593 li a1,14 + 80030f74: 0000c537 lui a0,0xc + 80030f78: b25df0ef jal ra,80010a9c <_Z7setprg8jj> + 80030f7c: 00f00593 li a1,15 + 80030f80: 0000e537 lui a0,0xe + 80030f84: b19df0ef jal ra,80010a9c <_Z7setprg8jj> + 80030f88: 00044583 lbu a1,0(s0) + 80030f8c: 00000513 li a0,0 + 80030f90: 0025d593 srli a1,a1,0x2 + 80030f94: f51df0ef jal ra,80010ee4 <_Z7setchr4jj> + 80030f98: 00144583 lbu a1,1(s0) + 80030f9c: 00001537 lui a0,0x1 + 80030fa0: 0015d593 srli a1,a1,0x1 + 80030fa4: f21df0ef jal ra,80010ec4 <_Z7setchr2jj> + 80030fa8: 00244583 lbu a1,2(s0) + 80030fac: 00013403 ld s0,0(sp) + 80030fb0: 00813083 ld ra,8(sp) + 80030fb4: 00002537 lui a0,0x2 + 80030fb8: 80050513 addi a0,a0,-2048 # 1800 <_entry_offset+0x1800> + 80030fbc: 0015d593 srli a1,a1,0x1 + 80030fc0: 01010113 addi sp,sp,16 + 80030fc4: f01df06f j 80010ec4 <_Z7setchr2jj> + +0000000080030fc8 <_ZL12StateRestorei>: + 80030fc8: f6dff06f j 80030f34 <_ZL4Syncv> + +0000000080030fcc <_ZL9M193Writejh>: + 80030fcc: 00357793 andi a5,a0,3 + 80030fd0: 0019b517 auipc a0,0x19b + 80030fd4: 5d850513 addi a0,a0,1496 # 801cc5a8 <_ZL3reg> + 80030fd8: 00f50533 add a0,a0,a5 + 80030fdc: 00b50023 sb a1,0(a0) + 80030fe0: f55ff06f j 80030f34 <_ZL4Syncv> + +0000000080030fe4 <_ZL9M193Powerv>: + 80030fe4: ff010113 addi sp,sp,-16 + 80030fe8: 00113423 sd ra,8(sp) + 80030fec: 00813023 sd s0,0(sp) + 80030ff0: 0019b797 auipc a5,0x19b + 80030ff4: 5c078023 sb zero,1472(a5) # 801cc5b0 <_ZL4bank> + 80030ff8: f3dff0ef jal ra,80030f34 <_ZL4Syncv> + 80030ffc: 000065b7 lui a1,0x6 + 80031000: 00000617 auipc a2,0x0 + 80031004: fcc60613 addi a2,a2,-52 # 80030fcc <_ZL9M193Writejh> + 80031008: 00358593 addi a1,a1,3 # 6003 <_entry_offset+0x6003> + 8003100c: 00006537 lui a0,0x6 + 80031010: 319020ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80031014: 00010437 lui s0,0x10 + 80031018: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8003101c: fffdf617 auipc a2,0xfffdf + 80031020: 64460613 addi a2,a2,1604 # 80010660 <_Z6CartBRj> + 80031024: 00008537 lui a0,0x8 + 80031028: 1f5020ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8003102c: fff40593 addi a1,s0,-1 + 80031030: 00013403 ld s0,0(sp) + 80031034: 00813083 ld ra,8(sp) + 80031038: fffdf617 auipc a2,0xfffdf + 8003103c: 65460613 addi a2,a2,1620 # 8001068c <_Z6CartBWjh> + 80031040: 00008537 lui a0,0x8 + 80031044: 01010113 addi sp,sp,16 + 80031048: 2e10206f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008003104c <_Z14Mapper193_InitP8CartInfo>: + 8003104c: 00000797 auipc a5,0x0 + 80031050: f9878793 addi a5,a5,-104 # 80030fe4 <_ZL9M193Powerv> + 80031054: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80031058: 00000797 auipc a5,0x0 + 8003105c: ed878793 addi a5,a5,-296 # 80030f30 <_ZL9M193Resetv> + 80031060: 00f53423 sd a5,8(a0) + 80031064: 00000797 auipc a5,0x0 + 80031068: f6478793 addi a5,a5,-156 # 80030fc8 <_ZL12StateRestorei> + 8003106c: 00000693 li a3,0 + 80031070: 00000613 li a2,0 + 80031074: fff00593 li a1,-1 + 80031078: 0001b517 auipc a0,0x1b + 8003107c: 49050513 addi a0,a0,1168 # 8004c508 <_ZL9StateRegs> + 80031080: 0019b717 auipc a4,0x19b + 80031084: 5cf73c23 sd a5,1496(a4) # 801cc658 + 80031088: f89ce06f j 80000010 <_Z10AddExStatePvjiPKc> + +000000008003108c <_ZL6MBWRAMjh>: + 8003108c: 0019b797 auipc a5,0x19b + 80031090: 54f7c783 lbu a5,1359(a5) # 801cc5db <_ZL5DRegs+0x3> + 80031094: 0107f793 andi a5,a5,16 + 80031098: 00078863 beqz a5,800310a8 <_ZL6MBWRAMjh+0x1c> + 8003109c: 0019b797 auipc a5,0x19b + 800310a0: 5407a783 lw a5,1344(a5) # 801cc5dc <_ZL5is155> + 800310a4: 02078663 beqz a5,800310d0 <_ZL6MBWRAMjh+0x44> + 800310a8: 00b5579b srliw a5,a0,0xb + 800310ac: 00379713 slli a4,a5,0x3 + 800310b0: 00092797 auipc a5,0x92 + 800310b4: b9078793 addi a5,a5,-1136 # 800c2c40 + 800310b8: 00e787b3 add a5,a5,a4 + 800310bc: 0007b783 ld a5,0(a5) + 800310c0: 02051513 slli a0,a0,0x20 + 800310c4: 02055513 srli a0,a0,0x20 + 800310c8: 00a78533 add a0,a5,a0 + 800310cc: 00b50023 sb a1,0(a0) + 800310d0: 00008067 ret + +00000000800310d4 <_ZL6MAWRAMj>: + 800310d4: 0019b797 auipc a5,0x19b + 800310d8: 5077c783 lbu a5,1287(a5) # 801cc5db <_ZL5DRegs+0x3> + 800310dc: 0107f793 andi a5,a5,16 + 800310e0: 00078863 beqz a5,800310f0 <_ZL6MAWRAMj+0x1c> + 800310e4: 0019b797 auipc a5,0x19b + 800310e8: 4f87a783 lw a5,1272(a5) # 801cc5dc <_ZL5is155> + 800310ec: 02078863 beqz a5,8003111c <_ZL6MAWRAMj+0x48> + 800310f0: 00b5579b srliw a5,a0,0xb + 800310f4: 00379713 slli a4,a5,0x3 + 800310f8: 00092797 auipc a5,0x92 + 800310fc: b4878793 addi a5,a5,-1208 # 800c2c40 + 80031100: 00e787b3 add a5,a5,a4 + 80031104: 0007b783 ld a5,0(a5) + 80031108: 02051513 slli a0,a0,0x20 + 8003110c: 02055513 srli a0,a0,0x20 + 80031110: 00a78533 add a0,a5,a0 + 80031114: 00054503 lbu a0,0(a0) + 80031118: 00008067 ret + 8003111c: 00026517 auipc a0,0x26 + 80031120: 74c54503 lbu a0,1868(a0) # 80057868 + 80031124: 00008067 ret + +0000000080031128 <_ZL7MMC1CHRv>: + 80031128: fe010113 addi sp,sp,-32 + 8003112c: 00813823 sd s0,16(sp) + 80031130: 00113c23 sd ra,24(sp) + 80031134: 0019b417 auipc s0,0x19b + 80031138: 4a440413 addi s0,s0,1188 # 801cc5d8 <_ZL5DRegs> + 8003113c: 00913423 sd s1,8(sp) + 80031140: 0019b797 auipc a5,0x19b + 80031144: 4c07a783 lw a5,1216(a5) # 801cc600 <_ZL8WRAMSIZE> + 80031148: 00002737 lui a4,0x2 + 8003114c: 00144583 lbu a1,1(s0) + 80031150: 02f77463 bgeu a4,a5,80031178 <_ZL7MMC1CHRv+0x50> + 80031154: 00004737 lui a4,0x4 + 80031158: 0005859b sext.w a1,a1 + 8003115c: 08f77863 bgeu a4,a5,800311ec <_ZL7MMC1CHRv+0xc4> + 80031160: 4025d59b sraiw a1,a1,0x2 + 80031164: 0035f613 andi a2,a1,3 + 80031168: 01000513 li a0,16 + 8003116c: 000065b7 lui a1,0x6 + 80031170: f78df0ef jal ra,800108e8 <_Z8setprg8rijj> + 80031174: 00144583 lbu a1,1(s0) + 80031178: 0019b497 auipc s1,0x19b + 8003117c: 44848493 addi s1,s1,1096 # 801cc5c0 <_ZL12MMC1CHRHook4> + 80031180: 00044783 lbu a5,0(s0) + 80031184: 0004b703 ld a4,0(s1) + 80031188: 0107f793 andi a5,a5,16 + 8003118c: 08070863 beqz a4,8003121c <_ZL7MMC1CHRv+0xf4> + 80031190: 02079a63 bnez a5,800311c4 <_ZL7MMC1CHRv+0x9c> + 80031194: 0fe5f593 andi a1,a1,254 + 80031198: 00000513 li a0,0 + 8003119c: 000700e7 jalr a4 # 4000 <_entry_offset+0x4000> + 800311a0: 00144583 lbu a1,1(s0) + 800311a4: 01013403 ld s0,16(sp) + 800311a8: 0004b303 ld t1,0(s1) + 800311ac: 01813083 ld ra,24(sp) + 800311b0: 00813483 ld s1,8(sp) + 800311b4: 00001537 lui a0,0x1 + 800311b8: 0015e593 ori a1,a1,1 + 800311bc: 02010113 addi sp,sp,32 + 800311c0: 00030067 jr t1 + 800311c4: 00000513 li a0,0 + 800311c8: 000700e7 jalr a4 + 800311cc: 00244583 lbu a1,2(s0) + 800311d0: 01013403 ld s0,16(sp) + 800311d4: 0004b303 ld t1,0(s1) + 800311d8: 01813083 ld ra,24(sp) + 800311dc: 00813483 ld s1,8(sp) + 800311e0: 00001537 lui a0,0x1 + 800311e4: 02010113 addi sp,sp,32 + 800311e8: 00030067 jr t1 + 800311ec: 4035d59b sraiw a1,a1,0x3 + 800311f0: 0015f613 andi a2,a1,1 + 800311f4: 01000513 li a0,16 + 800311f8: 000065b7 lui a1,0x6 + 800311fc: eecdf0ef jal ra,800108e8 <_Z8setprg8rijj> + 80031200: 0019b497 auipc s1,0x19b + 80031204: 3c048493 addi s1,s1,960 # 801cc5c0 <_ZL12MMC1CHRHook4> + 80031208: 00044783 lbu a5,0(s0) + 8003120c: 0004b703 ld a4,0(s1) + 80031210: 00144583 lbu a1,1(s0) + 80031214: 0107f793 andi a5,a5,16 + 80031218: f6071ce3 bnez a4,80031190 <_ZL7MMC1CHRv+0x68> + 8003121c: 02078463 beqz a5,80031244 <_ZL7MMC1CHRv+0x11c> + 80031220: 00000513 li a0,0 + 80031224: cc1df0ef jal ra,80010ee4 <_Z7setchr4jj> + 80031228: 00244583 lbu a1,2(s0) + 8003122c: 01013403 ld s0,16(sp) + 80031230: 01813083 ld ra,24(sp) + 80031234: 00813483 ld s1,8(sp) + 80031238: 00001537 lui a0,0x1 + 8003123c: 02010113 addi sp,sp,32 + 80031240: ca5df06f j 80010ee4 <_Z7setchr4jj> + 80031244: 01013403 ld s0,16(sp) + 80031248: 01813083 ld ra,24(sp) + 8003124c: 00813483 ld s1,8(sp) + 80031250: 0015d513 srli a0,a1,0x1 + 80031254: 02010113 addi sp,sp,32 + 80031258: caddf06f j 80010f04 <_Z7setchr8j> + +000000008003125c <_ZL7MMC1PRGv>: + 8003125c: fe010113 addi sp,sp,-32 + 80031260: 00913423 sd s1,8(sp) + 80031264: 00113c23 sd ra,24(sp) + 80031268: 00813823 sd s0,16(sp) + 8003126c: 0019b797 auipc a5,0x19b + 80031270: 36c78793 addi a5,a5,876 # 801cc5d8 <_ZL5DRegs> + 80031274: 0019b497 auipc s1,0x19b + 80031278: 35448493 addi s1,s1,852 # 801cc5c8 <_ZL13MMC1PRGHook16> + 8003127c: 0017c403 lbu s0,1(a5) + 80031280: 0037c603 lbu a2,3(a5) + 80031284: 0004b683 ld a3,0(s1) + 80031288: 0007c783 lbu a5,0(a5) + 8003128c: 01047413 andi s0,s0,16 + 80031290: 00f67593 andi a1,a2,15 + 80031294: 00c7f713 andi a4,a5,12 + 80031298: 06068263 beqz a3,800312fc <_ZL7MMC1PRGv+0xa0> + 8003129c: 00800513 li a0,8 + 800312a0: 0ea70a63 beq a4,a0,80031394 <_ZL7MMC1PRGv+0x138> + 800312a4: 02e56063 bltu a0,a4,800312c4 <_ZL7MMC1PRGv+0x68> + 800312a8: 0087f793 andi a5,a5,8 + 800312ac: 0a078a63 beqz a5,80031360 <_ZL7MMC1PRGv+0x104> + 800312b0: 01813083 ld ra,24(sp) + 800312b4: 01013403 ld s0,16(sp) + 800312b8: 00813483 ld s1,8(sp) + 800312bc: 02010113 addi sp,sp,32 + 800312c0: 00008067 ret + 800312c4: 00c00793 li a5,12 + 800312c8: fef714e3 bne a4,a5,800312b0 <_ZL7MMC1PRGv+0x54> + 800312cc: 00b465b3 or a1,s0,a1 + 800312d0: 00008537 lui a0,0x8 + 800312d4: 000680e7 jalr a3 + 800312d8: 00f4059b addiw a1,s0,15 + 800312dc: 01013403 ld s0,16(sp) + 800312e0: 0004b303 ld t1,0(s1) + 800312e4: 01813083 ld ra,24(sp) + 800312e8: 00813483 ld s1,8(sp) + 800312ec: 03f5f593 andi a1,a1,63 + 800312f0: 0000c537 lui a0,0xc + 800312f4: 02010113 addi sp,sp,32 + 800312f8: 00030067 jr t1 + 800312fc: 00800693 li a3,8 + 80031300: 0cd70063 beq a4,a3,800313c0 <_ZL7MMC1PRGv+0x164> + 80031304: 02e6ee63 bltu a3,a4,80031340 <_ZL7MMC1PRGv+0xe4> + 80031308: 0087f793 andi a5,a5,8 + 8003130c: fa0792e3 bnez a5,800312b0 <_ZL7MMC1PRGv+0x54> + 80031310: ffe5f793 andi a5,a1,-2 + 80031314: 008785b3 add a1,a5,s0 + 80031318: 00008537 lui a0,0x8 + 8003131c: 0087843b addw s0,a5,s0 + 80031320: 939df0ef jal ra,80010c58 <_Z8setprg16jj> + 80031324: 0014059b addiw a1,s0,1 + 80031328: 0000c537 lui a0,0xc + 8003132c: 01013403 ld s0,16(sp) + 80031330: 01813083 ld ra,24(sp) + 80031334: 00813483 ld s1,8(sp) + 80031338: 02010113 addi sp,sp,32 + 8003133c: 91ddf06f j 80010c58 <_Z8setprg16jj> + 80031340: 00c00793 li a5,12 + 80031344: f6f716e3 bne a4,a5,800312b0 <_ZL7MMC1PRGv+0x54> + 80031348: 008585b3 add a1,a1,s0 + 8003134c: 00008537 lui a0,0x8 + 80031350: 0004041b sext.w s0,s0 + 80031354: 905df0ef jal ra,80010c58 <_Z8setprg16jj> + 80031358: 00f4059b addiw a1,s0,15 + 8003135c: fcdff06f j 80031328 <_ZL7MMC1PRGv+0xcc> + 80031360: 00e67613 andi a2,a2,14 + 80031364: 00c46433 or s0,s0,a2 + 80031368: 00040593 mv a1,s0 + 8003136c: 00008537 lui a0,0x8 + 80031370: 000680e7 jalr a3 + 80031374: 00140593 addi a1,s0,1 + 80031378: 01013403 ld s0,16(sp) + 8003137c: 0004b303 ld t1,0(s1) + 80031380: 01813083 ld ra,24(sp) + 80031384: 00813483 ld s1,8(sp) + 80031388: 0000c537 lui a0,0xc + 8003138c: 02010113 addi sp,sp,32 + 80031390: 00030067 jr t1 + 80031394: 00b465b3 or a1,s0,a1 + 80031398: 0000c537 lui a0,0xc + 8003139c: 000680e7 jalr a3 + 800313a0: 00040593 mv a1,s0 + 800313a4: 01013403 ld s0,16(sp) + 800313a8: 0004b303 ld t1,0(s1) + 800313ac: 01813083 ld ra,24(sp) + 800313b0: 00813483 ld s1,8(sp) + 800313b4: 00008537 lui a0,0x8 + 800313b8: 02010113 addi sp,sp,32 + 800313bc: 00030067 jr t1 + 800313c0: 0000c537 lui a0,0xc + 800313c4: 008585b3 add a1,a1,s0 + 800313c8: 891df0ef jal ra,80010c58 <_Z8setprg16jj> + 800313cc: 00040593 mv a1,s0 + 800313d0: 00008537 lui a0,0x8 + 800313d4: f59ff06f j 8003132c <_ZL7MMC1PRGv+0xd0> + +00000000800313d8 <_ZL10NWCCHRHookjh>: + 800313d8: ff010113 addi sp,sp,-16 + 800313dc: 00813023 sd s0,0(sp) + 800313e0: 00113423 sd ra,8(sp) + 800313e4: 0105f793 andi a5,a1,16 + 800313e8: 00058413 mv s0,a1 + 800313ec: 04079063 bnez a5,8003142c <_ZL10NWCCHRHookjh+0x54> + 800313f0: 0019b797 auipc a5,0x19b + 800313f4: 20878023 sb s0,512(a5) # 801cc5f0 <_ZL6NWCRec> + 800313f8: 00847793 andi a5,s0,8 + 800313fc: 00078a63 beqz a5,80031410 <_ZL10NWCCHRHookjh+0x38> + 80031400: 00013403 ld s0,0(sp) + 80031404: 00813083 ld ra,8(sp) + 80031408: 01010113 addi sp,sp,16 + 8003140c: e51ff06f j 8003125c <_ZL7MMC1PRGv> + 80031410: 4014559b sraiw a1,s0,0x1 + 80031414: 00013403 ld s0,0(sp) + 80031418: 00813083 ld ra,8(sp) + 8003141c: 0035f593 andi a1,a1,3 + 80031420: 00008537 lui a0,0x8 + 80031424: 01010113 addi sp,sp,16 + 80031428: 9eddf06f j 80010e14 <_Z8setprg32jj> + 8003142c: 00100513 li a0,1 + 80031430: 0019b797 auipc a5,0x19b + 80031434: 1807a423 sw zero,392(a5) # 801cc5b8 <_ZL11NWCIRQCount> + 80031438: 919cf0ef jal ra,80000d50 <_Z12X6502_IRQEndi> + 8003143c: fb5ff06f j 800313f0 <_ZL10NWCCHRHookjh+0x18> + +0000000080031440 <_ZL11GenMMC1InitP8CartInfoiiii>: + 80031440: fc010113 addi sp,sp,-64 + 80031444: 40e687bb subw a5,a3,a4 + 80031448: 02913423 sd s1,40(sp) + 8003144c: 00070493 mv s1,a4 + 80031450: 0019b717 auipc a4,0x19b + 80031454: 18072623 sw zero,396(a4) # 801cc5dc <_ZL5is155> + 80031458: 00000717 auipc a4,0x0 + 8003145c: 1b470713 addi a4,a4,436 # 8003160c <_ZL12GenMMC1Closev> + 80031460: 02813823 sd s0,48(sp) + 80031464: 03213023 sd s2,32(sp) + 80031468: 01313c23 sd s3,24(sp) + 8003146c: 01413823 sd s4,16(sp) + 80031470: 00a6969b slliw a3,a3,0xa + 80031474: 02113c23 sd ra,56(sp) + 80031478: 01513423 sd s5,8(sp) + 8003147c: 0019b997 auipc s3,0x19b + 80031480: 18498993 addi s3,s3,388 # 801cc600 <_ZL8WRAMSIZE> + 80031484: 0019ba17 auipc s4,0x19b + 80031488: 130a0a13 addi s4,s4,304 # 801cc5b4 <_ZL11NONBRAMSIZE> + 8003148c: 00a7979b slliw a5,a5,0xa + 80031490: 00e53823 sd a4,16(a0) # 8010 <_entry_offset+0x8010> + 80031494: 00050413 mv s0,a0 + 80031498: 0019b717 auipc a4,0x19b + 8003149c: 12073423 sd zero,296(a4) # 801cc5c0 <_ZL12MMC1CHRHook4> + 800314a0: 0019b717 auipc a4,0x19b + 800314a4: 12073423 sd zero,296(a4) # 801cc5c8 <_ZL13MMC1PRGHook16> + 800314a8: 0006851b sext.w a0,a3 + 800314ac: 00d9a023 sw a3,0(s3) + 800314b0: 00fa2023 sw a5,0(s4) + 800314b4: 00060913 mv s2,a2 + 800314b8: 0a051a63 bnez a0,8003156c <_ZL11GenMMC1InitP8CartInfoiiii+0x12c> + 800314bc: 10090663 beqz s2,800315c8 <_ZL11GenMMC1InitP8CartInfoiiii+0x188> + 800314c0: 00014697 auipc a3,0x14 + 800314c4: ff068693 addi a3,a3,-16 # 800454b0 <_ZZL8SetInputvE3moo+0x660> + 800314c8: 00000613 li a2,0 + 800314cc: 00400593 li a1,4 + 800314d0: 0019b517 auipc a0,0x19b + 800314d4: 10850513 addi a0,a0,264 # 801cc5d8 <_ZL5DRegs> + 800314d8: b39ce0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800314dc: 00000797 auipc a5,0x0 + 800314e0: 33c78793 addi a5,a5,828 # 80031818 <_ZL12GenMMC1Powerv> + 800314e4: 00f43023 sd a5,0(s0) + 800314e8: 00015697 auipc a3,0x15 + 800314ec: de868693 addi a3,a3,-536 # 800462d0 <_ZL3lut+0x470> + 800314f0: 00000797 auipc a5,0x0 + 800314f4: 44878793 addi a5,a5,1096 # 80031938 <_ZL12MMC1_Restorei> + 800314f8: 00100613 li a2,1 + 800314fc: 00800593 li a1,8 + 80031500: 0019b517 auipc a0,0x19b + 80031504: 0f850513 addi a0,a0,248 # 801cc5f8 <_ZL6lreset> + 80031508: 0019b717 auipc a4,0x19b + 8003150c: 14f73823 sd a5,336(a4) # 801cc658 + 80031510: b01ce0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80031514: 00015697 auipc a3,0x15 + 80031518: dc468693 addi a3,a3,-572 # 800462d8 <_ZL3lut+0x478> + 8003151c: 00100613 li a2,1 + 80031520: 00100593 li a1,1 + 80031524: 0019b517 auipc a0,0x19b + 80031528: 0c050513 addi a0,a0,192 # 801cc5e4 <_ZL6Buffer> + 8003152c: ae5ce0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80031530: 03013403 ld s0,48(sp) + 80031534: 03813083 ld ra,56(sp) + 80031538: 02813483 ld s1,40(sp) + 8003153c: 02013903 ld s2,32(sp) + 80031540: 01813983 ld s3,24(sp) + 80031544: 01013a03 ld s4,16(sp) + 80031548: 00813a83 ld s5,8(sp) + 8003154c: 00015697 auipc a3,0x15 + 80031550: d9468693 addi a3,a3,-620 # 800462e0 <_ZL3lut+0x480> + 80031554: 00100613 li a2,1 + 80031558: 00100593 li a1,1 + 8003155c: 0019b517 auipc a0,0x19b + 80031560: 05650513 addi a0,a0,86 # 801cc5b2 <_ZL11BufferShift> + 80031564: 04010113 addi sp,sp,64 + 80031568: aa9ce06f j 80000010 <_Z10AddExStatePvjiPKc> + 8003156c: f01e00ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80031570: 0009a603 lw a2,0(s3) + 80031574: 00050593 mv a1,a0 + 80031578: 0019ba97 auipc s5,0x19b + 8003157c: 058a8a93 addi s5,s5,88 # 801cc5d0 <_ZL4WRAM> + 80031580: 00100693 li a3,1 + 80031584: 01000513 li a0,16 + 80031588: 00bab023 sd a1,0(s5) + 8003158c: f69de0ef jal ra,800104f4 <_Z19SetupCartPRGMappingiPhji> + 80031590: 0009a583 lw a1,0(s3) + 80031594: 000ab503 ld a0,0(s5) + 80031598: 00014697 auipc a3,0x14 + 8003159c: d9068693 addi a3,a3,-624 # 80045328 <_ZZL8SetInputvE3moo+0x4d8> + 800315a0: 00000613 li a2,0 + 800315a4: a6dce0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800315a8: f0048ae3 beqz s1,800314bc <_ZL11GenMMC1InitP8CartInfoiiii+0x7c> + 800315ac: 000a6703 lwu a4,0(s4) + 800315b0: 000ab783 ld a5,0(s5) + 800315b4: 00a4949b slliw s1,s1,0xa + 800315b8: 02942c23 sw s1,56(s0) + 800315bc: 00e787b3 add a5,a5,a4 + 800315c0: 00f43c23 sd a5,24(s0) + 800315c4: ee091ee3 bnez s2,800314c0 <_ZL11GenMMC1InitP8CartInfoiiii+0x80> + 800315c8: 00002537 lui a0,0x2 + 800315cc: ea1e00ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800315d0: 00050593 mv a1,a0 + 800315d4: 0019b497 auipc s1,0x19b + 800315d8: 01448493 addi s1,s1,20 # 801cc5e8 <_ZL6CHRRAM> + 800315dc: 00100693 li a3,1 + 800315e0: 00002637 lui a2,0x2 + 800315e4: 00000513 li a0,0 + 800315e8: 00b4b023 sd a1,0(s1) + 800315ec: fd9de0ef jal ra,800105c4 <_Z19SetupCartCHRMappingiPhji> + 800315f0: 0004b503 ld a0,0(s1) + 800315f4: 00011697 auipc a3,0x11 + 800315f8: b5468693 addi a3,a3,-1196 # 80042148 <_ZL9C96000PAL+0x738> + 800315fc: 00000613 li a2,0 + 80031600: 000025b7 lui a1,0x2 + 80031604: a0dce0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80031608: eb9ff06f j 800314c0 <_ZL11GenMMC1InitP8CartInfoiiii+0x80> + +000000008003160c <_ZL12GenMMC1Closev>: + 8003160c: ff010113 addi sp,sp,-16 + 80031610: 00113423 sd ra,8(sp) + 80031614: 0019b517 auipc a0,0x19b + 80031618: fd453503 ld a0,-44(a0) # 801cc5e8 <_ZL6CHRRAM> + 8003161c: 00050463 beqz a0,80031624 <_ZL12GenMMC1Closev+0x18> + 80031620: f21e00ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80031624: 0019b517 auipc a0,0x19b + 80031628: fac53503 ld a0,-84(a0) # 801cc5d0 <_ZL4WRAM> + 8003162c: 00050463 beqz a0,80031634 <_ZL12GenMMC1Closev+0x28> + 80031630: f11e00ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80031634: 00813083 ld ra,8(sp) + 80031638: 0019b797 auipc a5,0x19b + 8003163c: f807bc23 sd zero,-104(a5) # 801cc5d0 <_ZL4WRAM> + 80031640: 0019b797 auipc a5,0x19b + 80031644: fa07b423 sd zero,-88(a5) # 801cc5e8 <_ZL6CHRRAM> + 80031648: 01010113 addi sp,sp,16 + 8003164c: 00008067 ret + +0000000080031650 <_ZL10MMC1MIRRORv.part.0>: + 80031650: 0019b797 auipc a5,0x19b + 80031654: f887c783 lbu a5,-120(a5) # 801cc5d8 <_ZL5DRegs> + 80031658: 0037f793 andi a5,a5,3 + 8003165c: 00200713 li a4,2 + 80031660: 00e78e63 beq a5,a4,8003167c <_ZL10MMC1MIRRORv.part.0+0x2c> + 80031664: 00300713 li a4,3 + 80031668: 02e78263 beq a5,a4,8003168c <_ZL10MMC1MIRRORv.part.0+0x3c> + 8003166c: 00100713 li a4,1 + 80031670: 00e78a63 beq a5,a4,80031684 <_ZL10MMC1MIRRORv.part.0+0x34> + 80031674: 00200513 li a0,2 + 80031678: 9d1df06f j 80011048 <_Z9setmirrori> + 8003167c: 00100513 li a0,1 + 80031680: 9c9df06f j 80011048 <_Z9setmirrori> + 80031684: 00300513 li a0,3 + 80031688: 9c1df06f j 80011048 <_Z9setmirrori> + 8003168c: 00000513 li a0,0 + 80031690: 9b9df06f j 80011048 <_Z9setmirrori> + +0000000080031694 <_ZL10MMC1_writejh>: + 80031694: fe010113 addi sp,sp,-32 + 80031698: 00813823 sd s0,16(sp) + 8003169c: 00913423 sd s1,8(sp) + 800316a0: 01213023 sd s2,0(sp) + 800316a4: 0019b497 auipc s1,0x19b + 800316a8: ff448493 addi s1,s1,-12 # 801cc698 + 800316ac: 0019a917 auipc s2,0x19a + 800316b0: 4a090913 addi s2,s2,1184 # 801cbb4c + 800316b4: 0019b417 auipc s0,0x19b + 800316b8: f4440413 addi s0,s0,-188 # 801cc5f8 <_ZL6lreset> + 800316bc: 00096703 lwu a4,0(s2) + 800316c0: 0004b683 ld a3,0(s1) + 800316c4: 00043783 ld a5,0(s0) + 800316c8: 00113c23 sd ra,24(sp) + 800316cc: 00d70733 add a4,a4,a3 + 800316d0: 00278793 addi a5,a5,2 + 800316d4: 04f76863 bltu a4,a5,80031724 <_ZL10MMC1_writejh+0x90> + 800316d8: 0185979b slliw a5,a1,0x18 + 800316dc: 4187d79b sraiw a5,a5,0x18 + 800316e0: 0c07c863 bltz a5,800317b0 <_ZL10MMC1_writejh+0x11c> + 800316e4: 0019b697 auipc a3,0x19b + 800316e8: ece68693 addi a3,a3,-306 # 801cc5b2 <_ZL11BufferShift> + 800316ec: 0006c783 lbu a5,0(a3) + 800316f0: 0019b717 auipc a4,0x19b + 800316f4: ef470713 addi a4,a4,-268 # 801cc5e4 <_ZL6Buffer> + 800316f8: 00074603 lbu a2,0(a4) + 800316fc: 0015f593 andi a1,a1,1 + 80031700: 00f595bb sllw a1,a1,a5 + 80031704: 00c5e5b3 or a1,a1,a2 + 80031708: 0017879b addiw a5,a5,1 + 8003170c: 0ff7f793 andi a5,a5,255 + 80031710: 0ff5f593 andi a1,a1,255 + 80031714: 00b70023 sb a1,0(a4) + 80031718: 00f68023 sb a5,0(a3) + 8003171c: 00500713 li a4,5 + 80031720: 00e78e63 beq a5,a4,8003173c <_ZL10MMC1_writejh+0xa8> + 80031724: 01813083 ld ra,24(sp) + 80031728: 01013403 ld s0,16(sp) + 8003172c: 00813483 ld s1,8(sp) + 80031730: 00013903 ld s2,0(sp) + 80031734: 02010113 addi sp,sp,32 + 80031738: 00008067 ret + 8003173c: 00d5551b srliw a0,a0,0xd + 80031740: ffc5051b addiw a0,a0,-4 + 80031744: 0019b797 auipc a5,0x19b + 80031748: e9478793 addi a5,a5,-364 # 801cc5d8 <_ZL5DRegs> + 8003174c: 00a787b3 add a5,a5,a0 + 80031750: 00b78023 sb a1,0(a5) + 80031754: 0019b797 auipc a5,0x19b + 80031758: e8078823 sb zero,-368(a5) # 801cc5e4 <_ZL6Buffer> + 8003175c: 0019b797 auipc a5,0x19b + 80031760: e4078b23 sb zero,-426(a5) # 801cc5b2 <_ZL11BufferShift> + 80031764: 00200793 li a5,2 + 80031768: 08f50263 beq a0,a5,800317ec <_ZL10MMC1_writejh+0x158> + 8003176c: 02a7e263 bltu a5,a0,80031790 <_ZL10MMC1_writejh+0xfc> + 80031770: 08050a63 beqz a0,80031804 <_ZL10MMC1_writejh+0x170> + 80031774: 9b5ff0ef jal ra,80031128 <_ZL7MMC1CHRv> + 80031778: 01013403 ld s0,16(sp) + 8003177c: 01813083 ld ra,24(sp) + 80031780: 00813483 ld s1,8(sp) + 80031784: 00013903 ld s2,0(sp) + 80031788: 02010113 addi sp,sp,32 + 8003178c: ad1ff06f j 8003125c <_ZL7MMC1PRGv> + 80031790: 00300793 li a5,3 + 80031794: f8f518e3 bne a0,a5,80031724 <_ZL10MMC1_writejh+0x90> + 80031798: 01013403 ld s0,16(sp) + 8003179c: 01813083 ld ra,24(sp) + 800317a0: 00813483 ld s1,8(sp) + 800317a4: 00013903 ld s2,0(sp) + 800317a8: 02010113 addi sp,sp,32 + 800317ac: ab1ff06f j 8003125c <_ZL7MMC1PRGv> + 800317b0: 0019b717 auipc a4,0x19b + 800317b4: e2870713 addi a4,a4,-472 # 801cc5d8 <_ZL5DRegs> + 800317b8: 00074783 lbu a5,0(a4) + 800317bc: 0019b697 auipc a3,0x19b + 800317c0: e2068423 sb zero,-472(a3) # 801cc5e4 <_ZL6Buffer> + 800317c4: 0019b697 auipc a3,0x19b + 800317c8: de068723 sb zero,-530(a3) # 801cc5b2 <_ZL11BufferShift> + 800317cc: 00c7e793 ori a5,a5,12 + 800317d0: 00f70023 sb a5,0(a4) + 800317d4: a89ff0ef jal ra,8003125c <_ZL7MMC1PRGv> + 800317d8: 00096783 lwu a5,0(s2) + 800317dc: 0004b703 ld a4,0(s1) + 800317e0: 00e787b3 add a5,a5,a4 + 800317e4: 00f43023 sd a5,0(s0) + 800317e8: f3dff06f j 80031724 <_ZL10MMC1_writejh+0x90> + 800317ec: 01013403 ld s0,16(sp) + 800317f0: 01813083 ld ra,24(sp) + 800317f4: 00813483 ld s1,8(sp) + 800317f8: 00013903 ld s2,0(sp) + 800317fc: 02010113 addi sp,sp,32 + 80031800: 929ff06f j 80031128 <_ZL7MMC1CHRv> + 80031804: 0019b797 auipc a5,0x19b + 80031808: ddc7a783 lw a5,-548(a5) # 801cc5e0 <_ZL5is171> + 8003180c: f60794e3 bnez a5,80031774 <_ZL10MMC1_writejh+0xe0> + 80031810: e41ff0ef jal ra,80031650 <_ZL10MMC1MIRRORv.part.0> + 80031814: f61ff06f j 80031774 <_ZL10MMC1_writejh+0xe0> + +0000000080031818 <_ZL12GenMMC1Powerv>: + 80031818: ff010113 addi sp,sp,-16 + 8003181c: 00813023 sd s0,0(sp) + 80031820: 00010437 lui s0,0x10 + 80031824: 00000617 auipc a2,0x0 + 80031828: e7060613 addi a2,a2,-400 # 80031694 <_ZL10MMC1_writejh> + 8003182c: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80031830: 00008537 lui a0,0x8 + 80031834: 00113423 sd ra,8(sp) + 80031838: 0019b797 auipc a5,0x19b + 8003183c: dc07b023 sd zero,-576(a5) # 801cc5f8 <_ZL6lreset> + 80031840: 2e8020ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80031844: fffdf617 auipc a2,0xfffdf + 80031848: e1c60613 addi a2,a2,-484 # 80010660 <_Z6CartBRj> + 8003184c: fff40593 addi a1,s0,-1 + 80031850: 00008537 lui a0,0x8 + 80031854: 1c8020ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80031858: 0019b797 auipc a5,0x19b + 8003185c: da87a783 lw a5,-600(a5) # 801cc600 <_ZL8WRAMSIZE> + 80031860: 04079263 bnez a5,800318a4 <_ZL12GenMMC1Powerv+0x8c> + 80031864: 0019b797 auipc a5,0x19b + 80031868: d4078723 sb zero,-690(a5) # 801cc5b2 <_ZL11BufferShift> + 8003186c: 0019b797 auipc a5,0x19b + 80031870: d6078c23 sb zero,-648(a5) # 801cc5e4 <_ZL6Buffer> + 80031874: 01f00793 li a5,31 + 80031878: 0019b717 auipc a4,0x19b + 8003187c: d6f72023 sw a5,-672(a4) # 801cc5d8 <_ZL5DRegs> + 80031880: 0019b797 auipc a5,0x19b + 80031884: d607a783 lw a5,-672(a5) # 801cc5e0 <_ZL5is171> + 80031888: 00079463 bnez a5,80031890 <_ZL12GenMMC1Powerv+0x78> + 8003188c: dc5ff0ef jal ra,80031650 <_ZL10MMC1MIRRORv.part.0> + 80031890: 899ff0ef jal ra,80031128 <_ZL7MMC1CHRv> + 80031894: 00013403 ld s0,0(sp) + 80031898: 00813083 ld ra,8(sp) + 8003189c: 01010113 addi sp,sp,16 + 800318a0: 9bdff06f j 8003125c <_ZL7MMC1PRGv> + 800318a4: 0019b417 auipc s0,0x19b + 800318a8: d2c40413 addi s0,s0,-724 # 801cc5d0 <_ZL4WRAM> + 800318ac: 00043603 ld a2,0(s0) + 800318b0: 000065b7 lui a1,0x6 + 800318b4: 00800513 li a0,8 + 800318b8: f5cce0ef jal ra,80000014 <_Z16FCEU_CheatAddRAMijPh> + 800318bc: 0019b597 auipc a1,0x19b + 800318c0: cf85a583 lw a1,-776(a1) # 801cc5b4 <_ZL11NONBRAMSIZE> + 800318c4: 04059263 bnez a1,80031908 <_ZL12GenMMC1Powerv+0xf0> + 800318c8: 00008437 lui s0,0x8 + 800318cc: fff40593 addi a1,s0,-1 # 7fff <_entry_offset+0x7fff> + 800318d0: 00000617 auipc a2,0x0 + 800318d4: 80460613 addi a2,a2,-2044 # 800310d4 <_ZL6MAWRAMj> + 800318d8: 00006537 lui a0,0x6 + 800318dc: 140020ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 800318e0: fff40593 addi a1,s0,-1 + 800318e4: fffff617 auipc a2,0xfffff + 800318e8: 7a860613 addi a2,a2,1960 # 8003108c <_ZL6MBWRAMjh> + 800318ec: 00006537 lui a0,0x6 + 800318f0: 238020ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800318f4: 00000613 li a2,0 + 800318f8: 000065b7 lui a1,0x6 + 800318fc: 01000513 li a0,16 + 80031900: fe9de0ef jal ra,800108e8 <_Z8setprg8rijj> + 80031904: f61ff06f j 80031864 <_ZL12GenMMC1Powerv+0x4c> + 80031908: 00043503 ld a0,0(s0) + 8003190c: 00100613 li a2,1 + 80031910: 644020ef jal ra,80033f54 <_Z15FCEU_MemoryRandPhjb> + 80031914: fb5ff06f j 800318c8 <_ZL12GenMMC1Powerv+0xb0> + +0000000080031918 <_ZL8NWCPowerv>: + 80031918: ff010113 addi sp,sp,-16 + 8003191c: 00113423 sd ra,8(sp) + 80031920: ef9ff0ef jal ra,80031818 <_ZL12GenMMC1Powerv> + 80031924: 00813083 ld ra,8(sp) + 80031928: 00000593 li a1,0 + 8003192c: 00000513 li a0,0 + 80031930: 01010113 addi sp,sp,16 + 80031934: d50df06f j 80010e84 <_Z8setchr8rij> + +0000000080031938 <_ZL12MMC1_Restorei>: + 80031938: ff010113 addi sp,sp,-16 + 8003193c: 00113423 sd ra,8(sp) + 80031940: 0019b797 auipc a5,0x19b + 80031944: ca07a783 lw a5,-864(a5) # 801cc5e0 <_ZL5is171> + 80031948: 00079463 bnez a5,80031950 <_ZL12MMC1_Restorei+0x18> + 8003194c: d05ff0ef jal ra,80031650 <_ZL10MMC1MIRRORv.part.0> + 80031950: fd8ff0ef jal ra,80031128 <_ZL7MMC1CHRv> + 80031954: 909ff0ef jal ra,8003125c <_ZL7MMC1PRGv> + 80031958: 00813083 ld ra,8(sp) + 8003195c: 0019b797 auipc a5,0x19b + 80031960: c807be23 sd zero,-868(a5) # 801cc5f8 <_ZL6lreset> + 80031964: 01010113 addi sp,sp,16 + 80031968: 00008067 ret + +000000008003196c <_ZL10NWCIRQHooki>: + 8003196c: 0019b797 auipc a5,0x19b + 80031970: c847c783 lbu a5,-892(a5) # 801cc5f0 <_ZL6NWCRec> + 80031974: 0107f793 andi a5,a5,16 + 80031978: 02079863 bnez a5,800319a8 <_ZL10NWCIRQHooki+0x3c> + 8003197c: 0019b717 auipc a4,0x19b + 80031980: c3c70713 addi a4,a4,-964 # 801cc5b8 <_ZL11NWCIRQCount> + 80031984: 00072783 lw a5,0(a4) + 80031988: 3e0006b7 lui a3,0x3e000 + 8003198c: 00a7853b addw a0,a5,a0 + 80031990: 1c0007b7 lui a5,0x1c000 + 80031994: 00f567b3 or a5,a0,a5 + 80031998: 0007879b sext.w a5,a5 + 8003199c: 00d7f863 bgeu a5,a3,800319ac <_ZL10NWCIRQHooki+0x40> + 800319a0: 00a72023 sw a0,0(a4) + 800319a4: 00008067 ret + 800319a8: 00008067 ret + 800319ac: 00100513 li a0,1 + 800319b0: 0019b797 auipc a5,0x19b + 800319b4: c007a423 sw zero,-1016(a5) # 801cc5b8 <_ZL11NWCIRQCount> + 800319b8: b80cf06f j 80000d38 <_Z14X6502_IRQBegini> + +00000000800319bc <_ZL10NWCPRGHookjh>: + 800319bc: 0019b797 auipc a5,0x19b + 800319c0: c347c783 lbu a5,-972(a5) # 801cc5f0 <_ZL6NWCRec> + 800319c4: 0087f713 andi a4,a5,8 + 800319c8: 00070863 beqz a4,800319d8 <_ZL10NWCPRGHookjh+0x1c> + 800319cc: 0075f593 andi a1,a1,7 + 800319d0: 0085e593 ori a1,a1,8 + 800319d4: a84df06f j 80010c58 <_Z8setprg16jj> + 800319d8: 4017d59b sraiw a1,a5,0x1 + 800319dc: 0035f593 andi a1,a1,3 + 800319e0: 00008537 lui a0,0x8 + 800319e4: c30df06f j 80010e14 <_Z8setprg32jj> + +00000000800319e8 <_Z14Mapper105_InitP8CartInfo>: + 800319e8: ff010113 addi sp,sp,-16 + 800319ec: 00000713 li a4,0 + 800319f0: 00800693 li a3,8 + 800319f4: 10000613 li a2,256 + 800319f8: 10000593 li a1,256 + 800319fc: 00813023 sd s0,0(sp) + 80031a00: 00113423 sd ra,8(sp) + 80031a04: 00050413 mv s0,a0 + 80031a08: a39ff0ef jal ra,80031440 <_ZL11GenMMC1InitP8CartInfoiiii> + 80031a0c: 00000797 auipc a5,0x0 + 80031a10: f6078793 addi a5,a5,-160 # 8003196c <_ZL10NWCIRQHooki> + 80031a14: 0019a717 auipc a4,0x19a + 80031a18: 12f73623 sd a5,300(a4) # 801cbb40 + 80031a1c: 00000797 auipc a5,0x0 + 80031a20: 9bc78793 addi a5,a5,-1604 # 800313d8 <_ZL10NWCCHRHookjh> + 80031a24: 0019b717 auipc a4,0x19b + 80031a28: b8f73e23 sd a5,-1124(a4) # 801cc5c0 <_ZL12MMC1CHRHook4> + 80031a2c: 00000797 auipc a5,0x0 + 80031a30: f9078793 addi a5,a5,-112 # 800319bc <_ZL10NWCPRGHookjh> + 80031a34: 0019b717 auipc a4,0x19b + 80031a38: b8f73a23 sd a5,-1132(a4) # 801cc5c8 <_ZL13MMC1PRGHook16> + 80031a3c: 00000797 auipc a5,0x0 + 80031a40: edc78793 addi a5,a5,-292 # 80031918 <_ZL8NWCPowerv> + 80031a44: 00813083 ld ra,8(sp) + 80031a48: 00f43023 sd a5,0(s0) + 80031a4c: 00013403 ld s0,0(sp) + 80031a50: 01010113 addi sp,sp,16 + 80031a54: 00008067 ret + +0000000080031a58 <_Z12Mapper1_InitP8CartInfo>: + 80031a58: fe010113 addi sp,sp,-32 + 80031a5c: 00813823 sd s0,16(sp) + 80031a60: 04c52403 lw s0,76(a0) # 804c <_entry_offset+0x804c> + 80031a64: 00913423 sd s1,8(sp) + 80031a68: 00113c23 sd ra,24(sp) + 80031a6c: 01213023 sd s2,0(sp) + 80031a70: 00050493 mv s1,a0 + 80031a74: 00040463 beqz s0,80031a7c <_Z12Mapper1_InitP8CartInfo+0x24> + 80031a78: 00800413 li s0,8 + 80031a7c: 0784a783 lw a5,120(s1) + 80031a80: c3de8737 lui a4,0xc3de8 + 80031a84: c6970713 addi a4,a4,-919 # ffffffffc3de7c69 <_end+0xffffffff43c12c69> + 80031a88: 02e78863 beq a5,a4,80031ab8 <_Z12Mapper1_InitP8CartInfo+0x60> + 80031a8c: 10f76263 bltu a4,a5,80031b90 <_Z12Mapper1_InitP8CartInfo+0x138> + 80031a90: 4642e737 lui a4,0x4642e + 80031a94: da670713 addi a4,a4,-602 # 4642dda6 <_entry_offset+0x4642dda6> + 80031a98: 12e78063 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031a9c: 04f77e63 bgeu a4,a5,80031af8 <_Z12Mapper1_InitP8CartInfo+0xa0> + 80031aa0: abbf7737 lui a4,0xabbf7 + 80031aa4: 21770713 addi a4,a4,535 # ffffffffabbf7217 <_end+0xffffffff2ba22217> + 80031aa8: 10e78863 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031aac: b8748737 lui a4,0xb8748 + 80031ab0: abf70713 addi a4,a4,-1345 # ffffffffb8747abf <_end+0xffffffff38572abf> + 80031ab4: 06e79463 bne a5,a4,80031b1c <_Z12Mapper1_InitP8CartInfo+0xc4> + 80031ab8: 02000413 li s0,32 + 80031abc: 02000913 li s2,32 + 80031ac0: 00015517 auipc a0,0x15 + 80031ac4: 82850513 addi a0,a0,-2008 # 800462e8 <_ZL3lut+0x488> + 80031ac8: 281090ef jal ra,8003b548 + 80031acc: 00040713 mv a4,s0 + 80031ad0: 01013403 ld s0,16(sp) + 80031ad4: 01813083 ld ra,24(sp) + 80031ad8: 00090693 mv a3,s2 + 80031adc: 00048513 mv a0,s1 + 80031ae0: 00013903 ld s2,0(sp) + 80031ae4: 00813483 ld s1,8(sp) + 80031ae8: 10000613 li a2,256 + 80031aec: 20000593 li a1,512 + 80031af0: 02010113 addi sp,sp,32 + 80031af4: 94dff06f j 80031440 <_ZL11GenMMC1InitP8CartInfoiiii> + 80031af8: 2b11e737 lui a4,0x2b11e + 80031afc: 0b070713 addi a4,a4,176 # 2b11e0b0 <_entry_offset+0x2b11e0b0> + 80031b00: 0ae78c63 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031b04: 3f7ad737 lui a4,0x3f7ad + 80031b08: 41570713 addi a4,a4,1045 # 3f7ad415 <_entry_offset+0x3f7ad415> + 80031b0c: 0ae78663 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031b10: 2225c737 lui a4,0x2225c + 80031b14: 20f70713 addi a4,a4,527 # 2225c20f <_entry_offset+0x2225c20f> + 80031b18: 0ae78063 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031b1c: 0504a783 lw a5,80(s1) + 80031b20: 00800913 li s2,8 + 80031b24: fa0784e3 beqz a5,80031acc <_Z12Mapper1_InitP8CartInfo+0x74> + 80031b28: 05c4a683 lw a3,92(s1) + 80031b2c: 0584a703 lw a4,88(s1) + 80031b30: 00600613 li a2,6 + 80031b34: 41f6d41b sraiw s0,a3,0x1f + 80031b38: 00d7073b addw a4,a4,a3 + 80031b3c: 41f7579b sraiw a5,a4,0x1f + 80031b40: 0167d79b srliw a5,a5,0x16 + 80031b44: 00e787bb addw a5,a5,a4 + 80031b48: 40a7d79b sraiw a5,a5,0xa + 80031b4c: 0164541b srliw s0,s0,0x16 + 80031b50: 00d4043b addw s0,s0,a3 + 80031b54: fff7869b addiw a3,a5,-1 + 80031b58: 40a4541b sraiw s0,s0,0xa + 80031b5c: 08d67063 bgeu a2,a3,80031bdc <_Z12Mapper1_InitP8CartInfo+0x184> + 80031b60: 0007861b sext.w a2,a5 + 80031b64: 02000693 li a3,32 + 80031b68: 00c6d463 bge a3,a2,80031b70 <_Z12Mapper1_InitP8CartInfo+0x118> + 80031b6c: 02000793 li a5,32 + 80031b70: 0007891b sext.w s2,a5 + 80031b74: 0004069b sext.w a3,s0 + 80031b78: 00d95463 bge s2,a3,80031b80 <_Z12Mapper1_InitP8CartInfo+0x128> + 80031b7c: 0007841b sext.w s0,a5 + 80031b80: 000027b7 lui a5,0x2 + 80031b84: 3ff78793 addi a5,a5,1023 # 23ff <_entry_offset+0x23ff> + 80031b88: f2e7cce3 blt a5,a4,80031ac0 <_Z12Mapper1_InitP8CartInfo+0x68> + 80031b8c: f41ff06f j 80031acc <_Z12Mapper1_InitP8CartInfo+0x74> + 80031b90: c9557737 lui a4,0xc9557 + 80031b94: b3670713 addi a4,a4,-1226 # ffffffffc9556b36 <_end+0xffffffff49381b36> + 80031b98: f2e780e3 beq a5,a4,80031ab8 <_Z12Mapper1_InitP8CartInfo+0x60> + 80031b9c: 02f77463 bgeu a4,a5,80031bc4 <_Z12Mapper1_InitP8CartInfo+0x16c> + 80031ba0: ccf36737 lui a4,0xccf36 + 80031ba4: c0270713 addi a4,a4,-1022 # ffffffffccf35c02 <_end+0xffffffff4cd60c02> + 80031ba8: 00e78863 beq a5,a4,80031bb8 <_Z12Mapper1_InitP8CartInfo+0x160> + 80031bac: fb697737 lui a4,0xfb697 + 80031bb0: 43a70713 addi a4,a4,1082 # fffffffffb69743a <_end+0xffffffff7b4c243a> + 80031bb4: f6e794e3 bne a5,a4,80031b1c <_Z12Mapper1_InitP8CartInfo+0xc4> + 80031bb8: 00800413 li s0,8 + 80031bbc: 01000913 li s2,16 + 80031bc0: f01ff06f j 80031ac0 <_Z12Mapper1_InitP8CartInfo+0x68> + 80031bc4: c6182737 lui a4,0xc6182 + 80031bc8: 02470713 addi a4,a4,36 # ffffffffc6182024 <_end+0xffffffff45fad024> + 80031bcc: f4e798e3 bne a5,a4,80031b1c <_Z12Mapper1_InitP8CartInfo+0xc4> + 80031bd0: 00800413 li s0,8 + 80031bd4: 01000913 li s2,16 + 80031bd8: ee9ff06f j 80031ac0 <_Z12Mapper1_InitP8CartInfo+0x68> + 80031bdc: 0004079b sext.w a5,s0 + 80031be0: 00f95463 bge s2,a5,80031be8 <_Z12Mapper1_InitP8CartInfo+0x190> + 80031be4: 00800413 li s0,8 + 80031be8: 00800913 li s2,8 + 80031bec: ee1ff06f j 80031acc <_Z12Mapper1_InitP8CartInfo+0x74> + +0000000080031bf0 <_Z14Mapper155_InitP8CartInfo>: + 80031bf0: 04c52703 lw a4,76(a0) + 80031bf4: ff010113 addi sp,sp,-16 + 80031bf8: 00113423 sd ra,8(sp) + 80031bfc: 00070463 beqz a4,80031c04 <_Z14Mapper155_InitP8CartInfo+0x14> + 80031c00: 00800713 li a4,8 + 80031c04: 00800693 li a3,8 + 80031c08: 10000613 li a2,256 + 80031c0c: 20000593 li a1,512 + 80031c10: 831ff0ef jal ra,80031440 <_ZL11GenMMC1InitP8CartInfoiiii> + 80031c14: 00813083 ld ra,8(sp) + 80031c18: 00100793 li a5,1 + 80031c1c: 0019b717 auipc a4,0x19b + 80031c20: 9cf72023 sw a5,-1600(a4) # 801cc5dc <_ZL5is155> + 80031c24: 01010113 addi sp,sp,16 + 80031c28: 00008067 ret + +0000000080031c2c <_Z14Mapper171_InitP8CartInfo>: + 80031c2c: ff010113 addi sp,sp,-16 + 80031c30: 00000713 li a4,0 + 80031c34: 00000693 li a3,0 + 80031c38: 02000613 li a2,32 + 80031c3c: 02000593 li a1,32 + 80031c40: 00113423 sd ra,8(sp) + 80031c44: ffcff0ef jal ra,80031440 <_ZL11GenMMC1InitP8CartInfoiiii> + 80031c48: 00813083 ld ra,8(sp) + 80031c4c: 00100793 li a5,1 + 80031c50: 0019b717 auipc a4,0x19b + 80031c54: 98f72823 sw a5,-1648(a4) # 801cc5e0 <_ZL5is171> + 80031c58: 01010113 addi sp,sp,16 + 80031c5c: 00008067 ret + +0000000080031c60 <_ZL7M57Readj>: + 80031c60: 0019b517 auipc a0,0x19b + 80031c64: 9a654503 lbu a0,-1626(a0) # 801cc606 <_ZL8hrd_flag> + 80031c68: 00008067 ret + +0000000080031c6c <_ZL4Syncv>: + 80031c6c: ff010113 addi sp,sp,-16 + 80031c70: 00813023 sd s0,0(sp) + 80031c74: 0019b417 auipc s0,0x19b + 80031c78: 99140413 addi s0,s0,-1647 # 801cc605 <_ZL7prg_reg> + 80031c7c: 00044583 lbu a1,0(s0) + 80031c80: 00113423 sd ra,8(sp) + 80031c84: 0185979b slliw a5,a1,0x18 + 80031c88: 4187d79b sraiw a5,a5,0x18 + 80031c8c: 0005859b sext.w a1,a1 + 80031c90: 0607c463 bltz a5,80031cf8 <_ZL4Syncv+0x8c> + 80031c94: 0055d593 srli a1,a1,0x5 + 80031c98: 00008537 lui a0,0x8 + 80031c9c: fbdde0ef jal ra,80010c58 <_Z8setprg16jj> + 80031ca0: 00044583 lbu a1,0(s0) + 80031ca4: 0000c537 lui a0,0xc + 80031ca8: 4055d59b sraiw a1,a1,0x5 + 80031cac: 0035f593 andi a1,a1,3 + 80031cb0: fa9de0ef jal ra,80010c58 <_Z8setprg16jj> + 80031cb4: 00044503 lbu a0,0(s0) + 80031cb8: 4035551b sraiw a0,a0,0x3 + 80031cbc: 00157513 andi a0,a0,1 + 80031cc0: b88df0ef jal ra,80011048 <_Z9setmirrori> + 80031cc4: 00044783 lbu a5,0(s0) + 80031cc8: 0019b517 auipc a0,0x19b + 80031ccc: 93c54503 lbu a0,-1732(a0) # 801cc604 <_ZL7chr_reg> + 80031cd0: 00013403 ld s0,0(sp) + 80031cd4: 00813083 ld ra,8(sp) + 80031cd8: 0077f713 andi a4,a5,7 + 80031cdc: 00357513 andi a0,a0,3 + 80031ce0: 4017d79b sraiw a5,a5,0x1 + 80031ce4: 0087f793 andi a5,a5,8 + 80031ce8: 00e56533 or a0,a0,a4 + 80031cec: 00f56533 or a0,a0,a5 + 80031cf0: 01010113 addi sp,sp,16 + 80031cf4: a10df06f j 80010f04 <_Z7setchr8j> + 80031cf8: 0065d593 srli a1,a1,0x6 + 80031cfc: 00008537 lui a0,0x8 + 80031d00: 914df0ef jal ra,80010e14 <_Z8setprg32jj> + 80031d04: fb1ff06f j 80031cb4 <_ZL4Syncv+0x48> + +0000000080031d08 <_ZL12StateRestorei>: + 80031d08: f65ff06f j 80031c6c <_ZL4Syncv> + +0000000080031d0c <_ZL8M57Writejh>: + 80031d0c: 000097b7 lui a5,0x9 + 80031d10: 80078793 addi a5,a5,-2048 # 8800 <_entry_offset+0x8800> + 80031d14: 00f57533 and a0,a0,a5 + 80031d18: 00f50863 beq a0,a5,80031d28 <_ZL8M57Writejh+0x1c> + 80031d1c: 0019b797 auipc a5,0x19b + 80031d20: 8eb78423 sb a1,-1816(a5) # 801cc604 <_ZL7chr_reg> + 80031d24: f49ff06f j 80031c6c <_ZL4Syncv> + 80031d28: 0019b797 auipc a5,0x19b + 80031d2c: 8cb78ea3 sb a1,-1827(a5) # 801cc605 <_ZL7prg_reg> + 80031d30: f3dff06f j 80031c6c <_ZL4Syncv> + +0000000080031d34 <_ZL8M57Resetv>: + 80031d34: 0019b797 auipc a5,0x19b + 80031d38: 8d278793 addi a5,a5,-1838 # 801cc606 <_ZL8hrd_flag> + 80031d3c: 0007c583 lbu a1,0(a5) + 80031d40: 00014517 auipc a0,0x14 + 80031d44: 5f050513 addi a0,a0,1520 # 80046330 <_ZL3lut+0x4d0> + 80031d48: 0015859b addiw a1,a1,1 + 80031d4c: 0035f593 andi a1,a1,3 + 80031d50: 00b78023 sb a1,0(a5) + 80031d54: 7f40906f j 8003b548 + +0000000080031d58 <_ZL8M57Powerv>: + 80031d58: ff010113 addi sp,sp,-16 + 80031d5c: 00813023 sd s0,0(sp) + 80031d60: 00010437 lui s0,0x10 + 80031d64: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80031d68: fffdf617 auipc a2,0xfffdf + 80031d6c: 8f860613 addi a2,a2,-1800 # 80010660 <_Z6CartBRj> + 80031d70: 00008537 lui a0,0x8 + 80031d74: 00113423 sd ra,8(sp) + 80031d78: 0019b797 auipc a5,0x19b + 80031d7c: 880786a3 sb zero,-1907(a5) # 801cc605 <_ZL7prg_reg> + 80031d80: 0019b797 auipc a5,0x19b + 80031d84: 88078223 sb zero,-1916(a5) # 801cc604 <_ZL7chr_reg> + 80031d88: 0019b797 auipc a5,0x19b + 80031d8c: 86078f23 sb zero,-1922(a5) # 801cc606 <_ZL8hrd_flag> + 80031d90: 48d010ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80031d94: fff40593 addi a1,s0,-1 + 80031d98: 00000617 auipc a2,0x0 + 80031d9c: f7460613 addi a2,a2,-140 # 80031d0c <_ZL8M57Writejh> + 80031da0: 00008537 lui a0,0x8 + 80031da4: 585010ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80031da8: 00000617 auipc a2,0x0 + 80031dac: eb860613 addi a2,a2,-328 # 80031c60 <_ZL7M57Readj> + 80031db0: 000065b7 lui a1,0x6 + 80031db4: 00006537 lui a0,0x6 + 80031db8: 465010ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80031dbc: 00013403 ld s0,0(sp) + 80031dc0: 00813083 ld ra,8(sp) + 80031dc4: 01010113 addi sp,sp,16 + 80031dc8: ea5ff06f j 80031c6c <_ZL4Syncv> + +0000000080031dcc <_Z13Mapper57_InitP8CartInfo>: + 80031dcc: 00000797 auipc a5,0x0 + 80031dd0: f8c78793 addi a5,a5,-116 # 80031d58 <_ZL8M57Powerv> + 80031dd4: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 80031dd8: 00000797 auipc a5,0x0 + 80031ddc: f5c78793 addi a5,a5,-164 # 80031d34 <_ZL8M57Resetv> + 80031de0: 00f53423 sd a5,8(a0) + 80031de4: 00000797 auipc a5,0x0 + 80031de8: f2478793 addi a5,a5,-220 # 80031d08 <_ZL12StateRestorei> + 80031dec: 00000693 li a3,0 + 80031df0: 00000613 li a2,0 + 80031df4: fff00593 li a1,-1 + 80031df8: 0001a517 auipc a0,0x1a + 80031dfc: 78850513 addi a0,a0,1928 # 8004c580 <_ZL9StateRegs> + 80031e00: 0019b717 auipc a4,0x19b + 80031e04: 84f73c23 sd a5,-1960(a4) # 801cc658 + 80031e08: a08ce06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080031e0c : + 80031e0c: 02059793 slli a5,a1,0x20 + 80031e10: 0207d793 srli a5,a5,0x20 + 80031e14: 01c78793 addi a5,a5,28 + 80031e18: 00279793 slli a5,a5,0x2 + 80031e1c: 00f507b3 add a5,a0,a5 + 80031e20: 00c7a623 sw a2,12(a5) + 80031e24: 18060c63 beqz a2,80031fbc + 80031e28: fff6061b addiw a2,a2,-1 + 80031e2c: 02061613 slli a2,a2,0x20 + 80031e30: 01d65613 srli a2,a2,0x1d + 80031e34: 00014797 auipc a5,0x14 + 80031e38: 65c78793 addi a5,a5,1628 # 80046490 + 80031e3c: 00c787b3 add a5,a5,a2 + 80031e40: 0007c683 lbu a3,0(a5) + 80031e44: 0015959b slliw a1,a1,0x1 + 80031e48: 02059613 slli a2,a1,0x20 + 80031e4c: 02065613 srli a2,a2,0x20 + 80031e50: 00461713 slli a4,a2,0x4 + 80031e54: 00c70733 add a4,a4,a2 + 80031e58: 00371713 slli a4,a4,0x3 + 80031e5c: 00e50733 add a4,a0,a4 + 80031e60: 0076d69b srliw a3,a3,0x7 + 80031e64: 0cd72c23 sw a3,216(a4) + 80031e68: 0007c683 lbu a3,0(a5) + 80031e6c: 0015e593 ori a1,a1,1 + 80031e70: 02059593 slli a1,a1,0x20 + 80031e74: 0066d693 srli a3,a3,0x6 + 80031e78: 0016f693 andi a3,a3,1 + 80031e7c: 0cd72e23 sw a3,220(a4) + 80031e80: 0007c603 lbu a2,0(a5) + 80031e84: 0205d593 srli a1,a1,0x20 + 80031e88: 00459693 slli a3,a1,0x4 + 80031e8c: 00b685b3 add a1,a3,a1 + 80031e90: 00565693 srli a3,a2,0x5 + 80031e94: 0016f693 andi a3,a3,1 + 80031e98: 0ad72c23 sw a3,184(a4) + 80031e9c: 0007c683 lbu a3,0(a5) + 80031ea0: 00359593 slli a1,a1,0x3 + 80031ea4: 00b50533 add a0,a0,a1 + 80031ea8: 0046d693 srli a3,a3,0x4 + 80031eac: 0016f693 andi a3,a3,1 + 80031eb0: 0cd72823 sw a3,208(a4) + 80031eb4: 0007c683 lbu a3,0(a5) + 80031eb8: 00f6f693 andi a3,a3,15 + 80031ebc: 0ad72e23 sw a3,188(a4) + 80031ec0: 0017c683 lbu a3,1(a5) + 80031ec4: 0076d69b srliw a3,a3,0x7 + 80031ec8: 0cd52c23 sw a3,216(a0) + 80031ecc: 0017c683 lbu a3,1(a5) + 80031ed0: 0066d693 srli a3,a3,0x6 + 80031ed4: 0016f693 andi a3,a3,1 + 80031ed8: 0cd52e23 sw a3,220(a0) + 80031edc: 0017c683 lbu a3,1(a5) + 80031ee0: 0056d693 srli a3,a3,0x5 + 80031ee4: 0016f693 andi a3,a3,1 + 80031ee8: 0ad52c23 sw a3,184(a0) + 80031eec: 0017c683 lbu a3,1(a5) + 80031ef0: 0046d693 srli a3,a3,0x4 + 80031ef4: 0016f693 andi a3,a3,1 + 80031ef8: 0cd52823 sw a3,208(a0) + 80031efc: 0017c683 lbu a3,1(a5) + 80031f00: 00f6f693 andi a3,a3,15 + 80031f04: 0ad52e23 sw a3,188(a0) + 80031f08: 0027c683 lbu a3,2(a5) + 80031f0c: 0066d69b srliw a3,a3,0x6 + 80031f10: 0cd72a23 sw a3,212(a4) + 80031f14: 0027c683 lbu a3,2(a5) + 80031f18: 03f6f693 andi a3,a3,63 + 80031f1c: 0ad72823 sw a3,176(a4) + 80031f20: 0037c683 lbu a3,3(a5) + 80031f24: 0066d69b srliw a3,a3,0x6 + 80031f28: 0cd52a23 sw a3,212(a0) + 80031f2c: 0037c683 lbu a3,3(a5) + 80031f30: 0046d693 srli a3,a3,0x4 + 80031f34: 0016f693 andi a3,a3,1 + 80031f38: 0ed52023 sw a3,224(a0) + 80031f3c: 0037c683 lbu a3,3(a5) + 80031f40: 0036d693 srli a3,a3,0x3 + 80031f44: 0016f693 andi a3,a3,1 + 80031f48: 0ed72023 sw a3,224(a4) + 80031f4c: 0037c683 lbu a3,3(a5) + 80031f50: 0076f693 andi a3,a3,7 + 80031f54: 0ad72a23 sw a3,180(a4) + 80031f58: 0047c683 lbu a3,4(a5) + 80031f5c: 0046d69b srliw a3,a3,0x4 + 80031f60: 0cd72023 sw a3,192(a4) + 80031f64: 0047c683 lbu a3,4(a5) + 80031f68: 00f6f693 andi a3,a3,15 + 80031f6c: 0cd72223 sw a3,196(a4) + 80031f70: 0057c683 lbu a3,5(a5) + 80031f74: 0046d69b srliw a3,a3,0x4 + 80031f78: 0cd52023 sw a3,192(a0) + 80031f7c: 0057c683 lbu a3,5(a5) + 80031f80: 00f6f693 andi a3,a3,15 + 80031f84: 0cd52223 sw a3,196(a0) + 80031f88: 0067c683 lbu a3,6(a5) + 80031f8c: 0046d69b srliw a3,a3,0x4 + 80031f90: 0cd72423 sw a3,200(a4) + 80031f94: 0067c683 lbu a3,6(a5) + 80031f98: 00f6f693 andi a3,a3,15 + 80031f9c: 0cd72623 sw a3,204(a4) + 80031fa0: 0077c703 lbu a4,7(a5) + 80031fa4: 0047571b srliw a4,a4,0x4 + 80031fa8: 0ce52423 sw a4,200(a0) + 80031fac: 0077c783 lbu a5,7(a5) + 80031fb0: 00f7f793 andi a5,a5,15 + 80031fb4: 0cf52623 sw a5,204(a0) + 80031fb8: 00008067 ret + 80031fbc: 02e54683 lbu a3,46(a0) + 80031fc0: 02e50793 addi a5,a0,46 + 80031fc4: e81ff06f j 80031e44 + +0000000080031fc8 : + 80031fc8: ff010113 addi sp,sp,-16 + 80031fcc: 21600613 li a2,534 + 80031fd0: 00014597 auipc a1,0x14 + 80031fd4: 37858593 addi a1,a1,888 # 80046348 <_ZL3lut+0x4e8> + 80031fd8: 0000b517 auipc a0,0xb + 80031fdc: d3850513 addi a0,a0,-712 # 8003cd10 <_etext+0x2c> + 80031fe0: 00113423 sd ra,8(sp) + 80031fe4: 564090ef jal ra,8003b548 + 80031fe8: 00100513 li a0,1 + 80031fec: 589070ef jal ra,80039d74 + +0000000080031ff0 : + 80031ff0: 3c80806f j 8003a3b8 + +0000000080031ff4 : + 80031ff4: 07852783 lw a5,120(a0) + 80031ff8: ff010113 addi sp,sp,-16 + 80031ffc: 00113423 sd ra,8(sp) + 80032000: 00078c63 beqz a5,80032018 + 80032004: 0000c7b7 lui a5,0xc + 80032008: 2347879b addiw a5,a5,564 + 8003200c: 0001b717 auipc a4,0x1b + 80032010: 16f72023 sw a5,352(a4) # 8004d16c + 80032014: fb5ff0ef jal ra,80031fc8 + 80032018: 0001b797 auipc a5,0x1b + 8003201c: 14b7aa23 sw a1,340(a5) # 8004d16c + 80032020: ff5ff06f j 80032014 + +0000000080032024 : + 80032024: 2cc05463 blez a2,800322ec + 80032028: fff60e9b addiw t4,a2,-1 + 8003202c: 020e9e93 slli t4,t4,0x20 + 80032030: 020ede93 srli t4,t4,0x20 + 80032034: f9010113 addi sp,sp,-112 + 80032038: 001e8e93 addi t4,t4,1 + 8003203c: 05213c23 sd s2,88(sp) + 80032040: 002e9e93 slli t4,t4,0x2 + 80032044: 0b450793 addi a5,a0,180 + 80032048: 000102b7 lui t0,0x10 + 8003204c: 00040937 lui s2,0x40 + 80032050: 06913023 sd s1,96(sp) + 80032054: 05313823 sd s3,80(sp) + 80032058: 05413423 sd s4,72(sp) + 8003205c: 05513023 sd s5,64(sp) + 80032060: 03613c23 sd s6,56(sp) + 80032064: 03713823 sd s7,48(sp) + 80032068: 03813423 sd s8,40(sp) + 8003206c: 03913023 sd s9,32(sp) + 80032070: 01a13c23 sd s10,24(sp) + 80032074: 01b13823 sd s11,16(sp) + 80032078: 06813423 sd s0,104(sp) + 8003207c: 01d58eb3 add t4,a1,t4 + 80032080: 0b850d93 addi s11,a0,184 + 80032084: 71850d13 addi s10,a0,1816 + 80032088: 00f13423 sd a5,8(sp) + 8003208c: fff28293 addi t0,t0,-1 # ffff <_entry_offset+0xffff> + 80032090: fff90913 addi s2,s2,-1 # 3ffff <_entry_offset+0x3ffff> + 80032094: 00400493 li s1,4 + 80032098: 00100f93 li t6,1 + 8003209c: 07f00c93 li s9,127 + 800320a0: 00600c13 li s8,6 + 800320a4: 00400bb7 lui s7,0x400 + 800320a8: 00200b13 li s6,2 + 800320ac: 00f00a93 li s5,15 + 800320b0: 00200a13 li s4,2 + 800320b4: 00014997 auipc s3,0x14 + 800320b8: 39c98993 addi s3,s3,924 # 80046450 + 800320bc: 00600f13 li t5,6 + 800320c0: 06852703 lw a4,104(a0) + 800320c4: 07052783 lw a5,112(a0) + 800320c8: 06052a23 sw zero,116(a0) + 800320cc: 00577733 and a4,a4,t0 + 800320d0: 0057f7b3 and a5,a5,t0 + 800320d4: 06f52823 sw a5,112(a0) + 800320d8: 06e52423 sw a4,104(a0) + 800320dc: 06052623 sw zero,108(a0) + 800320e0: 000d8793 mv a5,s11 + 800320e4: 00300813 li a6,3 + 800320e8: 0ff00893 li a7,255 + 800320ec: 0680006f j 80032154 + 800320f0: 0f471c63 bne a4,s4,800321e8 + 800320f4: 0107e603 lwu a2,16(a5) + 800320f8: 0707a703 lw a4,112(a5) + 800320fc: 0747a303 lw t1,116(a5) + 80032100: 00261613 slli a2,a2,0x2 + 80032104: 00c98633 add a2,s3,a2 + 80032108: 00062e03 lw t3,0(a2) + 8003210c: 00e3033b addw t1,t1,a4 + 80032110: 0667a823 sw t1,112(a5) + 80032114: 00f7571b srliw a4,a4,0xf + 80032118: 01c36c63 bltu t1,t3,80032130 + 8003211c: 0007a603 lw a2,0(a5) + 80032120: 07c7a823 sw t3,112(a5) + 80032124: 0c060e63 beqz a2,80032200 + 80032128: 0707a623 sw a6,108(a5) + 8003212c: 0607aa23 sw zero,116(a5) + 80032130: 0647a603 lw a2,100(a5) + 80032134: 00e6073b addw a4,a2,a4 + 80032138: 0017161b slliw a2,a4,0x1 + 8003213c: 00060713 mv a4,a2 + 80032140: 00c8f463 bgeu a7,a2,80032148 + 80032144: 0ff00713 li a4,255 + 80032148: 06e7ac23 sw a4,120(a5) + 8003214c: 08878793 addi a5,a5,136 + 80032150: 0afd0e63 beq s10,a5,8003220c + 80032154: 0247a603 lw a2,36(a5) + 80032158: 0487a703 lw a4,72(a5) + 8003215c: 00061663 bnez a2,80032168 + 80032160: 04c7a603 lw a2,76(a5) + 80032164: 00e6073b addw a4,a2,a4 + 80032168: 01277633 and a2,a4,s2 + 8003216c: 06c7a703 lw a4,108(a5) + 80032170: 0096531b srliw t1,a2,0x9 + 80032174: 04c7a423 sw a2,72(a5) + 80032178: 0467a823 sw t1,80(a5) + 8003217c: 07070a63 beq a4,a6,800321f0 + 80032180: 04e84063 blt a6,a4,800321c0 + 80032184: f7f716e3 bne a4,t6,800320f0 + 80032188: 0707a603 lw a2,112(a5) + 8003218c: 0747a703 lw a4,116(a5) + 80032190: 00e6063b addw a2,a2,a4 + 80032194: 01767733 and a4,a2,s7 + 80032198: 06c7a823 sw a2,112(a5) + 8003219c: 0007071b sext.w a4,a4 + 800321a0: 00071663 bnez a4,800321ac + 800321a4: 0087a603 lw a2,8(a5) + 800321a8: f95614e3 bne a2,s5,80032130 + 800321ac: 0607a823 sw zero,112(a5) + 800321b0: 0767a623 sw s6,108(a5) + 800321b4: 0607aa23 sw zero,116(a5) + 800321b8: 00000713 li a4,0 + 800321bc: f75ff06f j 80032130 + 800321c0: ffc7061b addiw a2,a4,-4 + 800321c4: 07f00713 li a4,127 + 800321c8: f6cfe4e3 bltu t6,a2,80032130 + 800321cc: 0707a303 lw t1,112(a5) + 800321d0: 0747a603 lw a2,116(a5) + 800321d4: 00f3571b srliw a4,t1,0xf + 800321d8: 0066063b addw a2,a2,t1 + 800321dc: 06c7a823 sw a2,112(a5) + 800321e0: f4ecf8e3 bgeu s9,a4,80032130 + 800321e4: 0787a623 sw s8,108(a5) + 800321e8: 07f00713 li a4,127 + 800321ec: f45ff06f j 80032130 + 800321f0: 0707a703 lw a4,112(a5) + 800321f4: 0007a603 lw a2,0(a5) + 800321f8: 00f7571b srliw a4,a4,0xf + 800321fc: f2061ae3 bnez a2,80032130 + 80032200: 0697a623 sw s1,108(a5) + 80032204: 0607aa23 sw zero,116(a5) + 80032208: f29ff06f j 80032130 + 8003220c: 71052403 lw s0,1808(a0) + 80032210: 00813803 ld a6,8(sp) + 80032214: 00000713 li a4,0 + 80032218: 00000e13 li t3,0 + 8003221c: 00100393 li t2,1 + 80032220: 001e161b slliw a2,t3,0x1 + 80032224: 00166613 ori a2,a2,1 + 80032228: 0006061b sext.w a2,a2 + 8003222c: 01c3933b sllw t1,t2,t3 + 80032230: 00461793 slli a5,a2,0x4 + 80032234: 00c788b3 add a7,a5,a2 + 80032238: 00647333 and t1,s0,t1 + 8003223c: 00389893 slli a7,a7,0x3 + 80032240: 0003031b sext.w t1,t1 + 80032244: 011508b3 add a7,a0,a7 + 80032248: 001e0e1b addiw t3,t3,1 + 8003224c: 02031c63 bnez t1,80032284 + 80032250: 1248a603 lw a2,292(a7) + 80032254: 00088793 mv a5,a7 + 80032258: 03e60663 beq a2,t5,80032284 + 8003225c: 03882603 lw a2,56(a6) + 80032260: 02082c23 sw zero,56(a6) + 80032264: 4016589b sraiw a7,a2,0x1 + 80032268: 03182a23 sw a7,52(a6) + 8003226c: 02c82e23 sw a2,60(a6) + 80032270: 0ec7a603 lw a2,236(a5) + 80032274: 0e07a623 sw zero,236(a5) + 80032278: 4016589b sraiw a7,a2,0x1 + 8003227c: 0ec7a823 sw a2,240(a5) + 80032280: 00e8873b addw a4,a7,a4 + 80032284: 11080813 addi a6,a6,272 + 80032288: f9ee1ce3 bne t3,t5,80032220 + 8003228c: 0107171b slliw a4,a4,0x10 + 80032290: 0005a783 lw a5,0(a1) + 80032294: 4107571b sraiw a4,a4,0x10 + 80032298: 00008637 lui a2,0x8 + 8003229c: 00c7073b addw a4,a4,a2 + 800322a0: 00d7173b sllw a4,a4,a3 + 800322a4: 00e7873b addw a4,a5,a4 + 800322a8: 00e5a023 sw a4,0(a1) + 800322ac: 00458593 addi a1,a1,4 + 800322b0: e1d598e3 bne a1,t4,800320c0 + 800322b4: 06813403 ld s0,104(sp) + 800322b8: 06013483 ld s1,96(sp) + 800322bc: 05813903 ld s2,88(sp) + 800322c0: 05013983 ld s3,80(sp) + 800322c4: 04813a03 ld s4,72(sp) + 800322c8: 04013a83 ld s5,64(sp) + 800322cc: 03813b03 ld s6,56(sp) + 800322d0: 03013b83 ld s7,48(sp) + 800322d4: 02813c03 ld s8,40(sp) + 800322d8: 02013c83 ld s9,32(sp) + 800322dc: 01813d03 ld s10,24(sp) + 800322e0: 01013d83 ld s11,16(sp) + 800322e4: 07010113 addi sp,sp,112 + 800322e8: 00008067 ret + 800322ec: 00008067 ret + +00000000800322f0 : + 800322f0: 03f5f593 andi a1,a1,63 + 800322f4: 03500793 li a5,53 + 800322f8: 12b7ee63 bltu a5,a1,80032434 + 800322fc: 00014697 auipc a3,0x14 + 80032300: 07c68693 addi a3,a3,124 # 80046378 <_ZL3lut+0x518> + 80032304: 00259713 slli a4,a1,0x2 + 80032308: 00d70733 add a4,a4,a3 + 8003230c: 00072783 lw a5,0(a4) + 80032310: fc010113 addi sp,sp,-64 + 80032314: 02813823 sd s0,48(sp) + 80032318: 00d787b3 add a5,a5,a3 + 8003231c: 03213023 sd s2,32(sp) + 80032320: 02113c23 sd ra,56(sp) + 80032324: 02913423 sd s1,40(sp) + 80032328: 01313c23 sd s3,24(sp) + 8003232c: 01413823 sd s4,16(sp) + 80032330: 01513423 sd s5,8(sp) + 80032334: 01613023 sd s6,0(sp) + 80032338: 00050413 mv s0,a0 + 8003233c: 0ff67913 andi s2,a2,255 + 80032340: 00078067 jr a5 + 80032344: fd05849b addiw s1,a1,-48 + 80032348: 02049793 slli a5,s1,0x20 + 8003234c: 0207d793 srli a5,a5,0x20 + 80032350: 00f507b3 add a5,a0,a5 + 80032354: 02c78423 sb a2,40(a5) + 80032358: 0004859b sext.w a1,s1 + 8003235c: 00495613 srli a2,s2,0x4 + 80032360: aadff0ef jal ra,80031e0c + 80032364: 0014959b slliw a1,s1,0x1 + 80032368: 0015e793 ori a5,a1,1 + 8003236c: 0007871b sext.w a4,a5 + 80032370: 02059593 slli a1,a1,0x20 + 80032374: 0205d593 srli a1,a1,0x20 + 80032378: 00471793 slli a5,a4,0x4 + 8003237c: 02071693 slli a3,a4,0x20 + 80032380: 0206d693 srli a3,a3,0x20 + 80032384: 00e787b3 add a5,a5,a4 + 80032388: 00459493 slli s1,a1,0x4 + 8003238c: 00469513 slli a0,a3,0x4 + 80032390: 00379793 slli a5,a5,0x3 + 80032394: 0029191b slliw s2,s2,0x2 + 80032398: 00b485b3 add a1,s1,a1 + 8003239c: 00f407b3 add a5,s0,a5 + 800323a0: 00d50533 add a0,a0,a3 + 800323a4: 03c97913 andi s2,s2,60 + 800323a8: 00359593 slli a1,a1,0x3 + 800323ac: 1127aa23 sw s2,276(a5) + 800323b0: 00b405b3 add a1,s0,a1 + 800323b4: 00351513 slli a0,a0,0x3 + 800323b8: 0e05e783 lwu a5,224(a1) + 800323bc: 1005a223 sw zero,260(a1) + 800323c0: 1005ae23 sw zero,284(a1) + 800323c4: 1205a023 sw zero,288(a1) + 800323c8: 1205a623 sw zero,300(a1) + 800323cc: 00a40433 add s0,s0,a0 + 800323d0: 0e046683 lwu a3,224(s0) + 800323d4: 00014717 auipc a4,0x14 + 800323d8: 13470713 addi a4,a4,308 # 80046508 + 800323dc: 00379793 slli a5,a5,0x3 + 800323e0: 00f707b3 add a5,a4,a5 + 800323e4: 00369693 slli a3,a3,0x3 + 800323e8: 0007b603 ld a2,0(a5) + 800323ec: 00d70733 add a4,a4,a3 + 800323f0: 00073783 ld a5,0(a4) + 800323f4: 0ec5bc23 sd a2,248(a1) + 800323f8: 10042223 sw zero,260(s0) + 800323fc: 10042e23 sw zero,284(s0) + 80032400: 12042023 sw zero,288(s0) + 80032404: 0ef43c23 sd a5,248(s0) + 80032408: 12042623 sw zero,300(s0) + 8003240c: 03813083 ld ra,56(sp) + 80032410: 03013403 ld s0,48(sp) + 80032414: 02813483 ld s1,40(sp) + 80032418: 02013903 ld s2,32(sp) + 8003241c: 01813983 ld s3,24(sp) + 80032420: 01013a03 ld s4,16(sp) + 80032424: 00813a83 ld s5,8(sp) + 80032428: 00013b03 ld s6,0(sp) + 8003242c: 04010113 addi sp,sp,64 + 80032430: 00008067 ret + 80032434: 00008067 ret + 80032438: ff05869b addiw a3,a1,-16 + 8003243c: 00068593 mv a1,a3 + 80032440: 00d506b3 add a3,a0,a3 + 80032444: 0226c703 lbu a4,34(a3) + 80032448: 0015959b slliw a1,a1,0x1 + 8003244c: 0015e513 ori a0,a1,1 + 80032450: 00451793 slli a5,a0,0x4 + 80032454: 00a787b3 add a5,a5,a0 + 80032458: 0087171b slliw a4,a4,0x8 + 8003245c: 00459513 slli a0,a1,0x4 + 80032460: 10077713 andi a4,a4,256 + 80032464: 00b505b3 add a1,a0,a1 + 80032468: 00379793 slli a5,a5,0x3 + 8003246c: 00c68e23 sb a2,28(a3) + 80032470: 00f407b3 add a5,s0,a5 + 80032474: 01276933 or s2,a4,s2 + 80032478: 00359513 slli a0,a1,0x3 + 8003247c: 1127a623 sw s2,268(a5) + 80032480: 00a40433 add s0,s0,a0 + 80032484: 0e046703 lwu a4,224(s0) + 80032488: 11242623 sw s2,268(s0) + 8003248c: 10042223 sw zero,260(s0) + 80032490: 10042e23 sw zero,284(s0) + 80032494: 12042023 sw zero,288(s0) + 80032498: 12042623 sw zero,300(s0) + 8003249c: 0e07e603 lwu a2,224(a5) + 800324a0: 00014697 auipc a3,0x14 + 800324a4: 06868693 addi a3,a3,104 # 80046508 + 800324a8: 00371713 slli a4,a4,0x3 + 800324ac: 00e68733 add a4,a3,a4 + 800324b0: 00361613 slli a2,a2,0x3 + 800324b4: 00073583 ld a1,0(a4) + 800324b8: 00c686b3 add a3,a3,a2 + 800324bc: 0006b703 ld a4,0(a3) + 800324c0: 0eb43c23 sd a1,248(s0) + 800324c4: 1007a223 sw zero,260(a5) + 800324c8: 1007ae23 sw zero,284(a5) + 800324cc: 1207a023 sw zero,288(a5) + 800324d0: 0ee7bc23 sd a4,248(a5) + 800324d4: 1207a623 sw zero,300(a5) + 800324d8: f35ff06f j 8003240c + 800324dc: fe05859b addiw a1,a1,-32 + 800324e0: 0015989b slliw a7,a1,0x1 + 800324e4: 00b40f33 add t5,s0,a1 + 800324e8: 0018ee93 ori t4,a7,1 + 800324ec: 01cf4e03 lbu t3,28(t5) + 800324f0: 004e9793 slli a5,t4,0x4 + 800324f4: 0089131b slliw t1,s2,0x8 + 800324f8: 01d78833 add a6,a5,t4 + 800324fc: 00489713 slli a4,a7,0x4 + 80032500: 10037313 andi t1,t1,256 + 80032504: 00381813 slli a6,a6,0x3 + 80032508: 011706b3 add a3,a4,a7 + 8003250c: 02cf0123 sb a2,34(t5) + 80032510: 01040833 add a6,s0,a6 + 80032514: 006e0e3b addw t3,t3,t1 + 80032518: 00369693 slli a3,a3,0x3 + 8003251c: 11c82623 sw t3,268(a6) + 80032520: 00d406b3 add a3,s0,a3 + 80032524: 0019531b srliw t1,s2,0x1 + 80032528: 11c6a623 sw t3,268(a3) + 8003252c: 00737313 andi t1,t1,7 + 80032530: 10682823 sw t1,272(a6) + 80032534: 0059591b srliw s2,s2,0x5 + 80032538: 1066a823 sw t1,272(a3) + 8003253c: 00197913 andi s2,s2,1 + 80032540: 11282c23 sw s2,280(a6) + 80032544: 0e46a803 lw a6,228(a3) + 80032548: 00088513 mv a0,a7 + 8003254c: 00080463 beqz a6,80032554 + 80032550: 1126ac23 sw s2,280(a3) + 80032554: 01067613 andi a2,a2,16 + 80032558: 0005069b sext.w a3,a0 + 8003255c: 0015051b addiw a0,a0,1 + 80032560: 3c061663 bnez a2,8003292c + 80032564: 00c50513 addi a0,a0,12 + 80032568: 00251693 slli a3,a0,0x2 + 8003256c: 00d406b3 add a3,s0,a3 + 80032570: 0086a683 lw a3,8(a3) + 80032574: 02068663 beqz a3,800325a0 + 80032578: 01d786b3 add a3,a5,t4 + 8003257c: 00369693 slli a3,a3,0x3 + 80032580: 0b068693 addi a3,a3,176 + 80032584: 00d406b3 add a3,s0,a3 + 80032588: 0746a503 lw a0,116(a3) + 8003258c: 00100613 li a2,1 + 80032590: 00c51463 bne a0,a2,80032598 + 80032594: 0606ac23 sw zero,120(a3) + 80032598: 00500613 li a2,5 + 8003259c: 06c6aa23 sw a2,116(a3) + 800325a0: 02458593 addi a1,a1,36 + 800325a4: 00259693 slli a3,a1,0x2 + 800325a8: 00d406b3 add a3,s0,a3 + 800325ac: 0006a223 sw zero,4(a3) + 800325b0: 01170733 add a4,a4,a7 + 800325b4: 00371713 slli a4,a4,0x3 + 800325b8: 01d787b3 add a5,a5,t4 + 800325bc: 00e40733 add a4,s0,a4 + 800325c0: 00379793 slli a5,a5,0x3 + 800325c4: 0e076603 lwu a2,224(a4) + 800325c8: 10072223 sw zero,260(a4) + 800325cc: 10072e23 sw zero,284(a4) + 800325d0: 12072023 sw zero,288(a4) + 800325d4: 12072623 sw zero,300(a4) + 800325d8: 00f407b3 add a5,s0,a5 + 800325dc: 0e07e583 lwu a1,224(a5) + 800325e0: 00014697 auipc a3,0x14 + 800325e4: f2868693 addi a3,a3,-216 # 80046508 + 800325e8: 00361613 slli a2,a2,0x3 + 800325ec: 00c68633 add a2,a3,a2 + 800325f0: 00359593 slli a1,a1,0x3 + 800325f4: 00063603 ld a2,0(a2) # 8000 <_entry_offset+0x8000> + 800325f8: 00b686b3 add a3,a3,a1 + 800325fc: 0006b683 ld a3,0(a3) + 80032600: 0ec73c23 sd a2,248(a4) + 80032604: 1007a223 sw zero,260(a5) + 80032608: 0ed7bc23 sd a3,248(a5) + 8003260c: 03840713 addi a4,s0,56 + 80032610: 02240693 addi a3,s0,34 + 80032614: 1007ae23 sw zero,284(a5) + 80032618: 1207a023 sw zero,288(a5) + 8003261c: 1207a623 sw zero,300(a5) + 80032620: 06840413 addi s0,s0,104 + 80032624: 0006c783 lbu a5,0(a3) + 80032628: 00870713 addi a4,a4,8 + 8003262c: 00168693 addi a3,a3,1 + 80032630: 0107f793 andi a5,a5,16 + 80032634: 0ff7f793 andi a5,a5,255 + 80032638: fef72e23 sw a5,-4(a4) + 8003263c: fef72c23 sw a5,-8(a4) + 80032640: fe8712e3 bne a4,s0,80032624 + 80032644: dc9ff06f j 8003240c + 80032648: 02c50723 sb a2,46(a0) + 8003264c: 07c50913 addi s2,a0,124 + 80032650: 10450993 addi s3,a0,260 + 80032654: 00000493 li s1,0 + 80032658: 00600a13 li s4,6 + 8003265c: 0140006f j 80032670 + 80032660: 0014849b addiw s1,s1,1 + 80032664: 00490913 addi s2,s2,4 + 80032668: 11098993 addi s3,s3,272 + 8003266c: db4480e3 beq s1,s4,8003240c + 80032670: 00092783 lw a5,0(s2) + 80032674: fe0796e3 bnez a5,80032660 + 80032678: 00000613 li a2,0 + 8003267c: 00048593 mv a1,s1 + 80032680: 00040513 mv a0,s0 + 80032684: f88ff0ef jal ra,80031e0c + 80032688: 0009a023 sw zero,0(s3) + 8003268c: 0009ae23 sw zero,28(s3) + 80032690: 0209a423 sw zero,40(s3) + 80032694: fcdff06f j 80032660 + 80032698: 02c507a3 sb a2,47(a0) + 8003269c: 07c50993 addi s3,a0,124 + 800326a0: 00000913 li s2,0 + 800326a4: 00600a13 li s4,6 + 800326a8: 0100006f j 800326b8 + 800326ac: 0019091b addiw s2,s2,1 + 800326b0: 00498993 addi s3,s3,4 + 800326b4: d5490ce3 beq s2,s4,8003240c + 800326b8: 0009a783 lw a5,0(s3) + 800326bc: fe0798e3 bnez a5,800326ac + 800326c0: 0009049b sext.w s1,s2 + 800326c4: 00048593 mv a1,s1 + 800326c8: 0014949b slliw s1,s1,0x1 + 800326cc: 00000613 li a2,0 + 800326d0: 00040513 mv a0,s0 + 800326d4: 0014e493 ori s1,s1,1 + 800326d8: f34ff0ef jal ra,80031e0c + 800326dc: 0004849b sext.w s1,s1 + 800326e0: 00449793 slli a5,s1,0x4 + 800326e4: 009784b3 add s1,a5,s1 + 800326e8: 00349493 slli s1,s1,0x3 + 800326ec: 009404b3 add s1,s0,s1 + 800326f0: 1004a223 sw zero,260(s1) + 800326f4: 1204a023 sw zero,288(s1) + 800326f8: 1204a623 sw zero,300(s1) + 800326fc: fb1ff06f j 800326ac + 80032700: 02c50a23 sb a2,52(a0) + 80032704: 07c50913 addi s2,a0,124 + 80032708: 12c50993 addi s3,a0,300 + 8003270c: 00000493 li s1,0 + 80032710: 00600a13 li s4,6 + 80032714: 0140006f j 80032728 + 80032718: 0014849b addiw s1,s1,1 + 8003271c: 00490913 addi s2,s2,4 + 80032720: 11098993 addi s3,s3,272 + 80032724: cf4484e3 beq s1,s4,8003240c + 80032728: 00092783 lw a5,0(s2) + 8003272c: fe0796e3 bnez a5,80032718 + 80032730: 00000613 li a2,0 + 80032734: 00048593 mv a1,s1 + 80032738: 00040513 mv a0,s0 + 8003273c: ed0ff0ef jal ra,80031e0c + 80032740: 0009a023 sw zero,0(s3) + 80032744: fd5ff06f j 80032718 + 80032748: 02c50aa3 sb a2,53(a0) + 8003274c: 07c50993 addi s3,a0,124 + 80032750: 00000913 li s2,0 + 80032754: 00600a13 li s4,6 + 80032758: 0100006f j 80032768 + 8003275c: 0019091b addiw s2,s2,1 + 80032760: 00498993 addi s3,s3,4 + 80032764: cb4904e3 beq s2,s4,8003240c + 80032768: 0009a783 lw a5,0(s3) + 8003276c: fe0798e3 bnez a5,8003275c + 80032770: 0009049b sext.w s1,s2 + 80032774: 00048593 mv a1,s1 + 80032778: 0014949b slliw s1,s1,0x1 + 8003277c: 00000613 li a2,0 + 80032780: 00040513 mv a0,s0 + 80032784: 0014e493 ori s1,s1,1 + 80032788: e84ff0ef jal ra,80031e0c + 8003278c: 0004849b sext.w s1,s1 + 80032790: 00449793 slli a5,s1,0x4 + 80032794: 009784b3 add s1,a5,s1 + 80032798: 00349493 slli s1,s1,0x3 + 8003279c: 009404b3 add s1,s0,s1 + 800327a0: 1204a623 sw zero,300(s1) + 800327a4: fb9ff06f j 8003275c + 800327a8: 02c50823 sb a2,48(a0) + 800327ac: 07c50913 addi s2,a0,124 + 800327b0: 11c50993 addi s3,a0,284 + 800327b4: 00000493 li s1,0 + 800327b8: 00600a13 li s4,6 + 800327bc: 0140006f j 800327d0 + 800327c0: 0014849b addiw s1,s1,1 + 800327c4: 00490913 addi s2,s2,4 + 800327c8: 11098993 addi s3,s3,272 + 800327cc: c54480e3 beq s1,s4,8003240c + 800327d0: 00092783 lw a5,0(s2) + 800327d4: fe0796e3 bnez a5,800327c0 + 800327d8: 00000613 li a2,0 + 800327dc: 00048593 mv a1,s1 + 800327e0: 00040513 mv a0,s0 + 800327e4: e28ff0ef jal ra,80031e0c + 800327e8: 0009a023 sw zero,0(s3) + 800327ec: fd5ff06f j 800327c0 + 800327f0: 02c508a3 sb a2,49(a0) + 800327f4: 07c50993 addi s3,a0,124 + 800327f8: 0e050a13 addi s4,a0,224 + 800327fc: 00000913 li s2,0 + 80032800: 00014b17 auipc s6,0x14 + 80032804: d08b0b13 addi s6,s6,-760 # 80046508 + 80032808: 00600a93 li s5,6 + 8003280c: 0140006f j 80032820 + 80032810: 0019091b addiw s2,s2,1 + 80032814: 00498993 addi s3,s3,4 + 80032818: 110a0a13 addi s4,s4,272 + 8003281c: bf5908e3 beq s2,s5,8003240c + 80032820: 0009a783 lw a5,0(s3) + 80032824: fe0796e3 bnez a5,80032810 + 80032828: 0009049b sext.w s1,s2 + 8003282c: 00048593 mv a1,s1 + 80032830: 0014949b slliw s1,s1,0x1 + 80032834: 00000613 li a2,0 + 80032838: 00040513 mv a0,s0 + 8003283c: 0014e493 ori s1,s1,1 + 80032840: dccff0ef jal ra,80031e0c + 80032844: 0004849b sext.w s1,s1 + 80032848: 00449793 slli a5,s1,0x4 + 8003284c: 009784b3 add s1,a5,s1 + 80032850: 00349493 slli s1,s1,0x3 + 80032854: 000a6703 lwu a4,0(s4) + 80032858: 009404b3 add s1,s0,s1 + 8003285c: 0e04e783 lwu a5,224(s1) + 80032860: 00371713 slli a4,a4,0x3 + 80032864: 00eb0733 add a4,s6,a4 + 80032868: 00379793 slli a5,a5,0x3 + 8003286c: 00073703 ld a4,0(a4) + 80032870: 00fb07b3 add a5,s6,a5 + 80032874: 0007b783 ld a5,0(a5) + 80032878: 00ea3c23 sd a4,24(s4) + 8003287c: 0ef4bc23 sd a5,248(s1) + 80032880: f91ff06f j 80032810 + 80032884: 02c50923 sb a2,50(a0) + 80032888: 07c50913 addi s2,a0,124 + 8003288c: 12c50993 addi s3,a0,300 + 80032890: 00000493 li s1,0 + 80032894: 00600a13 li s4,6 + 80032898: 0140006f j 800328ac + 8003289c: 0014849b addiw s1,s1,1 + 800328a0: 00490913 addi s2,s2,4 + 800328a4: 11098993 addi s3,s3,272 + 800328a8: b74482e3 beq s1,s4,8003240c + 800328ac: 00092783 lw a5,0(s2) + 800328b0: fe0796e3 bnez a5,8003289c + 800328b4: 00000613 li a2,0 + 800328b8: 00048593 mv a1,s1 + 800328bc: 00040513 mv a0,s0 + 800328c0: d4cff0ef jal ra,80031e0c + 800328c4: 0009a023 sw zero,0(s3) + 800328c8: fd5ff06f j 8003289c + 800328cc: 02c509a3 sb a2,51(a0) + 800328d0: 07c50993 addi s3,a0,124 + 800328d4: 00000913 li s2,0 + 800328d8: 00600a13 li s4,6 + 800328dc: 0100006f j 800328ec + 800328e0: 0019091b addiw s2,s2,1 + 800328e4: 00498993 addi s3,s3,4 + 800328e8: b34902e3 beq s2,s4,8003240c + 800328ec: 0009a783 lw a5,0(s3) + 800328f0: fe0798e3 bnez a5,800328e0 + 800328f4: 0009049b sext.w s1,s2 + 800328f8: 00048593 mv a1,s1 + 800328fc: 0014949b slliw s1,s1,0x1 + 80032900: 00000613 li a2,0 + 80032904: 00040513 mv a0,s0 + 80032908: 0014e493 ori s1,s1,1 + 8003290c: d00ff0ef jal ra,80031e0c + 80032910: 0004849b sext.w s1,s1 + 80032914: 00449793 slli a5,s1,0x4 + 80032918: 009784b3 add s1,a5,s1 + 8003291c: 00349493 slli s1,s1,0x3 + 80032920: 009404b3 add s1,s0,s1 + 80032924: 1204a623 sw zero,300(s1) + 80032928: fb9ff06f j 800328e0 + 8003292c: 00269693 slli a3,a3,0x2 + 80032930: 00d406b3 add a3,s0,a3 + 80032934: 0386a603 lw a2,56(a3) + 80032938: 02061063 bnez a2,80032958 + 8003293c: 01170633 add a2,a4,a7 + 80032940: 00361613 slli a2,a2,0x3 + 80032944: 00c40633 add a2,s0,a2 + 80032948: 00100513 li a0,1 + 8003294c: 12a62223 sw a0,292(a2) + 80032950: 12062423 sw zero,296(a2) + 80032954: 10062023 sw zero,256(a2) + 80032958: 03c6a683 lw a3,60(a3) + 8003295c: 02069063 bnez a3,8003297c + 80032960: 01d786b3 add a3,a5,t4 + 80032964: 00369693 slli a3,a3,0x3 + 80032968: 00d406b3 add a3,s0,a3 + 8003296c: 00100613 li a2,1 + 80032970: 12c6a223 sw a2,292(a3) + 80032974: 1206a423 sw zero,296(a3) + 80032978: 1006a023 sw zero,256(a3) + 8003297c: 02458593 addi a1,a1,36 + 80032980: 00259693 slli a3,a1,0x2 + 80032984: 00d406b3 add a3,s0,a3 + 80032988: 00100613 li a2,1 + 8003298c: 00c6a223 sw a2,4(a3) + 80032990: c21ff06f j 800325b0 + +0000000080032994 : + 80032994: fe010113 addi sp,sp,-32 + 80032998: 00913423 sd s1,8(sp) + 8003299c: 0e450793 addi a5,a0,228 + 800329a0: 00050493 mv s1,a0 + 800329a4: 00113c23 sd ra,24(sp) + 800329a8: 00813823 sd s0,16(sp) + 800329ac: 01213023 sd s2,0(sp) + 800329b0: 00000713 li a4,0 + 800329b4: 00096517 auipc a0,0x96 + 800329b8: a2c50513 addi a0,a0,-1492 # 800c83e0 + 800329bc: 004005b7 lui a1,0x400 + 800329c0: 00c00613 li a2,12 + 800329c4: 00177693 andi a3,a4,1 + 800329c8: 00d7a023 sw a3,0(a5) + 800329cc: 00a7ba23 sd a0,20(a5) + 800329d0: 0007ae23 sw zero,28(a5) + 800329d4: 0207a023 sw zero,32(a5) + 800329d8: 0007a423 sw zero,8(a5) + 800329dc: 0007a623 sw zero,12(a5) + 800329e0: 0007a223 sw zero,4(a5) + 800329e4: 0407a023 sw zero,64(a5) + 800329e8: 04b7a223 sw a1,68(a5) + 800329ec: 0407a423 sw zero,72(a5) + 800329f0: 0207ae23 sw zero,60(a5) + 800329f4: 0207ac23 sw zero,56(a5) + 800329f8: 0207aa23 sw zero,52(a5) + 800329fc: 0207a423 sw zero,40(a5) + 80032a00: 0207a623 sw zero,44(a5) + 80032a04: 0207a823 sw zero,48(a5) + 80032a08: 0207a223 sw zero,36(a5) + 80032a0c: 0407a623 sw zero,76(a5) + 80032a10: 0017071b addiw a4,a4,1 + 80032a14: 08878793 addi a5,a5,136 + 80032a18: fac716e3 bne a4,a2,800329c4 + 80032a1c: 0804aa23 sw zero,148(s1) + 80032a20: 0804bc23 sd zero,152(s1) + 80032a24: 0a04b023 sd zero,160(s1) + 80032a28: 0a04a423 sw zero,168(s1) + 80032a2c: 00000413 li s0,0 + 80032a30: 04000913 li s2,64 + 80032a34: 00040593 mv a1,s0 + 80032a38: 00000613 li a2,0 + 80032a3c: 0014041b addiw s0,s0,1 + 80032a40: 00048513 mv a0,s1 + 80032a44: 8adff0ef jal ra,800322f0 + 80032a48: ff2416e3 bne s0,s2,80032a34 + 80032a4c: 00100413 li s0,1 + 80032a50: 0001a597 auipc a1,0x1a + 80032a54: 71c5e583 lwu a1,1820(a1) # 8004d16c + 80032a58: 01f41513 slli a0,s0,0x1f + 80032a5c: 3cc070ef jal ra,80039e28 <__udivdi3> + 80032a60: 00a4a423 sw a0,8(s1) + 80032a64: 04800593 li a1,72 + 80032a68: 0001a517 auipc a0,0x1a + 80032a6c: 70056503 lwu a0,1792(a0) # 8004d168 + 80032a70: 3b8070ef jal ra,80039e28 <__udivdi3> + 80032a74: 02051593 slli a1,a0,0x20 + 80032a78: 0205d593 srli a1,a1,0x20 + 80032a7c: 01f41513 slli a0,s0,0x1f + 80032a80: 3a8070ef jal ra,80039e28 <__udivdi3> + 80032a84: 01813083 ld ra,24(sp) + 80032a88: 01013403 ld s0,16(sp) + 80032a8c: 00a4a823 sw a0,16(s1) + 80032a90: 0004a623 sw zero,12(s1) + 80032a94: 00013903 ld s2,0(sp) + 80032a98: 00813483 ld s1,8(sp) + 80032a9c: 02010113 addi sp,sp,32 + 80032aa0: 00008067 ret + +0000000080032aa4 : + 80032aa4: 00050c63 beqz a0,80032abc + 80032aa8: 00053023 sd zero,0(a0) + 80032aac: 06052423 sw zero,104(a0) + 80032ab0: 06052823 sw zero,112(a0) + 80032ab4: 70052823 sw zero,1808(a0) + 80032ab8: eddff06f j 80032994 + 80032abc: 00008067 ret + +0000000080032ac0 : + 80032ac0: 0001a717 auipc a4,0x1a + 80032ac4: 6a870713 addi a4,a4,1704 # 8004d168 + 80032ac8: 00072683 lw a3,0(a4) + 80032acc: ff010113 addi sp,sp,-16 + 80032ad0: 00113423 sd ra,8(sp) + 80032ad4: 00813023 sd s0,0(sp) + 80032ad8: 04a69e63 bne a3,a0,80032b34 + 80032adc: 0001a797 auipc a5,0x1a + 80032ae0: 69078793 addi a5,a5,1680 # 8004d16c + 80032ae4: 0007a703 lw a4,0(a5) + 80032ae8: 06b71a63 bne a4,a1,80032b5c + 80032aec: 71800513 li a0,1816 + 80032af0: 0b9070ef jal ra,8003a3a8 + 80032af4: 00050413 mv s0,a0 + 80032af8: 02050463 beqz a0,80032b20 + 80032afc: 71800613 li a2,1816 + 80032b00: 00000593 li a1,0 + 80032b04: 725080ef jal ra,8003ba28 + 80032b08: 00040513 mv a0,s0 + 80032b0c: 70042823 sw zero,1808(s0) + 80032b10: 00043023 sd zero,0(s0) + 80032b14: 06042423 sw zero,104(s0) + 80032b18: 06042823 sw zero,112(s0) + 80032b1c: e79ff0ef jal ra,80032994 + 80032b20: 00813083 ld ra,8(sp) + 80032b24: 00040513 mv a0,s0 + 80032b28: 00013403 ld s0,0(sp) + 80032b2c: 01010113 addi sp,sp,16 + 80032b30: 00008067 ret + 80032b34: 00050793 mv a5,a0 + 80032b38: 10400613 li a2,260 + 80032b3c: 00014597 auipc a1,0x14 + 80032b40: 80c58593 addi a1,a1,-2036 # 80046348 <_ZL3lut+0x4e8> + 80032b44: 0000a517 auipc a0,0xa + 80032b48: 1cc50513 addi a0,a0,460 # 8003cd10 <_etext+0x2c> + 80032b4c: 00f72023 sw a5,0(a4) + 80032b50: 1f9080ef jal ra,8003b548 + 80032b54: 00100513 li a0,1 + 80032b58: 21c070ef jal ra,80039d74 + 80032b5c: 00b7a023 sw a1,0(a5) + 80032b60: c68ff0ef jal ra,80031fc8 + +0000000080032b64 <_ZL12StateRestorei>: + 80032b64: 0019a317 auipc t1,0x19a + 80032b68: ab433303 ld t1,-1356(t1) # 801cc618 <_ZL6WHSync> + 80032b6c: 00030067 jr t1 + +0000000080032b70 <_ZL19UNROM512LLatchWritejh>: + 80032b70: 0019a797 auipc a5,0x19a + 80032b74: aab78d23 sb a1,-1350(a5) # 801cc62a <_ZL6latche> + 80032b78: 0019a797 auipc a5,0x19a + 80032b7c: aaa79823 sh a0,-1360(a5) # 801cc628 <_ZL6latcha> + 80032b80: 0019a317 auipc t1,0x19a + 80032b84: aa033303 ld t1,-1376(t1) # 801cc620 <_ZL6WLSync> + 80032b88: 00030067 jr t1 + +0000000080032b8c <_ZL18UNROM512LatchClosev>: + 80032b8c: ff010113 addi sp,sp,-16 + 80032b90: 00113423 sd ra,8(sp) + 80032b94: 0019a517 auipc a0,0x19a + 80032b98: a7c53503 ld a0,-1412(a0) # 801cc610 <_ZL17flash_write_count> + 80032b9c: 00050463 beqz a0,80032ba4 <_ZL18UNROM512LatchClosev+0x18> + 80032ba0: 9a1df0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80032ba4: 0019a517 auipc a0,0x19a + 80032ba8: a8c53503 ld a0,-1396(a0) # 801cc630 <_ZL9flashdata> + 80032bac: 00050463 beqz a0,80032bb4 <_ZL18UNROM512LatchClosev+0x28> + 80032bb0: 991df0ef jal ra,80012540 <_Z10FCEU_gfreePv> + 80032bb4: 00813083 ld ra,8(sp) + 80032bb8: 0019a797 auipc a5,0x19a + 80032bbc: a407bc23 sd zero,-1448(a5) # 801cc610 <_ZL17flash_write_count> + 80032bc0: 0019a797 auipc a5,0x19a + 80032bc4: a607b823 sd zero,-1424(a5) # 801cc630 <_ZL9flashdata> + 80032bc8: 01010113 addi sp,sp,16 + 80032bcc: 00008067 ret + +0000000080032bd0 <_ZL19UNROM512HLatchWritejh>: + 80032bd0: fe010113 addi sp,sp,-32 + 80032bd4: 00813823 sd s0,16(sp) + 80032bd8: 00913423 sd s1,8(sp) + 80032bdc: 00113c23 sd ra,24(sp) + 80032be0: 0019a797 auipc a5,0x19a + 80032be4: a2e7c783 lbu a5,-1490(a5) # 801cc60e <_ZL12bus_conflict> + 80032be8: 00050493 mv s1,a0 + 80032bec: 00058413 mv s0,a1 + 80032bf0: 02079863 bnez a5,80032c20 <_ZL19UNROM512HLatchWritejh+0x50> + 80032bf4: 0019a797 auipc a5,0x19a + 80032bf8: a2878b23 sb s0,-1482(a5) # 801cc62a <_ZL6latche> + 80032bfc: 01013403 ld s0,16(sp) + 80032c00: 01813083 ld ra,24(sp) + 80032c04: 0019a797 auipc a5,0x19a + 80032c08: a2979223 sh s1,-1500(a5) # 801cc628 <_ZL6latcha> + 80032c0c: 00813483 ld s1,8(sp) + 80032c10: 0019a317 auipc t1,0x19a + 80032c14: a0833303 ld t1,-1528(t1) # 801cc618 <_ZL6WHSync> + 80032c18: 02010113 addi sp,sp,32 + 80032c1c: 00030067 jr t1 + 80032c20: a41dd0ef jal ra,80010660 <_Z6CartBRj> + 80032c24: fc8508e3 beq a0,s0,80032bf4 <_ZL19UNROM512HLatchWritejh+0x24> + 80032c28: 00000413 li s0,0 + 80032c2c: fc9ff06f j 80032bf4 <_ZL19UNROM512HLatchWritejh+0x24> + +0000000080032c30 <_ZL13UNROM512LSyncv>: + 80032c30: f9010113 addi sp,sp,-112 + 80032c34: 00014597 auipc a1,0x14 + 80032c38: d745b583 ld a1,-652(a1) # 800469a8 + 80032c3c: 05500713 li a4,85 + 80032c40: 00100813 li a6,1 + 80032c44: 06113423 sd ra,104(sp) + 80032c48: 06813023 sd s0,96(sp) + 80032c4c: 04913c23 sd s1,88(sp) + 80032c50: 0019a897 auipc a7,0x19a + 80032c54: 9b888893 addi a7,a7,-1608 # 801cc608 <_ZL10flash_mode> + 80032c58: 00b13423 sd a1,8(sp) + 80032c5c: 00014597 auipc a1,0x14 + 80032c60: d545b583 ld a1,-684(a1) # 800469b0 + 80032c64: 02071613 slli a2,a4,0x20 + 80032c68: 02171693 slli a3,a4,0x21 + 80032c6c: 02081793 slli a5,a6,0x20 + 80032c70: 00b13823 sd a1,16(sp) + 80032c74: 0008c503 lbu a0,0(a7) + 80032c78: 0000b5b7 lui a1,0xb + 80032c7c: 0aa60613 addi a2,a2,170 + 80032c80: 08068693 addi a3,a3,128 + 80032c84: 00178793 addi a5,a5,1 + 80032c88: aaa5859b addiw a1,a1,-1366 + 80032c8c: 00b12c23 sw a1,24(sp) + 80032c90: 02c13023 sd a2,32(sp) + 80032c94: 02d13423 sd a3,40(sp) + 80032c98: 02e12823 sw a4,48(sp) + 80032c9c: 03013c23 sd a6,56(sp) + 80032ca0: 04f13023 sd a5,64(sp) + 80032ca4: 04012423 sw zero,72(sp) + 80032ca8: 06051463 bnez a0,80032d10 <_ZL13UNROM512LSyncv+0xe0> + 80032cac: 0019a597 auipc a1,0x19a + 80032cb0: 96058593 addi a1,a1,-1696 # 801cc60c <_ZL11flash_state> + 80032cb4: 0005c703 lbu a4,0(a1) + 80032cb8: 05010513 addi a0,sp,80 + 80032cbc: 0019a617 auipc a2,0x19a + 80032cc0: 96c65603 lhu a2,-1684(a2) # 801cc628 <_ZL6latcha> + 80032cc4: 00271793 slli a5,a4,0x2 + 80032cc8: 00f507b3 add a5,a0,a5 + 80032ccc: fb87a503 lw a0,-72(a5) + 80032cd0: 0019a697 auipc a3,0x19a + 80032cd4: 95a6c683 lbu a3,-1702(a3) # 801cc62a <_ZL6latche> + 80032cd8: 04c50c63 beq a0,a2,80032d30 <_ZL13UNROM512LSyncv+0x100> + 80032cdc: 00200793 li a5,2 + 80032ce0: 0cf70063 beq a4,a5,80032da0 <_ZL13UNROM512LSyncv+0x170> + 80032ce4: 0f000793 li a5,240 + 80032ce8: 00f69663 bne a3,a5,80032cf4 <_ZL13UNROM512LSyncv+0xc4> + 80032cec: 0019a797 auipc a5,0x19a + 80032cf0: 920780a3 sb zero,-1759(a5) # 801cc60d <_ZL11software_id> + 80032cf4: 06813083 ld ra,104(sp) + 80032cf8: 06013403 ld s0,96(sp) + 80032cfc: 0019a797 auipc a5,0x19a + 80032d00: 90078823 sb zero,-1776(a5) # 801cc60c <_ZL11flash_state> + 80032d04: 05813483 ld s1,88(sp) + 80032d08: 07010113 addi sp,sp,112 + 80032d0c: 00008067 ret + 80032d10: 05050a63 beq a0,a6,80032d64 <_ZL13UNROM512LSyncv+0x134> + 80032d14: 00200793 li a5,2 + 80032d18: 0cf50463 beq a0,a5,80032de0 <_ZL13UNROM512LSyncv+0x1b0> + 80032d1c: 06813083 ld ra,104(sp) + 80032d20: 06013403 ld s0,96(sp) + 80032d24: 05813483 ld s1,88(sp) + 80032d28: 07010113 addi sp,sp,112 + 80032d2c: 00008067 ret + 80032d30: fd07a503 lw a0,-48(a5) + 80032d34: fad514e3 bne a0,a3,80032cdc <_ZL13UNROM512LSyncv+0xac> + 80032d38: fe87a783 lw a5,-24(a5) + 80032d3c: 0019a517 auipc a0,0x19a + 80032d40: 8cb54503 lbu a0,-1845(a0) # 801cc607 <_ZL10flash_bank> + 80032d44: f8f51ce3 bne a0,a5,80032cdc <_ZL13UNROM512LSyncv+0xac> + 80032d48: 0017071b addiw a4,a4,1 + 80032d4c: 0ff77713 andi a4,a4,255 + 80032d50: 00e58023 sb a4,0(a1) + 80032d54: 00500793 li a5,5 + 80032d58: fcf712e3 bne a4,a5,80032d1c <_ZL13UNROM512LSyncv+0xec> + 80032d5c: 01088023 sb a6,0(a7) + 80032d60: fbdff06f j 80032d1c <_ZL13UNROM512LSyncv+0xec> + 80032d64: 0019a797 auipc a5,0x19a + 80032d68: 8c67c783 lbu a5,-1850(a5) # 801cc62a <_ZL6latche> + 80032d6c: 03000713 li a4,48 + 80032d70: 0ee78263 beq a5,a4,80032e54 <_ZL13UNROM512LSyncv+0x224> + 80032d74: 01000713 li a4,16 + 80032d78: 16e78e63 beq a5,a4,80032ef4 <_ZL13UNROM512LSyncv+0x2c4> + 80032d7c: 06813083 ld ra,104(sp) + 80032d80: 06013403 ld s0,96(sp) + 80032d84: 0019a797 auipc a5,0x19a + 80032d88: 88078423 sb zero,-1912(a5) # 801cc60c <_ZL11flash_state> + 80032d8c: 0019a797 auipc a5,0x19a + 80032d90: 86078e23 sb zero,-1924(a5) # 801cc608 <_ZL10flash_mode> + 80032d94: 05813483 ld s1,88(sp) + 80032d98: 07010113 addi sp,sp,112 + 80032d9c: 00008067 ret + 80032da0: 000097b7 lui a5,0x9 + 80032da4: 55578793 addi a5,a5,1365 # 9555 <_entry_offset+0x9555> + 80032da8: f2f61ee3 bne a2,a5,80032ce4 <_ZL13UNROM512LSyncv+0xb4> + 80032dac: 0a000793 li a5,160 + 80032db0: 12f68263 beq a3,a5,80032ed4 <_ZL13UNROM512LSyncv+0x2a4> + 80032db4: 09000793 li a5,144 + 80032db8: f2f696e3 bne a3,a5,80032ce4 <_ZL13UNROM512LSyncv+0xb4> + 80032dbc: 0019a797 auipc a5,0x19a + 80032dc0: 84b7c783 lbu a5,-1973(a5) # 801cc607 <_ZL10flash_bank> + 80032dc4: 00100713 li a4,1 + 80032dc8: f2e796e3 bne a5,a4,80032cf4 <_ZL13UNROM512LSyncv+0xc4> + 80032dcc: 0019a717 auipc a4,0x19a + 80032dd0: 84070023 sb zero,-1984(a4) # 801cc60c <_ZL11flash_state> + 80032dd4: 0019a717 auipc a4,0x19a + 80032dd8: 82f70ca3 sb a5,-1991(a4) # 801cc60d <_ZL11software_id> + 80032ddc: f41ff06f j 80032d1c <_ZL13UNROM512LSyncv+0xec> + 80032de0: 0019a497 auipc s1,0x19a + 80032de4: 84848493 addi s1,s1,-1976 # 801cc628 <_ZL6latcha> + 80032de8: 0004d603 lhu a2,0(s1) + 80032dec: 0019a797 auipc a5,0x19a + 80032df0: 81b7c783 lbu a5,-2021(a5) # 801cc607 <_ZL10flash_bank> + 80032df4: 0027979b slliw a5,a5,0x2 + 80032df8: 00c6571b srliw a4,a2,0xc + 80032dfc: 00377713 andi a4,a4,3 + 80032e00: 00e787bb addw a5,a5,a4 + 80032e04: 02079793 slli a5,a5,0x20 + 80032e08: 0019a717 auipc a4,0x19a + 80032e0c: 80873703 ld a4,-2040(a4) # 801cc610 <_ZL17flash_write_count> + 80032e10: 01e7d793 srli a5,a5,0x1e + 80032e14: 00f707b3 add a5,a4,a5 + 80032e18: 0007a683 lw a3,0(a5) + 80032e1c: 00b65713 srli a4,a2,0xb + 80032e20: 00096417 auipc s0,0x96 + 80032e24: dc040413 addi s0,s0,-576 # 800c8be0 <_ZL9FlashPage> + 80032e28: 14068463 beqz a3,80032f70 <_ZL13UNROM512LSyncv+0x340> + 80032e2c: 00371713 slli a4,a4,0x3 + 80032e30: 00e40733 add a4,s0,a4 + 80032e34: 00073503 ld a0,0(a4) + 80032e38: 00199717 auipc a4,0x199 + 80032e3c: 7f274703 lbu a4,2034(a4) # 801cc62a <_ZL6latche> + 80032e40: 00c50633 add a2,a0,a2 + 80032e44: 00064783 lbu a5,0(a2) + 80032e48: 00e7f7b3 and a5,a5,a4 + 80032e4c: 00f60023 sb a5,0(a2) + 80032e50: f2dff06f j 80032d7c <_ZL13UNROM512LSyncv+0x14c> + 80032e54: 00199717 auipc a4,0x199 + 80032e58: 7d475703 lhu a4,2004(a4) # 801cc628 <_ZL6latcha> + 80032e5c: 00199797 auipc a5,0x199 + 80032e60: 7ab7c783 lbu a5,1963(a5) # 801cc607 <_ZL10flash_bank> + 80032e64: 00c7569b srliw a3,a4,0xc + 80032e68: 0036f693 andi a3,a3,3 + 80032e6c: 0027979b slliw a5,a5,0x2 + 80032e70: 00d787bb addw a5,a5,a3 + 80032e74: 02079793 slli a5,a5,0x20 + 80032e78: 00199697 auipc a3,0x199 + 80032e7c: 7986b683 ld a3,1944(a3) # 801cc610 <_ZL17flash_write_count> + 80032e80: 01e7d793 srli a5,a5,0x1e + 80032e84: 00f687b3 add a5,a3,a5 + 80032e88: 0007a683 lw a3,0(a5) + 80032e8c: 0016861b addiw a2,a3,1 + 80032e90: 02060e63 beqz a2,80032ecc <_ZL13UNROM512LSyncv+0x29c> + 80032e94: 00c7a023 sw a2,0(a5) + 80032e98: 00875793 srli a5,a4,0x8 + 80032e9c: 0f07f693 andi a3,a5,240 + 80032ea0: 00096797 auipc a5,0x96 + 80032ea4: d4078793 addi a5,a5,-704 # 800c8be0 <_ZL9FlashPage> + 80032ea8: 00d787b3 add a5,a5,a3 + 80032eac: 0007b503 ld a0,0(a5) + 80032eb0: fffff7b7 lui a5,0xfffff + 80032eb4: 00f77733 and a4,a4,a5 + 80032eb8: 00001637 lui a2,0x1 + 80032ebc: 0ff00593 li a1,255 + 80032ec0: 00e50533 add a0,a0,a4 + 80032ec4: 365080ef jal ra,8003ba28 + 80032ec8: eb5ff06f j 80032d7c <_ZL13UNROM512LSyncv+0x14c> + 80032ecc: 00a7a023 sw a0,0(a5) # fffffffffffff000 <_end+0xffffffff7fe2a000> + 80032ed0: fc9ff06f j 80032e98 <_ZL13UNROM512LSyncv+0x268> + 80032ed4: 00199697 auipc a3,0x199 + 80032ed8: 7336c683 lbu a3,1843(a3) # 801cc607 <_ZL10flash_bank> + 80032edc: 00100793 li a5,1 + 80032ee0: e0f69ae3 bne a3,a5,80032cf4 <_ZL13UNROM512LSyncv+0xc4> + 80032ee4: 00300793 li a5,3 + 80032ee8: 00f58023 sb a5,0(a1) + 80032eec: 00e88023 sb a4,0(a7) + 80032ef0: e2dff06f j 80032d1c <_ZL13UNROM512LSyncv+0xec> + 80032ef4: 00199597 auipc a1,0x199 + 80032ef8: d6c58593 addi a1,a1,-660 # 801cbc60 + 80032efc: 0005a603 lw a2,0(a1) + 80032f00: 0026179b slliw a5,a2,0x2 + 80032f04: 04078663 beqz a5,80032f50 <_ZL13UNROM512LSyncv+0x320> + 80032f08: 00199517 auipc a0,0x199 + 80032f0c: 70853503 ld a0,1800(a0) # 801cc610 <_ZL17flash_write_count> + 80032f10: 00000693 li a3,0 + 80032f14: 00100813 li a6,1 + 80032f18: 0180006f j 80032f30 <_ZL13UNROM512LSyncv+0x300> + 80032f1c: 00c7a023 sw a2,0(a5) + 80032f20: 0005a603 lw a2,0(a1) + 80032f24: 0016869b addiw a3,a3,1 + 80032f28: 0026179b slliw a5,a2,0x2 + 80032f2c: 02f6f263 bgeu a3,a5,80032f50 <_ZL13UNROM512LSyncv+0x320> + 80032f30: 3ff6f793 andi a5,a3,1023 + 80032f34: 00279793 slli a5,a5,0x2 + 80032f38: 00f507b3 add a5,a0,a5 + 80032f3c: 0007a703 lw a4,0(a5) + 80032f40: 0017061b addiw a2,a4,1 + 80032f44: fc061ce3 bnez a2,80032f1c <_ZL13UNROM512LSyncv+0x2ec> + 80032f48: 0107a023 sw a6,0(a5) + 80032f4c: fd5ff06f j 80032f20 <_ZL13UNROM512LSyncv+0x2f0> + 80032f50: 00e6161b slliw a2,a2,0xe + 80032f54: 02061613 slli a2,a2,0x20 + 80032f58: 02065613 srli a2,a2,0x20 + 80032f5c: 0ff00593 li a1,255 + 80032f60: 00199517 auipc a0,0x199 + 80032f64: 6d053503 ld a0,1744(a0) # 801cc630 <_ZL9flashdata> + 80032f68: 2c1080ef jal ra,8003ba28 + 80032f6c: e11ff06f j 80032d7c <_ZL13UNROM512LSyncv+0x14c> + 80032f70: 01e77693 andi a3,a4,30 + 80032f74: 00369693 slli a3,a3,0x3 + 80032f78: 00090717 auipc a4,0x90 + 80032f7c: cc870713 addi a4,a4,-824 # 800c2c40 + 80032f80: 00d70733 add a4,a4,a3 + 80032f84: 00d406b3 add a3,s0,a3 + 80032f88: 00073583 ld a1,0(a4) + 80032f8c: 0006b703 ld a4,0(a3) + 80032f90: fffff537 lui a0,0xfffff + 80032f94: 00a67533 and a0,a2,a0 + 80032f98: 00a585b3 add a1,a1,a0 + 80032f9c: 00001637 lui a2,0x1 + 80032fa0: 00a70533 add a0,a4,a0 + 80032fa4: 0107a023 sw a6,0(a5) + 80032fa8: 3ad080ef jal ra,8003bb54 + 80032fac: 0004d603 lhu a2,0(s1) + 80032fb0: 00b65713 srli a4,a2,0xb + 80032fb4: e79ff06f j 80032e2c <_ZL13UNROM512LSyncv+0x1fc> + +0000000080032fb8 <_ZL18UNROM512LatchPowerv>: + 80032fb8: ff010113 addi sp,sp,-16 + 80032fbc: 00199797 auipc a5,0x199 + 80032fc0: 64e7c783 lbu a5,1614(a5) # 801cc60a <_ZL10latcheinit> + 80032fc4: 00113423 sd ra,8(sp) + 80032fc8: 00813023 sd s0,0(sp) + 80032fcc: 00199717 auipc a4,0x199 + 80032fd0: 64f70f23 sb a5,1630(a4) # 801cc62a <_ZL6latche> + 80032fd4: 00199797 auipc a5,0x199 + 80032fd8: 6447b783 ld a5,1604(a5) # 801cc618 <_ZL6WHSync> + 80032fdc: 000780e7 jalr a5 + 80032fe0: 00010437 lui s0,0x10 + 80032fe4: 00000617 auipc a2,0x0 + 80032fe8: 07860613 addi a2,a2,120 # 8003305c <_ZL17UNROM512LatchReadj> + 80032fec: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80032ff0: 00008537 lui a0,0x8 + 80032ff4: 229000ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80032ff8: 00199797 auipc a5,0x199 + 80032ffc: 6117c783 lbu a5,1553(a5) # 801cc609 <_ZL10flash_save> + 80033000: 02079263 bnez a5,80033024 <_ZL18UNROM512LatchPowerv+0x6c> + 80033004: fff40593 addi a1,s0,-1 + 80033008: 00013403 ld s0,0(sp) + 8003300c: 00813083 ld ra,8(sp) + 80033010: 00000617 auipc a2,0x0 + 80033014: bc060613 addi a2,a2,-1088 # 80032bd0 <_ZL19UNROM512HLatchWritejh> + 80033018: 00008537 lui a0,0x8 + 8003301c: 01010113 addi sp,sp,16 + 80033020: 3090006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + 80033024: 0000c5b7 lui a1,0xc + 80033028: 00000617 auipc a2,0x0 + 8003302c: b4860613 addi a2,a2,-1208 # 80032b70 <_ZL19UNROM512LLatchWritejh> + 80033030: fff58593 addi a1,a1,-1 # bfff <_entry_offset+0xbfff> + 80033034: 00008537 lui a0,0x8 + 80033038: 2f1000ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 8003303c: fff40593 addi a1,s0,-1 + 80033040: 00013403 ld s0,0(sp) + 80033044: 00813083 ld ra,8(sp) + 80033048: 00000617 auipc a2,0x0 + 8003304c: b8860613 addi a2,a2,-1144 # 80032bd0 <_ZL19UNROM512HLatchWritejh> + 80033050: 0000c537 lui a0,0xc + 80033054: 01010113 addi sp,sp,16 + 80033058: 2d10006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +000000008003305c <_ZL17UNROM512LatchReadj>: + 8003305c: ffffb7b7 lui a5,0xffffb + 80033060: ff010113 addi sp,sp,-16 + 80033064: 6b57879b addiw a5,a5,1717 + 80033068: 00f11423 sh a5,8(sp) + 8003306c: fb700793 li a5,-73 + 80033070: 00f10523 sb a5,10(sp) + 80033074: 00199797 auipc a5,0x199 + 80033078: 5997c783 lbu a5,1433(a5) # 801cc60d <_ZL11software_id> + 8003307c: 02078863 beqz a5,800330ac <_ZL17UNROM512LatchReadj+0x50> + 80033080: 00157793 andi a5,a0,1 + 80033084: 0bf00513 li a0,191 + 80033088: 00078e63 beqz a5,800330a4 <_ZL17UNROM512LatchReadj+0x48> + 8003308c: 00199797 auipc a5,0x199 + 80033090: bd47a783 lw a5,-1068(a5) # 801cbc60 + 80033094: 0047d79b srliw a5,a5,0x4 + 80033098: 01010713 addi a4,sp,16 + 8003309c: 00f707b3 add a5,a4,a5 + 800330a0: ff87c503 lbu a0,-8(a5) + 800330a4: 01010113 addi sp,sp,16 + 800330a8: 00008067 ret + 800330ac: 02051593 slli a1,a0,0x20 + 800330b0: 00199717 auipc a4,0x199 + 800330b4: 55974703 lbu a4,1369(a4) # 801cc609 <_ZL10flash_save> + 800330b8: 00b5579b srliw a5,a0,0xb + 800330bc: 0205d593 srli a1,a1,0x20 + 800330c0: 04070063 beqz a4,80033100 <_ZL17UNROM512LatchReadj+0xa4> + 800330c4: 00c5571b srliw a4,a0,0xc + 800330c8: 0000c6b7 lui a3,0xc + 800330cc: 00199617 auipc a2,0x199 + 800330d0: 54463603 ld a2,1348(a2) # 801cc610 <_ZL17flash_write_count> + 800330d4: 00377813 andi a6,a4,3 + 800330d8: 04d57863 bgeu a0,a3,80033128 <_ZL17UNROM512LatchReadj+0xcc> + 800330dc: 00199697 auipc a3,0x199 + 800330e0: 52b6c683 lbu a3,1323(a3) # 801cc607 <_ZL10flash_bank> + 800330e4: 0026969b slliw a3,a3,0x2 + 800330e8: 010686bb addw a3,a3,a6 + 800330ec: 02069693 slli a3,a3,0x20 + 800330f0: 01e6d693 srli a3,a3,0x1e + 800330f4: 00d606b3 add a3,a2,a3 + 800330f8: 0006a703 lw a4,0(a3) + 800330fc: 04071c63 bnez a4,80033154 <_ZL17UNROM512LatchReadj+0xf8> + 80033100: 02079793 slli a5,a5,0x20 + 80033104: 01d7d793 srli a5,a5,0x1d + 80033108: 00090717 auipc a4,0x90 + 8003310c: b3870713 addi a4,a4,-1224 # 800c2c40 + 80033110: 00f707b3 add a5,a4,a5 + 80033114: 0007b783 ld a5,0(a5) + 80033118: 00b787b3 add a5,a5,a1 + 8003311c: 0007c503 lbu a0,0(a5) + 80033120: 01010113 addi sp,sp,16 + 80033124: 00008067 ret + 80033128: 00199717 auipc a4,0x199 + 8003312c: b3872703 lw a4,-1224(a4) # 801cbc60 + 80033130: fff7071b addiw a4,a4,-1 + 80033134: 0ff77713 andi a4,a4,255 + 80033138: 0027171b slliw a4,a4,0x2 + 8003313c: 0107073b addw a4,a4,a6 + 80033140: 02071713 slli a4,a4,0x20 + 80033144: 01e75713 srli a4,a4,0x1e + 80033148: 00e60733 add a4,a2,a4 + 8003314c: 00072703 lw a4,0(a4) + 80033150: fa0708e3 beqz a4,80033100 <_ZL17UNROM512LatchReadj+0xa4> + 80033154: 02079793 slli a5,a5,0x20 + 80033158: 01d7d793 srli a5,a5,0x1d + 8003315c: 00096717 auipc a4,0x96 + 80033160: a8470713 addi a4,a4,-1404 # 800c8be0 <_ZL9FlashPage> + 80033164: 00f707b3 add a5,a4,a5 + 80033168: 0007b783 ld a5,0(a5) + 8003316c: 00b787b3 add a5,a5,a1 + 80033170: 0007c503 lbu a0,0(a5) + 80033174: f31ff06f j 800330a4 <_ZL17UNROM512LatchReadj+0x48> + +0000000080033178 <_Z9setfprg16jj>: + 80033178: 00090717 auipc a4,0x90 + 8003317c: a4872703 lw a4,-1464(a4) # 800c2bc0 + 80033180: 000047b7 lui a5,0x4 + 80033184: 00199817 auipc a6,0x199 + 80033188: 4ac83803 ld a6,1196(a6) # 801cc630 <_ZL9flashdata> + 8003318c: 06f76263 bltu a4,a5,800331f0 <_Z9setfprg16jj+0x78> + 80033190: 00b5571b srliw a4,a0,0xb + 80033194: 00b5589b srliw a7,a0,0xb + 80033198: 0c080c63 beqz a6,80033270 <_Z9setfprg16jj+0xf8> + 8003319c: 0008f797 auipc a5,0x8f + 800331a0: 6847a783 lw a5,1668(a5) # 800c2820 + 800331a4: 00f5f7b3 and a5,a1,a5 + 800331a8: 00e7979b slliw a5,a5,0xe + 800331ac: 02079793 slli a5,a5,0x20 + 800331b0: 02051513 slli a0,a0,0x20 + 800331b4: 0207d793 srli a5,a5,0x20 + 800331b8: 02055513 srli a0,a0,0x20 + 800331bc: 40a787b3 sub a5,a5,a0 + 800331c0: 00f807b3 add a5,a6,a5 + 800331c4: 0077071b addiw a4,a4,7 + 800331c8: 00096597 auipc a1,0x96 + 800331cc: a1858593 addi a1,a1,-1512 # 800c8be0 <_ZL9FlashPage> + 800331d0: 02071693 slli a3,a4,0x20 + 800331d4: 01d6d693 srli a3,a3,0x1d + 800331d8: 00d586b3 add a3,a1,a3 + 800331dc: 0007061b sext.w a2,a4 + 800331e0: 00f6b023 sd a5,0(a3) + 800331e4: fff7071b addiw a4,a4,-1 + 800331e8: ff1614e3 bne a2,a7,800331d0 <_Z9setfprg16jj+0x58> + 800331ec: 00008067 ret + 800331f0: 00004337 lui t1,0x4 + 800331f4: 000018b7 lui a7,0x1 + 800331f8: 0035969b slliw a3,a1,0x3 + 800331fc: 0008fe17 auipc t3,0x8f + 80033200: 6a4e2e03 lw t3,1700(t3) # 800c28a0 + 80033204: 00a3033b addw t1,t1,a0 + 80033208: 00096597 auipc a1,0x96 + 8003320c: 9d858593 addi a1,a1,-1576 # 800c8be0 <_ZL9FlashPage> + 80033210: 8008889b addiw a7,a7,-2048 + 80033214: 0140006f j 80033228 <_Z9setfprg16jj+0xb0> + 80033218: 00f73023 sd a5,0(a4) + 8003321c: 00a8853b addw a0,a7,a0 + 80033220: 0016869b addiw a3,a3,1 + 80033224: 04a30463 beq t1,a0,8003326c <_Z9setfprg16jj+0xf4> + 80033228: 01c6f733 and a4,a3,t3 + 8003322c: 00b7171b slliw a4,a4,0xb + 80033230: 02051793 slli a5,a0,0x20 + 80033234: 02071713 slli a4,a4,0x20 + 80033238: 02075713 srli a4,a4,0x20 + 8003323c: 0207d793 srli a5,a5,0x20 + 80033240: 00b5561b srliw a2,a0,0xb + 80033244: 40f707b3 sub a5,a4,a5 + 80033248: 02061713 slli a4,a2,0x20 + 8003324c: 01d75713 srli a4,a4,0x1d + 80033250: 00f807b3 add a5,a6,a5 + 80033254: 00e58733 add a4,a1,a4 + 80033258: fc0810e3 bnez a6,80033218 <_Z9setfprg16jj+0xa0> + 8003325c: 00073023 sd zero,0(a4) + 80033260: 00a8853b addw a0,a7,a0 + 80033264: 0016869b addiw a3,a3,1 + 80033268: fca310e3 bne t1,a0,80033228 <_Z9setfprg16jj+0xb0> + 8003326c: 00008067 ret + 80033270: 0077079b addiw a5,a4,7 + 80033274: 00096597 auipc a1,0x96 + 80033278: 96c58593 addi a1,a1,-1684 # 800c8be0 <_ZL9FlashPage> + 8003327c: 02079713 slli a4,a5,0x20 + 80033280: 01d75713 srli a4,a4,0x1d + 80033284: 00e58733 add a4,a1,a4 + 80033288: 0007869b sext.w a3,a5 + 8003328c: 00073023 sd zero,0(a4) + 80033290: fff7879b addiw a5,a5,-1 + 80033294: ff1694e3 bne a3,a7,8003327c <_Z9setfprg16jj+0x104> + 80033298: 00008067 ret + +000000008003329c <_ZL13UNROM512HSyncv>: + 8003329c: fe010113 addi sp,sp,-32 + 800332a0: 00813823 sd s0,16(sp) + 800332a4: 00199417 auipc s0,0x199 + 800332a8: 38640413 addi s0,s0,902 # 801cc62a <_ZL6latche> + 800332ac: 00044783 lbu a5,0(s0) + 800332b0: 00199597 auipc a1,0x199 + 800332b4: 9b05a583 lw a1,-1616(a1) # 801cbc60 + 800332b8: fff5859b addiw a1,a1,-1 + 800332bc: 00913423 sd s1,8(sp) + 800332c0: 00f5f5b3 and a1,a1,a5 + 800332c4: 00199497 auipc s1,0x199 + 800332c8: 34348493 addi s1,s1,835 # 801cc607 <_ZL10flash_bank> + 800332cc: 00008537 lui a0,0x8 + 800332d0: 00113c23 sd ra,24(sp) + 800332d4: 00b48023 sb a1,0(s1) + 800332d8: 981dd0ef jal ra,80010c58 <_Z8setprg16jj> + 800332dc: fff00593 li a1,-1 + 800332e0: 0000c537 lui a0,0xc + 800332e4: 975dd0ef jal ra,80010c58 <_Z8setprg16jj> + 800332e8: 0004c583 lbu a1,0(s1) + 800332ec: 00008537 lui a0,0x8 + 800332f0: e89ff0ef jal ra,80033178 <_Z9setfprg16jj> + 800332f4: fff00593 li a1,-1 + 800332f8: 0000c537 lui a0,0xc + 800332fc: e7dff0ef jal ra,80033178 <_Z9setfprg16jj> + 80033300: 00044583 lbu a1,0(s0) + 80033304: 00199797 auipc a5,0x199 + 80033308: 3077c783 lbu a5,775(a5) # 801cc60b <_ZL11chrram_mask> + 8003330c: 00000513 li a0,0 + 80033310: 00f5f5b3 and a1,a1,a5 + 80033314: 0055d593 srli a1,a1,0x5 + 80033318: b6ddd0ef jal ra,80010e84 <_Z8setchr8rij> + 8003331c: 00044503 lbu a0,0(s0) + 80033320: 01013403 ld s0,16(sp) + 80033324: 01813083 ld ra,24(sp) + 80033328: 00813483 ld s1,8(sp) + 8003332c: 4075551b sraiw a0,a0,0x7 + 80033330: 0025051b addiw a0,a0,2 + 80033334: 02010113 addi sp,sp,32 + 80033338: d11dd06f j 80011048 <_Z9setmirrori> + +000000008003333c <_Z13UNROM512_InitP8CartInfo>: + 8003333c: 04c52783 lw a5,76(a0) # c04c <_entry_offset+0xc04c> + 80033340: fe010113 addi sp,sp,-32 + 80033344: 06052603 lw a2,96(a0) + 80033348: 00813823 sd s0,16(sp) + 8003334c: 00913423 sd s1,8(sp) + 80033350: 00113c23 sd ra,24(sp) + 80033354: 01213023 sd s2,0(sp) + 80033358: 00199497 auipc s1,0x199 + 8003335c: 2b148493 addi s1,s1,689 # 801cc609 <_ZL10flash_save> + 80033360: 00f48023 sb a5,0(s1) + 80033364: 00199717 auipc a4,0x199 + 80033368: 2a070423 sb zero,680(a4) # 801cc60c <_ZL11flash_state> + 8003336c: 00199717 auipc a4,0x199 + 80033370: 28070da3 sb zero,667(a4) # 801cc607 <_ZL10flash_bank> + 80033374: 000027b7 lui a5,0x2 + 80033378: 00050413 mv s0,a0 + 8003337c: 14f60663 beq a2,a5,800334c8 <_Z13UNROM512_InitP8CartInfo+0x18c> + 80033380: 000047b7 lui a5,0x4 + 80033384: 10f60263 beq a2,a5,80033488 <_Z13UNROM512_InitP8CartInfo+0x14c> + 80033388: 06000793 li a5,96 + 8003338c: 00199717 auipc a4,0x199 + 80033390: 26f70fa3 sb a5,639(a4) # 801cc60b <_ZL11chrram_mask> + 80033394: 0008f717 auipc a4,0x8f + 80033398: 06274703 lbu a4,98(a4) # 800c23f6 + 8003339c: 4027579b sraiw a5,a4,0x2 + 800333a0: 0027f693 andi a3,a5,2 + 800333a4: 00177793 andi a5,a4,1 + 800333a8: 00d7e7b3 or a5,a5,a3 + 800333ac: 00200713 li a4,2 + 800333b0: 10e78263 beq a5,a4,800334b4 <_Z13UNROM512_InitP8CartInfo+0x178> + 800333b4: 00300713 li a4,3 + 800333b8: 20e78e63 beq a5,a4,800335d4 <_Z13UNROM512_InitP8CartInfo+0x298> + 800333bc: 00100713 li a4,1 + 800333c0: 00000613 li a2,0 + 800333c4: 00100593 li a1,1 + 800333c8: 20e78063 beq a5,a4,800335c8 <_Z13UNROM512_InitP8CartInfo+0x28c> + 800333cc: 00000513 li a0,0 + 800333d0: cbddd0ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 800333d4: 04c42783 lw a5,76(s0) + 800333d8: 0004c703 lbu a4,0(s1) + 800333dc: 0017b793 seqz a5,a5 + 800333e0: 00199697 auipc a3,0x199 + 800333e4: 22f68723 sb a5,558(a3) # 801cc60e <_ZL12bus_conflict> + 800333e8: 00000797 auipc a5,0x0 + 800333ec: bd078793 addi a5,a5,-1072 # 80032fb8 <_ZL18UNROM512LatchPowerv> + 800333f0: 00f43023 sd a5,0(s0) + 800333f4: 00199797 auipc a5,0x199 + 800333f8: 20078b23 sb zero,534(a5) # 801cc60a <_ZL10latcheinit> + 800333fc: 00000797 auipc a5,0x0 + 80033400: 83478793 addi a5,a5,-1996 # 80032c30 <_ZL13UNROM512LSyncv> + 80033404: 00199697 auipc a3,0x199 + 80033408: 20f6be23 sd a5,540(a3) # 801cc620 <_ZL6WLSync> + 8003340c: 00000797 auipc a5,0x0 + 80033410: e9078793 addi a5,a5,-368 # 8003329c <_ZL13UNROM512HSyncv> + 80033414: 00199697 auipc a3,0x199 + 80033418: 20f6b223 sd a5,516(a3) # 801cc618 <_ZL6WHSync> + 8003341c: fffff797 auipc a5,0xfffff + 80033420: 77078793 addi a5,a5,1904 # 80032b8c <_ZL18UNROM512LatchClosev> + 80033424: 00f43823 sd a5,16(s0) + 80033428: fffff797 auipc a5,0xfffff + 8003342c: 73c78793 addi a5,a5,1852 # 80032b64 <_ZL12StateRestorei> + 80033430: 00199697 auipc a3,0x199 + 80033434: 22f6b423 sd a5,552(a3) # 801cc658 + 80033438: 08071e63 bnez a4,800334d4 <_Z13UNROM512_InitP8CartInfo+0x198> + 8003343c: 00012697 auipc a3,0x12 + 80033440: f2c68693 addi a3,a3,-212 # 80045368 <_ZZL8SetInputvE3moo+0x518> + 80033444: 00000613 li a2,0 + 80033448: 00100593 li a1,1 + 8003344c: 00199517 auipc a0,0x199 + 80033450: 1de50513 addi a0,a0,478 # 801cc62a <_ZL6latche> + 80033454: bbdcc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80033458: 01013403 ld s0,16(sp) + 8003345c: 01813083 ld ra,24(sp) + 80033460: 00813483 ld s1,8(sp) + 80033464: 00013903 ld s2,0(sp) + 80033468: 00013697 auipc a3,0x13 + 8003346c: 11068693 addi a3,a3,272 # 80046578 + 80033470: 00000613 li a2,0 + 80033474: 00100593 li a1,1 + 80033478: 00199517 auipc a0,0x199 + 8003347c: 19650513 addi a0,a0,406 # 801cc60e <_ZL12bus_conflict> + 80033480: 02010113 addi sp,sp,32 + 80033484: b8dcc06f j 80000010 <_Z10AddExStatePvjiPKc> + 80033488: 02000793 li a5,32 + 8003348c: 00199717 auipc a4,0x199 + 80033490: 16f70fa3 sb a5,383(a4) # 801cc60b <_ZL11chrram_mask> + 80033494: 0008f717 auipc a4,0x8f + 80033498: f6274703 lbu a4,-158(a4) # 800c23f6 + 8003349c: 4027579b sraiw a5,a4,0x2 + 800334a0: 0027f693 andi a3,a5,2 + 800334a4: 00177793 andi a5,a4,1 + 800334a8: 00d7e7b3 or a5,a5,a3 + 800334ac: 00200713 li a4,2 + 800334b0: f0e792e3 bne a5,a4,800333b4 <_Z13UNROM512_InitP8CartInfo+0x78> + 800334b4: 00000613 li a2,0 + 800334b8: 00000593 li a1,0 + 800334bc: 00200513 li a0,2 + 800334c0: bcddd0ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 800334c4: f11ff06f j 800333d4 <_Z13UNROM512_InitP8CartInfo+0x98> + 800334c8: 00199797 auipc a5,0x199 + 800334cc: 140781a3 sb zero,323(a5) # 801cc60b <_ZL11chrram_mask> + 800334d0: ec5ff06f j 80033394 <_Z13UNROM512_InitP8CartInfo+0x58> + 800334d4: 00198497 auipc s1,0x198 + 800334d8: 78c48493 addi s1,s1,1932 # 801cbc60 + 800334dc: 0004a503 lw a0,0(s1) + 800334e0: 00199917 auipc s2,0x199 + 800334e4: 15090913 addi s2,s2,336 # 801cc630 <_ZL9flashdata> + 800334e8: 00e5151b slliw a0,a0,0xe + 800334ec: f81de0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 800334f0: 0004a783 lw a5,0(s1) + 800334f4: 00a93023 sd a0,0(s2) + 800334f8: 0047951b slliw a0,a5,0x4 + 800334fc: f71de0ef jal ra,8001246c <_Z12FCEU_gmallocj> + 80033500: 0004a703 lw a4,0(s1) + 80033504: 00093883 ld a7,0(s2) + 80033508: 00a43c23 sd a0,24(s0) + 8003350c: 0047159b slliw a1,a4,0x4 + 80033510: 00e7171b slliw a4,a4,0xe + 80033514: 02e42e23 sw a4,60(s0) + 80033518: 03143023 sd a7,32(s0) + 8003351c: 02b42c23 sw a1,56(s0) + 80033520: 00013697 auipc a3,0x13 + 80033524: ff868693 addi a3,a3,-8 # 80046518 + 80033528: 00000613 li a2,0 + 8003352c: 00199717 auipc a4,0x199 + 80033530: 0ea73223 sd a0,228(a4) # 801cc610 <_ZL17flash_write_count> + 80033534: addcc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80033538: 0004a583 lw a1,0(s1) + 8003353c: 00093503 ld a0,0(s2) + 80033540: 00013697 auipc a3,0x13 + 80033544: ff068693 addi a3,a3,-16 # 80046530 + 80033548: 00000613 li a2,0 + 8003354c: 00e5959b slliw a1,a1,0xe + 80033550: ac1cc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80033554: 00013697 auipc a3,0x13 + 80033558: fec68693 addi a3,a3,-20 # 80046540 + 8003355c: 00000613 li a2,0 + 80033560: 00100593 li a1,1 + 80033564: 00199517 auipc a0,0x199 + 80033568: 0a850513 addi a0,a0,168 # 801cc60c <_ZL11flash_state> + 8003356c: aa5cc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 80033570: 00013697 auipc a3,0x13 + 80033574: fe068693 addi a3,a3,-32 # 80046550 + 80033578: 00000613 li a2,0 + 8003357c: 00100593 li a1,1 + 80033580: 00199517 auipc a0,0x199 + 80033584: 08850513 addi a0,a0,136 # 801cc608 <_ZL10flash_mode> + 80033588: a89cc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 8003358c: 00013697 auipc a3,0x13 + 80033590: fd468693 addi a3,a3,-44 # 80046560 + 80033594: 00000613 li a2,0 + 80033598: 00100593 li a1,1 + 8003359c: 00199517 auipc a0,0x199 + 800335a0: 06b50513 addi a0,a0,107 # 801cc607 <_ZL10flash_bank> + 800335a4: a6dcc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800335a8: 00013697 auipc a3,0x13 + 800335ac: fc868693 addi a3,a3,-56 # 80046570 + 800335b0: 00000613 li a2,0 + 800335b4: 00200593 li a1,2 + 800335b8: 00199517 auipc a0,0x199 + 800335bc: 07050513 addi a0,a0,112 # 801cc628 <_ZL6latcha> + 800335c0: a51cc0ef jal ra,80000010 <_Z10AddExStatePvjiPKc> + 800335c4: e79ff06f j 8003343c <_Z13UNROM512_InitP8CartInfo+0x100> + 800335c8: 00100513 li a0,1 + 800335cc: ac1dd0ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 800335d0: e05ff06f j 800333d4 <_Z13UNROM512_InitP8CartInfo+0x98> + 800335d4: ffffe7b7 lui a5,0xffffe + 800335d8: 00f60633 add a2,a2,a5 + 800335dc: 00198797 auipc a5,0x198 + 800335e0: 68c7b783 ld a5,1676(a5) # 801cbc68 + 800335e4: 00c78633 add a2,a5,a2 + 800335e8: 00100593 li a1,1 + 800335ec: 00400513 li a0,4 + 800335f0: a9ddd0ef jal ra,8001108c <_Z18SetupCartMirroringiiPh> + 800335f4: de1ff06f j 800333d4 <_Z13UNROM512_InitP8CartInfo+0x98> + +00000000800335f8 <_ZL4Syncv>: + 800335f8: ff010113 addi sp,sp,-16 + 800335fc: 00199597 auipc a1,0x199 + 80033600: 03d5c583 lbu a1,61(a1) # 801cc639 <_ZL4preg> + 80033604: 00008537 lui a0,0x8 + 80033608: 00113423 sd ra,8(sp) + 8003360c: e4cdd0ef jal ra,80010c58 <_Z8setprg16jj> + 80033610: 0000c537 lui a0,0xc + 80033614: fff00593 li a1,-1 + 80033618: e40dd0ef jal ra,80010c58 <_Z8setprg16jj> + 8003361c: 00813083 ld ra,8(sp) + 80033620: 00199517 auipc a0,0x199 + 80033624: 01854503 lbu a0,24(a0) # 801cc638 <_ZL4creg> + 80033628: 01010113 addi sp,sp,16 + 8003362c: 8d9dd06f j 80010f04 <_Z7setchr8j> + +0000000080033630 <_ZL12StateRestorei>: + 80033630: fc9ff06f j 800335f8 <_ZL4Syncv> + +0000000080033634 <_ZL8M72Writejh>: + 80033634: 0185979b slliw a5,a1,0x18 + 80033638: 4187d79b sraiw a5,a5,0x18 + 8003363c: 0007d863 bgez a5,8003364c <_ZL8M72Writejh+0x18> + 80033640: 00f5f793 andi a5,a1,15 + 80033644: 00199717 auipc a4,0x199 + 80033648: fef70aa3 sb a5,-11(a4) # 801cc639 <_ZL4preg> + 8003364c: 0405f793 andi a5,a1,64 + 80033650: 00078863 beqz a5,80033660 <_ZL8M72Writejh+0x2c> + 80033654: 00f5f593 andi a1,a1,15 + 80033658: 00199797 auipc a5,0x199 + 8003365c: feb78023 sb a1,-32(a5) # 801cc638 <_ZL4creg> + 80033660: f99ff06f j 800335f8 <_ZL4Syncv> + +0000000080033664 <_ZL8M72Powerv>: + 80033664: ff010113 addi sp,sp,-16 + 80033668: 00113423 sd ra,8(sp) + 8003366c: 00813023 sd s0,0(sp) + 80033670: f89ff0ef jal ra,800335f8 <_ZL4Syncv> + 80033674: 00010437 lui s0,0x10 + 80033678: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 8003367c: fffdd617 auipc a2,0xfffdd + 80033680: fe460613 addi a2,a2,-28 # 80010660 <_Z6CartBRj> + 80033684: 00008537 lui a0,0x8 + 80033688: 394000ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 8003368c: fff40593 addi a1,s0,-1 + 80033690: 00013403 ld s0,0(sp) + 80033694: 00813083 ld ra,8(sp) + 80033698: 00000617 auipc a2,0x0 + 8003369c: f9c60613 addi a2,a2,-100 # 80033634 <_ZL8M72Writejh> + 800336a0: 00006537 lui a0,0x6 + 800336a4: 01010113 addi sp,sp,16 + 800336a8: 4800006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800336ac <_Z13Mapper72_InitP8CartInfo>: + 800336ac: 00000797 auipc a5,0x0 + 800336b0: fb878793 addi a5,a5,-72 # 80033664 <_ZL8M72Powerv> + 800336b4: 00f53023 sd a5,0(a0) # 6000 <_entry_offset+0x6000> + 800336b8: 00000797 auipc a5,0x0 + 800336bc: f7878793 addi a5,a5,-136 # 80033630 <_ZL12StateRestorei> + 800336c0: 00000693 li a3,0 + 800336c4: 00000613 li a2,0 + 800336c8: fff00593 li a1,-1 + 800336cc: 00019517 auipc a0,0x19 + 800336d0: f1450513 addi a0,a0,-236 # 8004c5e0 <_ZL9StateRegs> + 800336d4: 00199717 auipc a4,0x199 + 800336d8: f8f73223 sd a5,-124(a4) # 801cc658 + 800336dc: 935cc06f j 80000010 <_Z10AddExStatePvjiPKc> + +00000000800336e0 <_ZL4Syncv>: + 800336e0: 00199597 auipc a1,0x199 + 800336e4: f5c5c583 lbu a1,-164(a1) # 801cc63c <_ZL7mainreg> + 800336e8: ff010113 addi sp,sp,-16 + 800336ec: 0075f593 andi a1,a1,7 + 800336f0: 00008537 lui a0,0x8 + 800336f4: 00113423 sd ra,8(sp) + 800336f8: f1cdd0ef jal ra,80010e14 <_Z8setprg32jj> + 800336fc: 00199517 auipc a0,0x199 + 80033700: f3e54503 lbu a0,-194(a0) # 801cc63a <_ZL6chrreg> + 80033704: 801dd0ef jal ra,80010f04 <_Z7setchr8j> + 80033708: 00813083 ld ra,8(sp) + 8003370c: 00199517 auipc a0,0x199 + 80033710: f2f54503 lbu a0,-209(a0) # 801cc63b <_ZL6mirror> + 80033714: 01010113 addi sp,sp,16 + 80033718: 931dd06f j 80011048 <_Z9setmirrori> + +000000008003371c <_ZL12StateRestorei>: + 8003371c: fc5ff06f j 800336e0 <_ZL4Syncv> + +0000000080033720 <_ZL9M41Write0jh>: + 80033720: 00199617 auipc a2,0x199 + 80033724: f1a60613 addi a2,a2,-230 # 801cc63a <_ZL6chrreg> + 80033728: 00064783 lbu a5,0(a2) + 8003372c: 4055571b sraiw a4,a0,0x5 + 80033730: 0015569b srliw a3,a0,0x1 + 80033734: 00174713 xori a4,a4,1 + 80033738: 00c6f693 andi a3,a3,12 + 8003373c: 0037f793 andi a5,a5,3 + 80033740: 00177713 andi a4,a4,1 + 80033744: 00d7e7b3 or a5,a5,a3 + 80033748: 00199697 auipc a3,0x199 + 8003374c: eea68a23 sb a0,-268(a3) # 801cc63c <_ZL7mainreg> + 80033750: 00199697 auipc a3,0x199 + 80033754: eee685a3 sb a4,-277(a3) # 801cc63b <_ZL6mirror> + 80033758: 00f60023 sb a5,0(a2) + 8003375c: f85ff06f j 800336e0 <_ZL4Syncv> + +0000000080033760 <_ZL8M41Powerv>: + 80033760: ff010113 addi sp,sp,-16 + 80033764: 00113423 sd ra,8(sp) + 80033768: 00813023 sd s0,0(sp) + 8003376c: 00199797 auipc a5,0x199 + 80033770: ec078723 sb zero,-306(a5) # 801cc63a <_ZL6chrreg> + 80033774: 00010437 lui s0,0x10 + 80033778: 00199797 auipc a5,0x199 + 8003377c: ec078223 sb zero,-316(a5) # 801cc63c <_ZL7mainreg> + 80033780: f61ff0ef jal ra,800336e0 <_ZL4Syncv> + 80033784: fff40593 addi a1,s0,-1 # ffff <_entry_offset+0xffff> + 80033788: fffdd617 auipc a2,0xfffdd + 8003378c: ed860613 addi a2,a2,-296 # 80010660 <_Z6CartBRj> + 80033790: 00008537 lui a0,0x8 + 80033794: 288000ef jal ra,80033a1c <_Z14SetReadHandleriiPFhjE> + 80033798: 000065b7 lui a1,0x6 + 8003379c: 00000617 auipc a2,0x0 + 800337a0: f8460613 addi a2,a2,-124 # 80033720 <_ZL9M41Write0jh> + 800337a4: 7ff58593 addi a1,a1,2047 # 67ff <_entry_offset+0x67ff> + 800337a8: 00006537 lui a0,0x6 + 800337ac: 37c000ef jal ra,80033b28 <_Z15SetWriteHandleriiPFvjhE> + 800337b0: fff40593 addi a1,s0,-1 + 800337b4: 00013403 ld s0,0(sp) + 800337b8: 00813083 ld ra,8(sp) + 800337bc: 00000617 auipc a2,0x0 + 800337c0: 01460613 addi a2,a2,20 # 800337d0 <_ZL9M41Write1jh> + 800337c4: 00008537 lui a0,0x8 + 800337c8: 01010113 addi sp,sp,16 + 800337cc: 35c0006f j 80033b28 <_Z15SetWriteHandleriiPFvjhE> + +00000000800337d0 <_ZL9M41Write1jh>: + 800337d0: 00199797 auipc a5,0x199 + 800337d4: e6c7c783 lbu a5,-404(a5) # 801cc63c <_ZL7mainreg> + 800337d8: 0047f793 andi a5,a5,4 + 800337dc: 00079463 bnez a5,800337e4 <_ZL9M41Write1jh+0x14> + 800337e0: 00008067 ret + 800337e4: 00199717 auipc a4,0x199 + 800337e8: e5670713 addi a4,a4,-426 # 801cc63a <_ZL6chrreg> + 800337ec: 00074783 lbu a5,0(a4) + 800337f0: 00357513 andi a0,a0,3 + 800337f4: 00c7f793 andi a5,a5,12 + 800337f8: 00a7e533 or a0,a5,a0 + 800337fc: 00a70023 sb a0,0(a4) + 80033800: ee1ff06f j 800336e0 <_ZL4Syncv> + +0000000080033804 <_Z13Mapper41_InitP8CartInfo>: + 80033804: 00000797 auipc a5,0x0 + 80033808: f5c78793 addi a5,a5,-164 # 80033760 <_ZL8M41Powerv> + 8003380c: 00f53023 sd a5,0(a0) # 8000 <_entry_offset+0x8000> + 80033810: 00000797 auipc a5,0x0 + 80033814: f0c78793 addi a5,a5,-244 # 8003371c <_ZL12StateRestorei> + 80033818: 00000693 li a3,0 + 8003381c: 00000613 li a2,0 + 80033820: fff00593 li a1,-1 + 80033824: 00019517 auipc a0,0x19 + 80033828: e0450513 addi a0,a0,-508 # 8004c628 <_ZL9StateRegs> + 8003382c: 00199717 auipc a4,0x199 + 80033830: e2f73623 sd a5,-468(a4) # 801cc658 + 80033834: fdccc06f j 80000010 <_Z10AddExStatePvjiPKc> + +0000000080033838 <_ZL5BNulljh>: + 80033838: 00008067 ret + +000000008003383c <_ZL5ANullj>: + 8003383c: 00024517 auipc a0,0x24 + 80033840: 02c54503 lbu a0,44(a0) # 80057868 + 80033844: 00008067 ret + +0000000080033848 <_ZL5BRAMLjh>: + 80033848: 02051793 slli a5,a0,0x20 + 8003384c: 0207d793 srli a5,a5,0x20 + 80033850: 00195517 auipc a0,0x195 + 80033854: 4d850513 addi a0,a0,1240 # 801c8d28 + 80033858: 00f50533 add a0,a0,a5 + 8003385c: 00b50023 sb a1,0(a0) + 80033860: 00008067 ret + +0000000080033864 <_ZL5BRAMHjh>: + 80033864: 7ff57793 andi a5,a0,2047 + 80033868: 00195517 auipc a0,0x195 + 8003386c: 4c050513 addi a0,a0,1216 # 801c8d28 + 80033870: 00f50533 add a0,a0,a5 + 80033874: 00b50023 sb a1,0(a0) + 80033878: 00008067 ret + +000000008003387c <_ZL5ARAMLj>: + 8003387c: 02051793 slli a5,a0,0x20 + 80033880: 0207d793 srli a5,a5,0x20 + 80033884: 00195517 auipc a0,0x195 + 80033888: 4a450513 addi a0,a0,1188 # 801c8d28 + 8003388c: 00f50533 add a0,a0,a5 + 80033890: 00054503 lbu a0,0(a0) + 80033894: 00008067 ret + +0000000080033898 <_ZL5ARAMHj>: + 80033898: 7ff57793 andi a5,a0,2047 + 8003389c: 00195517 auipc a0,0x195 + 800338a0: 48c50513 addi a0,a0,1164 # 801c8d28 + 800338a4: 00f50533 add a0,a0,a5 + 800338a8: 00054503 lbu a0,0(a0) + 800338ac: 00008067 ret + +00000000800338b0 <_ZL14FCEU_CloseGamev>: + 800338b0: ff010113 addi sp,sp,-16 + 800338b4: 00813023 sd s0,0(sp) + 800338b8: 00199417 auipc s0,0x199 + 800338bc: d9040413 addi s0,s0,-624 # 801cc648 + 800338c0: 00043783 ld a5,0(s0) + 800338c4: 00113423 sd ra,8(sp) + 800338c8: 04078a63 beqz a5,8003391c <_ZL14FCEU_CloseGamev+0x6c> + 800338cc: 0007b503 ld a0,0(a5) + 800338d0: 00050863 beqz a0,800338e0 <_ZL14FCEU_CloseGamev+0x30> + 800338d4: 2e5060ef jal ra,8003a3b8 + 800338d8: 00043783 ld a5,0(s0) + 800338dc: 0007b023 sd zero,0(a5) + 800338e0: 00300513 li a0,3 + 800338e4: 00199797 auipc a5,0x199 + 800338e8: d6c7b783 ld a5,-660(a5) # 801cc650 + 800338ec: 000780e7 jalr a5 + 800338f0: 00198517 auipc a0,0x198 + 800338f4: 23053503 ld a0,560(a0) # 801cbb20 + 800338f8: 00050863 beqz a0,80033908 <_ZL14FCEU_CloseGamev+0x58> + 800338fc: 00010637 lui a2,0x10 + 80033900: 00000593 li a1,0 + 80033904: 124080ef jal ra,8003ba28 + 80033908: 845dd0ef jal ra,8001114c <_Z15FCEU_CloseGeniev> + 8003390c: 00043503 ld a0,0(s0) + 80033910: 2a9060ef jal ra,8003a3b8 + 80033914: 00199797 auipc a5,0x199 + 80033918: d207ba23 sd zero,-716(a5) # 801cc648 + 8003391c: 00813083 ld ra,8(sp) + 80033920: 00013403 ld s0,0(sp) + 80033924: 01010113 addi sp,sp,16 + 80033928: 00008067 ret + +000000008003392c <_Z12FlushGenieRWv>: + 8003392c: 00199797 auipc a5,0x199 + 80033930: d447a783 lw a5,-700(a5) # 801cc670 <_ZL6RWWrap> + 80033934: 08078c63 beqz a5,800339cc <_Z12FlushGenieRWv+0xa0> + 80033938: ff010113 addi sp,sp,-16 + 8003393c: 00813023 sd s0,0(sp) + 80033940: 00199417 auipc s0,0x199 + 80033944: d3840413 addi s0,s0,-712 # 801cc678 <_ZL7BWriteG> + 80033948: 00043603 ld a2,0(s0) + 8003394c: 00199517 auipc a0,0x199 + 80033950: d1c53503 ld a0,-740(a0) # 801cc668 <_ZL6AReadG> + 80033954: 00113423 sd ra,8(sp) + 80033958: 00050693 mv a3,a0 + 8003395c: 000d5797 auipc a5,0xd5 + 80033960: 38478793 addi a5,a5,900 # 80108ce0 + 80033964: 00155717 auipc a4,0x155 + 80033968: 37c70713 addi a4,a4,892 # 80188ce0 + 8003396c: 00115897 auipc a7,0x115 + 80033970: 37488893 addi a7,a7,884 # 80148ce0 + 80033974: 0006b803 ld a6,0(a3) + 80033978: 00063583 ld a1,0(a2) # 10000 <_entry_offset+0x10000> + 8003397c: 00878793 addi a5,a5,8 + 80033980: ff07bc23 sd a6,-8(a5) + 80033984: 00b73023 sd a1,0(a4) + 80033988: 00868693 addi a3,a3,8 + 8003398c: 00860613 addi a2,a2,8 + 80033990: 00870713 addi a4,a4,8 + 80033994: ff1790e3 bne a5,a7,80033974 <_Z12FlushGenieRWv+0x48> + 80033998: 221060ef jal ra,8003a3b8 + 8003399c: 00043503 ld a0,0(s0) + 800339a0: 219060ef jal ra,8003a3b8 + 800339a4: 00813083 ld ra,8(sp) + 800339a8: 00013403 ld s0,0(sp) + 800339ac: 00199797 auipc a5,0x199 + 800339b0: ca07be23 sd zero,-836(a5) # 801cc668 <_ZL6AReadG> + 800339b4: 00199797 auipc a5,0x199 + 800339b8: cc07b223 sd zero,-828(a5) # 801cc678 <_ZL7BWriteG> + 800339bc: 00199797 auipc a5,0x199 + 800339c0: ca07aa23 sw zero,-844(a5) # 801cc670 <_ZL6RWWrap> + 800339c4: 01010113 addi sp,sp,16 + 800339c8: 00008067 ret + 800339cc: 00008067 ret + +00000000800339d0 <_Z14GetReadHandleri>: + 800339d0: 000087b7 lui a5,0x8 + 800339d4: 02f54863 blt a0,a5,80033a04 <_Z14GetReadHandleri+0x34> + 800339d8: 00199797 auipc a5,0x199 + 800339dc: c987a783 lw a5,-872(a5) # 801cc670 <_ZL6RWWrap> + 800339e0: 02078263 beqz a5,80033a04 <_Z14GetReadHandleri+0x34> + 800339e4: 00351513 slli a0,a0,0x3 + 800339e8: 00199797 auipc a5,0x199 + 800339ec: c807b783 ld a5,-896(a5) # 801cc668 <_ZL6AReadG> + 800339f0: 00a787b3 add a5,a5,a0 + 800339f4: fffc0537 lui a0,0xfffc0 + 800339f8: 00f50533 add a0,a0,a5 + 800339fc: 00053503 ld a0,0(a0) # fffffffffffc0000 <_end+0xffffffff7fdeb000> + 80033a00: 00008067 ret + 80033a04: 00095797 auipc a5,0x95 + 80033a08: 2dc78793 addi a5,a5,732 # 800c8ce0 + 80033a0c: 00351513 slli a0,a0,0x3 + 80033a10: 00a78533 add a0,a5,a0 + 80033a14: 00053503 ld a0,0(a0) + 80033a18: 00008067 ret + +0000000080033a1c <_Z14SetReadHandleriiPFhjE>: + 80033a1c: 0a060a63 beqz a2,80033ad0 <_Z14SetReadHandleriiPFhjE+0xb4> + 80033a20: 00199797 auipc a5,0x199 + 80033a24: c507a783 lw a5,-944(a5) # 801cc670 <_ZL6RWWrap> + 80033a28: 06078263 beqz a5,80033a8c <_Z14SetReadHandleriiPFhjE+0x70> + 80033a2c: 0aa5c063 blt a1,a0,80033acc <_Z14SetReadHandleriiPFhjE+0xb0> + 80033a30: 00199e17 auipc t3,0x199 + 80033a34: c38e3e03 ld t3,-968(t3) # 801cc668 <_ZL6AReadG> + 80033a38: 0005869b sext.w a3,a1 + 80033a3c: 00008337 lui t1,0x8 + 80033a40: 00095897 auipc a7,0x95 + 80033a44: 2a088893 addi a7,a7,672 # 800c8ce0 + 80033a48: fffc0837 lui a6,0xfffc0 + 80033a4c: 0140006f j 80033a60 <_Z14SetReadHandleriiPFhjE+0x44> + 80033a50: fff58593 addi a1,a1,-1 + 80033a54: 00c7b023 sd a2,0(a5) + 80033a58: 0005869b sext.w a3,a1 + 80033a5c: 02a6c663 blt a3,a0,80033a88 <_Z14SetReadHandleriiPFhjE+0x6c> + 80033a60: 00359793 slli a5,a1,0x3 + 80033a64: 010787b3 add a5,a5,a6 + 80033a68: 00359713 slli a4,a1,0x3 + 80033a6c: 00fe07b3 add a5,t3,a5 + 80033a70: 01170733 add a4,a4,a7 + 80033a74: fc66dee3 bge a3,t1,80033a50 <_Z14SetReadHandleriiPFhjE+0x34> + 80033a78: fff58593 addi a1,a1,-1 + 80033a7c: 00c73023 sd a2,0(a4) + 80033a80: 0005869b sext.w a3,a1 + 80033a84: fca6dee3 bge a3,a0,80033a60 <_Z14SetReadHandleriiPFhjE+0x44> + 80033a88: 00008067 ret + 80033a8c: 04a5c063 blt a1,a0,80033acc <_Z14SetReadHandleriiPFhjE+0xb0> + 80033a90: 40a587bb subw a5,a1,a0 + 80033a94: 02079793 slli a5,a5,0x20 + 80033a98: 0207d793 srli a5,a5,0x20 + 80033a9c: 40f587b3 sub a5,a1,a5 + 80033aa0: 00095717 auipc a4,0x95 + 80033aa4: 24070713 addi a4,a4,576 # 800c8ce0 + 80033aa8: 00359593 slli a1,a1,0x3 + 80033aac: 00379793 slli a5,a5,0x3 + 80033ab0: 00e585b3 add a1,a1,a4 + 80033ab4: 00095717 auipc a4,0x95 + 80033ab8: 22470713 addi a4,a4,548 # 800c8cd8 <_ZL9FlashPage+0xf8> + 80033abc: 00e787b3 add a5,a5,a4 + 80033ac0: 00c5b023 sd a2,0(a1) + 80033ac4: ff858593 addi a1,a1,-8 + 80033ac8: fef59ce3 bne a1,a5,80033ac0 <_Z14SetReadHandleriiPFhjE+0xa4> + 80033acc: 00008067 ret + 80033ad0: 00000617 auipc a2,0x0 + 80033ad4: d6c60613 addi a2,a2,-660 # 8003383c <_ZL5ANullj> + 80033ad8: f49ff06f j 80033a20 <_Z14SetReadHandleriiPFhjE+0x4> + +0000000080033adc <_Z15GetWriteHandleri>: + 80033adc: 00199797 auipc a5,0x199 + 80033ae0: b947a783 lw a5,-1132(a5) # 801cc670 <_ZL6RWWrap> + 80033ae4: 02078663 beqz a5,80033b10 <_Z15GetWriteHandleri+0x34> + 80033ae8: 000087b7 lui a5,0x8 + 80033aec: 02f54263 blt a0,a5,80033b10 <_Z15GetWriteHandleri+0x34> + 80033af0: 00351513 slli a0,a0,0x3 + 80033af4: 00199797 auipc a5,0x199 + 80033af8: b847b783 ld a5,-1148(a5) # 801cc678 <_ZL7BWriteG> + 80033afc: 00a787b3 add a5,a5,a0 + 80033b00: fffc0537 lui a0,0xfffc0 + 80033b04: 00f50533 add a0,a0,a5 + 80033b08: 00053503 ld a0,0(a0) # fffffffffffc0000 <_end+0xffffffff7fdeb000> + 80033b0c: 00008067 ret + 80033b10: 00115797 auipc a5,0x115 + 80033b14: 1d078793 addi a5,a5,464 # 80148ce0 + 80033b18: 00351513 slli a0,a0,0x3 + 80033b1c: 00a78533 add a0,a5,a0 + 80033b20: 00053503 ld a0,0(a0) + 80033b24: 00008067 ret + +0000000080033b28 <_Z15SetWriteHandleriiPFvjhE>: + 80033b28: 0a060a63 beqz a2,80033bdc <_Z15SetWriteHandleriiPFvjhE+0xb4> + 80033b2c: 00199797 auipc a5,0x199 + 80033b30: b447a783 lw a5,-1212(a5) # 801cc670 <_ZL6RWWrap> + 80033b34: 06078263 beqz a5,80033b98 <_Z15SetWriteHandleriiPFvjhE+0x70> + 80033b38: 0aa5c063 blt a1,a0,80033bd8 <_Z15SetWriteHandleriiPFvjhE+0xb0> + 80033b3c: 00199e17 auipc t3,0x199 + 80033b40: b3ce3e03 ld t3,-1220(t3) # 801cc678 <_ZL7BWriteG> + 80033b44: 0005869b sext.w a3,a1 + 80033b48: 00008337 lui t1,0x8 + 80033b4c: 00115897 auipc a7,0x115 + 80033b50: 19488893 addi a7,a7,404 # 80148ce0 + 80033b54: fffc0837 lui a6,0xfffc0 + 80033b58: 0140006f j 80033b6c <_Z15SetWriteHandleriiPFvjhE+0x44> + 80033b5c: fff58593 addi a1,a1,-1 + 80033b60: 00c7b023 sd a2,0(a5) + 80033b64: 0005869b sext.w a3,a1 + 80033b68: 02a6c663 blt a3,a0,80033b94 <_Z15SetWriteHandleriiPFvjhE+0x6c> + 80033b6c: 00359793 slli a5,a1,0x3 + 80033b70: 010787b3 add a5,a5,a6 + 80033b74: 00359713 slli a4,a1,0x3 + 80033b78: 00fe07b3 add a5,t3,a5 + 80033b7c: 01170733 add a4,a4,a7 + 80033b80: fc66dee3 bge a3,t1,80033b5c <_Z15SetWriteHandleriiPFvjhE+0x34> + 80033b84: fff58593 addi a1,a1,-1 + 80033b88: 00c73023 sd a2,0(a4) + 80033b8c: 0005869b sext.w a3,a1 + 80033b90: fca6dee3 bge a3,a0,80033b6c <_Z15SetWriteHandleriiPFvjhE+0x44> + 80033b94: 00008067 ret + 80033b98: 04a5c063 blt a1,a0,80033bd8 <_Z15SetWriteHandleriiPFvjhE+0xb0> + 80033b9c: 40a587bb subw a5,a1,a0 + 80033ba0: 02079793 slli a5,a5,0x20 + 80033ba4: 0207d793 srli a5,a5,0x20 + 80033ba8: 40f587b3 sub a5,a1,a5 + 80033bac: 00115717 auipc a4,0x115 + 80033bb0: 13470713 addi a4,a4,308 # 80148ce0 + 80033bb4: 00359593 slli a1,a1,0x3 + 80033bb8: 00379793 slli a5,a5,0x3 + 80033bbc: 00e585b3 add a1,a1,a4 + 80033bc0: 00115717 auipc a4,0x115 + 80033bc4: 11870713 addi a4,a4,280 # 80148cd8 + 80033bc8: 00e787b3 add a5,a5,a4 + 80033bcc: 00c5b023 sd a2,0(a1) + 80033bd0: ff858593 addi a1,a1,-8 + 80033bd4: fef59ce3 bne a1,a5,80033bcc <_Z15SetWriteHandleriiPFvjhE+0xa4> + 80033bd8: 00008067 ret + 80033bdc: 00000617 auipc a2,0x0 + 80033be0: c5c60613 addi a2,a2,-932 # 80033838 <_ZL5BNulljh> + 80033be4: f49ff06f j 80033b2c <_Z15SetWriteHandleriiPFvjhE+0x4> + +0000000080033be8 <_Z15ResetGameLoadedv>: + 80033be8: ff010113 addi sp,sp,-16 + 80033bec: 00113423 sd ra,8(sp) + 80033bf0: 00199797 auipc a5,0x199 + 80033bf4: a587b783 ld a5,-1448(a5) # 801cc648 + 80033bf8: 00078463 beqz a5,80033c00 <_Z15ResetGameLoadedv+0x18> + 80033bfc: cb5ff0ef jal ra,800338b0 <_ZL14FCEU_CloseGamev> + 80033c00: 00199797 auipc a5,0x199 + 80033c04: a407a023 sw zero,-1472(a5) # 801cc640 + 80033c08: 00199797 auipc a5,0x199 + 80033c0c: a407b823 sd zero,-1456(a5) # 801cc658 + 80033c10: 00199797 auipc a5,0x199 + 80033c14: ae07bc23 sd zero,-1288(a5) # 801cc708 + 80033c18: 00199797 auipc a5,0x199 + 80033c1c: aa07b423 sd zero,-1368(a5) # 801cc6c0 + 80033c20: 00199797 auipc a5,0x199 + 80033c24: a807b823 sd zero,-1392(a5) # 801cc6b0 + 80033c28: 00199797 auipc a5,0x199 + 80033c2c: a807b823 sd zero,-1392(a5) # 801cc6b8 + 80033c30: 00024797 auipc a5,0x24 + 80033c34: d807b783 ld a5,-640(a5) # 800579b0 + 80033c38: 00078463 beqz a5,80033c40 <_Z15ResetGameLoadedv+0x58> + 80033c3c: 000780e7 jalr a5 + 80033c40: 03000613 li a2,48 + 80033c44: 00000593 li a1,0 + 80033c48: 00024517 auipc a0,0x24 + 80033c4c: d4050513 addi a0,a0,-704 # 80057988 + 80033c50: 5d9070ef jal ra,8003ba28 + 80033c54: 00199717 auipc a4,0x199 + 80033c58: a0c70713 addi a4,a4,-1524 # 801cc660 + 80033c5c: 00074783 lbu a5,0(a4) + 80033c60: 00198697 auipc a3,0x198 + 80033c64: ee06b023 sd zero,-288(a3) # 801cbb40 + 80033c68: 00199697 auipc a3,0x199 + 80033c6c: a606a623 sw zero,-1428(a3) # 801cc6d4 + 80033c70: 0017f793 andi a5,a5,1 + 80033c74: 00f70023 sb a5,0(a4) + 80033c78: 00813083 ld ra,8(sp) + 80033c7c: 00199697 auipc a3,0x199 + 80033c80: a806a023 sw zero,-1408(a3) # 801cc6fc + 80033c84: 00199697 auipc a3,0x199 + 80033c88: a806a623 sw zero,-1396(a3) # 801cc710 + 80033c8c: 00198797 auipc a5,0x198 + 80033c90: e607aa23 sw zero,-396(a5) # 801cbb00 + 80033c94: 01010113 addi sp,sp,16 + 80033c98: 00008067 ret + +0000000080033c9c <_Z16FCEUI_Initializev>: + 80033c9c: ff010113 addi sp,sp,-16 + 80033ca0: 00000513 li a0,0 + 80033ca4: 00113423 sd ra,8(sp) + 80033ca8: 00813023 sd s0,0(sp) + 80033cac: 6ac060ef jal ra,8003a358 + 80033cb0: e41cc0ef jal ra,80000af0 <_Z21FCEU_InitVirtualVideov> + 80033cb4: 00000793 li a5,0 + 80033cb8: 04050e63 beqz a0,80033d14 <_Z16FCEUI_Initializev+0x78> + 80033cbc: 00195417 auipc s0,0x195 + 80033cc0: 02440413 addi s0,s0,36 # 801c8ce0 + 80033cc4: 00000593 li a1,0 + 80033cc8: 04800613 li a2,72 + 80033ccc: 00040513 mv a0,s0 + 80033cd0: 559070ef jal ra,8003ba28 + 80033cd4: 00100793 li a5,1 + 80033cd8: 0ef00693 li a3,239 + 80033cdc: 02879793 slli a5,a5,0x28 + 80033ce0: 10078713 addi a4,a5,256 + 80033ce4: 02069613 slli a2,a3,0x20 + 80033ce8: 09678793 addi a5,a5,150 + 80033cec: 00195597 auipc a1,0x195 + 80033cf0: 0205a023 sw zero,32(a1) # 801c8d0c + 80033cf4: 00f43423 sd a5,8(s0) + 80033cf8: 02c43823 sd a2,48(s0) + 80033cfc: 02d42c23 sw a3,56(s0) + 80033d00: 00e43823 sd a4,16(s0) + 80033d04: 00e43c23 sd a4,24(s0) + 80033d08: 1c1040ef jal ra,800386c8 <_Z12FCEUPPU_Initv> + 80033d0c: 890cd0ef jal ra,80000d9c <_Z10X6502_Initv> + 80033d10: 00100793 li a5,1 + 80033d14: 00813083 ld ra,8(sp) + 80033d18: 00013403 ld s0,0(sp) + 80033d1c: 00078513 mv a0,a5 + 80033d20: 01010113 addi sp,sp,16 + 80033d24: 00008067 ret + +0000000080033d28 <_Z10FCEUI_Killv>: + 80033d28: ff010113 addi sp,sp,-16 + 80033d2c: 00113423 sd ra,8(sp) + 80033d30: dbdcc0ef jal ra,80000aec <_Z21FCEU_KillVirtualVideov> + 80033d34: 00813083 ld ra,8(sp) + 80033d38: 01010113 addi sp,sp,16 + 80033d3c: c40dd06f j 8001117c <_Z14FCEU_KillGeniev> + +0000000080033d40 <_Z13FCEUI_EmulatePPhPPiS1_i>: + 80033d40: fd010113 addi sp,sp,-48 + 80033d44: 02813023 sd s0,32(sp) + 80033d48: 00913c23 sd s1,24(sp) + 80033d4c: 01213823 sd s2,16(sp) + 80033d50: 01313423 sd s3,8(sp) + 80033d54: 02113423 sd ra,40(sp) + 80033d58: 01413023 sd s4,0(sp) + 80033d5c: 00199797 auipc a5,0x199 + 80033d60: 9247c783 lbu a5,-1756(a5) # 801cc680 + 80033d64: 00050993 mv s3,a0 + 80033d68: 00058493 mv s1,a1 + 80033d6c: 00060913 mv s2,a2 + 80033d70: 00068413 mv s0,a3 + 80033d74: 0a079263 bnez a5,80033e18 <_Z13FCEUI_EmulatePPhPPiS1_i+0xd8> + 80033d78: 00199a17 auipc s4,0x199 + 80033d7c: 8c8a0a13 addi s4,s4,-1848 # 801cc640 + 80033d80: 000a2783 lw a5,0(s4) + 80033d84: 0027f713 andi a4,a5,2 + 80033d88: 0007071b sext.w a4,a4 + 80033d8c: 0a071e63 bnez a4,80033e48 <_Z13FCEUI_EmulatePPhPPiS1_i+0x108> + 80033d90: 0017f793 andi a5,a5,1 + 80033d94: 16079063 bnez a5,80033ef4 <_Z13FCEUI_EmulatePPhPPiS1_i+0x1b4> + 80033d98: d1ccc0ef jal ra,800002b4 <_Z16FCEU_UpdateInputv> + 80033d9c: 00040513 mv a0,s0 + 80033da0: 3c1020ef jal ra,80036960 <_Z12FCEUPPU_Loopi> + 80033da4: 00200793 li a5,2 + 80033da8: 0af41e63 bne s0,a5,80033e64 <_Z13FCEUI_EmulatePPhPPiS1_i+0x124> + 80033dac: 00199717 auipc a4,0x199 + 80033db0: 8ec70713 addi a4,a4,-1812 # 801cc698 + 80033db4: 00073683 ld a3,0(a4) + 80033db8: 00198797 auipc a5,0x198 + 80033dbc: d947e783 lwu a5,-620(a5) # 801cbb4c + 80033dc0: 00198617 auipc a2,0x198 + 80033dc4: d8062423 sw zero,-632(a2) # 801cbb48 + 80033dc8: 00d787b3 add a5,a5,a3 + 80033dcc: 00f73023 sd a5,0(a4) + 80033dd0: 00198797 auipc a5,0x198 + 80033dd4: d607ae23 sw zero,-644(a5) # 801cbb4c + 80033dd8: 0009b023 sd zero,0(s3) + 80033ddc: 0004b023 sd zero,0(s1) + 80033de0: 00092023 sw zero,0(s2) + 80033de4: 000a2783 lw a5,0(s4) + 80033de8: 0027f793 andi a5,a5,2 + 80033dec: 00078663 beqz a5,80033df8 <_Z13FCEUI_EmulatePPhPPiS1_i+0xb8> + 80033df0: 00100793 li a5,1 + 80033df4: 00fa2023 sw a5,0(s4) + 80033df8: 02813083 ld ra,40(sp) + 80033dfc: 02013403 ld s0,32(sp) + 80033e00: 01813483 ld s1,24(sp) + 80033e04: 01013903 ld s2,16(sp) + 80033e08: 00813983 ld s3,8(sp) + 80033e0c: 00013a03 ld s4,0(sp) + 80033e10: 03010113 addi sp,sp,48 + 80033e14: 00008067 ret + 80033e18: 00199697 auipc a3,0x199 + 80033e1c: 86c68693 addi a3,a3,-1940 # 801cc684 + 80033e20: 0006a703 lw a4,0(a3) + 80033e24: 00019797 auipc a5,0x19 + 80033e28: 34c7a783 lw a5,844(a5) # 8004d170 + 80033e2c: 08071663 bnez a4,80033eb8 <_Z13FCEUI_EmulatePPhPPiS1_i+0x178> + 80033e30: 08f05663 blez a5,80033ebc <_Z13FCEUI_EmulatePPhPPiS1_i+0x17c> + 80033e34: 00100793 li a5,1 + 80033e38: 00f6a023 sw a5,0(a3) + 80033e3c: 00199a17 auipc s4,0x199 + 80033e40: 804a0a13 addi s4,s4,-2044 # 801cc640 + 80033e44: 00200793 li a5,2 + 80033e48: ffe7f793 andi a5,a5,-2 + 80033e4c: 00fa2023 sw a5,0(s4) + 80033e50: c64cc0ef jal ra,800002b4 <_Z16FCEU_UpdateInputv> + 80033e54: 00040513 mv a0,s0 + 80033e58: 309020ef jal ra,80036960 <_Z12FCEUPPU_Loopi> + 80033e5c: 00200793 li a5,2 + 80033e60: f4f406e3 beq s0,a5,80033dac <_Z13FCEUI_EmulatePPhPPiS1_i+0x6c> + 80033e64: ea8d90ef jal ra,8000d50c <_Z17FlushEmulateSoundv> + 80033e68: 00199717 auipc a4,0x199 + 80033e6c: 83070713 addi a4,a4,-2000 # 801cc698 + 80033e70: 00073683 ld a3,0(a4) + 80033e74: 00198797 auipc a5,0x198 + 80033e78: cd87e783 lwu a5,-808(a5) # 801cbb4c + 80033e7c: 00198617 auipc a2,0x198 + 80033e80: cc062623 sw zero,-820(a2) # 801cbb48 + 80033e84: 00d787b3 add a5,a5,a3 + 80033e88: 00f73023 sd a5,0(a4) + 80033e8c: 00198797 auipc a5,0x198 + 80033e90: cc07a023 sw zero,-832(a5) # 801cbb4c + 80033e94: 0a041a63 bnez s0,80033f48 <_Z13FCEUI_EmulatePPhPPiS1_i+0x208> + 80033e98: 00198797 auipc a5,0x198 + 80033e9c: c887b783 ld a5,-888(a5) # 801cbb20 + 80033ea0: 00f9b023 sd a5,0(s3) + 80033ea4: 00026797 auipc a5,0x26 + 80033ea8: 32478793 addi a5,a5,804 # 8005a1c8 + 80033eac: 00f4b023 sd a5,0(s1) + 80033eb0: 00a92023 sw a0,0(s2) + 80033eb4: f31ff06f j 80033de4 <_Z13FCEUI_EmulatePPhPPiS1_i+0xa4> + 80033eb8: 00f74e63 blt a4,a5,80033ed4 <_Z13FCEUI_EmulatePPhPPiS1_i+0x194> + 80033ebc: 00200793 li a5,2 + 80033ec0: 00198a17 auipc s4,0x198 + 80033ec4: 780a0a13 addi s4,s4,1920 # 801cc640 + 80033ec8: ffe7f793 andi a5,a5,-2 + 80033ecc: 00fa2023 sw a5,0(s4) + 80033ed0: f81ff06f j 80033e50 <_Z13FCEUI_EmulatePPhPPiS1_i+0x110> + 80033ed4: 00198a17 auipc s4,0x198 + 80033ed8: 76ca0a13 addi s4,s4,1900 # 801cc640 + 80033edc: 000a2783 lw a5,0(s4) + 80033ee0: 0017071b addiw a4,a4,1 + 80033ee4: 00e6a023 sw a4,0(a3) + 80033ee8: 0027f713 andi a4,a5,2 + 80033eec: 0007071b sext.w a4,a4 + 80033ef0: e9dff06f j 80033d8c <_Z13FCEUI_EmulatePPhPPiS1_i+0x4c> + 80033ef4: 00198417 auipc s0,0x198 + 80033ef8: c2c40413 addi s0,s0,-980 # 801cbb20 + 80033efc: 00043503 ld a0,0(s0) + 80033f00: 00010637 lui a2,0x10 + 80033f04: 00198597 auipc a1,0x198 + 80033f08: c145b583 ld a1,-1004(a1) # 801cbb18 + 80033f0c: 449070ef jal ra,8003bb54 + 80033f10: 00043783 ld a5,0(s0) + 80033f14: 02813083 ld ra,40(sp) + 80033f18: 02013403 ld s0,32(sp) + 80033f1c: 00f9b023 sd a5,0(s3) + 80033f20: 00026797 auipc a5,0x26 + 80033f24: 2a878793 addi a5,a5,680 # 8005a1c8 + 80033f28: 00f4b023 sd a5,0(s1) + 80033f2c: 00092023 sw zero,0(s2) + 80033f30: 01813483 ld s1,24(sp) + 80033f34: 01013903 ld s2,16(sp) + 80033f38: 00813983 ld s3,8(sp) + 80033f3c: 00013a03 ld s4,0(sp) + 80033f40: 03010113 addi sp,sp,48 + 80033f44: 00008067 ret + 80033f48: 0009b023 sd zero,0(s3) + 80033f4c: f59ff06f j 80033ea4 <_Z13FCEUI_EmulatePPhPPiS1_i+0x164> + +0000000080033f50 <_Z15FCEUI_CloseGamev>: + 80033f50: 961ff06f j 800338b0 <_ZL14FCEU_CloseGamev> + +0000000080033f54 <_Z15FCEU_MemoryRandPhjb>: + 80033f54: 00008067 ret + +0000000080033f58 <_Z8PowerNESv>: + 80033f58: 00198797 auipc a5,0x198 + 80033f5c: 6f07b783 ld a5,1776(a5) # 801cc648 + 80033f60: 2a078663 beqz a5,8003420c <_Z8PowerNESv+0x2b4> + 80033f64: ff010113 addi sp,sp,-16 + 80033f68: 00113423 sd ra,8(sp) + 80033f6c: 00198797 auipc a5,0x198 + 80033f70: 7047a783 lw a5,1796(a5) # 801cc670 <_ZL6RWWrap> + 80033f74: 1a078e63 beqz a5,80034130 <_Z8PowerNESv+0x1d8> + 80033f78: 00010737 lui a4,0x10 + 80033f7c: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80033f80: 00371693 slli a3,a4,0x3 + 80033f84: 00068793 mv a5,a3 + 80033f88: fffc0537 lui a0,0xfffc0 + 80033f8c: 00198317 auipc t1,0x198 + 80033f90: 6dc33303 ld t1,1756(t1) # 801cc668 <_ZL6AReadG> + 80033f94: 00095817 auipc a6,0x95 + 80033f98: d4c80813 addi a6,a6,-692 # 800c8ce0 + 80033f9c: 00a787b3 add a5,a5,a0 + 80033fa0: 000088b7 lui a7,0x8 + 80033fa4: 0007061b sext.w a2,a4 + 80033fa8: 00000597 auipc a1,0x0 + 80033fac: 89458593 addi a1,a1,-1900 # 8003383c <_ZL5ANullj> + 80033fb0: 00d806b3 add a3,a6,a3 + 80033fb4: 00f307b3 add a5,t1,a5 + 80033fb8: 03164463 blt a2,a7,80033fe0 <_Z8PowerNESv+0x88> + 80033fbc: 00b7b023 sd a1,0(a5) + 80033fc0: fff70713 addi a4,a4,-1 + 80033fc4: 00371693 slli a3,a4,0x3 + 80033fc8: 00068793 mv a5,a3 + 80033fcc: 00a787b3 add a5,a5,a0 + 80033fd0: 0007061b sext.w a2,a4 + 80033fd4: 00d806b3 add a3,a6,a3 + 80033fd8: 00f307b3 add a5,t1,a5 + 80033fdc: ff1650e3 bge a2,a7,80033fbc <_Z8PowerNESv+0x64> + 80033fe0: 00b6b023 sd a1,0(a3) + 80033fe4: fc061ee3 bnez a2,80033fc0 <_Z8PowerNESv+0x68> + 80033fe8: 00010737 lui a4,0x10 + 80033fec: fff70713 addi a4,a4,-1 # ffff <_entry_offset+0xffff> + 80033ff0: 00371693 slli a3,a4,0x3 + 80033ff4: 00068793 mv a5,a3 + 80033ff8: fffc08b7 lui a7,0xfffc0 + 80033ffc: 00198e17 auipc t3,0x198 + 80034000: 67ce3e03 ld t3,1660(t3) # 801cc678 <_ZL7BWriteG> + 80034004: 00115517 auipc a0,0x115 + 80034008: cdc50513 addi a0,a0,-804 # 80148ce0 + 8003400c: 011787b3 add a5,a5,a7 + 80034010: 00008337 lui t1,0x8 + 80034014: 0007061b sext.w a2,a4 + 80034018: 00000597 auipc a1,0x0 + 8003401c: 82058593 addi a1,a1,-2016 # 80033838 <_ZL5BNulljh> + 80034020: 00d506b3 add a3,a0,a3 + 80034024: 00fe07b3 add a5,t3,a5 + 80034028: 02664463 blt a2,t1,80034050 <_Z8PowerNESv+0xf8> + 8003402c: 00b7b023 sd a1,0(a5) + 80034030: fff70713 addi a4,a4,-1 + 80034034: 00371693 slli a3,a4,0x3 + 80034038: 00068793 mv a5,a3 + 8003403c: 011787b3 add a5,a5,a7 + 80034040: 0007061b sext.w a2,a4 + 80034044: 00d506b3 add a3,a0,a3 + 80034048: 00fe07b3 add a5,t3,a5 + 8003404c: fe6650e3 bge a2,t1,8003402c <_Z8PowerNESv+0xd4> + 80034050: 00b6b023 sd a1,0(a3) + 80034054: fc061ee3 bnez a2,80034030 <_Z8PowerNESv+0xd8> + 80034058: 00099797 auipc a5,0x99 + 8003405c: c8078793 addi a5,a5,-896 # 800cccd8 + 80034060: 00000717 auipc a4,0x0 + 80034064: 81c70713 addi a4,a4,-2020 # 8003387c <_ZL5ARAMLj> + 80034068: 00e7b023 sd a4,0(a5) + 8003406c: 00078693 mv a3,a5 + 80034070: ff878793 addi a5,a5,-8 + 80034074: fed81ae3 bne a6,a3,80034068 <_Z8PowerNESv+0x110> + 80034078: 00119797 auipc a5,0x119 + 8003407c: c6078793 addi a5,a5,-928 # 8014ccd8 + 80034080: fffff717 auipc a4,0xfffff + 80034084: 7c870713 addi a4,a4,1992 # 80033848 <_ZL5BRAMLjh> + 80034088: 00e7b023 sd a4,0(a5) + 8003408c: 00078693 mv a3,a5 + 80034090: ff878793 addi a5,a5,-8 + 80034094: fed51ae3 bne a0,a3,80034088 <_Z8PowerNESv+0x130> + 80034098: 000a5797 auipc a5,0xa5 + 8003409c: c4078793 addi a5,a5,-960 # 800d8cd8 + 800340a0: 00099697 auipc a3,0x99 + 800340a4: c3868693 addi a3,a3,-968 # 800cccd8 + 800340a8: fffff717 auipc a4,0xfffff + 800340ac: 7f070713 addi a4,a4,2032 # 80033898 <_ZL5ARAMHj> + 800340b0: 00e7b023 sd a4,0(a5) + 800340b4: ff878793 addi a5,a5,-8 + 800340b8: fed79ce3 bne a5,a3,800340b0 <_Z8PowerNESv+0x158> + 800340bc: 00125797 auipc a5,0x125 + 800340c0: c1c78793 addi a5,a5,-996 # 80158cd8 + 800340c4: 00119697 auipc a3,0x119 + 800340c8: c1468693 addi a3,a3,-1004 # 8014ccd8 + 800340cc: fffff717 auipc a4,0xfffff + 800340d0: 79870713 addi a4,a4,1944 # 80033864 <_ZL5BRAMHjh> + 800340d4: 00e7b023 sd a4,0(a5) + 800340d8: ff878793 addi a5,a5,-8 + 800340dc: fed79ce3 bne a5,a3,800340d4 <_Z8PowerNESv+0x17c> + 800340e0: acccc0ef jal ra,800003ac <_Z15InitializeInputv> + 800340e4: e0cd90ef jal ra,8000d6f0 <_Z13FCEUSND_Powerv> + 800340e8: 6d4040ef jal ra,800387bc <_Z13FCEUPPU_Powerv> + 800340ec: 00198797 auipc a5,0x198 + 800340f0: 5647b783 ld a5,1380(a5) # 801cc650 + 800340f4: 00200513 li a0,2 + 800340f8: 000780e7 jalr a5 + 800340fc: 00198797 auipc a5,0x198 + 80034100: 5807be23 sd zero,1436(a5) # 801cc698 + 80034104: d1dcc0ef jal ra,80000e20 <_Z11X6502_Powerv> + 80034108: 00010637 lui a2,0x10 + 8003410c: 00000593 li a1,0 + 80034110: 00198517 auipc a0,0x198 + 80034114: a0853503 ld a0,-1528(a0) # 801cbb18 + 80034118: 111070ef jal ra,8003ba28 + 8003411c: 00813083 ld ra,8(sp) + 80034120: 00012517 auipc a0,0x12 + 80034124: 46050513 addi a0,a0,1120 # 80046580 + 80034128: 01010113 addi sp,sp,16 + 8003412c: 41c0706f j 8003b548 + 80034130: 00115797 auipc a5,0x115 + 80034134: ba878793 addi a5,a5,-1112 # 80148cd8 + 80034138: 00095617 auipc a2,0x95 + 8003413c: ba860613 addi a2,a2,-1112 # 800c8ce0 + 80034140: fffff597 auipc a1,0xfffff + 80034144: 6fc58593 addi a1,a1,1788 # 8003383c <_ZL5ANullj> + 80034148: 00b7b023 sd a1,0(a5) + 8003414c: 00078713 mv a4,a5 + 80034150: ff878793 addi a5,a5,-8 + 80034154: fec71ae3 bne a4,a2,80034148 <_Z8PowerNESv+0x1f0> + 80034158: 00195797 auipc a5,0x195 + 8003415c: b8078793 addi a5,a5,-1152 # 801c8cd8 + 80034160: 00115697 auipc a3,0x115 + 80034164: b8068693 addi a3,a3,-1152 # 80148ce0 + 80034168: fffff597 auipc a1,0xfffff + 8003416c: 6d058593 addi a1,a1,1744 # 80033838 <_ZL5BNulljh> + 80034170: 00b7b023 sd a1,0(a5) + 80034174: 00078713 mv a4,a5 + 80034178: ff878793 addi a5,a5,-8 + 8003417c: fed71ae3 bne a4,a3,80034170 <_Z8PowerNESv+0x218> + 80034180: 00099797 auipc a5,0x99 + 80034184: b5878793 addi a5,a5,-1192 # 800cccd8 + 80034188: fffff717 auipc a4,0xfffff + 8003418c: 6f470713 addi a4,a4,1780 # 8003387c <_ZL5ARAMLj> + 80034190: 00e7b023 sd a4,0(a5) + 80034194: 00078593 mv a1,a5 + 80034198: ff878793 addi a5,a5,-8 + 8003419c: fec59ae3 bne a1,a2,80034190 <_Z8PowerNESv+0x238> + 800341a0: 00119797 auipc a5,0x119 + 800341a4: b3878793 addi a5,a5,-1224 # 8014ccd8 + 800341a8: fffff717 auipc a4,0xfffff + 800341ac: 6a070713 addi a4,a4,1696 # 80033848 <_ZL5BRAMLjh> + 800341b0: 00e7b023 sd a4,0(a5) + 800341b4: 00078613 mv a2,a5 + 800341b8: ff878793 addi a5,a5,-8 + 800341bc: fed61ae3 bne a2,a3,800341b0 <_Z8PowerNESv+0x258> + 800341c0: 000a5797 auipc a5,0xa5 + 800341c4: b1878793 addi a5,a5,-1256 # 800d8cd8 + 800341c8: 00099697 auipc a3,0x99 + 800341cc: b1068693 addi a3,a3,-1264 # 800cccd8 + 800341d0: fffff717 auipc a4,0xfffff + 800341d4: 6c870713 addi a4,a4,1736 # 80033898 <_ZL5ARAMHj> + 800341d8: 00e7b023 sd a4,0(a5) + 800341dc: ff878793 addi a5,a5,-8 + 800341e0: fed79ce3 bne a5,a3,800341d8 <_Z8PowerNESv+0x280> + 800341e4: 00125797 auipc a5,0x125 + 800341e8: af478793 addi a5,a5,-1292 # 80158cd8 + 800341ec: 00119697 auipc a3,0x119 + 800341f0: aec68693 addi a3,a3,-1300 # 8014ccd8 + 800341f4: fffff717 auipc a4,0xfffff + 800341f8: 67070713 addi a4,a4,1648 # 80033864 <_ZL5BRAMHjh> + 800341fc: 00e7b023 sd a4,0(a5) + 80034200: ff878793 addi a5,a5,-8 + 80034204: fed79ce3 bne a5,a3,800341fc <_Z8PowerNESv+0x2a4> + 80034208: ed9ff06f j 800340e0 <_Z8PowerNESv+0x188> + 8003420c: 00008067 ret + +0000000080034210 <_Z16FCEU_ResetVidSysv>: + 80034210: 00198797 auipc a5,0x198 + 80034214: 4387b783 ld a5,1080(a5) # 801cc648 + 80034218: 0107a783 lw a5,16(a5) + 8003421c: ff010113 addi sp,sp,-16 + 80034220: 00113423 sd ra,8(sp) + 80034224: 04078263 beqz a5,80034268 <_Z16FCEU_ResetVidSysv+0x58> + 80034228: 00100713 li a4,1 + 8003422c: 0ce78063 beq a5,a4,800342ec <_Z16FCEU_ResetVidSysv+0xdc> + 80034230: 00195517 auipc a0,0x195 + 80034234: ab052503 lw a0,-1360(a0) # 801c8ce0 + 80034238: 02050863 beqz a0,80034268 <_Z16FCEU_ResetVidSysv+0x58> + 8003423c: 00198797 auipc a5,0x198 + 80034240: 42e78223 sb a4,1060(a5) # 801cc660 + 80034244: 00198797 auipc a5,0x198 + 80034248: 9c07aa23 sw zero,-1580(a5) # 801cbc18 + 8003424c: 00198797 auipc a5,0x198 + 80034250: 5487a783 lw a5,1352(a5) # 801cc794 + 80034254: 0a079c63 bnez a5,8003430c <_Z16FCEU_ResetVidSysv+0xfc> + 80034258: 00198717 auipc a4,0x198 + 8003425c: 43474703 lbu a4,1076(a4) # 801cc68c + 80034260: 0f000793 li a5,240 + 80034264: 0300006f j 80034294 <_Z16FCEU_ResetVidSysv+0x84> + 80034268: 00198797 auipc a5,0x198 + 8003426c: 3e078c23 sb zero,1016(a5) # 801cc660 + 80034270: 00198797 auipc a5,0x198 + 80034274: 5247a783 lw a5,1316(a5) # 801cc794 + 80034278: 04079863 bnez a5,800342c8 <_Z16FCEU_ResetVidSysv+0xb8> + 8003427c: 00198517 auipc a0,0x198 + 80034280: 99c52503 lw a0,-1636(a0) # 801cbc18 + 80034284: 00198717 auipc a4,0x198 + 80034288: 40874703 lbu a4,1032(a4) # 801cc68c + 8003428c: 08050e63 beqz a0,80034328 <_Z16FCEU_ResetVidSysv+0x118> + 80034290: 12200793 li a5,290 + 80034294: 00198697 auipc a3,0x198 + 80034298: 3ef6aa23 sw a5,1012(a3) # 801cc688 + 8003429c: 00070863 beqz a4,800342ac <_Z16FCEU_ResetVidSysv+0x9c> + 800342a0: 00198717 auipc a4,0x198 + 800342a4: 3f072703 lw a4,1008(a4) # 801cc690 + 800342a8: 00f707bb addw a5,a4,a5 + 800342ac: 00a03533 snez a0,a0 + 800342b0: 00198717 auipc a4,0x198 + 800342b4: 3ef72823 sw a5,1008(a4) # 801cc6a0 + 800342b8: 388040ef jal ra,80038640 <_Z22FCEUPPU_SetVideoSystemi> + 800342bc: 00813083 ld ra,8(sp) + 800342c0: 01010113 addi sp,sp,16 + 800342c4: d18d906f j 8000d7dc <_Z17SetSoundVariablesv> + 800342c8: 00198517 auipc a0,0x198 + 800342cc: 95052503 lw a0,-1712(a0) # 801cbc18 + 800342d0: 00198717 auipc a4,0x198 + 800342d4: 3a070e23 sb zero,956(a4) # 801cc68c + 800342d8: 04050c63 beqz a0,80034330 <_Z16FCEU_ResetVidSysv+0x120> + 800342dc: 1227879b addiw a5,a5,290 + 800342e0: 00198697 auipc a3,0x198 + 800342e4: 3af6a423 sw a5,936(a3) # 801cc688 + 800342e8: fc5ff06f j 800342ac <_Z16FCEU_ResetVidSysv+0x9c> + 800342ec: 00198717 auipc a4,0x198 + 800342f0: 36f70a23 sb a5,884(a4) # 801cc660 + 800342f4: 00198797 auipc a5,0x198 + 800342f8: 9207a223 sw zero,-1756(a5) # 801cbc18 + 800342fc: 00198797 auipc a5,0x198 + 80034300: 4987a783 lw a5,1176(a5) # 801cc794 + 80034304: 00100513 li a0,1 + 80034308: f40788e3 beqz a5,80034258 <_Z16FCEU_ResetVidSysv+0x48> + 8003430c: 0f07871b addiw a4,a5,240 + 80034310: 00198797 auipc a5,0x198 + 80034314: 36078e23 sb zero,892(a5) # 801cc68c + 80034318: 0007079b sext.w a5,a4 + 8003431c: 00198697 auipc a3,0x198 + 80034320: 36e6a623 sw a4,876(a3) # 801cc688 + 80034324: f89ff06f j 800342ac <_Z16FCEU_ResetVidSysv+0x9c> + 80034328: 0f000793 li a5,240 + 8003432c: f69ff06f j 80034294 <_Z16FCEU_ResetVidSysv+0x84> + 80034330: 0f07879b addiw a5,a5,240 + 80034334: 00198697 auipc a3,0x198 + 80034338: 34f6aa23 sw a5,852(a3) # 801cc688 + 8003433c: f71ff06f j 800342ac <_Z16FCEU_ResetVidSysv+0x9c> + +0000000080034340 <_Z21FCEUI_LoadGameVirtualPKcib>: + 80034340: 81010113 addi sp,sp,-2032 + 80034344: 7e813023 sd s0,2016(sp) + 80034348: 7c913c23 sd s1,2008(sp) + 8003434c: 7d213823 sd s2,2000(sp) + 80034350: 7d313423 sd s3,1992(sp) + 80034354: 7d413023 sd s4,1984(sp) + 80034358: 7b513c23 sd s5,1976(sp) + 8003435c: 7b613823 sd s6,1968(sp) + 80034360: 7b713423 sd s7,1960(sp) + 80034364: 7e113423 sd ra,2024(sp) + 80034368: 000016b7 lui a3,0x1 + 8003436c: f7010113 addi sp,sp,-144 + 80034370: 82068893 addi a7,a3,-2016 # 820 <_entry_offset+0x820> + 80034374: 01010313 addi t1,sp,16 + 80034378: 006888b3 add a7,a7,t1 + 8003437c: fffff737 lui a4,0xfffff + 80034380: 7e470813 addi a6,a4,2020 # fffffffffffff7e4 <_end+0xffffffff7fe2a7e4> + 80034384: 7e870793 addi a5,a4,2024 + 80034388: 00e88733 add a4,a7,a4 + 8003438c: 00e13423 sd a4,8(sp) + 80034390: 00813303 ld t1,8(sp) + 80034394: 01088833 add a6,a7,a6 + 80034398: 00f887b3 add a5,a7,a5 + 8003439c: 00012897 auipc a7,0x12 + 800343a0: 1f488893 addi a7,a7,500 # 80046590 + 800343a4: 7f133423 sd a7,2024(t1) # 87e8 <_entry_offset+0x87e8> + 800343a8: 00058493 mv s1,a1 + 800343ac: 00012897 auipc a7,0x12 + 800343b0: 1ec88893 addi a7,a7,492 # 80046598 + 800343b4: 00060993 mv s3,a2 + 800343b8: 00198a17 auipc s4,0x198 + 800343bc: 2a8a0a13 addi s4,s4,680 # 801cc660 + 800343c0: 00198a97 auipc s5,0x198 + 800343c4: 858a8a93 addi s5,s5,-1960 # 801cbc18 + 800343c8: fff00713 li a4,-1 + 800343cc: 00000693 li a3,0 + 800343d0: 00009617 auipc a2,0x9 + 800343d4: 96060613 addi a2,a2,-1696 # 8003cd30 <_etext+0x4c> + 800343d8: 00000593 li a1,0 + 800343dc: 000a4b03 lbu s6,0(s4) + 800343e0: 000aab83 lw s7,0(s5) + 800343e4: 00050913 mv s2,a0 + 800343e8: 7f133823 sd a7,2032(t1) + 800343ec: 7e033c23 sd zero,2040(t1) + 800343f0: 7e032223 sw zero,2020(t1) + 800343f4: 8eccc0ef jal ra,800004e0 <_Z10FCEU_fopenPKcS0_S0_PciPS0_Pi> + 800343f8: 00050413 mv s0,a0 + 800343fc: 18050663 beqz a0,80034588 <_Z21FCEUI_LoadGameVirtualPKcib+0x248> + 80034400: 00090593 mv a1,s2 + 80034404: 03010513 addi a0,sp,48 + 80034408: 700070ef jal ra,8003bb08 + 8003440c: fdcff0ef jal ra,80033be8 <_Z15ResetGameLoadedv> + 80034410: 00012517 auipc a0,0x12 + 80034414: 1a850513 addi a0,a0,424 # 800465b8 + 80034418: 130070ef jal ra,8003b548 + 8003441c: c94ff0ef jal ra,800338b0 <_ZL14FCEU_CloseGamev> + 80034420: 04000513 li a0,64 + 80034424: 785050ef jal ra,8003a3a8 + 80034428: 00198917 auipc s2,0x198 + 8003442c: 22090913 addi s2,s2,544 # 801cc648 + 80034430: 04000613 li a2,64 + 80034434: 00000593 li a1,0 + 80034438: 00a93023 sd a0,0(s2) + 8003443c: 5ec070ef jal ra,8003ba28 + 80034440: 00842603 lw a2,8(s0) + 80034444: 00093783 ld a5,0(s2) + 80034448: fff00693 li a3,-1 + 8003444c: 02069713 slli a4,a3,0x20 + 80034450: 00270713 addi a4,a4,2 + 80034454: 02c7ae23 sw a2,60(a5) + 80034458: 0207ac23 sw zero,56(a5) + 8003445c: 0207aa23 sw zero,52(a5) + 80034460: 0007b023 sd zero,0(a5) + 80034464: 0007a623 sw zero,12(a5) + 80034468: 00e7b823 sd a4,16(a5) + 8003446c: 00d7bc23 sd a3,24(a5) + 80034470: 0207a023 sw zero,32(a5) + 80034474: 00048613 mv a2,s1 + 80034478: 00040593 mv a1,s0 + 8003447c: 03010513 addi a0,sp,48 + 80034480: cb5da0ef jal ra,8000f134 <_Z8iNESLoadPKcP8FCEUFILEi> + 80034484: 04050063 beqz a0,800344c4 <_Z21FCEUI_LoadGameVirtualPKcib+0x184> + 80034488: 12049263 bnez s1,800345ac <_Z21FCEUI_LoadGameVirtualPKcib+0x26c> + 8003448c: acdff0ef jal ra,80033f58 <_Z8PowerNESv> + 80034490: 00093703 ld a4,0(s2) + 80034494: 00300793 li a5,3 + 80034498: 00c72703 lw a4,12(a4) + 8003449c: 00f70463 beq a4,a5,800344a4 <_Z21FCEUI_LoadGameVirtualPKcib+0x164> + 800344a0: cf4cc0ef jal ra,80000994 <_Z20FCEU_LoadGamePalettev> + 800344a4: d18cc0ef jal ra,800009bc <_Z17FCEU_ResetPalettev> + 800344a8: 060b1863 bnez s6,80034518 <_Z21FCEUI_LoadGameVirtualPKcib+0x1d8> + 800344ac: 000a4783 lbu a5,0(s4) + 800344b0: 0a079e63 bnez a5,8003456c <_Z21FCEUI_LoadGameVirtualPKcib+0x22c> + 800344b4: 080b9a63 bnez s7,80034548 <_Z21FCEUI_LoadGameVirtualPKcib+0x208> + 800344b8: 000aa783 lw a5,0(s5) + 800344bc: 00078e63 beqz a5,800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 800344c0: 0640006f j 80034524 <_Z21FCEUI_LoadGameVirtualPKcib+0x1e4> + 800344c4: 0e098863 beqz s3,800345b4 <_Z21FCEUI_LoadGameVirtualPKcib+0x274> + 800344c8: 00093503 ld a0,0(s2) + 800344cc: 6ed050ef jal ra,8003a3b8 + 800344d0: 00198797 auipc a5,0x198 + 800344d4: 1607bc23 sd zero,376(a5) # 801cc648 + 800344d8: 00040513 mv a0,s0 + 800344dc: 91ccc0ef jal ra,800005f8 <_Z11FCEU_fcloseP8FCEUFILE> + 800344e0: 00093403 ld s0,0(s2) + 800344e4: 09010113 addi sp,sp,144 + 800344e8: 7e813083 ld ra,2024(sp) + 800344ec: 00040513 mv a0,s0 + 800344f0: 7d813483 ld s1,2008(sp) + 800344f4: 7e013403 ld s0,2016(sp) + 800344f8: 7d013903 ld s2,2000(sp) + 800344fc: 7c813983 ld s3,1992(sp) + 80034500: 7c013a03 ld s4,1984(sp) + 80034504: 7b813a83 ld s5,1976(sp) + 80034508: 7b013b03 ld s6,1968(sp) + 8003450c: 7a813b83 ld s7,1960(sp) + 80034510: 7f010113 addi sp,sp,2032 + 80034514: 00008067 ret + 80034518: 020b9463 bnez s7,80034540 <_Z21FCEUI_LoadGameVirtualPKcib+0x200> + 8003451c: 000aa783 lw a5,0(s5) + 80034520: 0a078263 beqz a5,800345c4 <_Z21FCEUI_LoadGameVirtualPKcib+0x284> + 80034524: 00012517 auipc a0,0x12 + 80034528: 0b450513 addi a0,a0,180 # 800465d8 + 8003452c: 01c070ef jal ra,8003b548 + 80034530: 00012517 auipc a0,0x12 + 80034534: 0a850513 addi a0,a0,168 # 800465d8 + 80034538: 010070ef jal ra,8003b548 + 8003453c: f9dff06f j 800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 80034540: 000a4783 lbu a5,0(s4) + 80034544: f8079ae3 bnez a5,800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 80034548: 000aa783 lw a5,0(s5) + 8003454c: f80796e3 bnez a5,800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 80034550: 00012517 auipc a0,0x12 + 80034554: 09850513 addi a0,a0,152 # 800465e8 + 80034558: 7f1060ef jal ra,8003b548 + 8003455c: 00012517 auipc a0,0x12 + 80034560: 08c50513 addi a0,a0,140 # 800465e8 + 80034564: 7e5060ef jal ra,8003b548 + 80034568: f71ff06f j 800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 8003456c: 00012517 auipc a0,0x12 + 80034570: 05c50513 addi a0,a0,92 # 800465c8 + 80034574: 7d5060ef jal ra,8003b548 + 80034578: 00012517 auipc a0,0x12 + 8003457c: 05050513 addi a0,a0,80 # 800465c8 + 80034580: 7c9060ef jal ra,8003b548 + 80034584: f55ff06f j 800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + 80034588: f4099ee3 bnez s3,800344e4 <_Z21FCEUI_LoadGameVirtualPKcib+0x1a4> + 8003458c: 00813783 ld a5,8(sp) + 80034590: 7e47a783 lw a5,2020(a5) + 80034594: f40798e3 bnez a5,800344e4 <_Z21FCEUI_LoadGameVirtualPKcib+0x1a4> + 80034598: 00090593 mv a1,s2 + 8003459c: 00012517 auipc a0,0x12 + 800345a0: 00450513 addi a0,a0,4 # 800465a0 + 800345a4: 7a5060ef jal ra,8003b548 + 800345a8: f3dff06f j 800344e4 <_Z21FCEUI_LoadGameVirtualPKcib+0x1a4> + 800345ac: c65ff0ef jal ra,80034210 <_Z16FCEU_ResetVidSysv> + 800345b0: eddff06f j 8003448c <_Z21FCEUI_LoadGameVirtualPKcib+0x14c> + 800345b4: 00012517 auipc a0,0x12 + 800345b8: 04450513 addi a0,a0,68 # 800465f8 + 800345bc: 78d060ef jal ra,8003b548 + 800345c0: f09ff06f j 800344c8 <_Z21FCEUI_LoadGameVirtualPKcib+0x188> + 800345c4: 000a4783 lbu a5,0(s4) + 800345c8: f80784e3 beqz a5,80034550 <_Z21FCEUI_LoadGameVirtualPKcib+0x210> + 800345cc: f0dff06f j 800344d8 <_Z21FCEUI_LoadGameVirtualPKcib+0x198> + +00000000800345d0 <_Z14FCEUI_LoadGamePKcib>: + 800345d0: d71ff06f j 80034340 <_Z21FCEUI_LoadGameVirtualPKcib> + +00000000800345d4 <_Z22FCEUI_SetRenderedLinesiiii>: + 800345d4: 00194797 auipc a5,0x194 + 800345d8: 70c78793 addi a5,a5,1804 # 801c8ce0 + 800345dc: 02a7a623 sw a0,44(a5) + 800345e0: 02b7aa23 sw a1,52(a5) + 800345e4: 02c7a823 sw a2,48(a5) + 800345e8: 02d7ac23 sw a3,56(a5) + 800345ec: 00198717 auipc a4,0x198 + 800345f0: 07474703 lbu a4,116(a4) # 801cc660 + 800345f4: 00071863 bnez a4,80034604 <_Z22FCEUI_SetRenderedLinesiiii+0x30> + 800345f8: 00197717 auipc a4,0x197 + 800345fc: 62072703 lw a4,1568(a4) # 801cbc18 + 80034600: 00070863 beqz a4,80034610 <_Z22FCEUI_SetRenderedLinesiiii+0x3c> + 80034604: 02c7a223 sw a2,36(a5) + 80034608: 02d7a423 sw a3,40(a5) + 8003460c: 00008067 ret + 80034610: 02a7a223 sw a0,36(a5) + 80034614: 02b7a423 sw a1,40(a5) + 80034618: 00008067 ret + +000000008003461c <_Z18FCEUI_SetVidSystemi>: + 8003461c: 00a03533 snez a0,a0 + 80034620: 00194797 auipc a5,0x194 + 80034624: 6ca7a023 sw a0,1728(a5) # 801c8ce0 + 80034628: 00198797 auipc a5,0x198 + 8003462c: 0207b783 ld a5,32(a5) # 801cc648 + 80034630: 02078063 beqz a5,80034650 <_Z18FCEUI_SetVidSystemi+0x34> + 80034634: ff010113 addi sp,sp,-16 + 80034638: 00113423 sd ra,8(sp) + 8003463c: bd5ff0ef jal ra,80034210 <_Z16FCEU_ResetVidSysv> + 80034640: b7ccc0ef jal ra,800009bc <_Z17FCEU_ResetPalettev> + 80034644: 00813083 ld ra,8(sp) + 80034648: 01010113 addi sp,sp,16 + 8003464c: b78da06f j 8000e9c4 <_Z18FCEUD_VideoChangedv> + 80034650: 00008067 ret + +0000000080034654 <_Z15FCEUI_SetRegionii>: + 80034654: 00100793 li a5,1 + 80034658: 0cf50c63 beq a0,a5,80034730 <_Z15FCEUI_SetRegionii+0xdc> + 8003465c: 00200713 li a4,2 + 80034660: 0ae50663 beq a0,a4,8003470c <_Z15FCEUI_SetRegionii+0xb8> + 80034664: 08050463 beqz a0,800346ec <_Z15FCEUI_SetRegionii+0x98> + 80034668: 00198717 auipc a4,0x198 + 8003466c: 02070713 addi a4,a4,32 # 801cc688 + 80034670: 00072683 lw a3,0(a4) + 80034674: 00197517 auipc a0,0x197 + 80034678: 5b452503 lw a0,1460(a0) # 801cbc28 + 8003467c: 00a03533 snez a0,a0 + 80034680: 00198797 auipc a5,0x198 + 80034684: 1147a783 lw a5,276(a5) # 801cc794 + 80034688: 00d787bb addw a5,a5,a3 + 8003468c: 00f72023 sw a5,0(a4) + 80034690: 00198697 auipc a3,0x198 + 80034694: ffc6c683 lbu a3,-4(a3) # 801cc68c + 80034698: 0007871b sext.w a4,a5 + 8003469c: 00068863 beqz a3,800346ac <_Z15FCEUI_SetRegionii+0x58> + 800346a0: 00198717 auipc a4,0x198 + 800346a4: ff072703 lw a4,-16(a4) # 801cc690 + 800346a8: 00f7073b addw a4,a4,a5 + 800346ac: 00198797 auipc a5,0x198 + 800346b0: fee7aa23 sw a4,-12(a5) # 801cc6a0 + 800346b4: 00194797 auipc a5,0x194 + 800346b8: 62a7a623 sw a0,1580(a5) # 801c8ce0 + 800346bc: 00198797 auipc a5,0x198 + 800346c0: f8c7b783 ld a5,-116(a5) # 801cc648 + 800346c4: 02078263 beqz a5,800346e8 <_Z15FCEUI_SetRegionii+0x94> + 800346c8: ff010113 addi sp,sp,-16 + 800346cc: 00113423 sd ra,8(sp) + 800346d0: b41ff0ef jal ra,80034210 <_Z16FCEU_ResetVidSysv> + 800346d4: ae8cc0ef jal ra,800009bc <_Z17FCEU_ResetPalettev> + 800346d8: aecda0ef jal ra,8000e9c4 <_Z18FCEUD_VideoChangedv> + 800346dc: 00813083 ld ra,8(sp) + 800346e0: 01010113 addi sp,sp,16 + 800346e4: 80dd906f j 8000def0 <_Z18RefreshThrottleFPSv> + 800346e8: 809d906f j 8000def0 <_Z18RefreshThrottleFPSv> + 800346ec: 00197797 auipc a5,0x197 + 800346f0: 5207ae23 sw zero,1340(a5) # 801cbc28 + 800346f4: 00197797 auipc a5,0x197 + 800346f8: 5207a223 sw zero,1316(a5) # 801cbc18 + 800346fc: 0f000693 li a3,240 + 80034700: 00198717 auipc a4,0x198 + 80034704: f8870713 addi a4,a4,-120 # 801cc688 + 80034708: f79ff06f j 80034680 <_Z15FCEUI_SetRegionii+0x2c> + 8003470c: 00197717 auipc a4,0x197 + 80034710: 50072e23 sw zero,1308(a4) # 801cbc28 + 80034714: 00197717 auipc a4,0x197 + 80034718: 50f72223 sw a5,1284(a4) # 801cbc18 + 8003471c: 00000513 li a0,0 + 80034720: 12200693 li a3,290 + 80034724: 00198717 auipc a4,0x198 + 80034728: f6470713 addi a4,a4,-156 # 801cc688 + 8003472c: f55ff06f j 80034680 <_Z15FCEUI_SetRegionii+0x2c> + 80034730: 00197797 auipc a5,0x197 + 80034734: 4ea7ac23 sw a0,1272(a5) # 801cbc28 + 80034738: 00197797 auipc a5,0x197 + 8003473c: 4e07a023 sw zero,1248(a5) # 801cbc18 + 80034740: 0f000693 li a3,240 + 80034744: 00198717 auipc a4,0x198 + 80034748: f4470713 addi a4,a4,-188 # 801cc688 + 8003474c: f35ff06f j 80034680 <_Z15FCEUI_SetRegionii+0x2c> + +0000000080034750 <_Z19FCEUI_GetDesiredFPSv>: + 80034750: 00198797 auipc a5,0x198 + 80034754: f107c783 lbu a5,-240(a5) # 801cc660 + 80034758: 03200513 li a0,50 + 8003475c: 00079863 bnez a5,8003476c <_Z19FCEUI_GetDesiredFPSv+0x1c> + 80034760: 00197797 auipc a5,0x197 + 80034764: 4b87a783 lw a5,1208(a5) # 801cbc18 + 80034768: 00078463 beqz a5,80034770 <_Z19FCEUI_GetDesiredFPSv+0x20> + 8003476c: 00008067 ret + 80034770: 03c00513 li a0,60 + 80034774: 00008067 ret + +0000000080034778 <_Z21FCEUI_EmulationPausedv>: + 80034778: 00198517 auipc a0,0x198 + 8003477c: ec852503 lw a0,-312(a0) # 801cc640 + 80034780: 00157513 andi a0,a0,1 + 80034784: 00008067 ret + +0000000080034788 <_ZL5B2002jh>: + 80034788: 00198797 auipc a5,0x198 + 8003478c: f6b78ea3 sb a1,-131(a5) # 801cc705 + 80034790: 00008067 ret + +0000000080034794 <_ZL5B2003jh>: + 80034794: 0075f793 andi a5,a1,7 + 80034798: 00198717 auipc a4,0x198 + 8003479c: f6b706a3 sb a1,-147(a4) # 801cc705 + 800347a0: 00198717 auipc a4,0x198 + 800347a4: f6b701a3 sb a1,-157(a4) # 801cc703 + 800347a8: 00198717 auipc a4,0x198 + 800347ac: f4f70fa3 sb a5,-161(a4) # 801cc707 + 800347b0: 00008067 ret + +00000000800347b4 <_ZL5B4014jh>: + 800347b4: fd010113 addi sp,sp,-48 + 800347b8: 00913c23 sd s1,24(sp) + 800347bc: 01213823 sd s2,16(sp) + 800347c0: 000024b7 lui s1,0x2 + 800347c4: 0085991b slliw s2,a1,0x8 + 800347c8: 02813023 sd s0,32(sp) + 800347cc: 01313423 sd s3,8(sp) + 800347d0: 02113423 sd ra,40(sp) + 800347d4: 00058993 mv s3,a1 + 800347d8: 00859413 slli s0,a1,0x8 + 800347dc: 1009091b addiw s2,s2,256 + 800347e0: 00448493 addi s1,s1,4 # 2004 <_entry_offset+0x2004> + 800347e4: 00040513 mv a0,s0 + 800347e8: c50cc0ef jal ra,80000c38 <_Z9X6502_DMRj> + 800347ec: 00050593 mv a1,a0 + 800347f0: 0014041b addiw s0,s0,1 + 800347f4: 00048513 mv a0,s1 + 800347f8: cd0cc0ef jal ra,80000cc8 <_Z9X6502_DMWjh> + 800347fc: ff2414e3 bne s0,s2,800347e4 <_ZL5B4014jh+0x30> + 80034800: 02813083 ld ra,40(sp) + 80034804: 02013403 ld s0,32(sp) + 80034808: 00198797 auipc a5,0x198 + 8003480c: f1378823 sb s3,-240(a5) # 801cc718 + 80034810: 01813483 ld s1,24(sp) + 80034814: 01013903 ld s2,16(sp) + 80034818: 00813983 ld s3,8(sp) + 8003481c: 03010113 addi sp,sp,48 + 80034820: 00008067 ret + +0000000080034824 <_Z22FFCEUX_PPURead_Defaultj>: + 80034824: ff010113 addi sp,sp,-16 + 80034828: 00813023 sd s0,0(sp) + 8003482c: 00113423 sd ra,8(sp) + 80034830: 00198797 auipc a5,0x198 + 80034834: ed87b783 ld a5,-296(a5) # 801cc708 + 80034838: 00050413 mv s0,a0 + 8003483c: 00078463 beqz a5,80034844 <_Z22FFCEUX_PPURead_Defaultj+0x20> + 80034840: 000780e7 jalr a5 + 80034844: 000027b7 lui a5,0x2 + 80034848: 08f46263 bltu s0,a5,800348cc <_Z22FFCEUX_PPURead_Defaultj+0xa8> + 8003484c: 000047b7 lui a5,0x4 + 80034850: eff78793 addi a5,a5,-257 # 3eff <_entry_offset+0x3eff> + 80034854: 0287ee63 bltu a5,s0,80034890 <_Z22FFCEUX_PPURead_Defaultj+0x6c> + 80034858: 00a4579b srliw a5,s0,0xa + 8003485c: 0037f793 andi a5,a5,3 + 80034860: 00379793 slli a5,a5,0x3 + 80034864: 00197717 auipc a4,0x197 + 80034868: 25c70713 addi a4,a4,604 # 801cbac0 + 8003486c: 00f707b3 add a5,a4,a5 + 80034870: 0007b503 ld a0,0(a5) + 80034874: 3ff47413 andi s0,s0,1023 + 80034878: 00850433 add s0,a0,s0 + 8003487c: 00044503 lbu a0,0(s0) + 80034880: 00813083 ld ra,8(sp) + 80034884: 00013403 ld s0,0(sp) + 80034888: 01010113 addi sp,sp,16 + 8003488c: 00008067 ret + 80034890: 00198717 auipc a4,0x198 + 80034894: e7174703 lbu a4,-399(a4) # 801cc701 + 80034898: 00347793 andi a5,s0,3 + 8003489c: 00177713 andi a4,a4,1 + 800348a0: 06079263 bnez a5,80034904 <_Z22FFCEUX_PPURead_Defaultj+0xe0> + 800348a4: 00c47793 andi a5,s0,12 + 800348a8: 06079e63 bnez a5,80034924 <_Z22FFCEUX_PPURead_Defaultj+0x100> + 800348ac: 00195517 auipc a0,0x195 + 800348b0: 47c54503 lbu a0,1148(a0) # 801c9d28 + 800348b4: fc0706e3 beqz a4,80034880 <_Z22FFCEUX_PPURead_Defaultj+0x5c> + 800348b8: 03057513 andi a0,a0,48 + 800348bc: 00813083 ld ra,8(sp) + 800348c0: 00013403 ld s0,0(sp) + 800348c4: 01010113 addi sp,sp,16 + 800348c8: 00008067 ret + 800348cc: 00a4579b srliw a5,s0,0xa + 800348d0: 00379713 slli a4,a5,0x3 + 800348d4: 0008e797 auipc a5,0x8e + 800348d8: 46c78793 addi a5,a5,1132 # 800c2d40 + 800348dc: 00e787b3 add a5,a5,a4 + 800348e0: 0007b503 ld a0,0(a5) + 800348e4: 02041413 slli s0,s0,0x20 + 800348e8: 02045413 srli s0,s0,0x20 + 800348ec: 00850433 add s0,a0,s0 + 800348f0: 00044503 lbu a0,0(s0) + 800348f4: 00813083 ld ra,8(sp) + 800348f8: 00013403 ld s0,0(sp) + 800348fc: 01010113 addi sp,sp,16 + 80034900: 00008067 ret + 80034904: 01f47413 andi s0,s0,31 + 80034908: 00195797 auipc a5,0x195 + 8003490c: 42078793 addi a5,a5,1056 # 801c9d28 + 80034910: 00878433 add s0,a5,s0 + 80034914: 00044503 lbu a0,0(s0) + 80034918: f60704e3 beqz a4,80034880 <_Z22FFCEUX_PPURead_Defaultj+0x5c> + 8003491c: 03057513 andi a0,a0,48 + 80034920: f9dff06f j 800348bc <_Z22FFCEUX_PPURead_Defaultj+0x98> + 80034924: 0024579b srliw a5,s0,0x2 + 80034928: 0037f793 andi a5,a5,3 + 8003492c: fff7879b addiw a5,a5,-1 + 80034930: 02079793 slli a5,a5,0x20 + 80034934: 0207d793 srli a5,a5,0x20 + 80034938: 00198697 auipc a3,0x198 + 8003493c: de868693 addi a3,a3,-536 # 801cc720 + 80034940: 00f687b3 add a5,a3,a5 + 80034944: 0007c503 lbu a0,0(a5) + 80034948: f2070ce3 beqz a4,80034880 <_Z22FFCEUX_PPURead_Defaultj+0x5c> + 8003494c: 03057513 andi a0,a0,48 + 80034950: f6dff06f j 800348bc <_Z22FFCEUX_PPURead_Defaultj+0x98> + +0000000080034954 <_ZL5B2004jh>: + 80034954: 00198717 auipc a4,0x198 + 80034958: dac70713 addi a4,a4,-596 # 801cc700 + 8003495c: 00198797 auipc a5,0x198 + 80034960: dab784a3 sb a1,-599(a5) # 801cc705 + 80034964: 00198697 auipc a3,0x198 + 80034968: e306a683 lw a3,-464(a3) # 801cc794 + 8003496c: 00374783 lbu a5,3(a4) + 80034970: 02068863 beqz a3,800349a0 <_ZL5B2004jh+0x4c> + 80034974: 0037f613 andi a2,a5,3 + 80034978: 00200693 li a3,2 + 8003497c: 0007851b sext.w a0,a5 + 80034980: 08d60063 beq a2,a3,80034a00 <_ZL5B2004jh+0xac> + 80034984: 00196697 auipc a3,0x196 + 80034988: bc468693 addi a3,a3,-1084 # 801ca548 + 8003498c: 00a686b3 add a3,a3,a0 + 80034990: 0017879b addiw a5,a5,1 + 80034994: 00b68023 sb a1,0(a3) + 80034998: 00f701a3 sb a5,3(a4) + 8003499c: 00008067 ret + 800349a0: 00198617 auipc a2,0x198 + 800349a4: d6760613 addi a2,a2,-665 # 801cc707 + 800349a8: 00064683 lbu a3,0(a2) + 800349ac: 00700513 li a0,7 + 800349b0: 02d56463 bltu a0,a3,800349d8 <_ZL5B2004jh+0x84> + 800349b4: 00196517 auipc a0,0x196 + 800349b8: b9450513 addi a0,a0,-1132 # 801ca548 + 800349bc: 00d50533 add a0,a0,a3 + 800349c0: 00b50023 sb a1,0(a0) + 800349c4: 0017879b addiw a5,a5,1 + 800349c8: 0016869b addiw a3,a3,1 + 800349cc: 00f701a3 sb a5,3(a4) + 800349d0: 00d60023 sb a3,0(a2) + 800349d4: 00008067 ret + 800349d8: fef576e3 bgeu a0,a5,800349c4 <_ZL5B2004jh+0x70> + 800349dc: 00196517 auipc a0,0x196 + 800349e0: b6c50513 addi a0,a0,-1172 # 801ca548 + 800349e4: 00f50533 add a0,a0,a5 + 800349e8: 0016869b addiw a3,a3,1 + 800349ec: 0017879b addiw a5,a5,1 + 800349f0: 00b50023 sb a1,0(a0) + 800349f4: 00f701a3 sb a5,3(a4) + 800349f8: 00d60023 sb a3,0(a2) + 800349fc: 00008067 ret + 80034a00: 00196697 auipc a3,0x196 + 80034a04: b4868693 addi a3,a3,-1208 # 801ca548 + 80034a08: 0e35f593 andi a1,a1,227 + 80034a0c: 00a686b3 add a3,a3,a0 + 80034a10: 0017879b addiw a5,a5,1 + 80034a14: 00b68023 sb a1,0(a3) + 80034a18: 00f701a3 sb a5,3(a4) + 80034a1c: 00008067 ret + +0000000080034a20 <_ZL14CheckSpriteHiti>: + 80034a20: 00198f97 auipc t6,0x198 + 80034a24: d38f8f93 addi t6,t6,-712 # 801cc758 <_ZL6sphitx> + 80034a28: 000fa803 lw a6,0(t6) + 80034a2c: 10000793 li a5,256 + 80034a30: ff05071b addiw a4,a0,-16 + 80034a34: 06f80e63 beq a6,a5,80034ab0 <_ZL14CheckSpriteHiti+0x90> + 80034a38: 06e85c63 bge a6,a4,80034ab0 <_ZL14CheckSpriteHiti+0x90> + 80034a3c: 410505bb subw a1,a0,a6 + 80034a40: fef5859b addiw a1,a1,-17 + 80034a44: 02059593 slli a1,a1,0x20 + 80034a48: 00198317 auipc t1,0x198 + 80034a4c: d1834303 lbu t1,-744(t1) # 801cc760 <_ZL9sphitdata> + 80034a50: 00198897 auipc a7,0x198 + 80034a54: d008b883 ld a7,-768(a7) # 801cc750 <_ZL6Plinef> + 80034a58: 00080e9b sext.w t4,a6 + 80034a5c: 0205d593 srli a1,a1,0x20 + 80034a60: 00000793 li a5,0 + 80034a64: 08000513 li a0,128 + 80034a68: 0fe00f13 li t5,254 + 80034a6c: 00700e13 li t3,7 + 80034a70: 00f80733 add a4,a6,a5 + 80034a74: 00e886b3 add a3,a7,a4 + 80034a78: 40f5573b sraw a4,a0,a5 + 80034a7c: 00e37733 and a4,t1,a4 + 80034a80: 0007861b sext.w a2,a5 + 80034a84: 00070c63 beqz a4,80034a9c <_ZL14CheckSpriteHiti+0x7c> + 80034a88: 0006c703 lbu a4,0(a3) + 80034a8c: 01d6063b addw a2,a2,t4 + 80034a90: 04077713 andi a4,a4,64 + 80034a94: 00071463 bnez a4,80034a9c <_ZL14CheckSpriteHiti+0x7c> + 80034a98: 00cf5e63 bge t5,a2,80034ab4 <_ZL14CheckSpriteHiti+0x94> + 80034a9c: 01c78a63 beq a5,t3,80034ab0 <_ZL14CheckSpriteHiti+0x90> + 80034aa0: 00178713 addi a4,a5,1 + 80034aa4: 00b78663 beq a5,a1,80034ab0 <_ZL14CheckSpriteHiti+0x90> + 80034aa8: 00070793 mv a5,a4 + 80034aac: fc5ff06f j 80034a70 <_ZL14CheckSpriteHiti+0x50> + 80034ab0: 00008067 ret + 80034ab4: 00198717 auipc a4,0x198 + 80034ab8: c4c70713 addi a4,a4,-948 # 801cc700 + 80034abc: 00274783 lbu a5,2(a4) + 80034ac0: 10000693 li a3,256 + 80034ac4: 00dfa023 sw a3,0(t6) + 80034ac8: 0407e793 ori a5,a5,64 + 80034acc: 00f70123 sb a5,2(a4) + 80034ad0: 00008067 ret + +0000000080034ad4 <_ZL6Fixit1v.part.0>: + 80034ad4: 00198617 auipc a2,0x198 + 80034ad8: c4060613 addi a2,a2,-960 # 801cc714 + 80034adc: 00062683 lw a3,0(a2) + 80034ae0: 000077b7 lui a5,0x7 + 80034ae4: 000075b7 lui a1,0x7 + 80034ae8: 00f6f733 and a4,a3,a5 + 80034aec: 0007071b sext.w a4,a4 + 80034af0: 00b70a63 beq a4,a1,80034b04 <_ZL6Fixit1v.part.0+0x30> + 80034af4: 000017b7 lui a5,0x1 + 80034af8: 00d787bb addw a5,a5,a3 + 80034afc: 00f62023 sw a5,0(a2) + 80034b00: 00008067 ret + 80034b04: 00f6c7b3 xor a5,a3,a5 + 80034b08: 3e07f593 andi a1,a5,992 + 80034b0c: 3a000513 li a0,928 + 80034b10: 0007879b sext.w a5,a5 + 80034b14: 02a58063 beq a1,a0,80034b34 <_ZL6Fixit1v.part.0+0x60> + 80034b18: 3e000513 li a0,992 + 80034b1c: 0207879b addiw a5,a5,32 + 80034b20: fca59ee3 bne a1,a0,80034afc <_ZL6Fixit1v.part.0+0x28> + 80034b24: 3e070713 addi a4,a4,992 + 80034b28: 00e6c733 xor a4,a3,a4 + 80034b2c: 0007079b sext.w a5,a4 + 80034b30: fcdff06f j 80034afc <_ZL6Fixit1v.part.0+0x28> + 80034b34: 000087b7 lui a5,0x8 + 80034b38: ba078793 addi a5,a5,-1120 # 7ba0 <_entry_offset+0x7ba0> + 80034b3c: 00f6c7b3 xor a5,a3,a5 + 80034b40: 00f62023 sw a5,0(a2) + 80034b44: 00008067 ret + +0000000080034b48 <_ZL11RefreshLinei>: + 80034b48: f8010113 addi sp,sp,-128 + 80034b4c: 06913423 sd s1,104(sp) + 80034b50: 05513423 sd s5,72(sp) + 80034b54: 06113c23 sd ra,120(sp) + 80034b58: 06813823 sd s0,112(sp) + 80034b5c: 07213023 sd s2,96(sp) + 80034b60: 05313c23 sd s3,88(sp) + 80034b64: 05413823 sd s4,80(sp) + 80034b68: 05613023 sd s6,64(sp) + 80034b6c: 03713c23 sd s7,56(sp) + 80034b70: 03813823 sd s8,48(sp) + 80034b74: 03913423 sd s9,40(sp) + 80034b78: 03a13023 sd s10,32(sp) + 80034b7c: 01b13c23 sd s11,24(sp) + 80034b80: 00198717 auipc a4,0x198 + 80034b84: bd872703 lw a4,-1064(a4) # 801cc758 <_ZL6sphitx> + 80034b88: 10000793 li a5,256 + 80034b8c: 00050493 mv s1,a0 + 80034b90: 40355a93 srai s5,a0,0x3 + 80034b94: 00f70a63 beq a4,a5,80034ba8 <_ZL11RefreshLinei+0x60> + 80034b98: 00198797 auipc a5,0x198 + 80034b9c: b6a7c783 lbu a5,-1174(a5) # 801cc702 + 80034ba0: 0407f793 andi a5,a5,64 + 80034ba4: 1e078863 beqz a5,80034d94 <_ZL11RefreshLinei+0x24c> + 80034ba8: 02200793 li a5,34 + 80034bac: 000a8613 mv a2,s5 + 80034bb0: 0157d463 bge a5,s5,80034bb8 <_ZL11RefreshLinei+0x70> + 80034bb4: 02200613 li a2,34 + 80034bb8: 00198b17 auipc s6,0x198 + 80034bbc: ba4b0b13 addi s6,s6,-1116 # 801cc75c <_ZL9firsttile> + 80034bc0: 000b2383 lw t2,0(s6) + 80034bc4: 0006091b sext.w s2,a2 + 80034bc8: 407607bb subw a5,a2,t2 + 80034bcc: 00f13023 sd a5,0(sp) + 80034bd0: 00078613 mv a2,a5 + 80034bd4: 18f05263 blez a5,80034d58 <_ZL11RefreshLinei+0x210> + 80034bd8: 00198797 auipc a5,0x198 + 80034bdc: b307b783 ld a5,-1232(a5) # 801cc708 + 80034be0: 54079e63 bnez a5,8003513c <_ZL11RefreshLinei+0x5f4> + 80034be4: 00198797 auipc a5,0x198 + 80034be8: b187a783 lw a5,-1256(a5) # 801cc6fc + 80034bec: 58079063 bnez a5,8003516c <_ZL11RefreshLinei+0x624> + 80034bf0: 00198797 auipc a5,0x198 + 80034bf4: ae47a783 lw a5,-1308(a5) # 801cc6d4 + 80034bf8: 56079663 bnez a5,80035164 <_ZL11RefreshLinei+0x61c> + 80034bfc: 00198797 auipc a5,0x198 + 80034c00: b147a783 lw a5,-1260(a5) # 801cc710 + 80034c04: 54079c63 bnez a5,8003515c <_ZL11RefreshLinei+0x614> + 80034c08: 00198b97 auipc s7,0x198 + 80034c0c: af8b8b93 addi s7,s7,-1288 # 801cc700 + 80034c10: 00195e17 auipc t3,0x195 + 80034c14: 118e0e13 addi t3,t3,280 # 801c9d28 + 80034c18: 001bc883 lbu a7,1(s7) + 80034c1c: 000e4283 lbu t0,0(t3) + 80034c20: 0018f793 andi a5,a7,1 + 80034c24: 0182959b slliw a1,t0,0x18 + 80034c28: 4185d59b sraiw a1,a1,0x18 + 80034c2c: 00078463 beqz a5,80034c34 <_ZL11RefreshLinei+0xec> + 80034c30: 0305f593 andi a1,a1,48 + 80034c34: 00198717 auipc a4,0x198 + 80034c38: b0470713 addi a4,a4,-1276 # 801cc738 <_ZL5Pline> + 80034c3c: 0405e593 ori a1,a1,64 + 80034c40: 00073403 ld s0,0(a4) + 80034c44: 0ff5f713 andi a4,a1,255 + 80034c48: 0188f793 andi a5,a7,24 + 80034c4c: 00e13423 sd a4,8(sp) + 80034c50: 0c078263 beqz a5,80034d14 <_ZL11RefreshLinei+0x1cc> + 80034c54: 00198717 auipc a4,0x198 + 80034c58: ac070713 addi a4,a4,-1344 # 801cc714 + 80034c5c: 00198797 auipc a5,0x198 + 80034c60: acc7a783 lw a5,-1332(a5) # 801cc728 <_ZL16PALcache_outdate> + 80034c64: 00072703 lw a4,0(a4) + 80034c68: 000bcc03 lbu s8,0(s7) + 80034c6c: 16078863 beqz a5,80034ddc <_ZL11RefreshLinei+0x294> + 80034c70: 004e4a03 lbu s4,4(t3) + 80034c74: 008e4983 lbu s3,8(t3) + 80034c78: 00ce4083 lbu ra,12(t3) + 80034c7c: 0402ee93 ori t4,t0,64 + 80034c80: 040a6613 ori a2,s4,64 + 80034c84: 0400e793 ori a5,ra,64 + 80034c88: 0409e693 ori a3,s3,64 + 80034c8c: 00fe0623 sb a5,12(t3) + 80034c90: 01de0023 sb t4,0(t3) + 80034c94: 00ce0223 sb a2,4(t3) + 80034c98: 00de0423 sb a3,8(t3) + 80034c9c: 00195e17 auipc t3,0x195 + 80034ca0: 08ce0e13 addi t3,t3,140 # 801c9d28 + 80034ca4: 00196317 auipc t1,0x196 + 80034ca8: 5ac30313 addi t1,t1,1452 # 801cb250 <_ZL8PALcache> + 80034cac: 000e0813 mv a6,t3 + 80034cb0: 000e8793 mv a5,t4 + 80034cb4: 00000513 li a0,0 + 80034cb8: 00195f97 auipc t6,0x195 + 80034cbc: 080f8f93 addi t6,t6,128 # 801c9d38 + 80034cc0: 10000f13 li t5,256 + 80034cc4: 0087979b slliw a5,a5,0x8 + 80034cc8: 0107979b slliw a5,a5,0x10 + 80034ccc: 4107d79b sraiw a5,a5,0x10 + 80034cd0: 00195617 auipc a2,0x195 + 80034cd4: 05960613 addi a2,a2,89 # 801c9d29 + 80034cd8: 00030593 mv a1,t1 + 80034cdc: 000e8693 mv a3,t4 + 80034ce0: 00c0006f j 80034cec <_ZL11RefreshLinei+0x1a4> + 80034ce4: 00064683 lbu a3,0(a2) + 80034ce8: 00160613 addi a2,a2,1 + 80034cec: 00d7e6b3 or a3,a5,a3 + 80034cf0: 00d59023 sh a3,0(a1) # 7000 <_entry_offset+0x7000> + 80034cf4: 00258593 addi a1,a1,2 + 80034cf8: fecf96e3 bne t6,a2,80034ce4 <_ZL11RefreshLinei+0x19c> + 80034cfc: 0105051b addiw a0,a0,16 + 80034d00: 00180813 addi a6,a6,1 + 80034d04: 02030313 addi t1,t1,32 + 80034d08: 0be50663 beq a0,t5,80034db4 <_ZL11RefreshLinei+0x26c> + 80034d0c: 00084783 lbu a5,0(a6) + 80034d10: fb5ff06f j 80034cc4 <_ZL11RefreshLinei+0x17c> + 80034d14: 0036199b slliw s3,a2,0x3 + 80034d18: 00098613 mv a2,s3 + 80034d1c: 00070593 mv a1,a4 + 80034d20: 00040513 mv a0,s0 + 80034d24: 505060ef jal ra,8003ba28 + 80034d28: 00198797 auipc a5,0x198 + 80034d2c: a1078793 addi a5,a5,-1520 # 801cc738 <_ZL5Pline> + 80034d30: 01340633 add a2,s0,s3 + 80034d34: 00c7b023 sd a2,0(a5) + 80034d38: 012b2023 sw s2,0(s6) + 80034d3c: 10b00793 li a5,267 + 80034d40: 0097dc63 bge a5,s1,80034d58 <_ZL11RefreshLinei+0x210> + 80034d44: 00198797 auipc a5,0x198 + 80034d48: a047a783 lw a5,-1532(a5) # 801cc748 <_ZL5tofix> + 80034d4c: 00078663 beqz a5,80034d58 <_ZL11RefreshLinei+0x210> + 80034d50: 00198797 auipc a5,0x198 + 80034d54: 9e07ac23 sw zero,-1544(a5) # 801cc748 <_ZL5tofix> + 80034d58: 07813083 ld ra,120(sp) + 80034d5c: 07013403 ld s0,112(sp) + 80034d60: 06813483 ld s1,104(sp) + 80034d64: 06013903 ld s2,96(sp) + 80034d68: 05813983 ld s3,88(sp) + 80034d6c: 05013a03 ld s4,80(sp) + 80034d70: 04813a83 ld s5,72(sp) + 80034d74: 04013b03 ld s6,64(sp) + 80034d78: 03813b83 ld s7,56(sp) + 80034d7c: 03013c03 ld s8,48(sp) + 80034d80: 02813c83 ld s9,40(sp) + 80034d84: 02013d03 ld s10,32(sp) + 80034d88: 01813d83 ld s11,24(sp) + 80034d8c: 08010113 addi sp,sp,128 + 80034d90: 00008067 ret + 80034d94: ff05079b addiw a5,a0,-16 + 80034d98: e0f758e3 bge a4,a5,80034ba8 <_ZL11RefreshLinei+0x60> + 80034d9c: 4035569b sraiw a3,a0,0x3 + 80034da0: ffe6879b addiw a5,a3,-2 + 80034da4: 0037979b slliw a5,a5,0x3 + 80034da8: e0f740e3 blt a4,a5,80034ba8 <_ZL11RefreshLinei+0x60> + 80034dac: 00168a9b addiw s5,a3,1 + 80034db0: df9ff06f j 80034ba8 <_ZL11RefreshLinei+0x60> + 80034db4: 03f2f293 andi t0,t0,63 + 80034db8: 03fa7a13 andi s4,s4,63 + 80034dbc: 03f9f993 andi s3,s3,63 + 80034dc0: 03f0f093 andi ra,ra,63 + 80034dc4: 005e0023 sb t0,0(t3) + 80034dc8: 014e0223 sb s4,4(t3) + 80034dcc: 013e0423 sb s3,8(t3) + 80034dd0: 001e0623 sb ra,12(t3) + 80034dd4: 00198797 auipc a5,0x198 + 80034dd8: 9407aa23 sw zero,-1708(a5) # 801cc728 <_ZL16PALcache_outdate> + 80034ddc: 00a7579b srliw a5,a4,0xa + 80034de0: 0037f793 andi a5,a5,3 + 80034de4: 00379793 slli a5,a5,0x3 + 80034de8: 00197697 auipc a3,0x197 + 80034dec: cd868693 addi a3,a3,-808 # 801cbac0 + 80034df0: 00f687b3 add a5,a3,a5 + 80034df4: 00377313 andi t1,a4,3 + 80034df8: 0007be83 ld t4,0(a5) + 80034dfc: 00030f13 mv t5,t1 + 80034e00: 02030863 beqz t1,80034e30 <_ZL11RefreshLinei+0x2e8> + 80034e04: 0047569b srliw a3,a4,0x4 + 80034e08: 0027579b srliw a5,a4,0x2 + 80034e0c: 0386f613 andi a2,a3,56 + 80034e10: 0077f793 andi a5,a5,7 + 80034e14: 00c7e7b3 or a5,a5,a2 + 80034e18: 3c07e793 ori a5,a5,960 + 80034e1c: 00fe87b3 add a5,t4,a5 + 80034e20: 0007c303 lbu t1,0(a5) + 80034e24: 0046f693 andi a3,a3,4 + 80034e28: 0023131b slliw t1,t1,0x2 + 80034e2c: 40d3533b sraw t1,t1,a3 + 80034e30: 2323d663 bge t2,s2,8003505c <_ZL11RefreshLinei+0x514> + 80034e34: 008c1f9b slliw t6,s8,0x8 + 80034e38: 000017b7 lui a5,0x1 + 80034e3c: 00ffffb3 and t6,t6,a5 + 80034e40: 00198797 auipc a5,0x198 + 80034e44: 93878793 addi a5,a5,-1736 # 801cc778 <_ZZL11RefreshLineiE6pshift> + 80034e48: 0007a603 lw a2,0(a5) + 80034e4c: 0047a683 lw a3,4(a5) + 80034e50: 00c75c1b srliw s8,a4,0xc + 80034e54: 00198797 auipc a5,0x198 + 80034e58: 92c78793 addi a5,a5,-1748 # 801cc780 <_ZZL11RefreshLineiE7atlatch> + 80034e5c: 007c7c13 andi s8,s8,7 + 80034e60: 0007a583 lw a1,0(a5) + 80034e64: 018fefb3 or t6,t6,s8 + 80034e68: 00198d97 auipc s11,0x198 + 80034e6c: 8bcdcd83 lbu s11,-1860(s11) # 801cc724 + 80034e70: 00800513 li a0,8 + 80034e74: 000f8f9b sext.w t6,t6 + 80034e78: 41b5053b subw a0,a0,s11 + 80034e7c: 00038893 mv a7,t2 + 80034e80: 0008ea17 auipc s4,0x8e + 80034e84: ec0a0a13 addi s4,s4,-320 # 800c2d40 + 80034e88: 00100993 li s3,1 + 80034e8c: 00196d17 auipc s10,0x196 + 80034e90: 9c4d0d13 addi s10,s10,-1596 # 801ca850 <_ZL7ppulut1> + 80034e94: 00196c97 auipc s9,0x196 + 80034e98: dbcc8c93 addi s9,s9,-580 # 801cac50 <_ZL7ppulut2> + 80034e9c: 00196c17 auipc s8,0x196 + 80034ea0: 1b4c0c13 addi s8,s8,436 # 801cb050 <_ZL7ppulut3> + 80034ea4: 00196e17 auipc t3,0x196 + 80034ea8: 3ace0e13 addi t3,t3,940 # 801cb250 <_ZL8PALcache> + 80034eac: 01f00093 li ra,31 + 80034eb0: 0b19d663 bge s3,a7,80034f5c <_ZL11RefreshLinei+0x414> + 80034eb4: 0035979b slliw a5,a1,0x3 + 80034eb8: 00a652bb srlw t0,a2,a0 + 80034ebc: 00a6d83b srlw a6,a3,a0 + 80034ec0: 00fde7b3 or a5,s11,a5 + 80034ec4: 0ff2f293 andi t0,t0,255 + 80034ec8: 0ff87813 andi a6,a6,255 + 80034ecc: 02079793 slli a5,a5,0x20 + 80034ed0: 00229293 slli t0,t0,0x2 + 80034ed4: 00281813 slli a6,a6,0x2 + 80034ed8: 0207d793 srli a5,a5,0x20 + 80034edc: 005d02b3 add t0,s10,t0 + 80034ee0: 010c8833 add a6,s9,a6 + 80034ee4: 00279793 slli a5,a5,0x2 + 80034ee8: 00082803 lw a6,0(a6) + 80034eec: 0002a283 lw t0,0(t0) + 80034ef0: 00fc07b3 add a5,s8,a5 + 80034ef4: 0007a783 lw a5,0(a5) + 80034ef8: 0102e2b3 or t0,t0,a6 + 80034efc: 00840413 addi s0,s0,8 + 80034f00: 00f2e7b3 or a5,t0,a5 + 80034f04: 0ff7f813 andi a6,a5,255 + 80034f08: 00181813 slli a6,a6,0x1 + 80034f0c: 010e0833 add a6,t3,a6 + 80034f10: 00085283 lhu t0,0(a6) + 80034f14: 0087d81b srliw a6,a5,0x8 + 80034f18: 0ff87813 andi a6,a6,255 + 80034f1c: 00181813 slli a6,a6,0x1 + 80034f20: fe541c23 sh t0,-8(s0) + 80034f24: 010e0833 add a6,t3,a6 + 80034f28: 00085283 lhu t0,0(a6) + 80034f2c: 0107d81b srliw a6,a5,0x10 + 80034f30: 0ff87813 andi a6,a6,255 + 80034f34: 00181813 slli a6,a6,0x1 + 80034f38: fe541d23 sh t0,-6(s0) + 80034f3c: 010e0833 add a6,t3,a6 + 80034f40: 00085803 lhu a6,0(a6) + 80034f44: 0187d79b srliw a5,a5,0x18 + 80034f48: 00179793 slli a5,a5,0x1 + 80034f4c: ff041e23 sh a6,-4(s0) + 80034f50: 00fe07b3 add a5,t3,a5 + 80034f54: 0007d783 lhu a5,0(a5) + 80034f58: fef41f23 sh a5,-2(s0) + 80034f5c: 3ff77793 andi a5,a4,1023 + 80034f60: 00fe87b3 add a5,t4,a5 + 80034f64: 0007c783 lbu a5,0(a5) + 80034f68: 0047979b slliw a5,a5,0x4 + 80034f6c: 01f787bb addw a5,a5,t6 + 80034f70: 020f1863 bnez t5,80034fa0 <_ZL11RefreshLinei+0x458> + 80034f74: 0047531b srliw t1,a4,0x4 + 80034f78: 0027581b srliw a6,a4,0x2 + 80034f7c: 03837f13 andi t5,t1,56 + 80034f80: 00787813 andi a6,a6,7 + 80034f84: 01e86833 or a6,a6,t5 + 80034f88: 3c086813 ori a6,a6,960 + 80034f8c: 010e8833 add a6,t4,a6 + 80034f90: 00084803 lbu a6,0(a6) + 80034f94: 00437313 andi t1,t1,4 + 80034f98: 0028181b slliw a6,a6,0x2 + 80034f9c: 4068533b sraw t1,a6,t1 + 80034fa0: 00a7d81b srliw a6,a5,0xa + 80034fa4: 02081813 slli a6,a6,0x20 + 80034fa8: 01d85813 srli a6,a6,0x1d + 80034fac: 010a0833 add a6,s4,a6 + 80034fb0: 00083f03 ld t5,0(a6) + 80034fb4: 02079793 slli a5,a5,0x20 + 80034fb8: 0207d793 srli a5,a5,0x20 + 80034fbc: 00ff07b3 add a5,t5,a5 + 80034fc0: 0007cf03 lbu t5,0(a5) + 80034fc4: 00277813 andi a6,a4,2 + 80034fc8: 0087c783 lbu a5,8(a5) + 80034fcc: 0103583b srlw a6,t1,a6 + 80034fd0: 00c87813 andi a6,a6,12 + 80034fd4: 0025d59b srliw a1,a1,0x2 + 80034fd8: 0086161b slliw a2,a2,0x8 + 80034fdc: 0086969b slliw a3,a3,0x8 + 80034fe0: 00b865b3 or a1,a6,a1 + 80034fe4: 01e66633 or a2,a2,t5 + 80034fe8: 00f6e6b3 or a3,a3,a5 + 80034fec: 01f77813 andi a6,a4,31 + 80034ff0: 0005859b sext.w a1,a1 + 80034ff4: 0006061b sext.w a2,a2 + 80034ff8: 0006869b sext.w a3,a3 + 80034ffc: 00180c63 beq a6,ra,80035014 <_ZL11RefreshLinei+0x4cc> + 80035000: 0018889b addiw a7,a7,1 + 80035004: 0017071b addiw a4,a4,1 + 80035008: 03190a63 beq s2,a7,8003503c <_ZL11RefreshLinei+0x4f4> + 8003500c: 00377f13 andi t5,a4,3 + 80035010: ea1ff06f j 80034eb0 <_ZL11RefreshLinei+0x368> + 80035014: 41f74713 xori a4,a4,1055 + 80035018: 00a7579b srliw a5,a4,0xa + 8003501c: 0037f793 andi a5,a5,3 + 80035020: 00379793 slli a5,a5,0x3 + 80035024: 00197817 auipc a6,0x197 + 80035028: a9c80813 addi a6,a6,-1380 # 801cbac0 + 8003502c: 00f807b3 add a5,a6,a5 + 80035030: 0018889b addiw a7,a7,1 + 80035034: 0007be83 ld t4,0(a5) + 80035038: fd191ae3 bne s2,a7,8003500c <_ZL11RefreshLinei+0x4c4> + 8003503c: 00197797 auipc a5,0x197 + 80035040: 73c78793 addi a5,a5,1852 # 801cc778 <_ZZL11RefreshLineiE6pshift> + 80035044: 001bc883 lbu a7,1(s7) + 80035048: 00c7a023 sw a2,0(a5) + 8003504c: 00d7a223 sw a3,4(a5) + 80035050: 00197797 auipc a5,0x197 + 80035054: 73078793 addi a5,a5,1840 # 801cc780 <_ZZL11RefreshLineiE7atlatch> + 80035058: 00b7a023 sw a1,0(a5) + 8003505c: 00197797 auipc a5,0x197 + 80035060: 6b878793 addi a5,a5,1720 # 801cc714 + 80035064: 00e7a023 sw a4,0(a5) + 80035068: 00200793 li a5,2 + 8003506c: 0477c263 blt a5,t2,800350b0 <_ZL11RefreshLinei+0x568> + 80035070: 0557d063 bge a5,s5,800350b0 <_ZL11RefreshLinei+0x568> + 80035074: 0028f793 andi a5,a7,2 + 80035078: 02079c63 bnez a5,800350b0 <_ZL11RefreshLinei+0x568> + 8003507c: 00813683 ld a3,8(sp) + 80035080: 0106971b slliw a4,a3,0x10 + 80035084: 0086979b slliw a5,a3,0x8 + 80035088: 00e7e7b3 or a5,a5,a4 + 8003508c: 00f6e7b3 or a5,a3,a5 + 80035090: 0186971b slliw a4,a3,0x18 + 80035094: 00e7e7b3 or a5,a5,a4 + 80035098: 0007879b sext.w a5,a5 + 8003509c: 00197717 auipc a4,0x197 + 800350a0: 6b473703 ld a4,1716(a4) # 801cc750 <_ZL6Plinef> + 800350a4: 00f72223 sw a5,4(a4) + 800350a8: 00f72023 sw a5,0(a4) + 800350ac: 001bc883 lbu a7,1(s7) + 800350b0: 0088f893 andi a7,a7,8 + 800350b4: 02089663 bnez a7,800350e0 <_ZL11RefreshLinei+0x598> + 800350b8: ffe3839b addiw t2,t2,-2 + 800350bc: 0603c663 bltz t2,80035128 <_ZL11RefreshLinei+0x5e0> + 800350c0: 00013783 ld a5,0(sp) + 800350c4: 00813583 ld a1,8(sp) + 800350c8: 0033939b slliw t2,t2,0x3 + 800350cc: 00197517 auipc a0,0x197 + 800350d0: 68453503 ld a0,1668(a0) # 801cc750 <_ZL6Plinef> + 800350d4: 0037961b slliw a2,a5,0x3 + 800350d8: 00750533 add a0,a0,t2 + 800350dc: 14d060ef jal ra,8003ba28 + 800350e0: 10b00793 li a5,267 + 800350e4: 0297d463 bge a5,s1,8003510c <_ZL11RefreshLinei+0x5c4> + 800350e8: 00197797 auipc a5,0x197 + 800350ec: 6607a783 lw a5,1632(a5) # 801cc748 <_ZL5tofix> + 800350f0: 00078e63 beqz a5,8003510c <_ZL11RefreshLinei+0x5c4> + 800350f4: 001bc783 lbu a5,1(s7) + 800350f8: 0187f793 andi a5,a5,24 + 800350fc: 00078463 beqz a5,80035104 <_ZL11RefreshLinei+0x5bc> + 80035100: 9d5ff0ef jal ra,80034ad4 <_ZL6Fixit1v.part.0> + 80035104: 00197797 auipc a5,0x197 + 80035108: 6407a223 sw zero,1604(a5) # 801cc748 <_ZL5tofix> + 8003510c: 00048513 mv a0,s1 + 80035110: 911ff0ef jal ra,80034a20 <_ZL14CheckSpriteHiti> + 80035114: 00197797 auipc a5,0x197 + 80035118: 62478793 addi a5,a5,1572 # 801cc738 <_ZL5Pline> + 8003511c: 0087b023 sd s0,0(a5) + 80035120: 012b2023 sw s2,0(s6) + 80035124: c35ff06f j 80034d58 <_ZL11RefreshLinei+0x210> + 80035128: ffe9079b addiw a5,s2,-2 + 8003512c: 00f13023 sd a5,0(sp) + 80035130: faf058e3 blez a5,800350e0 <_ZL11RefreshLinei+0x598> + 80035134: 00000393 li t2,0 + 80035138: f89ff06f j 800350c0 <_ZL11RefreshLinei+0x578> + 8003513c: 45f00613 li a2,1119 + 80035140: 00011597 auipc a1,0x11 + 80035144: 4e858593 addi a1,a1,1256 # 80046628 + 80035148: 00008517 auipc a0,0x8 + 8003514c: bc850513 addi a0,a0,-1080 # 8003cd10 <_etext+0x2c> + 80035150: 3f8060ef jal ra,8003b548 + 80035154: 00100513 li a0,1 + 80035158: 41d040ef jal ra,80039d74 + 8003515c: 46200613 li a2,1122 + 80035160: fe1ff06f j 80035140 <_ZL11RefreshLinei+0x5f8> + 80035164: 46100613 li a2,1121 + 80035168: fd9ff06f j 80035140 <_ZL11RefreshLinei+0x5f8> + 8003516c: 46000613 li a2,1120 + 80035170: fd1ff06f j 80035140 <_ZL11RefreshLinei+0x5f8> + +0000000080035174 <_Z18FCEUPPU_LineUpdatev.part.0>: + 80035174: 00197517 auipc a0,0x197 + 80035178: 9d852503 lw a0,-1576(a0) # 801cbb4c + 8003517c: 0015179b slliw a5,a0,0x1 + 80035180: 00a787bb addw a5,a5,a0 + 80035184: 0047979b slliw a5,a5,0x4 + 80035188: 00197517 auipc a0,0x197 + 8003518c: 60852503 lw a0,1544(a0) # 801cc790 + 80035190: 40a787bb subw a5,a5,a0 + 80035194: 00197717 auipc a4,0x197 + 80035198: 4cc74703 lbu a4,1228(a4) # 801cc660 + 8003519c: 0047d51b srliw a0,a5,0x4 + 800351a0: 02070663 beqz a4,800351cc <_Z18FCEUPPU_LineUpdatev.part.0+0x58> + 800351a4: 02079513 slli a0,a5,0x20 + 800351a8: ff010113 addi sp,sp,-16 + 800351ac: 00f00593 li a1,15 + 800351b0: 02055513 srli a0,a0,0x20 + 800351b4: 00113423 sd ra,8(sp) + 800351b8: 471040ef jal ra,80039e28 <__udivdi3> + 800351bc: 00813083 ld ra,8(sp) + 800351c0: 0005051b sext.w a0,a0 + 800351c4: 01010113 addi sp,sp,16 + 800351c8: 981ff06f j 80034b48 <_ZL11RefreshLinei> + 800351cc: 97dff06f j 80034b48 <_ZL11RefreshLinei> + +00000000800351d0 <_ZL5A2007j>: + 800351d0: fb010113 addi sp,sp,-80 + 800351d4: 04813023 sd s0,64(sp) + 800351d8: 03213823 sd s2,48(sp) + 800351dc: 00197417 auipc s0,0x197 + 800351e0: 5b840413 addi s0,s0,1464 # 801cc794 + 800351e4: 00197917 auipc s2,0x197 + 800351e8: 53090913 addi s2,s2,1328 # 801cc714 + 800351ec: 02913c23 sd s1,56(sp) + 800351f0: 00042783 lw a5,0(s0) + 800351f4: 00092483 lw s1,0(s2) + 800351f8: 000046b7 lui a3,0x4 + 800351fc: 03413023 sd s4,32(sp) + 80035200: fff68713 addi a4,a3,-1 # 3fff <_entry_offset+0x3fff> + 80035204: 04113423 sd ra,72(sp) + 80035208: 03313423 sd s3,40(sp) + 8003520c: 01513c23 sd s5,24(sp) + 80035210: 01613823 sd s6,16(sp) + 80035214: 01713423 sd s7,8(sp) + 80035218: 00e4fa33 and s4,s1,a4 + 8003521c: 1a078463 beqz a5,800353c4 <_ZL5A2007j+0x1f4> + 80035220: 00197417 auipc s0,0x197 + 80035224: 82040413 addi s0,s0,-2016 # 801cba40 + 80035228: 00442783 lw a5,4(s0) + 8003522c: 00842503 lw a0,8(s0) + 80035230: 01042803 lw a6,16(s0) + 80035234: 00c42583 lw a1,12(s0) + 80035238: 00a5151b slliw a0,a0,0xa + 8003523c: 00042603 lw a2,0(s0) + 80035240: 00b7979b slliw a5,a5,0xb + 80035244: 00a7e7b3 or a5,a5,a0 + 80035248: 0055959b slliw a1,a1,0x5 + 8003524c: 0107e7b3 or a5,a5,a6 + 80035250: 00b7e7b3 or a5,a5,a1 + 80035254: 00c6161b slliw a2,a2,0xc + 80035258: 000035b7 lui a1,0x3 + 8003525c: 00b67633 and a2,a2,a1 + 80035260: 00c7e7b3 or a5,a5,a2 + 80035264: 0007879b sext.w a5,a5 + 80035268: 00e7f533 and a0,a5,a4 + 8003526c: f0068693 addi a3,a3,-256 + 80035270: 00197b17 auipc s6,0x197 + 80035274: 4b3b0b13 addi s6,s6,1203 # 801cc723 + 80035278: 00a92023 sw a0,0(s2) + 8003527c: 00d7f7b3 and a5,a5,a3 + 80035280: 000b4983 lbu s3,0(s6) + 80035284: 00197717 auipc a4,0x197 + 80035288: 42c73703 ld a4,1068(a4) # 801cc6b0 + 8003528c: 2ad78463 beq a5,a3,80035534 <_ZL5A2007j+0x364> + 80035290: 000700e7 jalr a4 + 80035294: 00ab0023 sb a0,0(s6) + 80035298: 00197a97 auipc s5,0x197 + 8003529c: 468a8a93 addi s5,s5,1128 # 801cc700 + 800352a0: 03442703 lw a4,52(s0) + 800352a4: 0f000793 li a5,240 + 800352a8: 00c42603 lw a2,12(s0) + 800352ac: 00042803 lw a6,0(s0) + 800352b0: 00442503 lw a0,4(s0) + 800352b4: 04e7e863 bltu a5,a4,80035304 <_ZL5A2007j+0x134> + 800352b8: 001ac783 lbu a5,1(s5) + 800352bc: 0187f793 andi a5,a5,24 + 800352c0: 04078263 beqz a5,80035304 <_ZL5A2007j+0x134> + 800352c4: 0018071b addiw a4,a6,1 + 800352c8: 0037579b srliw a5,a4,0x3 + 800352cc: 00c7863b addw a2,a5,a2 + 800352d0: 01f67793 andi a5,a2,31 + 800352d4: 00f42623 sw a5,12(s0) + 800352d8: 01e00693 li a3,30 + 800352dc: 0037559b srliw a1,a4,0x3 + 800352e0: 00579613 slli a2,a5,0x5 + 800352e4: 36d78263 beq a5,a3,80035648 <_ZL5A2007j+0x478> + 800352e8: 00777713 andi a4,a4,7 + 800352ec: 00157693 andi a3,a0,1 + 800352f0: 00842583 lw a1,8(s0) + 800352f4: 01042783 lw a5,16(s0) + 800352f8: 00e42023 sw a4,0(s0) + 800352fc: 00d42223 sw a3,4(s0) + 80035300: 06c0006f j 8003536c <_ZL5A2007j+0x19c> + 80035304: 000ac583 lbu a1,0(s5) + 80035308: 01042783 lw a5,16(s0) + 8003530c: 0045f593 andi a1,a1,4 + 80035310: 20059e63 bnez a1,8003552c <_ZL5A2007j+0x35c> + 80035314: 0017879b addiw a5,a5,1 + 80035318: 0057d71b srliw a4,a5,0x5 + 8003531c: 00177713 andi a4,a4,1 + 80035320: 00c7063b addw a2,a4,a2 + 80035324: 00842703 lw a4,8(s0) + 80035328: 0056559b srliw a1,a2,0x5 + 8003532c: 01f7f793 andi a5,a5,31 + 80035330: 00e585bb addw a1,a1,a4 + 80035334: 0015d69b srliw a3,a1,0x1 + 80035338: 00a686bb addw a3,a3,a0 + 8003533c: 0016d71b srliw a4,a3,0x1 + 80035340: 0107073b addw a4,a4,a6 + 80035344: 01f67613 andi a2,a2,31 + 80035348: 0015f593 andi a1,a1,1 + 8003534c: 0016f693 andi a3,a3,1 + 80035350: 00777713 andi a4,a4,7 + 80035354: 00c42623 sw a2,12(s0) + 80035358: 00f42823 sw a5,16(s0) + 8003535c: 00b42423 sw a1,8(s0) + 80035360: 00d42223 sw a3,4(s0) + 80035364: 00e42023 sw a4,0(s0) + 80035368: 00561613 slli a2,a2,0x5 + 8003536c: 00b6969b slliw a3,a3,0xb + 80035370: 00c7e7b3 or a5,a5,a2 + 80035374: 00d7e7b3 or a5,a5,a3 + 80035378: 00a5959b slliw a1,a1,0xa + 8003537c: 00c7171b slliw a4,a4,0xc + 80035380: 000036b7 lui a3,0x3 + 80035384: 00b7e7b3 or a5,a5,a1 + 80035388: 00d77733 and a4,a4,a3 + 8003538c: 00e7e7b3 or a5,a5,a4 + 80035390: 00f92023 sw a5,0(s2) + 80035394: 04813083 ld ra,72(sp) + 80035398: 04013403 ld s0,64(sp) + 8003539c: 03813483 ld s1,56(sp) + 800353a0: 03013903 ld s2,48(sp) + 800353a4: 02013a03 ld s4,32(sp) + 800353a8: 01813a83 ld s5,24(sp) + 800353ac: 01013b03 ld s6,16(sp) + 800353b0: 00813b83 ld s7,8(sp) + 800353b4: 00098513 mv a0,s3 + 800353b8: 02813983 ld s3,40(sp) + 800353bc: 05010113 addi sp,sp,80 + 800353c0: 00008067 ret + 800353c4: 00197797 auipc a5,0x197 + 800353c8: 3747b783 ld a5,884(a5) # 801cc738 <_ZL5Pline> + 800353cc: 00078463 beqz a5,800353d4 <_ZL5A2007j+0x204> + 800353d0: da5ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 800353d4: 00004737 lui a4,0x4 + 800353d8: 00197b97 auipc s7,0x197 + 800353dc: 330b8b93 addi s7,s7,816 # 801cc708 + 800353e0: eff70713 addi a4,a4,-257 # 3eff <_entry_offset+0x3eff> + 800353e4: 000bb783 ld a5,0(s7) + 800353e8: 09477c63 bgeu a4,s4,80035480 <_ZL5A2007j+0x2b0> + 800353ec: 00197a97 auipc s5,0x197 + 800353f0: 314a8a93 addi s5,s5,788 # 801cc700 + 800353f4: 001ac703 lbu a4,1(s5) + 800353f8: 0034f693 andi a3,s1,3 + 800353fc: 00177613 andi a2,a4,1 + 80035400: 1c069663 bnez a3,800355cc <_ZL5A2007j+0x3fc> + 80035404: 00c4f693 andi a3,s1,12 + 80035408: 20069863 bnez a3,80035618 <_ZL5A2007j+0x448> + 8003540c: 00195997 auipc s3,0x195 + 80035410: 91c9c983 lbu s3,-1764(s3) # 801c9d28 + 80035414: 1c061863 bnez a2,800355e4 <_ZL5A2007j+0x414> + 80035418: 00196697 auipc a3,0x196 + 8003541c: 6c06b683 ld a3,1728(a3) # 801cbad8 + 80035420: 3ff4f493 andi s1,s1,1023 + 80035424: 009684b3 add s1,a3,s1 + 80035428: 0004c683 lbu a3,0(s1) + 8003542c: 00197617 auipc a2,0x197 + 80035430: 2ed60ba3 sb a3,759(a2) # 801cc723 + 80035434: 0a078c63 beqz a5,800354ec <_ZL5A2007j+0x31c> + 80035438: 000a0513 mv a0,s4 + 8003543c: 000780e7 jalr a5 + 80035440: 001ac703 lbu a4,1(s5) + 80035444: 000bb783 ld a5,0(s7) + 80035448: 00092683 lw a3,0(s2) + 8003544c: 01877713 andi a4,a4,24 + 80035450: 0a071463 bnez a4,800354f8 <_ZL5A2007j+0x328> + 80035454: 000ac703 lbu a4,0(s5) + 80035458: 00477713 andi a4,a4,4 + 8003545c: 18070863 beqz a4,800355ec <_ZL5A2007j+0x41c> + 80035460: 0206869b addiw a3,a3,32 + 80035464: 00d92023 sw a3,0(s2) + 80035468: f20786e3 beqz a5,80035394 <_ZL5A2007j+0x1c4> + 8003546c: 00092503 lw a0,0(s2) + 80035470: 03251513 slli a0,a0,0x32 + 80035474: 03255513 srli a0,a0,0x32 + 80035478: 000780e7 jalr a5 + 8003547c: f19ff06f j 80035394 <_ZL5A2007j+0x1c4> + 80035480: 00197b17 auipc s6,0x197 + 80035484: 2a3b0b13 addi s6,s6,675 # 801cc723 + 80035488: 000b4983 lbu s3,0(s6) + 8003548c: 00098713 mv a4,s3 + 80035490: 00078863 beqz a5,800354a0 <_ZL5A2007j+0x2d0> + 80035494: 000a0513 mv a0,s4 + 80035498: 000780e7 jalr a5 + 8003549c: 000b4703 lbu a4,0(s6) + 800354a0: 00197797 auipc a5,0x197 + 800354a4: 26e782a3 sb a4,613(a5) # 801cc705 + 800354a8: 03249793 slli a5,s1,0x32 + 800354ac: 0c07d663 bgez a5,80035578 <_ZL5A2007j+0x3a8> + 800354b0: 00aa5a1b srliw s4,s4,0xa + 800354b4: 003a7a13 andi s4,s4,3 + 800354b8: 00196797 auipc a5,0x196 + 800354bc: 60878793 addi a5,a5,1544 # 801cbac0 + 800354c0: 003a1a13 slli s4,s4,0x3 + 800354c4: 01478a33 add s4,a5,s4 + 800354c8: 000a3783 ld a5,0(s4) + 800354cc: 3ff4f493 andi s1,s1,1023 + 800354d0: 00197a97 auipc s5,0x197 + 800354d4: 230a8a93 addi s5,s5,560 # 801cc700 + 800354d8: 009784b3 add s1,a5,s1 + 800354dc: 0004c683 lbu a3,0(s1) + 800354e0: 000bb783 ld a5,0(s7) + 800354e4: 001ac703 lbu a4,1(s5) + 800354e8: 00db0023 sb a3,0(s6) + 800354ec: 01877713 andi a4,a4,24 + 800354f0: 00092683 lw a3,0(s2) + 800354f4: f60700e3 beqz a4,80035454 <_ZL5A2007j+0x284> + 800354f8: 00197617 auipc a2,0x197 + 800354fc: 2a862603 lw a2,680(a2) # 801cc7a0 + 80035500: 0ef00713 li a4,239 + 80035504: f4c748e3 blt a4,a2,80035454 <_ZL5A2007j+0x284> + 80035508: 00007737 lui a4,0x7 + 8003550c: 00e6f633 and a2,a3,a4 + 80035510: 0006061b sext.w a2,a2 + 80035514: 000075b7 lui a1,0x7 + 80035518: 1ab60263 beq a2,a1,800356bc <_ZL5A2007j+0x4ec> + 8003551c: 00001737 lui a4,0x1 + 80035520: 00d7073b addw a4,a4,a3 + 80035524: 00e92023 sw a4,0(s2) + 80035528: f41ff06f j 80035468 <_ZL5A2007j+0x298> + 8003552c: 0016061b addiw a2,a2,1 + 80035530: df5ff06f j 80035324 <_ZL5A2007j+0x154> + 80035534: 00197a97 auipc s5,0x197 + 80035538: 1cca8a93 addi s5,s5,460 # 801cc700 + 8003553c: 001ac683 lbu a3,1(s5) + 80035540: 0034f793 andi a5,s1,3 + 80035544: 0016f693 andi a3,a3,1 + 80035548: 0a079863 bnez a5,800355f8 <_ZL5A2007j+0x428> + 8003554c: 00c4f493 andi s1,s1,12 + 80035550: 10049c63 bnez s1,80035668 <_ZL5A2007j+0x498> + 80035554: 00194997 auipc s3,0x194 + 80035558: 7d49c983 lbu s3,2004(s3) # 801c9d28 + 8003555c: 00068463 beqz a3,80035564 <_ZL5A2007j+0x394> + 80035560: 0309f993 andi s3,s3,48 + 80035564: fffff7b7 lui a5,0xfffff + 80035568: 00a7853b addw a0,a5,a0 + 8003556c: 000700e7 jalr a4 # 1000 <_entry_offset+0x1000> + 80035570: 00ab0023 sb a0,0(s6) + 80035574: d2dff06f j 800352a0 <_ZL5A2007j+0xd0> + 80035578: 00197797 auipc a5,0x197 + 8003557c: 15c7a783 lw a5,348(a5) # 801cc6d4 + 80035580: 00078663 beqz a5,8003558c <_ZL5A2007j+0x3bc> + 80035584: 00042783 lw a5,0(s0) + 80035588: 10079863 bnez a5,80035698 <_ZL5A2007j+0x4c8> + 8003558c: 00aa579b srliw a5,s4,0xa + 80035590: 00379713 slli a4,a5,0x3 + 80035594: 0008d797 auipc a5,0x8d + 80035598: 7ac78793 addi a5,a5,1964 # 800c2d40 + 8003559c: 00e787b3 add a5,a5,a4 + 800355a0: 0007b783 ld a5,0(a5) + 800355a4: 020a1a13 slli s4,s4,0x20 + 800355a8: 020a5a13 srli s4,s4,0x20 + 800355ac: 014787b3 add a5,a5,s4 + 800355b0: 0007c683 lbu a3,0(a5) + 800355b4: 00197a97 auipc s5,0x197 + 800355b8: 14ca8a93 addi s5,s5,332 # 801cc700 + 800355bc: 000bb783 ld a5,0(s7) + 800355c0: 001ac703 lbu a4,1(s5) + 800355c4: 00db0023 sb a3,0(s6) + 800355c8: f25ff06f j 800354ec <_ZL5A2007j+0x31c> + 800355cc: 01f4f593 andi a1,s1,31 + 800355d0: 00194697 auipc a3,0x194 + 800355d4: 75868693 addi a3,a3,1880 # 801c9d28 + 800355d8: 00b686b3 add a3,a3,a1 + 800355dc: 0006c983 lbu s3,0(a3) + 800355e0: e2060ce3 beqz a2,80035418 <_ZL5A2007j+0x248> + 800355e4: 0309f993 andi s3,s3,48 + 800355e8: e31ff06f j 80035418 <_ZL5A2007j+0x248> + 800355ec: 0016869b addiw a3,a3,1 + 800355f0: 00d92023 sw a3,0(s2) + 800355f4: e75ff06f j 80035468 <_ZL5A2007j+0x298> + 800355f8: 01f4f493 andi s1,s1,31 + 800355fc: 00194797 auipc a5,0x194 + 80035600: 72c78793 addi a5,a5,1836 # 801c9d28 + 80035604: 009784b3 add s1,a5,s1 + 80035608: 0004c983 lbu s3,0(s1) + 8003560c: f4068ce3 beqz a3,80035564 <_ZL5A2007j+0x394> + 80035610: 0309f993 andi s3,s3,48 + 80035614: f51ff06f j 80035564 <_ZL5A2007j+0x394> + 80035618: 002a569b srliw a3,s4,0x2 + 8003561c: 0036f693 andi a3,a3,3 + 80035620: fff6869b addiw a3,a3,-1 + 80035624: 02069693 slli a3,a3,0x20 + 80035628: 0206d693 srli a3,a3,0x20 + 8003562c: 00197597 auipc a1,0x197 + 80035630: 0f458593 addi a1,a1,244 # 801cc720 + 80035634: 00d586b3 add a3,a1,a3 + 80035638: 0006c983 lbu s3,0(a3) + 8003563c: dc060ee3 beqz a2,80035418 <_ZL5A2007j+0x248> + 80035640: 0309f993 andi s3,s3,48 + 80035644: dd5ff06f j 80035418 <_ZL5A2007j+0x248> + 80035648: 00100793 li a5,1 + 8003564c: 3c000613 li a2,960 + 80035650: c8f59ce3 bne a1,a5,800352e8 <_ZL5A2007j+0x118> + 80035654: 0015051b addiw a0,a0,1 + 80035658: 00196797 auipc a5,0x196 + 8003565c: 3e07aa23 sw zero,1012(a5) # 801cba4c + 80035660: 00000613 li a2,0 + 80035664: c85ff06f j 800352e8 <_ZL5A2007j+0x118> + 80035668: 002a579b srliw a5,s4,0x2 + 8003566c: 0037f793 andi a5,a5,3 + 80035670: fff7879b addiw a5,a5,-1 + 80035674: 02079793 slli a5,a5,0x20 + 80035678: 0207d793 srli a5,a5,0x20 + 8003567c: 00197617 auipc a2,0x197 + 80035680: 0a460613 addi a2,a2,164 # 801cc720 + 80035684: 00f607b3 add a5,a2,a5 + 80035688: 0007c983 lbu s3,0(a5) + 8003568c: ec068ce3 beqz a3,80035564 <_ZL5A2007j+0x394> + 80035690: 0309f993 andi s3,s3,48 + 80035694: ed1ff06f j 80035564 <_ZL5A2007j+0x394> + 80035698: 000a0513 mv a0,s4 + 8003569c: d20ea0ef jal ra,8001fbbc <_Z13MMC5BGVRAMADRj> + 800356a0: 00054683 lbu a3,0(a0) + 800356a4: 00197a97 auipc s5,0x197 + 800356a8: 05ca8a93 addi s5,s5,92 # 801cc700 + 800356ac: 000bb783 ld a5,0(s7) + 800356b0: 001ac703 lbu a4,1(s5) + 800356b4: 00db0023 sb a3,0(s6) + 800356b8: e35ff06f j 800354ec <_ZL5A2007j+0x31c> + 800356bc: 00e6c733 xor a4,a3,a4 + 800356c0: 3e077593 andi a1,a4,992 + 800356c4: 3a000513 li a0,928 + 800356c8: 0007071b sext.w a4,a4 + 800356cc: 02a58263 beq a1,a0,800356f0 <_ZL5A2007j+0x520> + 800356d0: 3e000513 li a0,992 + 800356d4: 0207071b addiw a4,a4,32 + 800356d8: e4a596e3 bne a1,a0,80035524 <_ZL5A2007j+0x354> + 800356dc: 3e060613 addi a2,a2,992 + 800356e0: 00c6c6b3 xor a3,a3,a2 + 800356e4: 0006871b sext.w a4,a3 + 800356e8: 00e92023 sw a4,0(s2) + 800356ec: d7dff06f j 80035468 <_ZL5A2007j+0x298> + 800356f0: 00008737 lui a4,0x8 + 800356f4: ba070713 addi a4,a4,-1120 # 7ba0 <_entry_offset+0x7ba0> + 800356f8: 00e6c733 xor a4,a3,a4 + 800356fc: 00e92023 sw a4,0(s2) + 80035700: d69ff06f j 80035468 <_ZL5A2007j+0x298> + +0000000080035704 <_ZL5B2006jh>: + 80035704: fe010113 addi sp,sp,-32 + 80035708: 00913423 sd s1,8(sp) + 8003570c: 00113c23 sd ra,24(sp) + 80035710: 00813823 sd s0,16(sp) + 80035714: 01213023 sd s2,0(sp) + 80035718: 00197797 auipc a5,0x197 + 8003571c: 07c7a783 lw a5,124(a5) # 801cc794 + 80035720: 00058493 mv s1,a1 + 80035724: 00079a63 bnez a5,80035738 <_ZL5B2006jh+0x34> + 80035728: 00197797 auipc a5,0x197 + 8003572c: 0107b783 ld a5,16(a5) # 801cc738 <_ZL5Pline> + 80035730: 00078463 beqz a5,80035738 <_ZL5B2006jh+0x34> + 80035734: a41ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035738: 00197917 auipc s2,0x197 + 8003573c: 07090913 addi s2,s2,112 # 801cc7a8 + 80035740: 00094583 lbu a1,0(s2) + 80035744: 00197797 auipc a5,0x197 + 80035748: fd878793 addi a5,a5,-40 # 801cc71c + 8003574c: 00197717 auipc a4,0x197 + 80035750: fa970ca3 sb s1,-71(a4) # 801cc705 + 80035754: 0007a503 lw a0,0(a5) + 80035758: 0004841b sext.w s0,s1 + 8003575c: 08059263 bnez a1,800357e0 <_ZL5B2006jh+0xdc> + 80035760: 00196717 auipc a4,0x196 + 80035764: 2e070713 addi a4,a4,736 # 801cba40 + 80035768: 00004837 lui a6,0x4 + 8003576c: 02072683 lw a3,32(a4) + 80035770: 0084161b slliw a2,s0,0x8 + 80035774: f0080813 addi a6,a6,-256 # 3f00 <_entry_offset+0x3f00> + 80035778: 01067633 and a2,a2,a6 + 8003577c: 0034131b slliw t1,s0,0x3 + 80035780: 4024589b sraiw a7,s0,0x2 + 80035784: 4034581b sraiw a6,s0,0x3 + 80035788: 4044541b sraiw s0,s0,0x4 + 8003578c: 00347413 andi s0,s0,3 + 80035790: 0ff57513 andi a0,a0,255 + 80035794: 01813083 ld ra,24(sp) + 80035798: 00a66533 or a0,a2,a0 + 8003579c: 00872a23 sw s0,20(a4) + 800357a0: 0076f693 andi a3,a3,7 + 800357a4: 01013403 ld s0,16(sp) + 800357a8: 01837313 andi t1,t1,24 + 800357ac: 00a7a023 sw a0,0(a5) + 800357b0: 0066e6b3 or a3,a3,t1 + 800357b4: 0018f893 andi a7,a7,1 + 800357b8: 00187613 andi a2,a6,1 + 800357bc: 0015c793 xori a5,a1,1 + 800357c0: 00f90023 sb a5,0(s2) + 800357c4: 02d72023 sw a3,32(a4) + 800357c8: 01172e23 sw a7,28(a4) + 800357cc: 00c72c23 sw a2,24(a4) + 800357d0: 00813483 ld s1,8(sp) + 800357d4: 00013903 ld s2,0(sp) + 800357d8: 02010113 addi sp,sp,32 + 800357dc: 00008067 ret + 800357e0: 00010737 lui a4,0x10 + 800357e4: f0070713 addi a4,a4,-256 # ff00 <_entry_offset+0xff00> + 800357e8: 00e57533 and a0,a0,a4 + 800357ec: 00956533 or a0,a0,s1 + 800357f0: 00a7a023 sw a0,0(a5) + 800357f4: 00197797 auipc a5,0x197 + 800357f8: f2a7a023 sw a0,-224(a5) # 801cc714 + 800357fc: 00100793 li a5,1 + 80035800: 00197717 auipc a4,0x197 + 80035804: eaf72423 sw a5,-344(a4) # 801cc6a8 + 80035808: 00197797 auipc a5,0x197 + 8003580c: f007b783 ld a5,-256(a5) # 801cc708 + 80035810: 00078663 beqz a5,8003581c <_ZL5B2006jh+0x118> + 80035814: 000780e7 jalr a5 + 80035818: 00094583 lbu a1,0(s2) + 8003581c: 00196797 auipc a5,0x196 + 80035820: 22478793 addi a5,a5,548 # 801cba40 + 80035824: 0207a703 lw a4,32(a5) + 80035828: 0147a503 lw a0,20(a5) + 8003582c: 0187a603 lw a2,24(a5) + 80035830: 01c7a683 lw a3,28(a5) + 80035834: 01877713 andi a4,a4,24 + 80035838: 00545413 srli s0,s0,0x5 + 8003583c: 00e46433 or s0,s0,a4 + 80035840: 01f4f493 andi s1,s1,31 + 80035844: 0287a023 sw s0,32(a5) + 80035848: 0087a623 sw s0,12(a5) + 8003584c: 01813083 ld ra,24(sp) + 80035850: 01013403 ld s0,16(sp) + 80035854: 0297a223 sw s1,36(a5) + 80035858: 0097a823 sw s1,16(a5) + 8003585c: 00a7a023 sw a0,0(a5) + 80035860: 00c7a223 sw a2,4(a5) + 80035864: 00d7a423 sw a3,8(a5) + 80035868: 0015c793 xori a5,a1,1 + 8003586c: 00f90023 sb a5,0(s2) + 80035870: 00813483 ld s1,8(sp) + 80035874: 00013903 ld s2,0(sp) + 80035878: 02010113 addi sp,sp,32 + 8003587c: 00008067 ret + +0000000080035880 <_ZL5B2005jh>: + 80035880: fe010113 addi sp,sp,-32 + 80035884: 00813823 sd s0,16(sp) + 80035888: 00913423 sd s1,8(sp) + 8003588c: 01213023 sd s2,0(sp) + 80035890: 00113c23 sd ra,24(sp) + 80035894: 00197917 auipc s2,0x197 + 80035898: e8890913 addi s2,s2,-376 # 801cc71c + 8003589c: 00197797 auipc a5,0x197 + 800358a0: ef87a783 lw a5,-264(a5) # 801cc794 + 800358a4: 00092483 lw s1,0(s2) + 800358a8: 00058413 mv s0,a1 + 800358ac: 00079a63 bnez a5,800358c0 <_ZL5B2005jh+0x40> + 800358b0: 00197797 auipc a5,0x197 + 800358b4: e887b783 ld a5,-376(a5) # 801cc738 <_ZL5Pline> + 800358b8: 00078463 beqz a5,800358c0 <_ZL5B2005jh+0x40> + 800358bc: 8b9ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 800358c0: 00197597 auipc a1,0x197 + 800358c4: ee858593 addi a1,a1,-280 # 801cc7a8 + 800358c8: 0005c683 lbu a3,0(a1) + 800358cc: 0004061b sext.w a2,s0 + 800358d0: 00197797 auipc a5,0x197 + 800358d4: e2878aa3 sb s0,-459(a5) # 801cc705 + 800358d8: 4036551b sraiw a0,a2,0x3 + 800358dc: 00747813 andi a6,s0,7 + 800358e0: 04069a63 bnez a3,80035934 <_ZL5B2005jh+0xb4> + 800358e4: 000107b7 lui a5,0x10 + 800358e8: fe078793 addi a5,a5,-32 # ffe0 <_entry_offset+0xffe0> + 800358ec: 00f4f7b3 and a5,s1,a5 + 800358f0: 00196717 auipc a4,0x196 + 800358f4: 15070713 addi a4,a4,336 # 801cba40 + 800358f8: 00a7e7b3 or a5,a5,a0 + 800358fc: 0007879b sext.w a5,a5 + 80035900: 00197617 auipc a2,0x197 + 80035904: e3060223 sb a6,-476(a2) # 801cc724 + 80035908: 02a72223 sw a0,36(a4) + 8003590c: 03072423 sw a6,40(a4) + 80035910: 0016c693 xori a3,a3,1 + 80035914: 00d58023 sb a3,0(a1) + 80035918: 01813083 ld ra,24(sp) + 8003591c: 01013403 ld s0,16(sp) + 80035920: 00f92023 sw a5,0(s2) + 80035924: 00813483 ld s1,8(sp) + 80035928: 00013903 ld s2,0(sp) + 8003592c: 02010113 addi sp,sp,32 + 80035930: 00008067 ret + 80035934: 0026171b slliw a4,a2,0x2 + 80035938: 00c6179b slliw a5,a2,0xc + 8003593c: 00007637 lui a2,0x7 + 80035940: 00c7f7b3 and a5,a5,a2 + 80035944: 00009637 lui a2,0x9 + 80035948: fe077713 andi a4,a4,-32 + 8003594c: c1f60613 addi a2,a2,-993 # 8c1f <_entry_offset+0x8c1f> + 80035950: 00f767b3 or a5,a4,a5 + 80035954: 00c4f4b3 and s1,s1,a2 + 80035958: 00196717 auipc a4,0x196 + 8003595c: 0e870713 addi a4,a4,232 # 801cba40 + 80035960: 0097e7b3 or a5,a5,s1 + 80035964: 0007879b sext.w a5,a5 + 80035968: 02a72023 sw a0,32(a4) + 8003596c: 01072a23 sw a6,20(a4) + 80035970: fa1ff06f j 80035910 <_ZL5B2005jh+0x90> + +0000000080035974 <_ZL5A2002j>: + 80035974: ff010113 addi sp,sp,-16 + 80035978: 00113423 sd ra,8(sp) + 8003597c: 00197797 auipc a5,0x197 + 80035980: e187a783 lw a5,-488(a5) # 801cc794 + 80035984: 00079a63 bnez a5,80035998 <_ZL5A2002j+0x24> + 80035988: 00197797 auipc a5,0x197 + 8003598c: db07b783 ld a5,-592(a5) # 801cc738 <_ZL5Pline> + 80035990: 00078463 beqz a5,80035998 <_ZL5A2002j+0x24> + 80035994: fe0ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035998: 00197717 auipc a4,0x197 + 8003599c: d6d70713 addi a4,a4,-659 # 801cc705 + 800359a0: 00074503 lbu a0,0(a4) + 800359a4: 00197697 auipc a3,0x197 + 800359a8: d5c68693 addi a3,a3,-676 # 801cc700 + 800359ac: 0026c783 lbu a5,2(a3) + 800359b0: 01f57513 andi a0,a0,31 + 800359b4: 00197617 auipc a2,0x197 + 800359b8: de060a23 sb zero,-524(a2) # 801cc7a8 + 800359bc: 00a7e533 or a0,a5,a0 + 800359c0: 07f7f793 andi a5,a5,127 + 800359c4: 00f68123 sb a5,2(a3) + 800359c8: 00a70023 sb a0,0(a4) + 800359cc: 00813083 ld ra,8(sp) + 800359d0: 01010113 addi sp,sp,16 + 800359d4: 00008067 ret + +00000000800359d8 <_ZL5B2001jh>: + 800359d8: ff010113 addi sp,sp,-16 + 800359dc: 00813023 sd s0,0(sp) + 800359e0: 00113423 sd ra,8(sp) + 800359e4: 00197797 auipc a5,0x197 + 800359e8: db07a783 lw a5,-592(a5) # 801cc794 + 800359ec: 00058413 mv s0,a1 + 800359f0: 00079a63 bnez a5,80035a04 <_ZL5B2001jh+0x2c> + 800359f4: 00197797 auipc a5,0x197 + 800359f8: d447b783 ld a5,-700(a5) # 801cc738 <_ZL5Pline> + 800359fc: 00078463 beqz a5,80035a04 <_ZL5B2001jh+0x2c> + 80035a00: f74ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035a04: 00196717 auipc a4,0x196 + 80035a08: 1e074703 lbu a4,480(a4) # 801cbbe4 + 80035a0c: 0004079b sext.w a5,s0 + 80035a10: 02070263 beqz a4,80035a34 <_ZL5B2001jh+0x5c> + 80035a14: 4017d71b sraiw a4,a5,0x1 + 80035a18: 00179793 slli a5,a5,0x1 + 80035a1c: 0407f793 andi a5,a5,64 + 80035a20: 02077713 andi a4,a4,32 + 80035a24: 00f76733 or a4,a4,a5 + 80035a28: f9f47793 andi a5,s0,-97 + 80035a2c: 00f76433 or s0,a4,a5 + 80035a30: 00040793 mv a5,s0 + 80035a34: 00197717 auipc a4,0x197 + 80035a38: cc8708a3 sb s0,-815(a4) # 801cc705 + 80035a3c: 00197717 auipc a4,0x197 + 80035a40: cc8702a3 sb s0,-827(a4) # 801cc701 + 80035a44: 0e047413 andi s0,s0,224 + 80035a48: 00040863 beqz s0,80035a58 <_ZL5B2001jh+0x80> + 80035a4c: 4057d79b sraiw a5,a5,0x5 + 80035a50: 00197717 auipc a4,0x197 + 80035a54: cef70823 sb a5,-784(a4) # 801cc740 <_ZL5deemp> + 80035a58: 00813083 ld ra,8(sp) + 80035a5c: 00013403 ld s0,0(sp) + 80035a60: 01010113 addi sp,sp,16 + 80035a64: 00008067 ret + +0000000080035a68 <_ZL5B2000jh>: + 80035a68: fe010113 addi sp,sp,-32 + 80035a6c: 00813823 sd s0,16(sp) + 80035a70: 00113c23 sd ra,24(sp) + 80035a74: 00913423 sd s1,8(sp) + 80035a78: 00197797 auipc a5,0x197 + 80035a7c: d1c7a783 lw a5,-740(a5) # 801cc794 + 80035a80: 00058413 mv s0,a1 + 80035a84: 00079a63 bnez a5,80035a98 <_ZL5B2000jh+0x30> + 80035a88: 00197797 auipc a5,0x197 + 80035a8c: cb07b783 ld a5,-848(a5) # 801cc738 <_ZL5Pline> + 80035a90: 00078463 beqz a5,80035a98 <_ZL5B2000jh+0x30> + 80035a94: ee0ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035a98: 00197497 auipc s1,0x197 + 80035a9c: c6848493 addi s1,s1,-920 # 801cc700 + 80035aa0: 00048783 lb a5,0(s1) + 80035aa4: 00197717 auipc a4,0x197 + 80035aa8: c68700a3 sb s0,-927(a4) # 801cc705 + 80035aac: 0007c863 bltz a5,80035abc <_ZL5B2000jh+0x54> + 80035ab0: 0184179b slliw a5,s0,0x18 + 80035ab4: 4187d79b sraiw a5,a5,0x18 + 80035ab8: 0607cc63 bltz a5,80035b30 <_ZL5B2000jh+0xc8> + 80035abc: 00197517 auipc a0,0x197 + 80035ac0: c6050513 addi a0,a0,-928 # 801cc71c + 80035ac4: 00052703 lw a4,0(a0) + 80035ac8: 0000f6b7 lui a3,0xf + 80035acc: 3ff68693 addi a3,a3,1023 # f3ff <_entry_offset+0xf3ff> + 80035ad0: 00d77733 and a4,a4,a3 + 80035ad4: 000016b7 lui a3,0x1 + 80035ad8: 00a4159b slliw a1,s0,0xa + 80035adc: c0068693 addi a3,a3,-1024 # c00 <_entry_offset+0xc00> + 80035ae0: 0004079b sext.w a5,s0 + 80035ae4: 00d5f5b3 and a1,a1,a3 + 80035ae8: 00848023 sb s0,0(s1) + 80035aec: 01813083 ld ra,24(sp) + 80035af0: 4017d61b sraiw a2,a5,0x1 + 80035af4: 00b76733 or a4,a4,a1 + 80035af8: 4047d79b sraiw a5,a5,0x4 + 80035afc: 00147593 andi a1,s0,1 + 80035b00: 01013403 ld s0,16(sp) + 80035b04: 00196697 auipc a3,0x196 + 80035b08: f3c68693 addi a3,a3,-196 # 801cba40 + 80035b0c: 00167613 andi a2,a2,1 + 80035b10: 0017f793 andi a5,a5,1 + 80035b14: 00e52023 sw a4,0(a0) + 80035b18: 00b6ae23 sw a1,28(a3) + 80035b1c: 00c6ac23 sw a2,24(a3) + 80035b20: 02f6a623 sw a5,44(a3) + 80035b24: 00813483 ld s1,8(sp) + 80035b28: 02010113 addi sp,sp,32 + 80035b2c: 00008067 ret + 80035b30: 00248783 lb a5,2(s1) + 80035b34: f807d4e3 bgez a5,80035abc <_ZL5B2000jh+0x54> + 80035b38: a4ccb0ef jal ra,80000d84 <_Z11TriggerNMI2v> + 80035b3c: f81ff06f j 80035abc <_ZL5B2000jh+0x54> + +0000000080035b40 <_ZL5A200xj>: + 80035b40: 00197797 auipc a5,0x197 + 80035b44: c547a783 lw a5,-940(a5) # 801cc794 + 80035b48: 02079863 bnez a5,80035b78 <_ZL5A200xj+0x38> + 80035b4c: 00197797 auipc a5,0x197 + 80035b50: bec7b783 ld a5,-1044(a5) # 801cc738 <_ZL5Pline> + 80035b54: 02078263 beqz a5,80035b78 <_ZL5A200xj+0x38> + 80035b58: ff010113 addi sp,sp,-16 + 80035b5c: 00113423 sd ra,8(sp) + 80035b60: e14ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035b64: 00813083 ld ra,8(sp) + 80035b68: 00197517 auipc a0,0x197 + 80035b6c: b9d54503 lbu a0,-1123(a0) # 801cc705 + 80035b70: 01010113 addi sp,sp,16 + 80035b74: 00008067 ret + 80035b78: 00197517 auipc a0,0x197 + 80035b7c: b8d54503 lbu a0,-1139(a0) # 801cc705 + 80035b80: 00008067 ret + +0000000080035b84 <_ZL7ResetRLPh>: + 80035b84: ff010113 addi sp,sp,-16 + 80035b88: 10000613 li a2,256 + 80035b8c: 0ff00593 li a1,255 + 80035b90: 00813023 sd s0,0(sp) + 80035b94: 00113423 sd ra,8(sp) + 80035b98: 00050413 mv s0,a0 + 80035b9c: 68d050ef jal ra,8003ba28 + 80035ba0: 00196717 auipc a4,0x196 + 80035ba4: fac72703 lw a4,-84(a4) # 801cbb4c + 80035ba8: 0017179b slliw a5,a4,0x1 + 80035bac: 00e787bb addw a5,a5,a4 + 80035bb0: 0047979b slliw a5,a5,0x4 + 80035bb4: 00022717 auipc a4,0x22 + 80035bb8: cac72703 lw a4,-852(a4) # 80057860 + 80035bbc: 00e787bb addw a5,a5,a4 + 80035bc0: 00197717 auipc a4,0x197 + 80035bc4: bcf72823 sw a5,-1072(a4) # 801cc790 + 80035bc8: 00197797 auipc a5,0x197 + 80035bcc: b807a023 sw zero,-1152(a5) # 801cc748 <_ZL5tofix> + 80035bd0: 00197717 auipc a4,0x197 + 80035bd4: b8873023 sd s0,-1152(a4) # 801cc750 <_ZL6Plinef> + 80035bd8: 00197717 auipc a4,0x197 + 80035bdc: b6873023 sd s0,-1184(a4) # 801cc738 <_ZL5Pline> + 80035be0: 00197717 auipc a4,0x197 + 80035be4: b6072e23 sw zero,-1156(a4) # 801cc75c <_ZL9firsttile> + 80035be8: 00197797 auipc a5,0x197 + 80035bec: bac7a783 lw a5,-1108(a5) # 801cc794 + 80035bf0: 00079663 bnez a5,80035bfc <_ZL7ResetRLPh+0x78> + 80035bf4: 00040463 beqz s0,80035bfc <_ZL7ResetRLPh+0x78> + 80035bf8: d7cff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035bfc: 00813083 ld ra,8(sp) + 80035c00: 00013403 ld s0,0(sp) + 80035c04: 00100793 li a5,1 + 80035c08: 00197717 auipc a4,0x197 + 80035c0c: b4f72023 sw a5,-1216(a4) # 801cc748 <_ZL5tofix> + 80035c10: 01010113 addi sp,sp,16 + 80035c14: 00008067 ret + +0000000080035c18 <_ZL5A2004j>: + 80035c18: f7010113 addi sp,sp,-144 + 80035c1c: 08113423 sd ra,136(sp) + 80035c20: 08813023 sd s0,128(sp) + 80035c24: 06913c23 sd s1,120(sp) + 80035c28: 07213823 sd s2,112(sp) + 80035c2c: 07313423 sd s3,104(sp) + 80035c30: 07413023 sd s4,96(sp) + 80035c34: 05513c23 sd s5,88(sp) + 80035c38: 05613823 sd s6,80(sp) + 80035c3c: 05713423 sd s7,72(sp) + 80035c40: 05813023 sd s8,64(sp) + 80035c44: 03913c23 sd s9,56(sp) + 80035c48: 03a13823 sd s10,48(sp) + 80035c4c: 03b13423 sd s11,40(sp) + 80035c50: 00197797 auipc a5,0x197 + 80035c54: b447a783 lw a5,-1212(a5) # 801cc794 + 80035c58: 08078e63 beqz a5,80035cf4 <_ZL5A2004j+0xdc> + 80035c5c: 00196697 auipc a3,0x196 + 80035c60: de468693 addi a3,a3,-540 # 801cba40 + 80035c64: 0346ad03 lw s10,52(a3) + 80035c68: 0f000793 li a5,240 + 80035c6c: 00197717 auipc a4,0x197 + 80035c70: a9470713 addi a4,a4,-1388 # 801cc700 + 80035c74: 03a7c863 blt a5,s10,80035ca4 <_ZL5A2004j+0x8c> + 80035c78: 00174783 lbu a5,1(a4) + 80035c7c: 0187f793 andi a5,a5,24 + 80035c80: 02078263 beqz a5,80035ca4 <_ZL5A2004j+0x8c> + 80035c84: 0386a803 lw a6,56(a3) + 80035c88: 03f00793 li a5,63 + 80035c8c: 0907c263 blt a5,a6,80035d10 <_ZL5A2004j+0xf8> + 80035c90: 0ff00793 li a5,255 + 80035c94: 00196717 auipc a4,0x196 + 80035c98: e0f72e23 sw a5,-484(a4) # 801cbab0 + 80035c9c: 0ff00513 li a0,255 + 80035ca0: 0180006f j 80035cb8 <_ZL5A2004j+0xa0> + 80035ca4: 00374703 lbu a4,3(a4) + 80035ca8: 00195797 auipc a5,0x195 + 80035cac: 8a078793 addi a5,a5,-1888 # 801ca548 + 80035cb0: 00e787b3 add a5,a5,a4 + 80035cb4: 0007c503 lbu a0,0(a5) + 80035cb8: 08813083 ld ra,136(sp) + 80035cbc: 08013403 ld s0,128(sp) + 80035cc0: 07813483 ld s1,120(sp) + 80035cc4: 07013903 ld s2,112(sp) + 80035cc8: 06813983 ld s3,104(sp) + 80035ccc: 06013a03 ld s4,96(sp) + 80035cd0: 05813a83 ld s5,88(sp) + 80035cd4: 05013b03 ld s6,80(sp) + 80035cd8: 04813b83 ld s7,72(sp) + 80035cdc: 04013c03 ld s8,64(sp) + 80035ce0: 03813c83 ld s9,56(sp) + 80035ce4: 03013d03 ld s10,48(sp) + 80035ce8: 02813d83 ld s11,40(sp) + 80035cec: 09010113 addi sp,sp,144 + 80035cf0: 00008067 ret + 80035cf4: 00197797 auipc a5,0x197 + 80035cf8: a447b783 ld a5,-1468(a5) # 801cc738 <_ZL5Pline> + 80035cfc: 00078463 beqz a5,80035d04 <_ZL5A2004j+0xec> + 80035d00: c74ff0ef jal ra,80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80035d04: 00197517 auipc a0,0x197 + 80035d08: a0154503 lbu a0,-1535(a0) # 801cc705 + 80035d0c: fadff06f j 80035cb8 <_ZL5A2004j+0xa0> + 80035d10: 00196e17 auipc t3,0x196 + 80035d14: d70e0e13 addi t3,t3,-656 # 801cba80 + 80035d18: 030e2d83 lw s11,48(t3) + 80035d1c: 034e2783 lw a5,52(t3) + 80035d20: 0ffdf513 andi a0,s11,255 + 80035d24: 18f80463 beq a6,a5,80035eac <_ZL5A2004j+0x294> + 80035d28: 00074683 lbu a3,0(a4) + 80035d2c: 00195297 auipc t0,0x195 + 80035d30: 81c28293 addi t0,t0,-2020 # 801ca548 + 80035d34: 0fc2c503 lbu a0,252(t0) + 80035d38: 0206f693 andi a3,a3,32 + 80035d3c: 000e2483 lw s1,0(t3) + 80035d40: 008e2583 lw a1,8(t3) + 80035d44: 00050b9b sext.w s7,a0 + 80035d48: ff800993 li s3,-8 + 80035d4c: 00068463 beqz a3,80035d54 <_ZL5A2004j+0x13c> + 80035d50: ff000993 li s3,-16 + 80035d54: 00374883 lbu a7,3(a4) + 80035d58: 00ce2c83 lw s9,12(t3) + 80035d5c: 004e2683 lw a3,4(t3) + 80035d60: 0f88f613 andi a2,a7,248 + 80035d64: 00166613 ori a2,a2,1 + 80035d68: 00060b13 mv s6,a2 + 80035d6c: 038e2703 lw a4,56(t3) + 80035d70: 01628b33 add s6,t0,s6 + 80035d74: 01613823 sd s6,16(sp) + 80035d78: 0f88f893 andi a7,a7,248 + 80035d7c: 000c8393 mv t2,s9 + 80035d80: 000d8f93 mv t6,s11 + 80035d84: 00000c13 li s8,0 + 80035d88: 00000913 li s2,0 + 80035d8c: 00013423 sd zero,8(sp) + 80035d90: 00000e93 li t4,0 + 80035d94: 00000a13 li s4,0 + 80035d98: 00000a93 li s5,0 + 80035d9c: 0ff00f13 li t5,255 + 80035da0: 00400313 li t1,4 + 80035da4: 13f00413 li s0,319 + 80035da8: 00011617 auipc a2,0x11 + 80035dac: 8a460613 addi a2,a2,-1884 # 8004664c + 80035db0: fffd0b1b addiw s6,s10,-1 + 80035db4: 0cff4263 blt t5,a5,80035e78 <_ZL5A2004j+0x260> + 80035db8: 26e36463 bltu t1,a4,80036020 <_ZL5A2004j+0x408> + 80035dbc: 00271713 slli a4,a4,0x2 + 80035dc0: 00c70733 add a4,a4,a2 + 80035dc4: 00072703 lw a4,0(a4) + 80035dc8: 00c70733 add a4,a4,a2 + 80035dcc: 00070067 jr a4 + 80035dd0: 0115873b addw a4,a1,a7 + 80035dd4: 00e28733 add a4,t0,a4 + 80035dd8: 0017859b addiw a1,a5,1 + 80035ddc: 00074f83 lbu t6,0(a4) + 80035de0: 26b802e3 beq a6,a1,80036844 <_ZL5A2004j+0xc2c> + 80035de4: 1abf44e3 blt t5,a1,8003678c <_ZL5A2004j+0xb74> + 80035de8: 00058793 mv a5,a1 + 80035dec: 00100913 li s2,1 + 80035df0: 00000593 li a1,0 + 80035df4: 00100a13 li s4,1 + 80035df8: 00100a93 li s5,1 + 80035dfc: 0017f713 andi a4,a5,1 + 80035e00: 30070c63 beqz a4,80036118 <_ZL5A2004j+0x500> + 80035e04: 00269e9b slliw t4,a3,0x2 + 80035e08: 01d5eeb3 or t4,a1,t4 + 80035e0c: 000e8e9b sext.w t4,t4 + 80035e10: 01d28eb3 add t4,t0,t4 + 80035e14: 000ece83 lbu t4,0(t4) + 80035e18: 41db0ebb subw t4,s6,t4 + 80035e1c: 01d9feb3 and t4,s3,t4 + 80035e20: 000e8e9b sext.w t4,t4 + 80035e24: 2c0e9c63 bnez t4,800360fc <_ZL5A2004j+0x4e4> + 80035e28: 00178f9b addiw t6,a5,1 + 80035e2c: 05f800e3 beq a6,t6,8003666c <_ZL5A2004j+0xa54> + 80035e30: 41ff4a63 blt t5,t6,80036244 <_ZL5A2004j+0x62c> + 80035e34: 00070593 mv a1,a4 + 80035e38: 000f8793 mv a5,t6 + 80035e3c: 00100913 li s2,1 + 80035e40: 00100a93 li s5,1 + 80035e44: 00d5e733 or a4,a1,a3 + 80035e48: 00070f9b sext.w t6,a4 + 80035e4c: 01f28fb3 add t6,t0,t6 + 80035e50: 0017fe93 andi t4,a5,1 + 80035e54: 000fcf83 lbu t6,0(t6) + 80035e58: 2c0e8a63 beqz t4,8003612c <_ZL5A2004j+0x514> + 80035e5c: 0015859b addiw a1,a1,1 + 80035e60: 14658263 beq a1,t1,80035fa4 <_ZL5A2004j+0x38c> + 80035e64: 0017879b addiw a5,a5,1 + 80035e68: 00100913 li s2,1 + 80035e6c: 56f80a63 beq a6,a5,800363e0 <_ZL5A2004j+0x7c8> + 80035e70: fcff5ae3 bge t5,a5,80035e44 <_ZL5A2004j+0x22c> + 80035e74: 00300713 li a4,3 + 80035e78: 18f45463 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80035e7c: 000a8463 beqz s5,80035e84 <_ZL5A2004j+0x26c> + 80035e80: 02ee2c23 sw a4,56(t3) + 80035e84: 000a0463 beqz s4,80035e8c <_ZL5A2004j+0x274> + 80035e88: 00de2223 sw a3,4(t3) + 80035e8c: 00813783 ld a5,8(sp) + 80035e90: 32079863 bnez a5,800361c0 <_ZL5A2004j+0x5a8> + 80035e94: 00090463 beqz s2,80035e9c <_ZL5A2004j+0x284> + 80035e98: 00be2423 sw a1,8(t3) + 80035e9c: 000c0463 beqz s8,80035ea4 <_ZL5A2004j+0x28c> + 80035ea0: 009e2023 sw s1,0(t3) + 80035ea4: 320c9863 bnez s9,800361d4 <_ZL5A2004j+0x5bc> + 80035ea8: 037e2823 sw s7,48(t3) + 80035eac: 030e2a23 sw a6,52(t3) + 80035eb0: e09ff06f j 80035cb8 <_ZL5A2004j+0xa0> + 80035eb4: 00b8873b addw a4,a7,a1 + 80035eb8: 00176713 ori a4,a4,1 + 80035ebc: 0007071b sext.w a4,a4 + 80035ec0: 0017859b addiw a1,a5,1 + 80035ec4: 00e28733 add a4,t0,a4 + 80035ec8: 00b13c23 sd a1,24(sp) + 80035ecc: 00074f83 lbu t6,0(a4) + 80035ed0: 22b800e3 beq a6,a1,800368f0 <_ZL5A2004j+0xcd8> + 80035ed4: 00100a93 li s5,1 + 80035ed8: 00100a13 li s4,1 + 80035edc: 00100913 li s2,1 + 80035ee0: 7cbf4263 blt t5,a1,800366a4 <_ZL5A2004j+0xa8c> + 80035ee4: 01813783 ld a5,24(sp) + 80035ee8: 000d0593 mv a1,s10 + 80035eec: 00100e93 li t4,1 + 80035ef0: 00269f9b slliw t6,a3,0x2 + 80035ef4: 00dec463 blt t4,a3,80035efc <_ZL5A2004j+0x2e4> + 80035ef8: 011f8fbb addw t6,t6,a7 + 80035efc: 01f28eb3 add t4,t0,t6 + 80035f00: 00438713 addi a4,t2,4 + 80035f04: 000ece83 lbu t4,0(t4) + 80035f08: 00271713 slli a4,a4,0x2 + 80035f0c: 00ee0733 add a4,t3,a4 + 80035f10: 01f72023 sw t6,0(a4) + 80035f14: 0017f713 andi a4,a5,1 + 80035f18: 000e8f9b sext.w t6,t4 + 80035f1c: 1c070c63 beqz a4,800360f4 <_ZL5A2004j+0x4dc> + 80035f20: 41db0ebb subw t4,s6,t4 + 80035f24: 01d9feb3 and t4,s3,t4 + 80035f28: 000e8e9b sext.w t4,t4 + 80035f2c: 200e9a63 bnez t4,80036140 <_ZL5A2004j+0x528> + 80035f30: 0017891b addiw s2,a5,1 + 80035f34: 00090593 mv a1,s2 + 80035f38: 0013839b addiw t2,t2,1 + 80035f3c: 71280a63 beq a6,s2,80036650 <_ZL5A2004j+0xa38> + 80035f40: 2b2f4663 blt t5,s2,800361ec <_ZL5A2004j+0x5d4> + 80035f44: 00070593 mv a1,a4 + 80035f48: 00100713 li a4,1 + 80035f4c: 00090793 mv a5,s2 + 80035f50: 00e13423 sd a4,8(sp) + 80035f54: 00100913 li s2,1 + 80035f58: 00100a93 li s5,1 + 80035f5c: 00269e9b slliw t4,a3,0x2 + 80035f60: 01d8873b addw a4,a7,t4 + 80035f64: 0017fd13 andi s10,a5,1 + 80035f68: 000d0863 beqz s10,80035f78 <_ZL5A2004j+0x360> + 80035f6c: 0015859b addiw a1,a1,1 + 80035f70: 1e658a63 beq a1,t1,80036164 <_ZL5A2004j+0x54c> + 80035f74: 00100913 li s2,1 + 80035f78: 00100f93 li t6,1 + 80035f7c: 24dfd863 bge t6,a3,800361cc <_ZL5A2004j+0x5b4> + 80035f80: 00beefb3 or t6,t4,a1 + 80035f84: 000f8f9b sext.w t6,t6 + 80035f88: 01f28fb3 add t6,t0,t6 + 80035f8c: 0017879b addiw a5,a5,1 + 80035f90: 000fcf83 lbu t6,0(t6) + 80035f94: 44f80e63 beq a6,a5,800363f0 <_ZL5A2004j+0x7d8> + 80035f98: fcff56e3 bge t5,a5,80035f64 <_ZL5A2004j+0x34c> + 80035f9c: 00100713 li a4,1 + 80035fa0: 0600006f j 80036000 <_ZL5A2004j+0x3e8> + 80035fa4: 0017891b addiw s2,a5,1 + 80035fa8: 0016869b addiw a3,a3,1 + 80035fac: 00090713 mv a4,s2 + 80035fb0: 03f6f693 andi a3,a3,63 + 80035fb4: 77280263 beq a6,s2,80036718 <_ZL5A2004j+0xb00> + 80035fb8: 332f4a63 blt t5,s2,800362ec <_ZL5A2004j+0x6d4> + 80035fbc: 00090793 mv a5,s2 + 80035fc0: 00100a13 li s4,1 + 80035fc4: 00100a93 li s5,1 + 80035fc8: 0017f713 andi a4,a5,1 + 80035fcc: 00070863 beqz a4,80035fdc <_ZL5A2004j+0x3c4> + 80035fd0: 0016869b addiw a3,a3,1 + 80035fd4: 03f6f693 andi a3,a3,63 + 80035fd8: 00100a13 li s4,1 + 80035fdc: 0026971b slliw a4,a3,0x2 + 80035fe0: 00e28733 add a4,t0,a4 + 80035fe4: 0017879b addiw a5,a5,1 + 80035fe8: 00074f83 lbu t6,0(a4) + 80035fec: 3cf80e63 beq a6,a5,800363c8 <_ZL5A2004j+0x7b0> + 80035ff0: fcff5ce3 bge t5,a5,80035fc8 <_ZL5A2004j+0x3b0> + 80035ff4: 00100913 li s2,1 + 80035ff8: 00000593 li a1,0 + 80035ffc: 00400713 li a4,4 + 80036000: 4037de9b sraiw t4,a5,0x3 + 80036004: 007efe93 andi t4,t4,7 + 80036008: 047ece63 blt t4,t2,80036064 <_ZL5A2004j+0x44c> + 8003600c: 0c048a63 beqz s1,800360e0 <_ZL5A2004j+0x4c8> + 80036010: 000b8f93 mv t6,s7 + 80036014: 00100c13 li s8,1 + 80036018: 00000493 li s1,0 + 8003601c: 00100e93 li t4,1 + 80036020: 0017879b addiw a5,a5,1 + 80036024: d8f818e3 bne a6,a5,80035db4 <_ZL5A2004j+0x19c> + 80036028: 000a8463 beqz s5,80036030 <_ZL5A2004j+0x418> + 8003602c: 02ee2c23 sw a4,56(t3) + 80036030: 000a0463 beqz s4,80036038 <_ZL5A2004j+0x420> + 80036034: 00de2223 sw a3,4(t3) + 80036038: 260e8063 beqz t4,80036298 <_ZL5A2004j+0x680> + 8003603c: 03fe2823 sw t6,48(t3) + 80036040: 00813783 ld a5,8(sp) + 80036044: 16079a63 bnez a5,800361b8 <_ZL5A2004j+0x5a0> + 80036048: 00090463 beqz s2,80036050 <_ZL5A2004j+0x438> + 8003604c: 00be2423 sw a1,8(t3) + 80036050: 0ffff513 andi a0,t6,255 + 80036054: e40c0ce3 beqz s8,80035eac <_ZL5A2004j+0x294> + 80036058: 009e2023 sw s1,0(t3) + 8003605c: 030e2a23 sw a6,52(t3) + 80036060: c59ff06f j 80035cb8 <_ZL5A2004j+0xa0> + 80036064: 0047ff93 andi t6,a5,4 + 80036068: 040f9a63 bnez t6,800360bc <_ZL5A2004j+0x4a4> + 8003606c: 004e8e93 addi t4,t4,4 + 80036070: 002e9e93 slli t4,t4,0x2 + 80036074: 01de0eb3 add t4,t3,t4 + 80036078: 000eaf83 lw t6,0(t4) + 8003607c: 00158e9b addiw t4,a1,1 + 80036080: 01f5e5b3 or a1,a1,t6 + 80036084: 0005859b sext.w a1,a1 + 80036088: 00b285b3 add a1,t0,a1 + 8003608c: 0005cf83 lbu t6,0(a1) + 80036090: 046e8e63 beq t4,t1,800360ec <_ZL5A2004j+0x4d4> + 80036094: 000e8593 mv a1,t4 + 80036098: 00100913 li s2,1 + 8003609c: 00100e93 li t4,1 + 800360a0: f81ff06f j 80036020 <_ZL5A2004j+0x408> + 800360a4: 00090793 mv a5,s2 + 800360a8: 00100913 li s2,1 + 800360ac: 00000593 li a1,0 + 800360b0: 00100a13 li s4,1 + 800360b4: 00200713 li a4,2 + 800360b8: 00100a93 li s5,1 + 800360bc: 003eee93 ori t4,t4,3 + 800360c0: 004e8e93 addi t4,t4,4 + 800360c4: 002e9e93 slli t4,t4,0x2 + 800360c8: 01de0eb3 add t4,t3,t4 + 800360cc: 000eaf83 lw t6,0(t4) + 800360d0: 00100e93 li t4,1 + 800360d4: 01f28fb3 add t6,t0,t6 + 800360d8: 000fcf83 lbu t6,0(t6) + 800360dc: f45ff06f j 80036020 <_ZL5A2004j+0x408> + 800360e0: 00100e93 li t4,1 + 800360e4: 0ff00f93 li t6,255 + 800360e8: f39ff06f j 80036020 <_ZL5A2004j+0x408> + 800360ec: 00000593 li a1,0 + 800360f0: 00100913 li s2,1 + 800360f4: 00100e93 li t4,1 + 800360f8: f29ff06f j 80036020 <_ZL5A2004j+0x408> + 800360fc: 0016869b addiw a3,a3,1 + 80036100: 04000713 li a4,64 + 80036104: 18e68e63 beq a3,a4,800362a0 <_ZL5A2004j+0x688> + 80036108: 0015859b addiw a1,a1,1 + 8003610c: 0035f593 andi a1,a1,3 + 80036110: 00100913 li s2,1 + 80036114: 00100a13 li s4,1 + 80036118: 0017879b addiw a5,a5,1 + 8003611c: 40f80063 beq a6,a5,8003651c <_ZL5A2004j+0x904> + 80036120: ccff5ee3 bge t5,a5,80035dfc <_ZL5A2004j+0x1e4> + 80036124: 00200713 li a4,2 + 80036128: ed9ff06f j 80036000 <_ZL5A2004j+0x3e8> + 8003612c: 0017879b addiw a5,a5,1 + 80036130: 2af80863 beq a6,a5,800363e0 <_ZL5A2004j+0x7c8> + 80036134: d0ff5ae3 bge t5,a5,80035e48 <_ZL5A2004j+0x230> + 80036138: 00300713 li a4,3 + 8003613c: ec5ff06f j 80036000 <_ZL5A2004j+0x3e8> + 80036140: 0016869b addiw a3,a3,1 + 80036144: 04000e93 li t4,64 + 80036148: 19d68063 beq a3,t4,800362c8 <_ZL5A2004j+0x6b0> + 8003614c: 00800e93 li t4,8 + 80036150: 21d38e63 beq t2,t4,8003636c <_ZL5A2004j+0x754> + 80036154: 00100e93 li t4,1 + 80036158: 00100a13 li s4,1 + 8003615c: 00000713 li a4,0 + 80036160: ec1ff06f j 80036020 <_ZL5A2004j+0x408> + 80036164: 0016869b addiw a3,a3,1 + 80036168: 04000593 li a1,64 + 8003616c: 1cb68c63 beq a3,a1,80036344 <_ZL5A2004j+0x72c> + 80036170: 00800593 li a1,8 + 80036174: 34b38a63 beq t2,a1,800364c8 <_ZL5A2004j+0x8b0> + 80036178: 00100e93 li t4,1 + 8003617c: 0026959b slliw a1,a3,0x2 + 80036180: d2dedae3 bge t4,a3,80035eb4 <_ZL5A2004j+0x29c> + 80036184: 0015e713 ori a4,a1,1 + 80036188: 00e28733 add a4,t0,a4 + 8003618c: 0017879b addiw a5,a5,1 + 80036190: 00074f83 lbu t6,0(a4) + 80036194: 6ef80e63 beq a6,a5,80036890 <_ZL5A2004j+0xc78> + 80036198: 00100a93 li s5,1 + 8003619c: 00100a13 li s4,1 + 800361a0: 00100913 li s2,1 + 800361a4: 000d0593 mv a1,s10 + 800361a8: 00000713 li a4,0 + 800361ac: d4ff50e3 bge t5,a5,80035eec <_ZL5A2004j+0x2d4> + 800361b0: e4f458e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 800361b4: cc9ff06f j 80035e7c <_ZL5A2004j+0x264> + 800361b8: 007e2623 sw t2,12(t3) + 800361bc: e8dff06f j 80036048 <_ZL5A2004j+0x430> + 800361c0: 007e2623 sw t2,12(t3) + 800361c4: 00038c93 mv s9,t2 + 800361c8: ccdff06f j 80035e94 <_ZL5A2004j+0x27c> + 800361cc: 00e5efb3 or t6,a1,a4 + 800361d0: db5ff06f j 80035f84 <_ZL5A2004j+0x36c> + 800361d4: 010e2783 lw a5,16(t3) + 800361d8: 030e2a23 sw a6,52(t3) + 800361dc: 00f282b3 add t0,t0,a5 + 800361e0: 0002c503 lbu a0,0(t0) + 800361e4: 02ae2823 sw a0,48(t3) + 800361e8: ad1ff06f j 80035cb8 <_ZL5A2004j+0xa0> + 800361ec: 59244463 blt s0,s2,80036774 <_ZL5A2004j+0xb5c> + 800361f0: 4035d59b sraiw a1,a1,0x3 + 800361f4: 0075fe93 andi t4,a1,7 + 800361f8: 1a7eda63 bge t4,t2,800363ac <_ZL5A2004j+0x794> + 800361fc: 00497593 andi a1,s2,4 + 80036200: 3a059263 bnez a1,800365a4 <_ZL5A2004j+0x98c> + 80036204: 004e8593 addi a1,t4,4 + 80036208: 00259593 slli a1,a1,0x2 + 8003620c: 00be05b3 add a1,t3,a1 + 80036210: 0005a583 lw a1,0(a1) + 80036214: 0027879b addiw a5,a5,2 + 80036218: 0015e593 ori a1,a1,1 + 8003621c: 00b285b3 add a1,t0,a1 + 80036220: 0005cf83 lbu t6,0(a1) + 80036224: 72f80663 beq a6,a5,80036950 <_ZL5A2004j+0xd38> + 80036228: 00100e93 li t4,1 + 8003622c: 01d13423 sd t4,8(sp) + 80036230: 00100913 li s2,1 + 80036234: 00200593 li a1,2 + 80036238: 00100a93 li s5,1 + 8003623c: dcf452e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80036240: c3dff06f j 80035e7c <_ZL5A2004j+0x264> + 80036244: 51f44e63 blt s0,t6,80036760 <_ZL5A2004j+0xb48> + 80036248: 403fd59b sraiw a1,t6,0x3 + 8003624c: 0075fe93 andi t4,a1,7 + 80036250: 147ed263 bge t4,t2,80036394 <_ZL5A2004j+0x77c> + 80036254: 004ff593 andi a1,t6,4 + 80036258: 2c059c63 bnez a1,80036530 <_ZL5A2004j+0x918> + 8003625c: 004e8713 addi a4,t4,4 + 80036260: 00271713 slli a4,a4,0x2 + 80036264: 00ee0733 add a4,t3,a4 + 80036268: 00072703 lw a4,0(a4) + 8003626c: 0027879b addiw a5,a5,2 + 80036270: 00176713 ori a4,a4,1 + 80036274: 00e28733 add a4,t0,a4 + 80036278: 00074f83 lbu t6,0(a4) + 8003627c: 6af80e63 beq a6,a5,80036938 <_ZL5A2004j+0xd20> + 80036280: 00100913 li s2,1 + 80036284: 00200593 li a1,2 + 80036288: 00300713 li a4,3 + 8003628c: 00100a93 li s5,1 + 80036290: d6f458e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80036294: be9ff06f j 80035e7c <_ZL5A2004j+0x264> + 80036298: 000d8f93 mv t6,s11 + 8003629c: da5ff06f j 80036040 <_ZL5A2004j+0x428> + 800362a0: 0015859b addiw a1,a1,1 + 800362a4: 0017891b addiw s2,a5,1 + 800362a8: 0035f593 andi a1,a1,3 + 800362ac: 55280c63 beq a6,s2,80036804 <_ZL5A2004j+0xbec> + 800362b0: 1b2f4c63 blt t5,s2,80036468 <_ZL5A2004j+0x850> + 800362b4: 00090793 mv a5,s2 + 800362b8: 00100a13 li s4,1 + 800362bc: 00100a93 li s5,1 + 800362c0: 00000693 li a3,0 + 800362c4: d05ff06f j 80035fc8 <_ZL5A2004j+0x3b0> + 800362c8: 00178a1b addiw s4,a5,1 + 800362cc: 000a0713 mv a4,s4 + 800362d0: 53480063 beq a6,s4,800367f0 <_ZL5A2004j+0xbd8> + 800362d4: 134f4663 blt t5,s4,80036400 <_ZL5A2004j+0x7e8> + 800362d8: 000a0793 mv a5,s4 + 800362dc: 00100a93 li s5,1 + 800362e0: 00100a13 li s4,1 + 800362e4: 00000693 li a3,0 + 800362e8: ce1ff06f j 80035fc8 <_ZL5A2004j+0x3b0> + 800362ec: 59244463 blt s0,s2,80036874 <_ZL5A2004j+0xc5c> + 800362f0: 4037571b sraiw a4,a4,0x3 + 800362f4: 00777e93 andi t4,a4,7 + 800362f8: 207ed663 bge t4,t2,80036504 <_ZL5A2004j+0x8ec> + 800362fc: 00497713 andi a4,s2,4 + 80036300: 32071c63 bnez a4,80036638 <_ZL5A2004j+0xa20> + 80036304: 004e8713 addi a4,t4,4 + 80036308: 00271713 slli a4,a4,0x2 + 8003630c: 00ee0733 add a4,t3,a4 + 80036310: 00072703 lw a4,0(a4) + 80036314: 0027879b addiw a5,a5,2 + 80036318: 00476713 ori a4,a4,4 + 8003631c: 00e28733 add a4,t0,a4 + 80036320: 00074f83 lbu t6,0(a4) + 80036324: 00400713 li a4,4 + 80036328: 5ef80063 beq a6,a5,80036908 <_ZL5A2004j+0xcf0> + 8003632c: 00100913 li s2,1 + 80036330: 00500593 li a1,5 + 80036334: 00100a13 li s4,1 + 80036338: 00100a93 li s5,1 + 8003633c: ccf452e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80036340: b3dff06f j 80035e7c <_ZL5A2004j+0x264> + 80036344: 01013683 ld a3,16(sp) + 80036348: 0017859b addiw a1,a5,1 + 8003634c: 0006cf83 lbu t6,0(a3) + 80036350: 4cb80863 beq a6,a1,80036820 <_ZL5A2004j+0xc08> + 80036354: 1ebf4a63 blt t5,a1,80036548 <_ZL5A2004j+0x930> + 80036358: 00058793 mv a5,a1 + 8003635c: 00100a13 li s4,1 + 80036360: 00100a93 li s5,1 + 80036364: 00000693 li a3,0 + 80036368: c61ff06f j 80035fc8 <_ZL5A2004j+0x3b0> + 8003636c: 0017891b addiw s2,a5,1 + 80036370: 00090593 mv a1,s2 + 80036374: 4d280863 beq a6,s2,80036844 <_ZL5A2004j+0xc2c> + 80036378: 252f4463 blt t5,s2,800365c0 <_ZL5A2004j+0x9a8> + 8003637c: 00090793 mv a5,s2 + 80036380: 00000593 li a1,0 + 80036384: 00100913 li s2,1 + 80036388: 00100a13 li s4,1 + 8003638c: 00100a93 li s5,1 + 80036390: a6dff06f j 80035dfc <_ZL5A2004j+0x1e4> + 80036394: 00070593 mv a1,a4 + 80036398: 000f8793 mv a5,t6 + 8003639c: 00100913 li s2,1 + 800363a0: 00300713 li a4,3 + 800363a4: 00100a93 li s5,1 + 800363a8: c65ff06f j 8003600c <_ZL5A2004j+0x3f4> + 800363ac: 00100e93 li t4,1 + 800363b0: 00090793 mv a5,s2 + 800363b4: 00070593 mv a1,a4 + 800363b8: 00100913 li s2,1 + 800363bc: 01d13423 sd t4,8(sp) + 800363c0: 00100a93 li s5,1 + 800363c4: c49ff06f j 8003600c <_ZL5A2004j+0x3f4> + 800363c8: 00100913 li s2,1 + 800363cc: 00000593 li a1,0 + 800363d0: 00100e93 li t4,1 + 800363d4: 00400713 li a4,4 + 800363d8: c40a9ae3 bnez s5,8003602c <_ZL5A2004j+0x414> + 800363dc: c55ff06f j 80036030 <_ZL5A2004j+0x418> + 800363e0: 00100e93 li t4,1 + 800363e4: 00300713 li a4,3 + 800363e8: c40a92e3 bnez s5,8003602c <_ZL5A2004j+0x414> + 800363ec: c45ff06f j 80036030 <_ZL5A2004j+0x418> + 800363f0: 00100e93 li t4,1 + 800363f4: 00100713 li a4,1 + 800363f8: c20a9ae3 bnez s5,8003602c <_ZL5A2004j+0x414> + 800363fc: c35ff06f j 80036030 <_ZL5A2004j+0x418> + 80036400: 47444063 blt s0,s4,80036860 <_ZL5A2004j+0xc48> + 80036404: 4037571b sraiw a4,a4,0x3 + 80036408: 00777e93 andi t4,a4,7 + 8003640c: 207eda63 bge t4,t2,80036620 <_ZL5A2004j+0xa08> + 80036410: 004a7713 andi a4,s4,4 + 80036414: 0007069b sext.w a3,a4 + 80036418: 2e071463 bnez a4,80036700 <_ZL5A2004j+0xae8> + 8003641c: 004e8713 addi a4,t4,4 + 80036420: 00271713 slli a4,a4,0x2 + 80036424: 00ee0733 add a4,t3,a4 + 80036428: 00072e83 lw t4,0(a4) + 8003642c: 0015871b addiw a4,a1,1 + 80036430: 01d5e5b3 or a1,a1,t4 + 80036434: 0005859b sext.w a1,a1 + 80036438: 00b285b3 add a1,t0,a1 + 8003643c: 0005cf83 lbu t6,0(a1) + 80036440: 3e670e63 beq a4,t1,8003683c <_ZL5A2004j+0xc24> + 80036444: 0027879b addiw a5,a5,2 + 80036448: 00070593 mv a1,a4 + 8003644c: 4ef80c63 beq a6,a5,80036944 <_ZL5A2004j+0xd2c> + 80036450: 00100913 li s2,1 + 80036454: 00100a13 li s4,1 + 80036458: 00400713 li a4,4 + 8003645c: 00100a93 li s5,1 + 80036460: baf450e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80036464: a19ff06f j 80035e7c <_ZL5A2004j+0x264> + 80036468: 3f244a63 blt s0,s2,8003685c <_ZL5A2004j+0xc44> + 8003646c: 4039571b sraiw a4,s2,0x3 + 80036470: 00777e93 andi t4,a4,7 + 80036474: 187ed863 bge t4,t2,80036604 <_ZL5A2004j+0x9ec> + 80036478: 00497713 andi a4,s2,4 + 8003647c: 0007069b sext.w a3,a4 + 80036480: 2a071663 bnez a4,8003672c <_ZL5A2004j+0xb14> + 80036484: 004e8713 addi a4,t4,4 + 80036488: 00271713 slli a4,a4,0x2 + 8003648c: 00ee0733 add a4,t3,a4 + 80036490: 00072e83 lw t4,0(a4) + 80036494: 0015871b addiw a4,a1,1 + 80036498: 01d5e5b3 or a1,a1,t4 + 8003649c: 0005859b sext.w a1,a1 + 800364a0: 00b285b3 add a1,t0,a1 + 800364a4: 0005cf83 lbu t6,0(a1) + 800364a8: f8671ee3 bne a4,t1,80036444 <_ZL5A2004j+0x82c> + 800364ac: 00090793 mv a5,s2 + 800364b0: 00000593 li a1,0 + 800364b4: 00100913 li s2,1 + 800364b8: 00100e93 li t4,1 + 800364bc: 00100a13 li s4,1 + 800364c0: 00100a93 li s5,1 + 800364c4: b5dff06f j 80036020 <_ZL5A2004j+0x408> + 800364c8: 00100e93 li t4,1 + 800364cc: 0026959b slliw a1,a3,0x2 + 800364d0: 90ded0e3 bge t4,a3,80035dd0 <_ZL5A2004j+0x1b8> + 800364d4: 00b285b3 add a1,t0,a1 + 800364d8: 0017879b addiw a5,a5,1 + 800364dc: 0005cf83 lbu t6,0(a1) + 800364e0: 42f80a63 beq a6,a5,80036914 <_ZL5A2004j+0xcfc> + 800364e4: 00100913 li s2,1 + 800364e8: 00000593 li a1,0 + 800364ec: 00100a13 li s4,1 + 800364f0: e8ff5ee3 bge t5,a5,8003638c <_ZL5A2004j+0x774> + 800364f4: 00200713 li a4,2 + 800364f8: 00100a93 li s5,1 + 800364fc: b0f452e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 80036500: 97dff06f j 80035e7c <_ZL5A2004j+0x264> + 80036504: 00090793 mv a5,s2 + 80036508: 00400713 li a4,4 + 8003650c: 00100913 li s2,1 + 80036510: 00100a13 li s4,1 + 80036514: 00100a93 li s5,1 + 80036518: af5ff06f j 8003600c <_ZL5A2004j+0x3f4> + 8003651c: 00068f93 mv t6,a3 + 80036520: 00100e93 li t4,1 + 80036524: 00200713 li a4,2 + 80036528: b00a92e3 bnez s5,8003602c <_ZL5A2004j+0x414> + 8003652c: b05ff06f j 80036030 <_ZL5A2004j+0x418> + 80036530: 00070593 mv a1,a4 + 80036534: 000f8793 mv a5,t6 + 80036538: 00100913 li s2,1 + 8003653c: 00300713 li a4,3 + 80036540: 00100a93 li s5,1 + 80036544: b79ff06f j 800360bc <_ZL5A2004j+0x4a4> + 80036548: 36b44a63 blt s0,a1,800368bc <_ZL5A2004j+0xca4> + 8003654c: 4035d71b sraiw a4,a1,0x3 + 80036550: 00777e93 andi t4,a4,7 + 80036554: 127ed863 bge t4,t2,80036684 <_ZL5A2004j+0xa6c> + 80036558: 0045f713 andi a4,a1,4 + 8003655c: 0007069b sext.w a3,a4 + 80036560: 26071863 bnez a4,800367d0 <_ZL5A2004j+0xbb8> + 80036564: 004e8713 addi a4,t4,4 + 80036568: 00271713 slli a4,a4,0x2 + 8003656c: 00ee0733 add a4,t3,a4 + 80036570: 00072703 lw a4,0(a4) + 80036574: 0027879b addiw a5,a5,2 + 80036578: 00176713 ori a4,a4,1 + 8003657c: 00e28733 add a4,t0,a4 + 80036580: 00074f83 lbu t6,0(a4) + 80036584: 00400713 li a4,4 + 80036588: 16f80063 beq a6,a5,800366e8 <_ZL5A2004j+0xad0> + 8003658c: 00100913 li s2,1 + 80036590: 00200593 li a1,2 + 80036594: 00100a13 li s4,1 + 80036598: 00100a93 li s5,1 + 8003659c: a6f452e3 bge s0,a5,80036000 <_ZL5A2004j+0x3e8> + 800365a0: 8ddff06f j 80035e7c <_ZL5A2004j+0x264> + 800365a4: 00100f93 li t6,1 + 800365a8: 00090793 mv a5,s2 + 800365ac: 00070593 mv a1,a4 + 800365b0: 00100913 li s2,1 + 800365b4: 01f13423 sd t6,8(sp) + 800365b8: 00100a93 li s5,1 + 800365bc: b01ff06f j 800360bc <_ZL5A2004j+0x4a4> + 800365c0: 31244c63 blt s0,s2,800368d8 <_ZL5A2004j+0xcc0> + 800365c4: 4035d59b sraiw a1,a1,0x3 + 800365c8: 00497f93 andi t6,s2,4 + 800365cc: 0075fe93 andi t4,a1,7 + 800365d0: ac0f9ae3 bnez t6,800360a4 <_ZL5A2004j+0x48c> + 800365d4: 004e8593 addi a1,t4,4 + 800365d8: 00259593 slli a1,a1,0x2 + 800365dc: 00be05b3 add a1,t3,a1 + 800365e0: 0005a583 lw a1,0(a1) + 800365e4: 0027879b addiw a5,a5,2 + 800365e8: 00b285b3 add a1,t0,a1 + 800365ec: 0005cf83 lbu t6,0(a1) + 800365f0: 00070593 mv a1,a4 + 800365f4: 1cf80863 beq a6,a5,800367c4 <_ZL5A2004j+0xbac> + 800365f8: 00100913 li s2,1 + 800365fc: 00100a13 li s4,1 + 80036600: ef5ff06f j 800364f4 <_ZL5A2004j+0x8dc> + 80036604: 00090793 mv a5,s2 + 80036608: 00100a13 li s4,1 + 8003660c: 00100913 li s2,1 + 80036610: 00400713 li a4,4 + 80036614: 00100a93 li s5,1 + 80036618: 00000693 li a3,0 + 8003661c: 9f1ff06f j 8003600c <_ZL5A2004j+0x3f4> + 80036620: 000a0793 mv a5,s4 + 80036624: 00400713 li a4,4 + 80036628: 00100a13 li s4,1 + 8003662c: 00100a93 li s5,1 + 80036630: 00000693 li a3,0 + 80036634: 9d9ff06f j 8003600c <_ZL5A2004j+0x3f4> + 80036638: 00090793 mv a5,s2 + 8003663c: 00400713 li a4,4 + 80036640: 00100913 li s2,1 + 80036644: 00100a13 li s4,1 + 80036648: 00100a93 li s5,1 + 8003664c: a71ff06f j 800360bc <_ZL5A2004j+0x4a4> + 80036650: 00100793 li a5,1 + 80036654: 00070593 mv a1,a4 + 80036658: 00100913 li s2,1 + 8003665c: 00f13423 sd a5,8(sp) + 80036660: 00100e93 li t4,1 + 80036664: 02ee2c23 sw a4,56(t3) + 80036668: 9c9ff06f j 80036030 <_ZL5A2004j+0x418> + 8003666c: 00070593 mv a1,a4 + 80036670: 00068f93 mv t6,a3 + 80036674: 00100913 li s2,1 + 80036678: 00300713 li a4,3 + 8003667c: 00100e93 li t4,1 + 80036680: fe5ff06f j 80036664 <_ZL5A2004j+0xa4c> + 80036684: 00058793 mv a5,a1 + 80036688: 00400713 li a4,4 + 8003668c: 000d0593 mv a1,s10 + 80036690: 00100913 li s2,1 + 80036694: 00100a13 li s4,1 + 80036698: 00100a93 li s5,1 + 8003669c: 00000693 li a3,0 + 800366a0: 96dff06f j 8003600c <_ZL5A2004j+0x3f4> + 800366a4: 01813703 ld a4,24(sp) + 800366a8: 26e44e63 blt s0,a4,80036924 <_ZL5A2004j+0xd0c> + 800366ac: 4035de9b sraiw t4,a1,0x3 + 800366b0: 007efe93 andi t4,t4,7 + 800366b4: 087eda63 bge t4,t2,80036748 <_ZL5A2004j+0xb30> + 800366b8: 00477593 andi a1,a4,4 + 800366bc: 0005871b sext.w a4,a1 + 800366c0: 1e059063 bnez a1,800368a0 <_ZL5A2004j+0xc88> + 800366c4: 004e8593 addi a1,t4,4 + 800366c8: 00259593 slli a1,a1,0x2 + 800366cc: 00be05b3 add a1,t3,a1 + 800366d0: 0005a583 lw a1,0(a1) + 800366d4: 0027879b addiw a5,a5,2 + 800366d8: 0015e593 ori a1,a1,1 + 800366dc: 00b285b3 add a1,t0,a1 + 800366e0: 0005cf83 lbu t6,0(a1) + 800366e4: eaf814e3 bne a6,a5,8003658c <_ZL5A2004j+0x974> + 800366e8: 00200593 li a1,2 + 800366ec: 00100a13 li s4,1 + 800366f0: 00100e93 li t4,1 + 800366f4: 00100913 li s2,1 + 800366f8: 02ee2c23 sw a4,56(t3) + 800366fc: 935ff06f j 80036030 <_ZL5A2004j+0x418> + 80036700: 000a0793 mv a5,s4 + 80036704: 00400713 li a4,4 + 80036708: 00100a13 li s4,1 + 8003670c: 00100a93 li s5,1 + 80036710: 00000693 li a3,0 + 80036714: 9a9ff06f j 800360bc <_ZL5A2004j+0x4a4> + 80036718: 00400713 li a4,4 + 8003671c: 00100913 li s2,1 + 80036720: 00100a13 li s4,1 + 80036724: 00100e93 li t4,1 + 80036728: f3dff06f j 80036664 <_ZL5A2004j+0xa4c> + 8003672c: 00090793 mv a5,s2 + 80036730: 00100a13 li s4,1 + 80036734: 00100913 li s2,1 + 80036738: 00400713 li a4,4 + 8003673c: 00100a93 li s5,1 + 80036740: 00000693 li a3,0 + 80036744: 979ff06f j 800360bc <_ZL5A2004j+0x4a4> + 80036748: 01813783 ld a5,24(sp) + 8003674c: 000d0593 mv a1,s10 + 80036750: 000a8913 mv s2,s5 + 80036754: 000a8a13 mv s4,s5 + 80036758: 00000713 li a4,0 + 8003675c: 8b1ff06f j 8003600c <_ZL5A2004j+0x3f4> + 80036760: 00070593 mv a1,a4 + 80036764: 00300713 li a4,3 + 80036768: 00100913 li s2,1 + 8003676c: 02ee2c23 sw a4,56(t3) + 80036770: f14ff06f j 80035e84 <_ZL5A2004j+0x26c> + 80036774: 00100793 li a5,1 + 80036778: 00070593 mv a1,a4 + 8003677c: 00100913 li s2,1 + 80036780: 00f13423 sd a5,8(sp) + 80036784: 02ee2c23 sw a4,56(t3) + 80036788: efcff06f j 80035e84 <_ZL5A2004j+0x26c> + 8003678c: 14b44663 blt s0,a1,800368d8 <_ZL5A2004j+0xcc0> + 80036790: 4035de9b sraiw t4,a1,0x3 + 80036794: 0045f713 andi a4,a1,4 + 80036798: 007efe93 andi t4,t4,7 + 8003679c: 0e071663 bnez a4,80036888 <_ZL5A2004j+0xc70> + 800367a0: 004e8713 addi a4,t4,4 + 800367a4: 00271713 slli a4,a4,0x2 + 800367a8: 00ee0733 add a4,t3,a4 + 800367ac: 00072703 lw a4,0(a4) + 800367b0: 0027879b addiw a5,a5,2 + 800367b4: 000d0593 mv a1,s10 + 800367b8: 00e28733 add a4,t0,a4 + 800367bc: 00074f83 lbu t6,0(a4) + 800367c0: e2f81ce3 bne a6,a5,800365f8 <_ZL5A2004j+0x9e0> + 800367c4: 00100a13 li s4,1 + 800367c8: 00200713 li a4,2 + 800367cc: f25ff06f j 800366f0 <_ZL5A2004j+0xad8> + 800367d0: 00058793 mv a5,a1 + 800367d4: 00400713 li a4,4 + 800367d8: 000d0593 mv a1,s10 + 800367dc: 00100913 li s2,1 + 800367e0: 00100a13 li s4,1 + 800367e4: 00100a93 li s5,1 + 800367e8: 00000693 li a3,0 + 800367ec: 8d1ff06f j 800360bc <_ZL5A2004j+0x4a4> + 800367f0: 00100a13 li s4,1 + 800367f4: 00000693 li a3,0 + 800367f8: 00400713 li a4,4 + 800367fc: 00100e93 li t4,1 + 80036800: e65ff06f j 80036664 <_ZL5A2004j+0xa4c> + 80036804: 00100913 li s2,1 + 80036808: 00000f93 li t6,0 + 8003680c: 00100a13 li s4,1 + 80036810: 00000693 li a3,0 + 80036814: 00400713 li a4,4 + 80036818: 00100e93 li t4,1 + 8003681c: e49ff06f j 80036664 <_ZL5A2004j+0xa4c> + 80036820: 00400713 li a4,4 + 80036824: 000d0593 mv a1,s10 + 80036828: 00100913 li s2,1 + 8003682c: 00100a13 li s4,1 + 80036830: 00000693 li a3,0 + 80036834: 00100e93 li t4,1 + 80036838: e2dff06f j 80036664 <_ZL5A2004j+0xa4c> + 8003683c: 000a0793 mv a5,s4 + 80036840: c71ff06f j 800364b0 <_ZL5A2004j+0x898> + 80036844: 00100913 li s2,1 + 80036848: 00000593 li a1,0 + 8003684c: 00100a13 li s4,1 + 80036850: 00200713 li a4,2 + 80036854: 00100e93 li t4,1 + 80036858: e0dff06f j 80036664 <_ZL5A2004j+0xa4c> + 8003685c: 00100913 li s2,1 + 80036860: 00400713 li a4,4 + 80036864: 00100a13 li s4,1 + 80036868: 00000693 li a3,0 + 8003686c: 02ee2c23 sw a4,56(t3) + 80036870: e14ff06f j 80035e84 <_ZL5A2004j+0x26c> + 80036874: 00400713 li a4,4 + 80036878: 00100913 li s2,1 + 8003687c: 00100a13 li s4,1 + 80036880: 02ee2c23 sw a4,56(t3) + 80036884: e00ff06f j 80035e84 <_ZL5A2004j+0x26c> + 80036888: 00058793 mv a5,a1 + 8003688c: 81dff06f j 800360a8 <_ZL5A2004j+0x490> + 80036890: 000d0593 mv a1,s10 + 80036894: 00100a13 li s4,1 + 80036898: 00000713 li a4,0 + 8003689c: e55ff06f j 800366f0 <_ZL5A2004j+0xad8> + 800368a0: 01813783 ld a5,24(sp) + 800368a4: 000d0593 mv a1,s10 + 800368a8: 00100913 li s2,1 + 800368ac: 00100a13 li s4,1 + 800368b0: 00000713 li a4,0 + 800368b4: 00100a93 li s5,1 + 800368b8: 805ff06f j 800360bc <_ZL5A2004j+0x4a4> + 800368bc: 00400713 li a4,4 + 800368c0: 000d0593 mv a1,s10 + 800368c4: 00100913 li s2,1 + 800368c8: 00100a13 li s4,1 + 800368cc: 00000693 li a3,0 + 800368d0: 02ee2c23 sw a4,56(t3) + 800368d4: db0ff06f j 80035e84 <_ZL5A2004j+0x26c> + 800368d8: 00200713 li a4,2 + 800368dc: 00100913 li s2,1 + 800368e0: 00000593 li a1,0 + 800368e4: 00100a13 li s4,1 + 800368e8: 02ee2c23 sw a4,56(t3) + 800368ec: d98ff06f j 80035e84 <_ZL5A2004j+0x26c> + 800368f0: 000d0593 mv a1,s10 + 800368f4: 00100913 li s2,1 + 800368f8: 00100a13 li s4,1 + 800368fc: 00000713 li a4,0 + 80036900: 00100e93 li t4,1 + 80036904: d61ff06f j 80036664 <_ZL5A2004j+0xa4c> + 80036908: 00500593 li a1,5 + 8003690c: 00100a13 li s4,1 + 80036910: de1ff06f j 800366f0 <_ZL5A2004j+0xad8> + 80036914: 00000593 li a1,0 + 80036918: 00100a13 li s4,1 + 8003691c: 00200713 li a4,2 + 80036920: dd1ff06f j 800366f0 <_ZL5A2004j+0xad8> + 80036924: 00000713 li a4,0 + 80036928: 000d0593 mv a1,s10 + 8003692c: 000a0913 mv s2,s4 + 80036930: 02ee2c23 sw a4,56(t3) + 80036934: d50ff06f j 80035e84 <_ZL5A2004j+0x26c> + 80036938: 00200593 li a1,2 + 8003693c: 00300713 li a4,3 + 80036940: db1ff06f j 800366f0 <_ZL5A2004j+0xad8> + 80036944: 00100a13 li s4,1 + 80036948: 00400713 li a4,4 + 8003694c: da5ff06f j 800366f0 <_ZL5A2004j+0xad8> + 80036950: 00100793 li a5,1 + 80036954: 00200593 li a1,2 + 80036958: 00f13423 sd a5,8(sp) + 8003695c: d95ff06f j 800366f0 <_ZL5A2004j+0xad8> + +0000000080036960 <_Z12FCEUPPU_Loopi>: + 80036960: f2010113 addi sp,sp,-224 + 80036964: 0c113c23 sd ra,216(sp) + 80036968: 0c813823 sd s0,208(sp) + 8003696c: 0c913423 sd s1,200(sp) + 80036970: 0d213023 sd s2,192(sp) + 80036974: 0b313c23 sd s3,184(sp) + 80036978: 0b413823 sd s4,176(sp) + 8003697c: 0b513423 sd s5,168(sp) + 80036980: 0b613023 sd s6,160(sp) + 80036984: 09713c23 sd s7,152(sp) + 80036988: 09813823 sd s8,144(sp) + 8003698c: 09913423 sd s9,136(sp) + 80036990: 09a13023 sd s10,128(sp) + 80036994: 07b13c23 sd s11,120(sp) + 80036998: 00196797 auipc a5,0x196 + 8003699c: dfc7a783 lw a5,-516(a5) # 801cc794 + 800369a0: 04a13c23 sd a0,88(sp) + 800369a4: 00078c63 beqz a5,800369bc <_Z12FCEUPPU_Loopi+0x5c> + 800369a8: 00196797 auipc a5,0x196 + 800369ac: ca07b783 ld a5,-864(a5) # 801cc648 + 800369b0: 00c7a703 lw a4,12(a5) + 800369b4: 00300793 li a5,3 + 800369b8: 36f71463 bne a4,a5,80036d20 <_Z12FCEUPPU_Loopi+0x3c0> + 800369bc: 00016417 auipc s0,0x16 + 800369c0: 7c440413 addi s0,s0,1988 # 8004d180 <_ZL7ppudead> + 800369c4: 00042a03 lw s4,0(s0) + 800369c8: 080a0a63 beqz s4,80036a5c <_Z12FCEUPPU_Loopi+0xfc> + 800369cc: 0000f637 lui a2,0xf + 800369d0: 08000593 li a1,128 + 800369d4: 00195517 auipc a0,0x195 + 800369d8: 14c53503 ld a0,332(a0) # 801cbb20 + 800369dc: 04c050ef jal ra,8003ba28 + 800369e0: 00196517 auipc a0,0x196 + 800369e4: d4c52503 lw a0,-692(a0) # 801cc72c <_ZL19scanlines_per_frame> + 800369e8: 0025179b slliw a5,a0,0x2 + 800369ec: 00a787bb addw a5,a5,a0 + 800369f0: 0047971b slliw a4,a5,0x4 + 800369f4: 00e787bb addw a5,a5,a4 + 800369f8: 0027979b slliw a5,a5,0x2 + 800369fc: 00a7853b addw a0,a5,a0 + 80036a00: c60ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036a04: 00042783 lw a5,0(s0) + 80036a08: fff7879b addiw a5,a5,-1 + 80036a0c: 00f42023 sw a5,0(s0) + 80036a10: a14ca0ef jal ra,80000c24 <_Z7ShowFPSv> + 80036a14: 05813783 ld a5,88(sp) + 80036a18: 0017ba13 seqz s4,a5 + 80036a1c: 0d813083 ld ra,216(sp) + 80036a20: 0d013403 ld s0,208(sp) + 80036a24: 0c813483 ld s1,200(sp) + 80036a28: 0c013903 ld s2,192(sp) + 80036a2c: 0b813983 ld s3,184(sp) + 80036a30: 0a813a83 ld s5,168(sp) + 80036a34: 0a013b03 ld s6,160(sp) + 80036a38: 09813b83 ld s7,152(sp) + 80036a3c: 09013c03 ld s8,144(sp) + 80036a40: 08813c83 ld s9,136(sp) + 80036a44: 08013d03 ld s10,128(sp) + 80036a48: 07813d83 ld s11,120(sp) + 80036a4c: 000a0513 mv a0,s4 + 80036a50: 0b013a03 ld s4,176(sp) + 80036a54: 0e010113 addi sp,sp,224 + 80036a58: 00008067 ret + 80036a5c: 15500513 li a0,341 + 80036a60: c00ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036a64: 00196417 auipc s0,0x196 + 80036a68: c9c40413 addi s0,s0,-868 # 801cc700 + 80036a6c: 00244783 lbu a5,2(s0) + 80036a70: 00c00513 li a0,12 + 80036a74: 00196a97 auipc s5,0x196 + 80036a78: bd4a8a93 addi s5,s5,-1068 # 801cc648 + 80036a7c: f807e793 ori a5,a5,-128 + 80036a80: 00f40123 sb a5,2(s0) + 80036a84: 00196797 auipc a5,0x196 + 80036a88: c80781a3 sb zero,-893(a5) # 801cc707 + 80036a8c: 00196797 auipc a5,0x196 + 80036a90: c6078ba3 sb zero,-905(a5) # 801cc703 + 80036a94: bccca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036a98: 000ab703 ld a4,0(s5) + 80036a9c: 00300793 li a5,3 + 80036aa0: 00c72703 lw a4,12(a4) + 80036aa4: 00f71463 bne a4,a5,80036aac <_Z12FCEUPPU_Loopi+0x14c> + 80036aa8: 4a40106f j 80037f4c <_Z12FCEUPPU_Loopi+0x15ec> + 80036aac: 00040783 lb a5,0(s0) + 80036ab0: 0007d463 bgez a5,80036ab8 <_Z12FCEUPPU_Loopi+0x158> + 80036ab4: 42c0106f j 80037ee0 <_Z12FCEUPPU_Loopi+0x1580> + 80036ab8: 00196517 auipc a0,0x196 + 80036abc: c7452503 lw a0,-908(a0) # 801cc72c <_ZL19scanlines_per_frame> + 80036ac0: 0025179b slliw a5,a0,0x2 + 80036ac4: 00a787bb addw a5,a5,a0 + 80036ac8: 0047971b slliw a4,a5,0x4 + 80036acc: 00e787bb addw a5,a5,a4 + 80036ad0: 0027979b slliw a5,a5,0x2 + 80036ad4: 00a787bb addw a5,a5,a0 + 80036ad8: fffec537 lui a0,0xfffec + 80036adc: d9a5051b addiw a0,a0,-614 + 80036ae0: 00a7853b addw a0,a5,a0 + 80036ae4: 00196997 auipc s3,0x196 + 80036ae8: ba898993 addi s3,s3,-1112 # 801cc68c + 80036aec: b74ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036af0: 0009c783 lbu a5,0(s3) + 80036af4: 04078e63 beqz a5,80036b50 <_Z12FCEUPPU_Loopi+0x1f0> + 80036af8: 00196717 auipc a4,0x196 + 80036afc: bac72703 lw a4,-1108(a4) # 801cc6a4 + 80036b00: 04070863 beqz a4,80036b50 <_Z12FCEUPPU_Loopi+0x1f0> + 80036b04: 00195797 auipc a5,0x195 + 80036b08: 0557c783 lbu a5,85(a5) # 801cbb59 + 80036b0c: 00078863 beqz a5,80036b1c <_Z12FCEUPPU_Loopi+0x1bc> + 80036b10: 00016797 auipc a5,0x16 + 80036b14: 6647c783 lbu a5,1636(a5) # 8004d174 + 80036b18: 02079c63 bnez a5,80036b50 <_Z12FCEUPPU_Loopi+0x1f0> + 80036b1c: 0027179b slliw a5,a4,0x2 + 80036b20: 00e787bb addw a5,a5,a4 + 80036b24: 0047951b slliw a0,a5,0x4 + 80036b28: 00a7853b addw a0,a5,a0 + 80036b2c: 0025151b slliw a0,a0,0x2 + 80036b30: 00e5053b addw a0,a0,a4 + 80036b34: 00100793 li a5,1 + 80036b38: ff45051b addiw a0,a0,-12 + 80036b3c: 00196717 auipc a4,0x196 + 80036b40: b4f708a3 sb a5,-1199(a4) # 801cc68d + 80036b44: b1cca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036b48: 00196797 auipc a5,0x196 + 80036b4c: b40782a3 sb zero,-1211(a5) # 801cc68d + 80036b50: 00244783 lbu a5,2(s0) + 80036b54: 10000513 li a0,256 + 80036b58: 01f7f793 andi a5,a5,31 + 80036b5c: 00f40123 sb a5,2(s0) + 80036b60: b00ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036b64: 00144783 lbu a5,1(s0) + 80036b68: 0187f793 andi a5,a5,24 + 80036b6c: 06078663 beqz a5,80036bd8 <_Z12FCEUPPU_Loopi+0x278> + 80036b70: 00196717 auipc a4,0x196 + 80036b74: b5073703 ld a4,-1200(a4) # 801cc6c0 + 80036b78: 00070c63 beqz a4,80036b90 <_Z12FCEUPPU_Loopi+0x230> + 80036b7c: 00044783 lbu a5,0(s0) + 80036b80: 01800693 li a3,24 + 80036b84: 0387f793 andi a5,a5,56 + 80036b88: 00d78463 beq a5,a3,80036b90 <_Z12FCEUPPU_Loopi+0x230> + 80036b8c: 000700e7 jalr a4 + 80036b90: 00196497 auipc s1,0x196 + 80036b94: b7848493 addi s1,s1,-1160 # 801cc708 + 80036b98: 0004b783 ld a5,0(s1) + 80036b9c: 02078663 beqz a5,80036bc8 <_Z12FCEUPPU_Loopi+0x268> + 80036ba0: 02a00913 li s2,42 + 80036ba4: 0080006f j 80036bac <_Z12FCEUPPU_Loopi+0x24c> + 80036ba8: 0004b783 ld a5,0(s1) + 80036bac: 00002537 lui a0,0x2 + 80036bb0: 000780e7 jalr a5 + 80036bb4: 0004b783 ld a5,0(s1) + 80036bb8: fff9091b addiw s2,s2,-1 + 80036bbc: 00000513 li a0,0 + 80036bc0: 000780e7 jalr a5 + 80036bc4: fe0912e3 bnez s2,80036ba8 <_Z12FCEUPPU_Loopi+0x248> + 80036bc8: 00196797 auipc a5,0x196 + 80036bcc: b007b783 ld a5,-1280(a5) # 801cc6c8 + 80036bd0: 00078463 beqz a5,80036bd8 <_Z12FCEUPPU_Loopi+0x278> + 80036bd4: 000780e7 jalr a5 + 80036bd8: 04500513 li a0,69 + 80036bdc: a84ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036be0: 00144783 lbu a5,1(s0) + 80036be4: 0187f793 andi a5,a5,24 + 80036be8: 02078663 beqz a5,80036c14 <_Z12FCEUPPU_Loopi+0x2b4> + 80036bec: 00196517 auipc a0,0x196 + 80036bf0: b3052503 lw a0,-1232(a0) # 801cc71c + 80036bf4: 00196797 auipc a5,0x196 + 80036bf8: b2a7a023 sw a0,-1248(a5) # 801cc714 + 80036bfc: 00196797 auipc a5,0x196 + 80036c00: b0c7b783 ld a5,-1268(a5) # 801cc708 + 80036c04: 00078863 beqz a5,80036c14 <_Z12FCEUPPU_Loopi+0x2b4> + 80036c08: 03251513 slli a0,a0,0x32 + 80036c0c: 03255513 srli a0,a0,0x32 + 80036c10: 000780e7 jalr a5 + 80036c14: 00195d17 auipc s10,0x195 + 80036c18: f0cd0d13 addi s10,s10,-244 # 801cbb20 + 80036c1c: 000d3503 ld a0,0(s10) + 80036c20: 00196497 auipc s1,0x196 + 80036c24: b1048493 addi s1,s1,-1264 # 801cc730 <_ZL4kook> + 80036c28: 00196797 auipc a5,0x196 + 80036c2c: ae078ea3 sb zero,-1283(a5) # 801cc725 <_ZL10numsprites> + 80036c30: 00196797 auipc a5,0x196 + 80036c34: b007aa23 sw zero,-1260(a5) # 801cc744 <_ZL5spork> + 80036c38: f4dfe0ef jal ra,80035b84 <_ZL7ResetRLPh> + 80036c3c: 0004a783 lw a5,0(s1) + 80036c40: 01000513 li a0,16 + 80036c44: 40f5053b subw a0,a0,a5 + 80036c48: a18ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036c4c: 000ab683 ld a3,0(s5) + 80036c50: 0004a783 lw a5,0(s1) + 80036c54: 00300713 li a4,3 + 80036c58: 00c6a683 lw a3,12(a3) + 80036c5c: 0017c793 xori a5,a5,1 + 80036c60: 00f4a023 sw a5,0(s1) + 80036c64: 00e69463 bne a3,a4,80036c6c <_Z12FCEUPPU_Loopi+0x30c> + 80036c68: 2800106f j 80037ee8 <_Z12FCEUPPU_Loopi+0x1588> + 80036c6c: 05813783 ld a5,88(sp) + 80036c70: 0e078663 beqz a5,80036d5c <_Z12FCEUPPU_Loopi+0x3fc> + 80036c74: 00244783 lbu a5,2(s0) + 80036c78: 00196497 auipc s1,0x196 + 80036c7c: a4848493 addi s1,s1,-1464 # 801cc6c0 + 80036c80: 0004b703 ld a4,0(s1) + 80036c84: 00194917 auipc s2,0x194 + 80036c88: 8c494903 lbu s2,-1852(s2) # 801ca548 + 80036c8c: 0207e793 ori a5,a5,32 + 80036c90: 00190a9b addiw s5,s2,1 + 80036c94: 00f40123 sb a5,2(s0) + 80036c98: 000a8913 mv s2,s5 + 80036c9c: 00071463 bnez a4,80036ca4 <_Z12FCEUPPU_Loopi+0x344> + 80036ca0: 1d80106f j 80037e78 <_Z12FCEUPPU_Loopi+0x1518> + 80036ca4: 10000513 li a0,256 + 80036ca8: 9b8ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036cac: 00196917 auipc s2,0x196 + 80036cb0: af490913 addi s2,s2,-1292 # 801cc7a0 + 80036cb4: 00196797 auipc a5,0x196 + 80036cb8: ae07a623 sw zero,-1300(a5) # 801cc7a0 + 80036cbc: 0ef00993 li s3,239 + 80036cc0: 0280006f j 80036ce8 <_Z12FCEUPPU_Loopi+0x388> + 80036cc4: 05500513 li a0,85 + 80036cc8: 01378463 beq a5,s3,80036cd0 <_Z12FCEUPPU_Loopi+0x370> + 80036ccc: 15500513 li a0,341 + 80036cd0: 990ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036cd4: 00092783 lw a5,0(s2) + 80036cd8: 0017871b addiw a4,a5,1 + 80036cdc: 00e92023 sw a4,0(s2) + 80036ce0: 00e9d463 bge s3,a4,80036ce8 <_Z12FCEUPPU_Loopi+0x388> + 80036ce4: 1f40106f j 80037ed8 <_Z12FCEUPPU_Loopi+0x1578> + 80036ce8: 00144783 lbu a5,1(s0) + 80036cec: 0187f793 andi a5,a5,24 + 80036cf0: 00078663 beqz a5,80036cfc <_Z12FCEUPPU_Loopi+0x39c> + 80036cf4: 0004b783 ld a5,0(s1) + 80036cf8: 000780e7 jalr a5 + 80036cfc: 00092783 lw a5,0(s2) + 80036d00: fd5792e3 bne a5,s5,80036cc4 <_Z12FCEUPPU_Loopi+0x364> + 80036d04: 00144703 lbu a4,1(s0) + 80036d08: 01077713 andi a4,a4,16 + 80036d0c: fa070ce3 beqz a4,80036cc4 <_Z12FCEUPPU_Loopi+0x364> + 80036d10: 00244703 lbu a4,2(s0) + 80036d14: 04076713 ori a4,a4,64 + 80036d18: 00e40123 sb a4,2(s0) + 80036d1c: fa9ff06f j 80036cc4 <_Z12FCEUPPU_Loopi+0x364> + 80036d20: 0d013403 ld s0,208(sp) + 80036d24: 0d813083 ld ra,216(sp) + 80036d28: 0c813483 ld s1,200(sp) + 80036d2c: 0c013903 ld s2,192(sp) + 80036d30: 0b813983 ld s3,184(sp) + 80036d34: 0b013a03 ld s4,176(sp) + 80036d38: 0a813a83 ld s5,168(sp) + 80036d3c: 0a013b03 ld s6,160(sp) + 80036d40: 09813b83 ld s7,152(sp) + 80036d44: 09013c03 ld s8,144(sp) + 80036d48: 08813c83 ld s9,136(sp) + 80036d4c: 08013d03 ld s10,128(sp) + 80036d50: 07813d83 ld s11,120(sp) + 80036d54: 0e010113 addi sp,sp,224 + 80036d58: 4690106f j 800389c0 <_Z14FCEUX_PPU_Loopi> + 80036d5c: 00144783 lbu a5,1(s0) + 80036d60: 00195717 auipc a4,0x195 + 80036d64: df970713 addi a4,a4,-519 # 801cbb59 + 80036d68: 00074683 lbu a3,0(a4) + 80036d6c: 0057d793 srli a5,a5,0x5 + 80036d70: 00196717 auipc a4,0x196 + 80036d74: 9d070713 addi a4,a4,-1584 # 801cc740 <_ZL5deemp> + 80036d78: 00f70023 sb a5,0(a4) + 80036d7c: 00196717 auipc a4,0x196 + 80036d80: 90c70713 addi a4,a4,-1780 # 801cc688 + 80036d84: 00072703 lw a4,0(a4) + 80036d88: 36068c63 beqz a3,80037100 <_Z12FCEUPPU_Loopi+0x7a0> + 80036d8c: 00016697 auipc a3,0x16 + 80036d90: 3e86c683 lbu a3,1000(a3) # 8004d174 + 80036d94: 36068663 beqz a3,80037100 <_Z12FCEUPPU_Loopi+0x7a0> + 80036d98: 00196697 auipc a3,0x196 + 80036d9c: 90868693 addi a3,a3,-1784 # 801cc6a0 + 80036da0: 04d13823 sd a3,80(sp) + 80036da4: 00e6a023 sw a4,0(a3) + 80036da8: 00196697 auipc a3,0x196 + 80036dac: 9e06ac23 sw zero,-1544(a3) # 801cc7a0 + 80036db0: 00196a17 auipc s4,0x196 + 80036db4: 924a0a13 addi s4,s4,-1756 # 801cc6d4 + 80036db8: 2ee05663 blez a4,800370a4 <_Z12FCEUPPU_Loopi+0x744> + 80036dbc: 00001c37 lui s8,0x1 + 80036dc0: fe0c0713 addi a4,s8,-32 # fe0 <_entry_offset+0xfe0> + 80036dc4: 02e13c23 sd a4,56(sp) + 80036dc8: 00279793 slli a5,a5,0x2 + 80036dcc: 00194717 auipc a4,0x194 + 80036dd0: 68470713 addi a4,a4,1668 # 801cb450 <_ZL8deempcnt> + 80036dd4: 00f707b3 add a5,a4,a5 + 80036dd8: 0007a703 lw a4,0(a5) + 80036ddc: 3f3f4bb7 lui s7,0x3f3f4 + 80036de0: 80808b37 lui s6,0x80808 + 80036de4: 0017071b addiw a4,a4,1 + 80036de8: 00000513 li a0,0 + 80036dec: 0ef00693 li a3,239 + 80036df0: 00e7a023 sw a4,0(a5) + 80036df4: 00196917 auipc s2,0x196 + 80036df8: 9ac90913 addi s2,s2,-1620 # 801cc7a0 + 80036dfc: 00196a17 auipc s4,0x196 + 80036e00: 8d8a0a13 addi s4,s4,-1832 # 801cc6d4 + 80036e04: f3fb8b93 addi s7,s7,-193 # 3f3f3f3f <_entry_offset+0x3f3f3f3f> + 80036e08: 080b0b13 addi s6,s6,128 # ffffffff80808080 <_end+0xffffffff00633080> + 80036e0c: 08a6de63 bge a3,a0,80036ea8 <_Z12FCEUPPU_Loopi+0x548> + 80036e10: 14500513 li a0,325 + 80036e14: 84cca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036e18: 00092783 lw a5,0(s2) + 80036e1c: 01000513 li a0,16 + 80036e20: 0017879b addiw a5,a5,1 + 80036e24: 00f92023 sw a5,0(s2) + 80036e28: 838ca0ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036e2c: 00196797 auipc a5,0x196 + 80036e30: 85c78793 addi a5,a5,-1956 # 801cc688 + 80036e34: 0007a703 lw a4,0(a5) + 80036e38: 00092503 lw a0,0(s2) + 80036e3c: 05013783 ld a5,80(sp) + 80036e40: 0007a783 lw a5,0(a5) + 80036e44: 24e54a63 blt a0,a4,80037098 <_Z12FCEUPPU_Loopi+0x738> + 80036e48: 24f50863 beq a0,a5,80037098 <_Z12FCEUPPU_Loopi+0x738> + 80036e4c: 00195717 auipc a4,0x195 + 80036e50: d0d70713 addi a4,a4,-755 # 801cbb59 + 80036e54: 00074703 lbu a4,0(a4) + 80036e58: 00070863 beqz a4,80036e68 <_Z12FCEUPPU_Loopi+0x508> + 80036e5c: 00016717 auipc a4,0x16 + 80036e60: 31874703 lbu a4,792(a4) # 8004d174 + 80036e64: 24071063 bnez a4,800370a4 <_Z12FCEUPPU_Loopi+0x744> + 80036e68: 00100713 li a4,1 + 80036e6c: 00196697 auipc a3,0x196 + 80036e70: 82e680a3 sb a4,-2015(a3) # 801cc68d + 80036e74: 22f55863 bge a0,a5,800370a4 <_Z12FCEUPPU_Loopi+0x744> + 80036e78: 00196797 auipc a5,0x196 + 80036e7c: 8c878793 addi a5,a5,-1848 # 801cc740 <_ZL5deemp> + 80036e80: 0007c783 lbu a5,0(a5) + 80036e84: 00194717 auipc a4,0x194 + 80036e88: 5cc70713 addi a4,a4,1484 # 801cb450 <_ZL8deempcnt> + 80036e8c: 0ef00693 li a3,239 + 80036e90: 00279793 slli a5,a5,0x2 + 80036e94: 00f707b3 add a5,a4,a5 + 80036e98: 0007a703 lw a4,0(a5) + 80036e9c: 0017071b addiw a4,a4,1 + 80036ea0: 00e7a023 sw a4,0(a5) + 80036ea4: f6a6c6e3 blt a3,a0,80036e10 <_Z12FCEUPPU_Loopi+0x4b0> + 80036ea8: 000d3483 ld s1,0(s10) + 80036eac: 000a2703 lw a4,0(s4) + 80036eb0: 0085179b slliw a5,a0,0x8 + 80036eb4: 00f484b3 add s1,s1,a5 + 80036eb8: 1e071ee3 bnez a4,800378b4 <_Z12FCEUPPU_Loopi+0xf54> + 80036ebc: 10000513 li a0,256 + 80036ec0: fa1c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036ec4: 11000513 li a0,272 + 80036ec8: c81fd0ef jal ra,80034b48 <_ZL11RefreshLinei> + 80036ecc: 00196797 auipc a5,0x196 + 80036ed0: 87c78793 addi a5,a5,-1924 # 801cc748 <_ZL5tofix> + 80036ed4: 0007a783 lw a5,0(a5) + 80036ed8: 00078a63 beqz a5,80036eec <_Z12FCEUPPU_Loopi+0x58c> + 80036edc: 00144783 lbu a5,1(s0) + 80036ee0: 0187f793 andi a5,a5,24 + 80036ee4: 00078463 beqz a5,80036eec <_Z12FCEUPPU_Loopi+0x58c> + 80036ee8: bedfd0ef jal ra,80034ad4 <_ZL6Fixit1v.part.0> + 80036eec: 11000513 li a0,272 + 80036ef0: b31fd0ef jal ra,80034a20 <_ZL14CheckSpriteHiti> + 80036ef4: 00196797 auipc a5,0x196 + 80036ef8: 8407b223 sd zero,-1980(a5) # 801cc738 <_ZL5Pline> + 80036efc: 00016797 auipc a5,0x16 + 80036f00: 2887c783 lbu a5,648(a5) # 8004d184 <_ZL8renderbg> + 80036f04: 02079263 bnez a5,80036f28 <_Z12FCEUPPU_Loopi+0x5c8> + 80036f08: 00016597 auipc a1,0x16 + 80036f0c: 27d5c583 lbu a1,637(a1) # 8004d185 + 80036f10: 0ff00793 li a5,255 + 80036f14: 40f588e3 beq a1,a5,80037b24 <_Z12FCEUPPU_Loopi+0x11c4> + 80036f18: 10000613 li a2,256 + 80036f1c: 0405e593 ori a1,a1,64 + 80036f20: 00048513 mv a0,s1 + 80036f24: 305040ef jal ra,8003ba28 + 80036f28: 00144703 lbu a4,1(s0) + 80036f2c: 01077793 andi a5,a4,16 + 80036f30: 10079ae3 bnez a5,80037844 <_Z12FCEUPPU_Loopi+0xee4> + 80036f34: 01877693 andi a3,a4,24 + 80036f38: 0fc48793 addi a5,s1,252 + 80036f3c: 02068a63 beqz a3,80036f70 <_Z12FCEUPPU_Loopi+0x610> + 80036f40: 00177693 andi a3,a4,1 + 80036f44: 02068663 beqz a3,80036f70 <_Z12FCEUPPU_Loopi+0x610> + 80036f48: 303035b7 lui a1,0x30303 + 80036f4c: 00078713 mv a4,a5 + 80036f50: 03058593 addi a1,a1,48 # 30303030 <_entry_offset+0x30303030> + 80036f54: 00072683 lw a3,0(a4) + 80036f58: 00070613 mv a2,a4 + 80036f5c: ffc70713 addi a4,a4,-4 + 80036f60: 00b6f6b3 and a3,a3,a1 + 80036f64: 00d72223 sw a3,4(a4) + 80036f68: fec496e3 bne s1,a2,80036f54 <_Z12FCEUPPU_Loopi+0x5f4> + 80036f6c: 00144703 lbu a4,1(s0) + 80036f70: 00575693 srli a3,a4,0x5 + 80036f74: 00700613 li a2,7 + 80036f78: 40c68063 beq a3,a2,80037378 <_Z12FCEUPPU_Loopi+0xa18> + 80036f7c: 0e077713 andi a4,a4,224 + 80036f80: 18070c63 beqz a4,80037118 <_Z12FCEUPPU_Loopi+0x7b8> + 80036f84: 40404637 lui a2,0x40404 + 80036f88: 04060613 addi a2,a2,64 # 40404040 <_entry_offset+0x40404040> + 80036f8c: 0007a703 lw a4,0(a5) + 80036f90: 00078693 mv a3,a5 + 80036f94: ffc78793 addi a5,a5,-4 + 80036f98: 00c76733 or a4,a4,a2 + 80036f9c: 00e7a223 sw a4,4(a5) + 80036fa0: fed496e3 bne s1,a3,80036f8c <_Z12FCEUPPU_Loopi+0x62c> + 80036fa4: 00144783 lbu a5,1(s0) + 80036fa8: 10000713 li a4,256 + 80036fac: 00195697 auipc a3,0x195 + 80036fb0: 7ac68693 addi a3,a3,1964 # 801cc758 <_ZL6sphitx> + 80036fb4: 00e6a023 sw a4,0(a3) + 80036fb8: 0187f793 andi a5,a5,24 + 80036fbc: 00195497 auipc s1,0x195 + 80036fc0: 70448493 addi s1,s1,1796 # 801cc6c0 + 80036fc4: 18079863 bnez a5,80037154 <_Z12FCEUPPU_Loopi+0x7f4> + 80036fc8: 00600513 li a0,6 + 80036fcc: e95c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80036fd0: 00144783 lbu a5,1(s0) + 80036fd4: 0187f793 andi a5,a5,24 + 80036fd8: 02078863 beqz a5,80037008 <_Z12FCEUPPU_Loopi+0x6a8> + 80036fdc: 00195617 auipc a2,0x195 + 80036fe0: 73860613 addi a2,a2,1848 # 801cc714 + 80036fe4: 00062703 lw a4,0(a2) + 80036fe8: 000106b7 lui a3,0x10 + 80036fec: 00195797 auipc a5,0x195 + 80036ff0: 7307a783 lw a5,1840(a5) # 801cc71c + 80036ff4: be068693 addi a3,a3,-1056 # fbe0 <_entry_offset+0xfbe0> + 80036ff8: 00d77733 and a4,a4,a3 + 80036ffc: 41f7f793 andi a5,a5,1055 + 80037000: 00e7e7b3 or a5,a5,a4 + 80037004: 00f62023 sw a5,0(a2) + 80037008: 03f00513 li a0,63 + 8003700c: e55c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037010: 0004b703 ld a4,0(s1) + 80037014: 00144783 lbu a5,1(s0) + 80037018: 02070263 beqz a4,8003703c <_Z12FCEUPPU_Loopi+0x6dc> + 8003701c: 0187f693 andi a3,a5,24 + 80037020: 00068e63 beqz a3,8003703c <_Z12FCEUPPU_Loopi+0x6dc> + 80037024: 00044683 lbu a3,0(s0) + 80037028: 01800613 li a2,24 + 8003702c: 0386f693 andi a3,a3,56 + 80037030: 00c68663 beq a3,a2,8003703c <_Z12FCEUPPU_Loopi+0x6dc> + 80037034: 000700e7 jalr a4 + 80037038: 00144783 lbu a5,1(s0) + 8003703c: 0107f793 andi a5,a5,16 + 80037040: 34079e63 bnez a5,8003739c <_Z12FCEUPPU_Loopi+0xa3c> + 80037044: 00195717 auipc a4,0x195 + 80037048: 68473703 ld a4,1668(a4) # 801cc6c8 + 8003704c: 00070a63 beqz a4,80037060 <_Z12FCEUPPU_Loopi+0x700> + 80037050: 00144783 lbu a5,1(s0) + 80037054: 0187f793 andi a5,a5,24 + 80037058: 00078463 beqz a5,80037060 <_Z12FCEUPPU_Loopi+0x700> + 8003705c: 000700e7 jalr a4 + 80037060: 00092783 lw a5,0(s2) + 80037064: 0ef00713 li a4,239 + 80037068: 0017869b addiw a3,a5,1 + 8003706c: 00d92023 sw a3,0(s2) + 80037070: 04d756e3 bge a4,a3,800378bc <_Z12FCEUPPU_Loopi+0xf5c> + 80037074: 01000513 li a0,16 + 80037078: de9c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 8003707c: 00195797 auipc a5,0x195 + 80037080: 60c78793 addi a5,a5,1548 # 801cc688 + 80037084: 0007a703 lw a4,0(a5) + 80037088: 00092503 lw a0,0(s2) + 8003708c: 05013783 ld a5,80(sp) + 80037090: 0007a783 lw a5,0(a5) + 80037094: dae55ae3 bge a0,a4,80036e48 <_Z12FCEUPPU_Loopi+0x4e8> + 80037098: 00195717 auipc a4,0x195 + 8003709c: 5e070aa3 sb zero,1525(a4) # 801cc68d + 800370a0: dcf54ce3 blt a0,a5,80036e78 <_Z12FCEUPPU_Loopi+0x518> + 800370a4: 000a2783 lw a5,0(s4) + 800370a8: 00195717 auipc a4,0x195 + 800370ac: aa0708a3 sb zero,-1359(a4) # 801cbb59 + 800370b0: 680796e3 bnez a5,80037f3c <_Z12FCEUPPU_Loopi+0x15dc> + 800370b4: 00194797 auipc a5,0x194 + 800370b8: 39c78793 addi a5,a5,924 # 801cb450 <_ZL8deempcnt> + 800370bc: 00000613 li a2,0 + 800370c0: 00100713 li a4,1 + 800370c4: 00700593 li a1,7 + 800370c8: 0047a683 lw a3,4(a5) + 800370cc: 00d65663 bge a2,a3,800370d8 <_Z12FCEUPPU_Loopi+0x778> + 800370d0: 00068613 mv a2,a3 + 800370d4: 04e13c23 sd a4,88(sp) + 800370d8: 0007a223 sw zero,4(a5) + 800370dc: 0017071b addiw a4,a4,1 + 800370e0: 00478793 addi a5,a5,4 + 800370e4: feb712e3 bne a4,a1,800370c8 <_Z12FCEUPPU_Loopi+0x768> + 800370e8: 05814503 lbu a0,88(sp) + 800370ec: 00000593 li a1,0 + 800370f0: 00100a13 li s4,1 + 800370f4: dd0c90ef jal ra,800006c4 <_Z21SetNESDeemph_OldHackyhi> + 800370f8: b2dc90ef jal ra,80000c24 <_Z7ShowFPSv> + 800370fc: 921ff06f j 80036a1c <_Z12FCEUPPU_Loopi+0xbc> + 80037100: 0009c683 lbu a3,0(s3) + 80037104: c8068ae3 beqz a3,80036d98 <_Z12FCEUPPU_Loopi+0x438> + 80037108: 00195697 auipc a3,0x195 + 8003710c: 5886a683 lw a3,1416(a3) # 801cc690 + 80037110: 00e6873b addw a4,a3,a4 + 80037114: c85ff06f j 80036d98 <_Z12FCEUPPU_Loopi+0x438> + 80037118: 0007a703 lw a4,0(a5) + 8003711c: 00078693 mv a3,a5 + 80037120: ffc78793 addi a5,a5,-4 + 80037124: 01777733 and a4,a4,s7 + 80037128: 01676733 or a4,a4,s6 + 8003712c: 00e7a223 sw a4,4(a5) + 80037130: e6d48ae3 beq s1,a3,80036fa4 <_Z12FCEUPPU_Loopi+0x644> + 80037134: 0007a703 lw a4,0(a5) + 80037138: 00078693 mv a3,a5 + 8003713c: ffc78793 addi a5,a5,-4 + 80037140: 01777733 and a4,a4,s7 + 80037144: 01676733 or a4,a4,s6 + 80037148: 00e7a223 sw a4,4(a5) + 8003714c: fcd496e3 bne s1,a3,80037118 <_Z12FCEUPPU_Loopi+0x7b8> + 80037150: e55ff06f j 80036fa4 <_Z12FCEUPPU_Loopi+0x644> + 80037154: 00044e83 lbu t4,0(s0) + 80037158: 00195497 auipc s1,0x195 + 8003715c: 5b048493 addi s1,s1,1456 # 801cc708 + 80037160: 0004b683 ld a3,0(s1) + 80037164: 000e879b sext.w a5,t4 + 80037168: 4017da9b sraiw s5,a5,0x1 + 8003716c: fffec993 not s3,t4 + 80037170: 0ff9f993 andi s3,s3,255 + 80037174: 010afa93 andi s5,s5,16 + 80037178: 4029d71b sraiw a4,s3,0x2 + 8003717c: 4027d59b sraiw a1,a5,0x2 + 80037180: 008ef993 andi s3,t4,8 + 80037184: 007a879b addiw a5,s5,7 + 80037188: 00e9f9b3 and s3,s3,a4 + 8003718c: 0085f593 andi a1,a1,8 + 80037190: 04f13023 sd a5,64(sp) + 80037194: 04013423 sd zero,72(sp) + 80037198: 00092603 lw a2,0(s2) + 8003719c: 0099999b slliw s3,s3,0x9 + 800371a0: 0085859b addiw a1,a1,8 + 800371a4: 00000c13 li s8,0 + 800371a8: 340684e3 beqz a3,80037cf0 <_Z12FCEUPPU_Loopi+0x1390> + 800371ac: 00193697 auipc a3,0x193 + 800371b0: 39c68693 addi a3,a3,924 # 801ca548 + 800371b4: 03f00813 li a6,63 + 800371b8: 00016317 auipc t1,0x16 + 800371bc: fc030313 addi t1,t1,-64 # 8004d178 <_ZL10maxsprites> + 800371c0: 03f00c93 li s9,63 + 800371c4: 00193a97 auipc s5,0x193 + 800371c8: 484a8a93 addi s5,s5,1156 # 801ca648 + 800371cc: 00193d97 auipc s11,0x193 + 800371d0: 47cd8d93 addi s11,s11,1148 # 801ca648 + 800371d4: 1100006f j 800372e4 <_Z12FCEUPPU_Loopi+0x984> + 800371d8: 00001537 lui a0,0x1 + 800371dc: 03813783 ld a5,56(sp) + 800371e0: 00c7171b slliw a4,a4,0xc + 800371e4: 00a77733 and a4,a4,a0 + 800371e8: 0026c503 lbu a0,2(a3) + 800371ec: 00f2f2b3 and t0,t0,a5 + 800371f0: 00576733 or a4,a4,t0 + 800371f4: 0185129b slliw t0,a0,0x18 + 800371f8: 00867f93 andi t6,a2,8 + 800371fc: 4182d29b sraiw t0,t0,0x18 + 80037200: 0007071b sext.w a4,a4 + 80037204: 000f8f9b sext.w t6,t6 + 80037208: 1202c663 bltz t0,80037334 <_Z12FCEUPPU_Loopi+0x9d4> + 8003720c: 01f6063b addw a2,a2,t6 + 80037210: 00e6063b addw a2,a2,a4 + 80037214: 000a2283 lw t0,0(s4) + 80037218: 00a6571b srliw a4,a2,0xa + 8003721c: 02061f93 slli t6,a2,0x20 + 80037220: 02071713 slli a4,a4,0x20 + 80037224: 020fdf93 srli t6,t6,0x20 + 80037228: 01d75713 srli a4,a4,0x1d + 8003722c: 12028a63 beqz t0,80037360 <_Z12FCEUPPU_Loopi+0xa00> + 80037230: 0008b797 auipc a5,0x8b + 80037234: 5b078793 addi a5,a5,1456 # 800c27e0 + 80037238: 00e78733 add a4,a5,a4 + 8003723c: 00073703 ld a4,0(a4) + 80037240: 01f70fb3 add t6,a4,t6 + 80037244: 000fc283 lbu t0,0(t6) + 80037248: 00c13423 sd a2,8(sp) + 8003724c: 00700713 li a4,7 + 80037250: 06510423 sb t0,104(sp) + 80037254: 05876a63 bltu a4,s8,800372a8 <_Z12FCEUPPU_Loopi+0x948> + 80037258: 0004b703 ld a4,0(s1) + 8003725c: 00002537 lui a0,0x2 + 80037260: 02b13823 sd a1,48(sp) + 80037264: 02d13423 sd a3,40(sp) + 80037268: 03013023 sd a6,32(sp) + 8003726c: 01f13c23 sd t6,24(sp) + 80037270: 01e13823 sd t5,16(sp) + 80037274: 000700e7 jalr a4 + 80037278: 00813603 ld a2,8(sp) + 8003727c: 0004b703 ld a4,0(s1) + 80037280: 00060513 mv a0,a2 + 80037284: 000700e7 jalr a4 + 80037288: 02813683 ld a3,40(sp) + 8003728c: 03013583 ld a1,48(sp) + 80037290: 02013803 ld a6,32(sp) + 80037294: 0026c503 lbu a0,2(a3) + 80037298: 01813f83 ld t6,24(sp) + 8003729c: 01013f03 ld t5,16(sp) + 800372a0: 00016317 auipc t1,0x16 + 800372a4: ed830313 addi t1,t1,-296 # 8004d178 <_ZL10maxsprites> + 800372a8: 008fc603 lbu a2,8(t6) + 800372ac: 0036c703 lbu a4,3(a3) + 800372b0: 06a10523 sb a0,106(sp) + 800372b4: 06c104a3 sb a2,105(sp) + 800372b8: 06e105a3 sb a4,107(sp) + 800372bc: 06812703 lw a4,104(sp) + 800372c0: 002f1f13 slli t5,t5,0x2 + 800372c4: 01ea8f33 add t5,s5,t5 + 800372c8: 001c079b addiw a5,s8,1 + 800372cc: 00ef2023 sw a4,0(t5) + 800372d0: 0ff7fc13 andi s8,a5,255 + 800372d4: 00468693 addi a3,a3,4 + 800372d8: fff8081b addiw a6,a6,-1 + 800372dc: 77b68263 beq a3,s11,80037a40 <_Z12FCEUPPU_Loopi+0x10e0> + 800372e0: 00092603 lw a2,0(s2) + 800372e4: 0006c703 lbu a4,0(a3) + 800372e8: 40e6063b subw a2,a2,a4 + 800372ec: 0006071b sext.w a4,a2 + 800372f0: feb772e3 bgeu a4,a1,800372d4 <_Z12FCEUPPU_Loopi+0x974> + 800372f4: 00032703 lw a4,0(t1) + 800372f8: 000c0f1b sext.w t5,s8 + 800372fc: 72ec5c63 bge s8,a4,80037a34 <_Z12FCEUPPU_Loopi+0x10d4> + 80037300: 53980c63 beq a6,s9,80037838 <_Z12FCEUPPU_Loopi+0xed8> + 80037304: 00044503 lbu a0,0(s0) + 80037308: 0016c703 lbu a4,1(a3) + 8003730c: 02057513 andi a0,a0,32 + 80037310: 0047129b slliw t0,a4,0x4 + 80037314: ec0512e3 bnez a0,800371d8 <_Z12FCEUPPU_Loopi+0x878> + 80037318: 0026c503 lbu a0,2(a3) + 8003731c: 0132873b addw a4,t0,s3 + 80037320: 00867f93 andi t6,a2,8 + 80037324: 0185129b slliw t0,a0,0x18 + 80037328: 4182d29b sraiw t0,t0,0x18 + 8003732c: 000f8f9b sext.w t6,t6 + 80037330: ec02dee3 bgez t0,8003720c <_Z12FCEUPPU_Loopi+0x8ac> + 80037334: 04013783 ld a5,64(sp) + 80037338: 000a2283 lw t0,0(s4) + 8003733c: 40c7863b subw a2,a5,a2 + 80037340: 41f6063b subw a2,a2,t6 + 80037344: 00e6063b addw a2,a2,a4 + 80037348: 00a6571b srliw a4,a2,0xa + 8003734c: 02061f93 slli t6,a2,0x20 + 80037350: 02071713 slli a4,a4,0x20 + 80037354: 020fdf93 srli t6,t6,0x20 + 80037358: 01d75713 srli a4,a4,0x1d + 8003735c: ec029ae3 bnez t0,80037230 <_Z12FCEUPPU_Loopi+0x8d0> + 80037360: 0008c797 auipc a5,0x8c + 80037364: 9e078793 addi a5,a5,-1568 # 800c2d40 + 80037368: 00e78733 add a4,a5,a4 + 8003736c: 00073703 ld a4,0(a4) + 80037370: 01f70fb3 add t6,a4,t6 + 80037374: ed1ff06f j 80037244 <_Z12FCEUPPU_Loopi+0x8e4> + 80037378: c0c0c637 lui a2,0xc0c0c + 8003737c: 0c060613 addi a2,a2,192 # ffffffffc0c0c0c0 <_end+0xffffffff40a370c0> + 80037380: 0007a703 lw a4,0(a5) + 80037384: 00078693 mv a3,a5 + 80037388: ffc78793 addi a5,a5,-4 + 8003738c: 00c76733 or a4,a4,a2 + 80037390: 00e7a223 sw a4,4(a5) + 80037394: fed496e3 bne s1,a3,80037380 <_Z12FCEUPPU_Loopi+0xa20> + 80037398: c0dff06f j 80036fa4 <_Z12FCEUPPU_Loopi+0x644> + 8003739c: 00195997 auipc s3,0x195 + 800373a0: 38998993 addi s3,s3,905 # 801cc725 <_ZL10numsprites> + 800373a4: 0009c783 lbu a5,0(s3) + 800373a8: 00195717 auipc a4,0x195 + 800373ac: 38072e23 sw zero,924(a4) # 801cc744 <_ZL5spork> + 800373b0: c8078ae3 beqz a5,80037044 <_Z12FCEUPPU_Loopi+0x6e4> + 800373b4: 10000613 li a2,256 + 800373b8: 08000593 li a1,128 + 800373bc: 00193517 auipc a0,0x193 + 800373c0: 38c50513 addi a0,a0,908 # 801ca748 <_ZL10sprlinebuf> + 800373c4: 664040ef jal ra,8003ba28 + 800373c8: 0009c603 lbu a2,0(s3) + 800373cc: 00144783 lbu a5,1(s0) + 800373d0: 00244a83 lbu s5,2(s0) + 800373d4: fff6061b addiw a2,a2,-1 + 800373d8: 0ff67613 andi a2,a2,255 + 800373dc: 00195697 auipc a3,0x195 + 800373e0: 37c68693 addi a3,a3,892 # 801cc758 <_ZL6sphitx> + 800373e4: 00261713 slli a4,a2,0x2 + 800373e8: 0006ad83 lw s11,0(a3) + 800373ec: 00195497 auipc s1,0x195 + 800373f0: 37448493 addi s1,s1,884 # 801cc760 <_ZL9sphitdata> + 800373f4: 00c98023 sb a2,0(s3) + 800373f8: 00193697 auipc a3,0x193 + 800373fc: 25068693 addi a3,a3,592 # 801ca648 + 80037400: 0017f793 andi a5,a5,1 + 80037404: 0004cc03 lbu s8,0(s1) + 80037408: 00e686b3 add a3,a3,a4 + 8003740c: 0006061b sext.w a2,a2 + 80037410: 00195097 auipc ra,0x195 + 80037414: 3160c083 lbu ra,790(ra) # 801cc726 <_ZL11SpriteBlurp> + 80037418: 040afa93 andi s5,s5,64 + 8003741c: fff00893 li a7,-1 + 80037420: 00078463 beqz a5,80037428 <_Z12FCEUPPU_Loopi+0xac8> + 80037424: 03000893 li a7,48 + 80037428: 0188989b slliw a7,a7,0x18 + 8003742c: 4188d89b sraiw a7,a7,0x18 + 80037430: 00000993 li s3,0 + 80037434: 00193397 auipc t2,0x193 + 80037438: 41c38393 addi t2,t2,1052 # 801ca850 <_ZL7ppulut1> + 8003743c: 00194297 auipc t0,0x194 + 80037440: 81428293 addi t0,t0,-2028 # 801cac50 <_ZL7ppulut2> + 80037444: 00193f97 auipc t6,0x193 + 80037448: 304f8f93 addi t6,t6,772 # 801ca748 <_ZL10sprlinebuf> + 8003744c: 00193317 auipc t1,0x193 + 80037450: 8dc30313 addi t1,t1,-1828 # 801c9d28 + 80037454: fff00e13 li t3,-1 + 80037458: 0006c583 lbu a1,0(a3) + 8003745c: 0016c703 lbu a4,1(a3) + 80037460: 00e5e7b3 or a5,a1,a4 + 80037464: 1c078663 beqz a5,80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037468: 00259593 slli a1,a1,0x2 + 8003746c: 00271713 slli a4,a4,0x2 + 80037470: 0026c503 lbu a0,2(a3) + 80037474: 00b385b3 add a1,t2,a1 + 80037478: 00e28733 add a4,t0,a4 + 8003747c: 0005a803 lw a6,0(a1) + 80037480: 00072703 lw a4,0(a4) + 80037484: 0036ce83 lbu t4,3(a3) + 80037488: 0025159b slliw a1,a0,0x2 + 8003748c: 00e86733 or a4,a6,a4 + 80037490: 00c5f593 andi a1,a1,12 + 80037494: 04057f13 andi t5,a0,64 + 80037498: 0007071b sext.w a4,a4 + 8003749c: 01df8833 add a6,t6,t4 + 800374a0: 0105859b addiw a1,a1,16 + 800374a4: 02057513 andi a0,a0,32 + 800374a8: 1c060063 beqz a2,80037668 <_Z12FCEUPPU_Loopi+0xd08> + 800374ac: 42050263 beqz a0,800378d0 <_Z12FCEUPPU_Loopi+0xf70> + 800374b0: 6c0f0063 beqz t5,80037b70 <_Z12FCEUPPU_Loopi+0x1210> + 800374b4: 0187951b slliw a0,a5,0x18 + 800374b8: 4185551b sraiw a0,a0,0x18 + 800374bc: 02055463 bgez a0,800374e4 <_Z12FCEUPPU_Loopi+0xb84> + 800374c0: 00377513 andi a0,a4,3 + 800374c4: 00b56533 or a0,a0,a1 + 800374c8: 02051513 slli a0,a0,0x20 + 800374cc: 02055513 srli a0,a0,0x20 + 800374d0: 00a30533 add a0,t1,a0 + 800374d4: 00054503 lbu a0,0(a0) + 800374d8: 00a8f533 and a0,a7,a0 + 800374dc: 04056513 ori a0,a0,64 + 800374e0: 00a803a3 sb a0,7(a6) + 800374e4: 0407f513 andi a0,a5,64 + 800374e8: 02050663 beqz a0,80037514 <_Z12FCEUPPU_Loopi+0xbb4> + 800374ec: 0047551b srliw a0,a4,0x4 + 800374f0: 00357513 andi a0,a0,3 + 800374f4: 00b56533 or a0,a0,a1 + 800374f8: 02051513 slli a0,a0,0x20 + 800374fc: 02055513 srli a0,a0,0x20 + 80037500: 00a30533 add a0,t1,a0 + 80037504: 00054503 lbu a0,0(a0) + 80037508: 00a8f533 and a0,a7,a0 + 8003750c: 04056513 ori a0,a0,64 + 80037510: 00a80323 sb a0,6(a6) + 80037514: 0207f513 andi a0,a5,32 + 80037518: 02050663 beqz a0,80037544 <_Z12FCEUPPU_Loopi+0xbe4> + 8003751c: 0087551b srliw a0,a4,0x8 + 80037520: 00357513 andi a0,a0,3 + 80037524: 00b56533 or a0,a0,a1 + 80037528: 02051513 slli a0,a0,0x20 + 8003752c: 02055513 srli a0,a0,0x20 + 80037530: 00a30533 add a0,t1,a0 + 80037534: 00054503 lbu a0,0(a0) + 80037538: 00a8f533 and a0,a7,a0 + 8003753c: 04056513 ori a0,a0,64 + 80037540: 00a802a3 sb a0,5(a6) + 80037544: 0107f513 andi a0,a5,16 + 80037548: 02050663 beqz a0,80037574 <_Z12FCEUPPU_Loopi+0xc14> + 8003754c: 00c7551b srliw a0,a4,0xc + 80037550: 00357513 andi a0,a0,3 + 80037554: 00b56533 or a0,a0,a1 + 80037558: 02051513 slli a0,a0,0x20 + 8003755c: 02055513 srli a0,a0,0x20 + 80037560: 00a30533 add a0,t1,a0 + 80037564: 00054503 lbu a0,0(a0) + 80037568: 00a8f533 and a0,a7,a0 + 8003756c: 04056513 ori a0,a0,64 + 80037570: 00a80223 sb a0,4(a6) + 80037574: 0087f513 andi a0,a5,8 + 80037578: 02050663 beqz a0,800375a4 <_Z12FCEUPPU_Loopi+0xc44> + 8003757c: 0107551b srliw a0,a4,0x10 + 80037580: 00357513 andi a0,a0,3 + 80037584: 00b56533 or a0,a0,a1 + 80037588: 02051513 slli a0,a0,0x20 + 8003758c: 02055513 srli a0,a0,0x20 + 80037590: 00a30533 add a0,t1,a0 + 80037594: 00054503 lbu a0,0(a0) + 80037598: 00a8f533 and a0,a7,a0 + 8003759c: 04056513 ori a0,a0,64 + 800375a0: 00a801a3 sb a0,3(a6) + 800375a4: 0047f513 andi a0,a5,4 + 800375a8: 02050663 beqz a0,800375d4 <_Z12FCEUPPU_Loopi+0xc74> + 800375ac: 0147551b srliw a0,a4,0x14 + 800375b0: 00357513 andi a0,a0,3 + 800375b4: 00b56533 or a0,a0,a1 + 800375b8: 02051513 slli a0,a0,0x20 + 800375bc: 02055513 srli a0,a0,0x20 + 800375c0: 00a30533 add a0,t1,a0 + 800375c4: 00054503 lbu a0,0(a0) + 800375c8: 00a8f533 and a0,a7,a0 + 800375cc: 04056513 ori a0,a0,64 + 800375d0: 00a80123 sb a0,2(a6) + 800375d4: 0027f513 andi a0,a5,2 + 800375d8: 02050663 beqz a0,80037604 <_Z12FCEUPPU_Loopi+0xca4> + 800375dc: 0187551b srliw a0,a4,0x18 + 800375e0: 00357513 andi a0,a0,3 + 800375e4: 00b56533 or a0,a0,a1 + 800375e8: 02051513 slli a0,a0,0x20 + 800375ec: 02055513 srli a0,a0,0x20 + 800375f0: 00a30533 add a0,t1,a0 + 800375f4: 00054503 lbu a0,0(a0) + 800375f8: 00a8f533 and a0,a7,a0 + 800375fc: 04056513 ori a0,a0,64 + 80037600: 00a800a3 sb a0,1(a6) + 80037604: 0017f793 andi a5,a5,1 + 80037608: 02078463 beqz a5,80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 8003760c: 01c7571b srliw a4,a4,0x1c + 80037610: 00b76733 or a4,a4,a1 + 80037614: 02071793 slli a5,a4,0x20 + 80037618: 0207d793 srli a5,a5,0x20 + 8003761c: 00f307b3 add a5,t1,a5 + 80037620: 0007c783 lbu a5,0(a5) + 80037624: 00f8f7b3 and a5,a7,a5 + 80037628: 0407e793 ori a5,a5,64 + 8003762c: 00f80023 sb a5,0(a6) + 80037630: fff6061b addiw a2,a2,-1 + 80037634: ffc68693 addi a3,a3,-4 + 80037638: e3c610e3 bne a2,t3,80037458 <_Z12FCEUPPU_Loopi+0xaf8> + 8003763c: 00098a63 beqz s3,80037650 <_Z12FCEUPPU_Loopi+0xcf0> + 80037640: 00195797 auipc a5,0x195 + 80037644: 11878793 addi a5,a5,280 # 801cc758 <_ZL6sphitx> + 80037648: 01b7a023 sw s11,0(a5) + 8003764c: 01848023 sb s8,0(s1) + 80037650: 00195797 auipc a5,0x195 + 80037654: 0c078b23 sb zero,214(a5) # 801cc726 <_ZL11SpriteBlurp> + 80037658: 00100793 li a5,1 + 8003765c: 00195717 auipc a4,0x195 + 80037660: 0ef72423 sw a5,232(a4) # 801cc744 <_ZL5spork> + 80037664: 9e1ff06f j 80037044 <_Z12FCEUPPU_Loopi+0x6e4> + 80037668: e40082e3 beqz ra,800374ac <_Z12FCEUPPU_Loopi+0xb4c> + 8003766c: e40a90e3 bnez s5,800374ac <_Z12FCEUPPU_Loopi+0xb4c> + 80037670: 000e8d9b sext.w s11,t4 + 80037674: 4e0f0863 beqz t5,80037b64 <_Z12FCEUPPU_Loopi+0x1204> + 80037678: 0077de9b srliw t4,a5,0x7 + 8003767c: 00579993 slli s3,a5,0x5 + 80037680: 00779f13 slli t5,a5,0x7 + 80037684: 01df6f33 or t5,t5,t4 + 80037688: 0409fe93 andi t4,s3,64 + 8003768c: 00379993 slli s3,a5,0x3 + 80037690: 01df6f33 or t5,t5,t4 + 80037694: 0209fe93 andi t4,s3,32 + 80037698: 00179993 slli s3,a5,0x1 + 8003769c: 01df6f33 or t5,t5,t4 + 800376a0: 0109fe93 andi t4,s3,16 + 800376a4: 0017d993 srli s3,a5,0x1 + 800376a8: 01df6f33 or t5,t5,t4 + 800376ac: 0089fe93 andi t4,s3,8 + 800376b0: 0037d993 srli s3,a5,0x3 + 800376b4: 01df6f33 or t5,t5,t4 + 800376b8: 0049fe93 andi t4,s3,4 + 800376bc: 0057d993 srli s3,a5,0x5 + 800376c0: 01df6f33 or t5,t5,t4 + 800376c4: 0029fe93 andi t4,s3,2 + 800376c8: 01df6eb3 or t4,t5,t4 + 800376cc: 0ffefc13 andi s8,t4,255 + 800376d0: 040518e3 bnez a0,80037f20 <_Z12FCEUPPU_Loopi+0x15c0> + 800376d4: 0187951b slliw a0,a5,0x18 + 800376d8: 4185551b sraiw a0,a0,0x18 + 800376dc: 00100993 li s3,1 + 800376e0: 02055263 bgez a0,80037704 <_Z12FCEUPPU_Loopi+0xda4> + 800376e4: 00377513 andi a0,a4,3 + 800376e8: 00b56533 or a0,a0,a1 + 800376ec: 02051513 slli a0,a0,0x20 + 800376f0: 02055513 srli a0,a0,0x20 + 800376f4: 00a30533 add a0,t1,a0 + 800376f8: 00054503 lbu a0,0(a0) + 800376fc: 00a8f533 and a0,a7,a0 + 80037700: 00a803a3 sb a0,7(a6) + 80037704: 0407f513 andi a0,a5,64 + 80037708: 02050463 beqz a0,80037730 <_Z12FCEUPPU_Loopi+0xdd0> + 8003770c: 0047551b srliw a0,a4,0x4 + 80037710: 00357513 andi a0,a0,3 + 80037714: 00b56533 or a0,a0,a1 + 80037718: 02051513 slli a0,a0,0x20 + 8003771c: 02055513 srli a0,a0,0x20 + 80037720: 00a30533 add a0,t1,a0 + 80037724: 00054503 lbu a0,0(a0) + 80037728: 00a8f533 and a0,a7,a0 + 8003772c: 00a80323 sb a0,6(a6) + 80037730: 0207f513 andi a0,a5,32 + 80037734: 02050463 beqz a0,8003775c <_Z12FCEUPPU_Loopi+0xdfc> + 80037738: 0087551b srliw a0,a4,0x8 + 8003773c: 00357513 andi a0,a0,3 + 80037740: 00b56533 or a0,a0,a1 + 80037744: 02051513 slli a0,a0,0x20 + 80037748: 02055513 srli a0,a0,0x20 + 8003774c: 00a30533 add a0,t1,a0 + 80037750: 00054503 lbu a0,0(a0) + 80037754: 00a8f533 and a0,a7,a0 + 80037758: 00a802a3 sb a0,5(a6) + 8003775c: 0107f513 andi a0,a5,16 + 80037760: 02050463 beqz a0,80037788 <_Z12FCEUPPU_Loopi+0xe28> + 80037764: 00c7551b srliw a0,a4,0xc + 80037768: 00357513 andi a0,a0,3 + 8003776c: 00b56533 or a0,a0,a1 + 80037770: 02051513 slli a0,a0,0x20 + 80037774: 02055513 srli a0,a0,0x20 + 80037778: 00a30533 add a0,t1,a0 + 8003777c: 00054503 lbu a0,0(a0) + 80037780: 00a8f533 and a0,a7,a0 + 80037784: 00a80223 sb a0,4(a6) + 80037788: 0087f513 andi a0,a5,8 + 8003778c: 02050463 beqz a0,800377b4 <_Z12FCEUPPU_Loopi+0xe54> + 80037790: 0107551b srliw a0,a4,0x10 + 80037794: 00357513 andi a0,a0,3 + 80037798: 00b56533 or a0,a0,a1 + 8003779c: 02051513 slli a0,a0,0x20 + 800377a0: 02055513 srli a0,a0,0x20 + 800377a4: 00a30533 add a0,t1,a0 + 800377a8: 00054503 lbu a0,0(a0) + 800377ac: 00a8f533 and a0,a7,a0 + 800377b0: 00a801a3 sb a0,3(a6) + 800377b4: 0047f513 andi a0,a5,4 + 800377b8: 02050463 beqz a0,800377e0 <_Z12FCEUPPU_Loopi+0xe80> + 800377bc: 0147551b srliw a0,a4,0x14 + 800377c0: 00357513 andi a0,a0,3 + 800377c4: 00b56533 or a0,a0,a1 + 800377c8: 02051513 slli a0,a0,0x20 + 800377cc: 02055513 srli a0,a0,0x20 + 800377d0: 00a30533 add a0,t1,a0 + 800377d4: 00054503 lbu a0,0(a0) + 800377d8: 00a8f533 and a0,a7,a0 + 800377dc: 00a80123 sb a0,2(a6) + 800377e0: 0027f513 andi a0,a5,2 + 800377e4: 02050463 beqz a0,8003780c <_Z12FCEUPPU_Loopi+0xeac> + 800377e8: 0187551b srliw a0,a4,0x18 + 800377ec: 00357513 andi a0,a0,3 + 800377f0: 00b56533 or a0,a0,a1 + 800377f4: 02051513 slli a0,a0,0x20 + 800377f8: 02055513 srli a0,a0,0x20 + 800377fc: 00a30533 add a0,t1,a0 + 80037800: 00054503 lbu a0,0(a0) + 80037804: 00a8f533 and a0,a7,a0 + 80037808: 00a800a3 sb a0,1(a6) + 8003780c: 0017f793 andi a5,a5,1 + 80037810: e20780e3 beqz a5,80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037814: 01c7571b srliw a4,a4,0x1c + 80037818: 00b76733 or a4,a4,a1 + 8003781c: 02071793 slli a5,a4,0x20 + 80037820: 0207d793 srli a5,a5,0x20 + 80037824: 00f307b3 add a5,t1,a5 + 80037828: 0007c783 lbu a5,0(a5) + 8003782c: 00f8f7b3 and a5,a7,a5 + 80037830: 00f80023 sb a5,0(a6) + 80037834: dfdff06f j 80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037838: 00100793 li a5,1 + 8003783c: 04f13423 sd a5,72(sp) + 80037840: ac5ff06f j 80037304 <_Z12FCEUPPU_Loopi+0x9a4> + 80037844: 00195797 auipc a5,0x195 + 80037848: f007a783 lw a5,-256(a5) # 801cc744 <_ZL5spork> + 8003784c: ee078463 beqz a5,80036f34 <_Z12FCEUPPU_Loopi+0x5d4> + 80037850: 00195797 auipc a5,0x195 + 80037854: ee07aa23 sw zero,-268(a5) # 801cc744 <_ZL5spork> + 80037858: 00016797 auipc a5,0x16 + 8003785c: 9247c783 lbu a5,-1756(a5) # 8004d17c <_ZL13rendersprites> + 80037860: ec078a63 beqz a5,80036f34 <_Z12FCEUPPU_Loopi+0x5d4> + 80037864: 00193797 auipc a5,0x193 + 80037868: ee478793 addi a5,a5,-284 # 801ca748 <_ZL10sprlinebuf> + 8003786c: 00048693 mv a3,s1 + 80037870: 00193597 auipc a1,0x193 + 80037874: fd858593 addi a1,a1,-40 # 801ca848 <_ZL10sprlinebuf+0x100> + 80037878: 0007c703 lbu a4,0(a5) + 8003787c: 0187161b slliw a2,a4,0x18 + 80037880: 4186561b sraiw a2,a2,0x18 + 80037884: 00064e63 bltz a2,800378a0 <_Z12FCEUPPU_Loopi+0xf40> + 80037888: 04077613 andi a2,a4,64 + 8003788c: 00060863 beqz a2,8003789c <_Z12FCEUPPU_Loopi+0xf3c> + 80037890: 0006c603 lbu a2,0(a3) + 80037894: 04067613 andi a2,a2,64 + 80037898: 00060463 beqz a2,800378a0 <_Z12FCEUPPU_Loopi+0xf40> + 8003789c: 00e68023 sb a4,0(a3) + 800378a0: 00178793 addi a5,a5,1 + 800378a4: 00168693 addi a3,a3,1 + 800378a8: fcf598e3 bne a1,a5,80037878 <_Z12FCEUPPU_Loopi+0xf18> + 800378ac: 00144703 lbu a4,1(s0) + 800378b0: e84ff06f j 80036f34 <_Z12FCEUPPU_Loopi+0x5d4> + 800378b4: f98e80ef jal ra,8002004c <_Z7MMC5_hbi> + 800378b8: e04ff06f j 80036ebc <_Z12FCEUPPU_Loopi+0x55c> + 800378bc: 000d3503 ld a0,0(s10) + 800378c0: 0086979b slliw a5,a3,0x8 + 800378c4: 00f50533 add a0,a0,a5 + 800378c8: abcfe0ef jal ra,80035b84 <_ZL7ResetRLPh> + 800378cc: fa8ff06f j 80037074 <_Z12FCEUPPU_Loopi+0x714> + 800378d0: 0187951b slliw a0,a5,0x18 + 800378d4: 4185551b sraiw a0,a0,0x18 + 800378d8: e00f14e3 bnez t5,800376e0 <_Z12FCEUPPU_Loopi+0xd80> + 800378dc: 02055263 bgez a0,80037900 <_Z12FCEUPPU_Loopi+0xfa0> + 800378e0: 00377513 andi a0,a4,3 + 800378e4: 00b56533 or a0,a0,a1 + 800378e8: 02051513 slli a0,a0,0x20 + 800378ec: 02055513 srli a0,a0,0x20 + 800378f0: 00a30533 add a0,t1,a0 + 800378f4: 00054503 lbu a0,0(a0) + 800378f8: 00a8f533 and a0,a7,a0 + 800378fc: 00a80023 sb a0,0(a6) + 80037900: 0407f513 andi a0,a5,64 + 80037904: 02050463 beqz a0,8003792c <_Z12FCEUPPU_Loopi+0xfcc> + 80037908: 0047551b srliw a0,a4,0x4 + 8003790c: 00357513 andi a0,a0,3 + 80037910: 00b56533 or a0,a0,a1 + 80037914: 02051513 slli a0,a0,0x20 + 80037918: 02055513 srli a0,a0,0x20 + 8003791c: 00a30533 add a0,t1,a0 + 80037920: 00054503 lbu a0,0(a0) + 80037924: 00a8f533 and a0,a7,a0 + 80037928: 00a800a3 sb a0,1(a6) + 8003792c: 0207f513 andi a0,a5,32 + 80037930: 02050463 beqz a0,80037958 <_Z12FCEUPPU_Loopi+0xff8> + 80037934: 0087551b srliw a0,a4,0x8 + 80037938: 00357513 andi a0,a0,3 + 8003793c: 00b56533 or a0,a0,a1 + 80037940: 02051513 slli a0,a0,0x20 + 80037944: 02055513 srli a0,a0,0x20 + 80037948: 00a30533 add a0,t1,a0 + 8003794c: 00054503 lbu a0,0(a0) + 80037950: 00a8f533 and a0,a7,a0 + 80037954: 00a80123 sb a0,2(a6) + 80037958: 0107f513 andi a0,a5,16 + 8003795c: 02050463 beqz a0,80037984 <_Z12FCEUPPU_Loopi+0x1024> + 80037960: 00c7551b srliw a0,a4,0xc + 80037964: 00357513 andi a0,a0,3 + 80037968: 00b56533 or a0,a0,a1 + 8003796c: 02051513 slli a0,a0,0x20 + 80037970: 02055513 srli a0,a0,0x20 + 80037974: 00a30533 add a0,t1,a0 + 80037978: 00054503 lbu a0,0(a0) + 8003797c: 00a8f533 and a0,a7,a0 + 80037980: 00a801a3 sb a0,3(a6) + 80037984: 0087f513 andi a0,a5,8 + 80037988: 02050463 beqz a0,800379b0 <_Z12FCEUPPU_Loopi+0x1050> + 8003798c: 0107551b srliw a0,a4,0x10 + 80037990: 00357513 andi a0,a0,3 + 80037994: 00b56533 or a0,a0,a1 + 80037998: 02051513 slli a0,a0,0x20 + 8003799c: 02055513 srli a0,a0,0x20 + 800379a0: 00a30533 add a0,t1,a0 + 800379a4: 00054503 lbu a0,0(a0) + 800379a8: 00a8f533 and a0,a7,a0 + 800379ac: 00a80223 sb a0,4(a6) + 800379b0: 0047f513 andi a0,a5,4 + 800379b4: 02050463 beqz a0,800379dc <_Z12FCEUPPU_Loopi+0x107c> + 800379b8: 0147551b srliw a0,a4,0x14 + 800379bc: 00357513 andi a0,a0,3 + 800379c0: 00b56533 or a0,a0,a1 + 800379c4: 02051513 slli a0,a0,0x20 + 800379c8: 02055513 srli a0,a0,0x20 + 800379cc: 00a30533 add a0,t1,a0 + 800379d0: 00054503 lbu a0,0(a0) + 800379d4: 00a8f533 and a0,a7,a0 + 800379d8: 00a802a3 sb a0,5(a6) + 800379dc: 0027f513 andi a0,a5,2 + 800379e0: 02050463 beqz a0,80037a08 <_Z12FCEUPPU_Loopi+0x10a8> + 800379e4: 0187551b srliw a0,a4,0x18 + 800379e8: 00357513 andi a0,a0,3 + 800379ec: 00b56533 or a0,a0,a1 + 800379f0: 02051513 slli a0,a0,0x20 + 800379f4: 02055513 srli a0,a0,0x20 + 800379f8: 00a30533 add a0,t1,a0 + 800379fc: 00054503 lbu a0,0(a0) + 80037a00: 00a8f533 and a0,a7,a0 + 80037a04: 00a80323 sb a0,6(a6) + 80037a08: 0017f793 andi a5,a5,1 + 80037a0c: c20782e3 beqz a5,80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037a10: 01c7571b srliw a4,a4,0x1c + 80037a14: 00b76733 or a4,a4,a1 + 80037a18: 02071793 slli a5,a4,0x20 + 80037a1c: 0207d793 srli a5,a5,0x20 + 80037a20: 00f307b3 add a5,t1,a5 + 80037a24: 0007c783 lbu a5,0(a5) + 80037a28: 00f8f7b3 and a5,a7,a5 + 80037a2c: 00f803a3 sb a5,7(a6) + 80037a30: c01ff06f j 80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037a34: 00244703 lbu a4,2(s0) + 80037a38: 02076713 ori a4,a4,32 + 80037a3c: 00e40123 sb a4,2(s0) + 80037a40: 00800713 li a4,8 + 80037a44: 11876863 bltu a4,s8,80037b54 <_Z12FCEUPPU_Loopi+0x11f4> + 80037a48: 0004b703 ld a4,0(s1) + 80037a4c: 02070c63 beqz a4,80037a84 <_Z12FCEUPPU_Loopi+0x1124> + 80037a50: 00800d93 li s11,8 + 80037a54: 418d8dbb subw s11,s11,s8 + 80037a58: 020d8663 beqz s11,80037a84 <_Z12FCEUPPU_Loopi+0x1124> + 80037a5c: 00000a93 li s5,0 + 80037a60: 0080006f j 80037a68 <_Z12FCEUPPU_Loopi+0x1108> + 80037a64: 0004b703 ld a4,0(s1) + 80037a68: 00002537 lui a0,0x2 + 80037a6c: 000700e7 jalr a4 + 80037a70: 0004b703 ld a4,0(s1) + 80037a74: 001a8a9b addiw s5,s5,1 + 80037a78: 00098513 mv a0,s3 + 80037a7c: 000700e7 jalr a4 + 80037a80: ffba92e3 bne s5,s11,80037a64 <_Z12FCEUPPU_Loopi+0x1104> + 80037a84: 00195797 auipc a5,0x195 + 80037a88: cb8780a3 sb s8,-863(a5) # 801cc725 <_ZL10numsprites> + 80037a8c: 04813783 ld a5,72(sp) + 80037a90: 00195497 auipc s1,0x195 + 80037a94: c3048493 addi s1,s1,-976 # 801cc6c0 + 80037a98: 0004b703 ld a4,0(s1) + 80037a9c: 00195697 auipc a3,0x195 + 80037aa0: c8f68523 sb a5,-886(a3) # 801cc726 <_ZL11SpriteBlurp> + 80037aa4: d2070263 beqz a4,80036fc8 <_Z12FCEUPPU_Loopi+0x668> + 80037aa8: 00144783 lbu a5,1(s0) + 80037aac: 0187f793 andi a5,a5,24 + 80037ab0: d0078c63 beqz a5,80036fc8 <_Z12FCEUPPU_Loopi+0x668> + 80037ab4: 00044783 lbu a5,0(s0) + 80037ab8: 01800713 li a4,24 + 80037abc: 0387f793 andi a5,a5,56 + 80037ac0: d0e78463 beq a5,a4,80036fc8 <_Z12FCEUPPU_Loopi+0x668> + 80037ac4: 00600513 li a0,6 + 80037ac8: b98c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037acc: 00144783 lbu a5,1(s0) + 80037ad0: 0187f793 andi a5,a5,24 + 80037ad4: 02078863 beqz a5,80037b04 <_Z12FCEUPPU_Loopi+0x11a4> + 80037ad8: 00195617 auipc a2,0x195 + 80037adc: c3c60613 addi a2,a2,-964 # 801cc714 + 80037ae0: 00062703 lw a4,0(a2) + 80037ae4: 000106b7 lui a3,0x10 + 80037ae8: 00195797 auipc a5,0x195 + 80037aec: c347a783 lw a5,-972(a5) # 801cc71c + 80037af0: be068693 addi a3,a3,-1056 # fbe0 <_entry_offset+0xfbe0> + 80037af4: 00d77733 and a4,a4,a3 + 80037af8: 41f7f793 andi a5,a5,1055 + 80037afc: 00e7e7b3 or a5,a5,a4 + 80037b00: 00f62023 sw a5,0(a2) + 80037b04: 00400513 li a0,4 + 80037b08: b58c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037b0c: 0004b783 ld a5,0(s1) + 80037b10: 000780e7 jalr a5 + 80037b14: 03b00513 li a0,59 + 80037b18: b48c90ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037b1c: 00144783 lbu a5,1(s0) + 80037b20: d1cff06f j 8003703c <_Z12FCEUPPU_Loopi+0x6dc> + 80037b24: 00144783 lbu a5,1(s0) + 80037b28: 00192597 auipc a1,0x192 + 80037b2c: 2005c583 lbu a1,512(a1) # 801c9d28 + 80037b30: 0017f793 andi a5,a5,1 + 80037b34: be078263 beqz a5,80036f18 <_Z12FCEUPPU_Loopi+0x5b8> + 80037b38: 0305f593 andi a1,a1,48 + 80037b3c: bdcff06f j 80036f18 <_Z12FCEUPPU_Loopi+0x5b8> + 80037b40: 00244703 lbu a4,2(s0) + 80037b44: 02076713 ori a4,a4,32 + 80037b48: 00e40123 sb a4,2(s0) + 80037b4c: 00800713 li a4,8 + 80037b50: f3877ae3 bgeu a4,s8,80037a84 <_Z12FCEUPPU_Loopi+0x1124> + 80037b54: 00244703 lbu a4,2(s0) + 80037b58: 02076713 ori a4,a4,32 + 80037b5c: 00e40123 sb a4,2(s0) + 80037b60: f25ff06f j 80037a84 <_Z12FCEUPPU_Loopi+0x1124> + 80037b64: 3c050263 beqz a0,80037f28 <_Z12FCEUPPU_Loopi+0x15c8> + 80037b68: 00078c13 mv s8,a5 + 80037b6c: 00100993 li s3,1 + 80037b70: 0187951b slliw a0,a5,0x18 + 80037b74: 4185551b sraiw a0,a0,0x18 + 80037b78: 02055463 bgez a0,80037ba0 <_Z12FCEUPPU_Loopi+0x1240> + 80037b7c: 00377513 andi a0,a4,3 + 80037b80: 00b56533 or a0,a0,a1 + 80037b84: 02051513 slli a0,a0,0x20 + 80037b88: 02055513 srli a0,a0,0x20 + 80037b8c: 00a30533 add a0,t1,a0 + 80037b90: 00054503 lbu a0,0(a0) # 2000 <_entry_offset+0x2000> + 80037b94: 00a8f533 and a0,a7,a0 + 80037b98: 04056513 ori a0,a0,64 + 80037b9c: 00a80023 sb a0,0(a6) + 80037ba0: 0407f513 andi a0,a5,64 + 80037ba4: 02050663 beqz a0,80037bd0 <_Z12FCEUPPU_Loopi+0x1270> + 80037ba8: 0047551b srliw a0,a4,0x4 + 80037bac: 00357513 andi a0,a0,3 + 80037bb0: 00b56533 or a0,a0,a1 + 80037bb4: 02051513 slli a0,a0,0x20 + 80037bb8: 02055513 srli a0,a0,0x20 + 80037bbc: 00a30533 add a0,t1,a0 + 80037bc0: 00054503 lbu a0,0(a0) + 80037bc4: 00a8f533 and a0,a7,a0 + 80037bc8: 04056513 ori a0,a0,64 + 80037bcc: 00a800a3 sb a0,1(a6) + 80037bd0: 0207f513 andi a0,a5,32 + 80037bd4: 02050663 beqz a0,80037c00 <_Z12FCEUPPU_Loopi+0x12a0> + 80037bd8: 0087551b srliw a0,a4,0x8 + 80037bdc: 00357513 andi a0,a0,3 + 80037be0: 00b56533 or a0,a0,a1 + 80037be4: 02051513 slli a0,a0,0x20 + 80037be8: 02055513 srli a0,a0,0x20 + 80037bec: 00a30533 add a0,t1,a0 + 80037bf0: 00054503 lbu a0,0(a0) + 80037bf4: 00a8f533 and a0,a7,a0 + 80037bf8: 04056513 ori a0,a0,64 + 80037bfc: 00a80123 sb a0,2(a6) + 80037c00: 0107f513 andi a0,a5,16 + 80037c04: 02050663 beqz a0,80037c30 <_Z12FCEUPPU_Loopi+0x12d0> + 80037c08: 00c7551b srliw a0,a4,0xc + 80037c0c: 00357513 andi a0,a0,3 + 80037c10: 00b56533 or a0,a0,a1 + 80037c14: 02051513 slli a0,a0,0x20 + 80037c18: 02055513 srli a0,a0,0x20 + 80037c1c: 00a30533 add a0,t1,a0 + 80037c20: 00054503 lbu a0,0(a0) + 80037c24: 00a8f533 and a0,a7,a0 + 80037c28: 04056513 ori a0,a0,64 + 80037c2c: 00a801a3 sb a0,3(a6) + 80037c30: 0087f513 andi a0,a5,8 + 80037c34: 02050663 beqz a0,80037c60 <_Z12FCEUPPU_Loopi+0x1300> + 80037c38: 0107551b srliw a0,a4,0x10 + 80037c3c: 00357513 andi a0,a0,3 + 80037c40: 00b56533 or a0,a0,a1 + 80037c44: 02051513 slli a0,a0,0x20 + 80037c48: 02055513 srli a0,a0,0x20 + 80037c4c: 00a30533 add a0,t1,a0 + 80037c50: 00054503 lbu a0,0(a0) + 80037c54: 00a8f533 and a0,a7,a0 + 80037c58: 04056513 ori a0,a0,64 + 80037c5c: 00a80223 sb a0,4(a6) + 80037c60: 0047f513 andi a0,a5,4 + 80037c64: 02050663 beqz a0,80037c90 <_Z12FCEUPPU_Loopi+0x1330> + 80037c68: 0147551b srliw a0,a4,0x14 + 80037c6c: 00357513 andi a0,a0,3 + 80037c70: 00b56533 or a0,a0,a1 + 80037c74: 02051513 slli a0,a0,0x20 + 80037c78: 02055513 srli a0,a0,0x20 + 80037c7c: 00a30533 add a0,t1,a0 + 80037c80: 00054503 lbu a0,0(a0) + 80037c84: 00a8f533 and a0,a7,a0 + 80037c88: 04056513 ori a0,a0,64 + 80037c8c: 00a802a3 sb a0,5(a6) + 80037c90: 0027f513 andi a0,a5,2 + 80037c94: 02050663 beqz a0,80037cc0 <_Z12FCEUPPU_Loopi+0x1360> + 80037c98: 0187551b srliw a0,a4,0x18 + 80037c9c: 00357513 andi a0,a0,3 + 80037ca0: 00b56533 or a0,a0,a1 + 80037ca4: 02051513 slli a0,a0,0x20 + 80037ca8: 02055513 srli a0,a0,0x20 + 80037cac: 00a30533 add a0,t1,a0 + 80037cb0: 00054503 lbu a0,0(a0) + 80037cb4: 00a8f533 and a0,a7,a0 + 80037cb8: 04056513 ori a0,a0,64 + 80037cbc: 00a80323 sb a0,6(a6) + 80037cc0: 0017f793 andi a5,a5,1 + 80037cc4: 960786e3 beqz a5,80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037cc8: 01c7571b srliw a4,a4,0x1c + 80037ccc: 00b76733 or a4,a4,a1 + 80037cd0: 02071793 slli a5,a4,0x20 + 80037cd4: 0207d793 srli a5,a5,0x20 + 80037cd8: 00f307b3 add a5,t1,a5 + 80037cdc: 0007c783 lbu a5,0(a5) + 80037ce0: 00f8f7b3 and a5,a7,a5 + 80037ce4: 0407e793 ori a5,a5,64 + 80037ce8: 00f803a3 sb a5,7(a6) + 80037cec: 945ff06f j 80037630 <_Z12FCEUPPU_Loopi+0xcd0> + 80037cf0: 00193697 auipc a3,0x193 + 80037cf4: 85868693 addi a3,a3,-1960 # 801ca548 + 80037cf8: 03f00893 li a7,63 + 80037cfc: 00015297 auipc t0,0x15 + 80037d00: 47c28293 addi t0,t0,1148 # 8004d178 <_ZL10maxsprites> + 80037d04: 03f00493 li s1,63 + 80037d08: 020efe93 andi t4,t4,32 + 80037d0c: 00193397 auipc t2,0x193 + 80037d10: 93c38393 addi t2,t2,-1732 # 801ca648 + 80037d14: 0008bd97 auipc s11,0x8b + 80037d18: 02cd8d93 addi s11,s11,44 # 800c2d40 + 80037d1c: 00100c93 li s9,1 + 80037d20: 00001ab7 lui s5,0x1 + 80037d24: 00193f97 auipc t6,0x193 + 80037d28: 924f8f93 addi t6,t6,-1756 # 801ca648 + 80037d2c: 0bc0006f j 80037de8 <_Z12FCEUPPU_Loopi+0x1488> + 80037d30: 03813783 ld a5,56(sp) + 80037d34: 00c3131b slliw t1,t1,0xc + 80037d38: 01537333 and t1,t1,s5 + 80037d3c: 00fe7e33 and t3,t3,a5 + 80037d40: 01c36e33 or t3,t1,t3 + 80037d44: 000e081b sext.w a6,t3 + 80037d48: 0026ce03 lbu t3,2(a3) + 80037d4c: 00877313 andi t1,a4,8 + 80037d50: 0003031b sext.w t1,t1 + 80037d54: 018e1f1b slliw t5,t3,0x18 + 80037d58: 418f5f1b sraiw t5,t5,0x18 + 80037d5c: 0c0f4a63 bltz t5,80037e30 <_Z12FCEUPPU_Loopi+0x14d0> + 80037d60: 0067073b addw a4,a4,t1 + 80037d64: 0107083b addw a6,a4,a6 + 80037d68: 000a2083 lw ra,0(s4) + 80037d6c: 00a85f1b srliw t5,a6,0xa + 80037d70: 020f1f13 slli t5,t5,0x20 + 80037d74: 02081813 slli a6,a6,0x20 + 80037d78: 02085813 srli a6,a6,0x20 + 80037d7c: 01df5f13 srli t5,t5,0x1d + 80037d80: 0c008e63 beqz ra,80037e5c <_Z12FCEUPPU_Loopi+0x14fc> + 80037d84: 00194797 auipc a5,0x194 + 80037d88: f1478793 addi a5,a5,-236 # 801cbc98 + 80037d8c: 0007a703 lw a4,0(a5) + 80037d90: 0d970663 beq a4,s9,80037e5c <_Z12FCEUPPU_Loopi+0x14fc> + 80037d94: 0008b797 auipc a5,0x8b + 80037d98: a4c78793 addi a5,a5,-1460 # 800c27e0 + 80037d9c: 01e78f33 add t5,a5,t5 + 80037da0: 000f3703 ld a4,0(t5) + 80037da4: 01070833 add a6,a4,a6 + 80037da8: 00084703 lbu a4,0(a6) + 80037dac: 0036c303 lbu t1,3(a3) + 80037db0: 00884803 lbu a6,8(a6) + 80037db4: 06e10423 sb a4,104(sp) + 80037db8: 066105a3 sb t1,107(sp) + 80037dbc: 070104a3 sb a6,105(sp) + 80037dc0: 07c10523 sb t3,106(sp) + 80037dc4: 06812703 lw a4,104(sp) + 80037dc8: 00251513 slli a0,a0,0x2 + 80037dcc: 00a38533 add a0,t2,a0 + 80037dd0: 001c079b addiw a5,s8,1 + 80037dd4: 00e52023 sw a4,0(a0) + 80037dd8: 0ff7fc13 andi s8,a5,255 + 80037ddc: 00468693 addi a3,a3,4 + 80037de0: fff8889b addiw a7,a7,-1 + 80037de4: d7f684e3 beq a3,t6,80037b4c <_Z12FCEUPPU_Loopi+0x11ec> + 80037de8: 0006c703 lbu a4,0(a3) + 80037dec: 40e6073b subw a4,a2,a4 + 80037df0: 0007051b sext.w a0,a4 + 80037df4: feb574e3 bgeu a0,a1,80037ddc <_Z12FCEUPPU_Loopi+0x147c> + 80037df8: 0002a803 lw a6,0(t0) + 80037dfc: 000c051b sext.w a0,s8 + 80037e00: d50c50e3 bge s8,a6,80037b40 <_Z12FCEUPPU_Loopi+0x11e0> + 80037e04: 06988463 beq a7,s1,80037e6c <_Z12FCEUPPU_Loopi+0x150c> + 80037e08: 0016c303 lbu t1,1(a3) + 80037e0c: 00431e1b slliw t3,t1,0x4 + 80037e10: f20e90e3 bnez t4,80037d30 <_Z12FCEUPPU_Loopi+0x13d0> + 80037e14: 013e083b addw a6,t3,s3 + 80037e18: 0026ce03 lbu t3,2(a3) + 80037e1c: 00877313 andi t1,a4,8 + 80037e20: 0003031b sext.w t1,t1 + 80037e24: 018e1f1b slliw t5,t3,0x18 + 80037e28: 418f5f1b sraiw t5,t5,0x18 + 80037e2c: f20f5ae3 bgez t5,80037d60 <_Z12FCEUPPU_Loopi+0x1400> + 80037e30: 04013783 ld a5,64(sp) + 80037e34: 000a2083 lw ra,0(s4) + 80037e38: 40e7873b subw a4,a5,a4 + 80037e3c: 4067073b subw a4,a4,t1 + 80037e40: 0107083b addw a6,a4,a6 + 80037e44: 00a85f1b srliw t5,a6,0xa + 80037e48: 020f1f13 slli t5,t5,0x20 + 80037e4c: 02081813 slli a6,a6,0x20 + 80037e50: 02085813 srli a6,a6,0x20 + 80037e54: 01df5f13 srli t5,t5,0x1d + 80037e58: f20096e3 bnez ra,80037d84 <_Z12FCEUPPU_Loopi+0x1424> + 80037e5c: 01ed8f33 add t5,s11,t5 + 80037e60: 000f3303 ld t1,0(t5) + 80037e64: 01030833 add a6,t1,a6 + 80037e68: f41ff06f j 80037da8 <_Z12FCEUPPU_Loopi+0x1448> + 80037e6c: 00100793 li a5,1 + 80037e70: 04f13423 sd a5,72(sp) + 80037e74: f95ff06f j 80037e08 <_Z12FCEUPPU_Loopi+0x14a8> + 80037e78: 0ef00793 li a5,239 + 80037e7c: 0957ca63 blt a5,s5,80037f10 <_Z12FCEUPPU_Loopi+0x15b0> + 80037e80: 002a979b slliw a5,s5,0x2 + 80037e84: 015787bb addw a5,a5,s5 + 80037e88: 0047951b slliw a0,a5,0x4 + 80037e8c: 00a787bb addw a5,a5,a0 + 80037e90: 0027951b slliw a0,a5,0x2 + 80037e94: 0155053b addw a0,a0,s5 + 80037e98: fc9c80ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037e9c: 00144783 lbu a5,1(s0) + 80037ea0: 0107f793 andi a5,a5,16 + 80037ea4: 00078863 beqz a5,80037eb4 <_Z12FCEUPPU_Loopi+0x1554> + 80037ea8: 00244783 lbu a5,2(s0) + 80037eac: 0407e793 ori a5,a5,64 + 80037eb0: 00f40123 sb a5,2(s0) + 80037eb4: 0f000513 li a0,240 + 80037eb8: 4125053b subw a0,a0,s2 + 80037ebc: 0025179b slliw a5,a0,0x2 + 80037ec0: 00a787bb addw a5,a5,a0 + 80037ec4: 0047971b slliw a4,a5,0x4 + 80037ec8: 00e787bb addw a5,a5,a4 + 80037ecc: 0027979b slliw a5,a5,0x2 + 80037ed0: 00a7853b addw a0,a5,a0 + 80037ed4: f8dc80ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037ed8: d4dc80ef jal ra,80000c24 <_Z7ShowFPSv> + 80037edc: b41fe06f j 80036a1c <_Z12FCEUPPU_Loopi+0xbc> + 80037ee0: e8dc80ef jal ra,80000d6c <_Z10TriggerNMIv> + 80037ee4: bd5fe06f j 80036ab8 <_Z12FCEUPPU_Loopi+0x158> + 80037ee8: 00194517 auipc a0,0x194 + 80037eec: 7a052503 lw a0,1952(a0) # 801cc688 + 80037ef0: 0025179b slliw a5,a0,0x2 + 80037ef4: 00a787bb addw a5,a5,a0 + 80037ef8: 0047971b slliw a4,a5,0x4 + 80037efc: 00e787bb addw a5,a5,a4 + 80037f00: 0027979b slliw a5,a5,0x2 + 80037f04: 00a7853b addw a0,a5,a0 + 80037f08: f59c80ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037f0c: b05fe06f j 80036a10 <_Z12FCEUPPU_Loopi+0xb0> + 80037f10: 00014537 lui a0,0x14 + 80037f14: fb050513 addi a0,a0,-80 # 13fb0 <_entry_offset+0x13fb0> + 80037f18: f49c80ef jal ra,80000e60 <_Z14X6502_RunDebugi> + 80037f1c: fbdff06f j 80037ed8 <_Z12FCEUPPU_Loopi+0x1578> + 80037f20: 00100993 li s3,1 + 80037f24: d90ff06f j 800374b4 <_Z12FCEUPPU_Loopi+0xb54> + 80037f28: 0187951b slliw a0,a5,0x18 + 80037f2c: 4185551b sraiw a0,a0,0x18 + 80037f30: 00078c13 mv s8,a5 + 80037f34: 00100993 li s3,1 + 80037f38: 9a5ff06f j 800378dc <_Z12FCEUPPU_Loopi+0xf7c> + 80037f3c: 00195517 auipc a0,0x195 + 80037f40: 86452503 lw a0,-1948(a0) # 801cc7a0 + 80037f44: 908e80ef jal ra,8002004c <_Z7MMC5_hbi> + 80037f48: 96cff06f j 800370b4 <_Z12FCEUPPU_Loopi+0x754> + 80037f4c: 69000613 li a2,1680 + 80037f50: 0000e597 auipc a1,0xe + 80037f54: 6d858593 addi a1,a1,1752 # 80046628 + 80037f58: 00005517 auipc a0,0x5 + 80037f5c: db850513 addi a0,a0,-584 # 8003cd10 <_etext+0x2c> + 80037f60: 5e8030ef jal ra,8003b548 + 80037f64: 00100513 li a0,1 + 80037f68: 60d010ef jal ra,80039d74 + +0000000080037f6c <_ZL5B2007jh>: + 80037f6c: fc010113 addi sp,sp,-64 + 80037f70: 03213023 sd s2,32(sp) + 80037f74: 02113c23 sd ra,56(sp) + 80037f78: 02813823 sd s0,48(sp) + 80037f7c: 02913423 sd s1,40(sp) + 80037f80: 01313c23 sd s3,24(sp) + 80037f84: 00195797 auipc a5,0x195 + 80037f88: 8107a783 lw a5,-2032(a5) # 801cc794 + 80037f8c: 00058913 mv s2,a1 + 80037f90: 14078263 beqz a5,800380d4 <_ZL5B2007jh+0x168> + 80037f94: 00194417 auipc s0,0x194 + 80037f98: aac40413 addi s0,s0,-1364 # 801cba40 + 80037f9c: 00442783 lw a5,4(s0) + 80037fa0: 00842603 lw a2,8(s0) + 80037fa4: 01042503 lw a0,16(s0) + 80037fa8: 00c42683 lw a3,12(s0) + 80037fac: 00042703 lw a4,0(s0) + 80037fb0: 00b7979b slliw a5,a5,0xb + 80037fb4: 00a6161b slliw a2,a2,0xa + 80037fb8: 00c7e7b3 or a5,a5,a2 + 80037fbc: 00a7e7b3 or a5,a5,a0 + 80037fc0: 0056969b slliw a3,a3,0x5 + 80037fc4: 00d7e7b3 or a5,a5,a3 + 80037fc8: 00c7171b slliw a4,a4,0xc + 80037fcc: 000036b7 lui a3,0x3 + 80037fd0: 00d77733 and a4,a4,a3 + 80037fd4: 00e7e7b3 or a5,a5,a4 + 80037fd8: 03279513 slli a0,a5,0x32 + 80037fdc: 03255513 srli a0,a0,0x32 + 80037fe0: 00194997 auipc s3,0x194 + 80037fe4: 73498993 addi s3,s3,1844 # 801cc714 + 80037fe8: 00194717 auipc a4,0x194 + 80037fec: 70b70ea3 sb a1,1821(a4) # 801cc705 + 80037ff0: 00a9a023 sw a0,0(s3) + 80037ff4: 00194717 auipc a4,0x194 + 80037ff8: 6c473703 ld a4,1732(a4) # 801cc6b8 + 80037ffc: 0007849b sext.w s1,a5 + 80038000: 28070a63 beqz a4,80038294 <_ZL5B2007jh+0x328> + 80038004: 000700e7 jalr a4 + 80038008: 03442583 lw a1,52(s0) + 8003800c: 0f000713 li a4,240 + 80038010: 00c42603 lw a2,12(s0) + 80038014: 00042503 lw a0,0(s0) + 80038018: 00442803 lw a6,4(s0) + 8003801c: 1ab77863 bgeu a4,a1,800381cc <_ZL5B2007jh+0x260> + 80038020: 00194597 auipc a1,0x194 + 80038024: 6e058593 addi a1,a1,1760 # 801cc700 + 80038028: 0005c583 lbu a1,0(a1) + 8003802c: 01042783 lw a5,16(s0) + 80038030: 0045f593 andi a1,a1,4 + 80038034: 1e059663 bnez a1,80038220 <_ZL5B2007jh+0x2b4> + 80038038: 0017879b addiw a5,a5,1 + 8003803c: 0057d71b srliw a4,a5,0x5 + 80038040: 00177713 andi a4,a4,1 + 80038044: 00c7063b addw a2,a4,a2 + 80038048: 00842703 lw a4,8(s0) + 8003804c: 0056559b srliw a1,a2,0x5 + 80038050: 01f7f793 andi a5,a5,31 + 80038054: 00e585bb addw a1,a1,a4 + 80038058: 0015d69b srliw a3,a1,0x1 + 8003805c: 010686bb addw a3,a3,a6 + 80038060: 0016d71b srliw a4,a3,0x1 + 80038064: 00a7073b addw a4,a4,a0 + 80038068: 01f67613 andi a2,a2,31 + 8003806c: 0015f593 andi a1,a1,1 + 80038070: 0016f693 andi a3,a3,1 + 80038074: 00777713 andi a4,a4,7 + 80038078: 00c42623 sw a2,12(s0) + 8003807c: 00f42823 sw a5,16(s0) + 80038080: 00b42423 sw a1,8(s0) + 80038084: 00d42223 sw a3,4(s0) + 80038088: 00e42023 sw a4,0(s0) + 8003808c: 00561613 slli a2,a2,0x5 + 80038090: 00b6969b slliw a3,a3,0xb + 80038094: 00c7e7b3 or a5,a5,a2 + 80038098: 00d7e7b3 or a5,a5,a3 + 8003809c: 00a5959b slliw a1,a1,0xa + 800380a0: 00c7171b slliw a4,a4,0xc + 800380a4: 000036b7 lui a3,0x3 + 800380a8: 00b7e7b3 or a5,a5,a1 + 800380ac: 00d77733 and a4,a4,a3 + 800380b0: 00e7e7b3 or a5,a5,a4 + 800380b4: 00f9a023 sw a5,0(s3) + 800380b8: 03813083 ld ra,56(sp) + 800380bc: 03013403 ld s0,48(sp) + 800380c0: 02813483 ld s1,40(sp) + 800380c4: 02013903 ld s2,32(sp) + 800380c8: 01813983 ld s3,24(sp) + 800380cc: 04010113 addi sp,sp,64 + 800380d0: 00008067 ret + 800380d4: 00194997 auipc s3,0x194 + 800380d8: 64098993 addi s3,s3,1600 # 801cc714 + 800380dc: 0009a703 lw a4,0(s3) + 800380e0: 000046b7 lui a3,0x4 + 800380e4: 00194617 auipc a2,0x194 + 800380e8: 62b600a3 sb a1,1569(a2) # 801cc705 + 800380ec: fff68793 addi a5,a3,-1 # 3fff <_entry_offset+0x3fff> + 800380f0: 03271593 slli a1,a4,0x32 + 800380f4: 00070613 mv a2,a4 + 800380f8: 00f777b3 and a5,a4,a5 + 800380fc: 0605cc63 bltz a1,80038174 <_ZL5B2007jh+0x208> + 80038100: 00194617 auipc a2,0x194 + 80038104: 60464603 lbu a2,1540(a2) # 801cc704 + 80038108: 00a7d69b srliw a3,a5,0xa + 8003810c: 40d656bb sraw a3,a2,a3 + 80038110: 0016f693 andi a3,a3,1 + 80038114: 00a7d61b srliw a2,a5,0xa + 80038118: 14069663 bnez a3,80038264 <_ZL5B2007jh+0x2f8> + 8003811c: 00194797 auipc a5,0x194 + 80038120: 5e47c783 lbu a5,1508(a5) # 801cc700 + 80038124: 0047f793 andi a5,a5,4 + 80038128: 04078063 beqz a5,80038168 <_ZL5B2007jh+0x1fc> + 8003812c: 0207071b addiw a4,a4,32 + 80038130: 00e9a023 sw a4,0(s3) + 80038134: 00194317 auipc t1,0x194 + 80038138: 5d433303 ld t1,1492(t1) # 801cc708 + 8003813c: f6030ee3 beqz t1,800380b8 <_ZL5B2007jh+0x14c> + 80038140: 0009a503 lw a0,0(s3) + 80038144: 03013403 ld s0,48(sp) + 80038148: 03813083 ld ra,56(sp) + 8003814c: 02813483 ld s1,40(sp) + 80038150: 02013903 ld s2,32(sp) + 80038154: 01813983 ld s3,24(sp) + 80038158: 03251513 slli a0,a0,0x32 + 8003815c: 03255513 srli a0,a0,0x32 + 80038160: 04010113 addi sp,sp,64 + 80038164: 00030067 jr t1 + 80038168: 0017071b addiw a4,a4,1 + 8003816c: 00e9a023 sw a4,0(s3) + 80038170: fc5ff06f j 80038134 <_ZL5B2007jh+0x1c8> + 80038174: eff68693 addi a3,a3,-257 + 80038178: 0af6e863 bltu a3,a5,80038228 <_ZL5B2007jh+0x2bc> + 8003817c: 00a7d79b srliw a5,a5,0xa + 80038180: 00194697 auipc a3,0x194 + 80038184: 5906a683 lw a3,1424(a3) # 801cc710 + 80038188: 0037f793 andi a5,a5,3 + 8003818c: 18068263 beqz a3,80038310 <_ZL5B2007jh+0x3a4> + 80038190: 00194697 auipc a3,0x194 + 80038194: 60c6c683 lbu a3,1548(a3) # 801cc79c + 80038198: 0016f593 andi a1,a3,1 + 8003819c: 16058a63 beqz a1,80038310 <_ZL5B2007jh+0x3a4> + 800381a0: 4016d69b sraiw a3,a3,0x1 + 800381a4: 00d7d7bb srlw a5,a5,a3 + 800381a8: 00a7979b slliw a5,a5,0xa + 800381ac: 4007f793 andi a5,a5,1024 + 800381b0: 3ff67613 andi a2,a2,1023 + 800381b4: 00c7e7b3 or a5,a5,a2 + 800381b8: 00192697 auipc a3,0x192 + 800381bc: b9068693 addi a3,a3,-1136 # 801c9d48 + 800381c0: 00f687b3 add a5,a3,a5 + 800381c4: 01278023 sb s2,0(a5) + 800381c8: f55ff06f j 8003811c <_ZL5B2007jh+0x1b0> + 800381cc: 00194597 auipc a1,0x194 + 800381d0: 53458593 addi a1,a1,1332 # 801cc700 + 800381d4: 0015c703 lbu a4,1(a1) + 800381d8: 01877713 andi a4,a4,24 + 800381dc: e40706e3 beqz a4,80038028 <_ZL5B2007jh+0xbc> + 800381e0: 0015071b addiw a4,a0,1 + 800381e4: 0037579b srliw a5,a4,0x3 + 800381e8: 00c7863b addw a2,a5,a2 + 800381ec: 01f67793 andi a5,a2,31 + 800381f0: 00f42623 sw a5,12(s0) + 800381f4: 01e00693 li a3,30 + 800381f8: 0037559b srliw a1,a4,0x3 + 800381fc: 00579613 slli a2,a5,0x5 + 80038200: 16d78863 beq a5,a3,80038370 <_ZL5B2007jh+0x404> + 80038204: 00777713 andi a4,a4,7 + 80038208: 00187693 andi a3,a6,1 + 8003820c: 00842583 lw a1,8(s0) + 80038210: 01042783 lw a5,16(s0) + 80038214: 00e42023 sw a4,0(s0) + 80038218: 00d42223 sw a3,4(s0) + 8003821c: e75ff06f j 80038090 <_ZL5B2007jh+0x124> + 80038220: 0016061b addiw a2,a2,1 + 80038224: e25ff06f j 80038048 <_ZL5B2007jh+0xdc> + 80038228: 00367693 andi a3,a2,3 + 8003822c: 03f97913 andi s2,s2,63 + 80038230: 10069e63 bnez a3,8003834c <_ZL5B2007jh+0x3e0> + 80038234: 00c67613 andi a2,a2,12 + 80038238: 18061c63 bnez a2,800383d0 <_ZL5B2007jh+0x464> + 8003823c: 00192797 auipc a5,0x192 + 80038240: aec78793 addi a5,a5,-1300 # 801c9d28 + 80038244: 01278623 sb s2,12(a5) + 80038248: 01278423 sb s2,8(a5) + 8003824c: 01278223 sb s2,4(a5) + 80038250: 01278023 sb s2,0(a5) + 80038254: 00100793 li a5,1 + 80038258: 00194697 auipc a3,0x194 + 8003825c: 4cf6a823 sw a5,1232(a3) # 801cc728 <_ZL16PALcache_outdate> + 80038260: ebdff06f j 8003811c <_ZL5B2007jh+0x1b0> + 80038264: 02061613 slli a2,a2,0x20 + 80038268: 0008b717 auipc a4,0x8b + 8003826c: ad870713 addi a4,a4,-1320 # 800c2d40 + 80038270: 01d65613 srli a2,a2,0x1d + 80038274: 00c70633 add a2,a4,a2 + 80038278: 00063703 ld a4,0(a2) + 8003827c: 02079793 slli a5,a5,0x20 + 80038280: 0207d793 srli a5,a5,0x20 + 80038284: 00f707b3 add a5,a4,a5 + 80038288: 01278023 sb s2,0(a5) + 8003828c: 0009a703 lw a4,0(s3) + 80038290: e8dff06f j 8003811c <_ZL5B2007jh+0x1b0> + 80038294: 00194797 auipc a5,0x194 + 80038298: 4747b783 ld a5,1140(a5) # 801cc708 + 8003829c: 00078863 beqz a5,800382ac <_ZL5B2007jh+0x340> + 800382a0: 00a13423 sd a0,8(sp) + 800382a4: 000780e7 jalr a5 + 800382a8: 00813503 ld a0,8(sp) + 800382ac: 03249793 slli a5,s1,0x32 + 800382b0: 0e07d063 bgez a5,80038390 <_ZL5B2007jh+0x424> + 800382b4: 000047b7 lui a5,0x4 + 800382b8: eff78793 addi a5,a5,-257 # 3eff <_entry_offset+0x3eff> + 800382bc: 12a7ee63 bltu a5,a0,800383f8 <_ZL5B2007jh+0x48c> + 800382c0: 00a5551b srliw a0,a0,0xa + 800382c4: 00194797 auipc a5,0x194 + 800382c8: 44c7a783 lw a5,1100(a5) # 801cc710 + 800382cc: 00357513 andi a0,a0,3 + 800382d0: 16078a63 beqz a5,80038444 <_ZL5B2007jh+0x4d8> + 800382d4: 00194797 auipc a5,0x194 + 800382d8: 4c87c783 lbu a5,1224(a5) # 801cc79c + 800382dc: 0017f713 andi a4,a5,1 + 800382e0: 16070263 beqz a4,80038444 <_ZL5B2007jh+0x4d8> + 800382e4: 4017d79b sraiw a5,a5,0x1 + 800382e8: 00f557bb srlw a5,a0,a5 + 800382ec: 00a7979b slliw a5,a5,0xa + 800382f0: 4007f793 andi a5,a5,1024 + 800382f4: 3ff4f493 andi s1,s1,1023 + 800382f8: 0097e7b3 or a5,a5,s1 + 800382fc: 00192717 auipc a4,0x192 + 80038300: a4c70713 addi a4,a4,-1460 # 801c9d48 + 80038304: 00f707b3 add a5,a4,a5 + 80038308: 01278023 sb s2,0(a5) + 8003830c: cfdff06f j 80038008 <_ZL5B2007jh+0x9c> + 80038310: 00194697 auipc a3,0x194 + 80038314: 3f66c683 lbu a3,1014(a3) # 801cc706 + 80038318: 40f6d6bb sraw a3,a3,a5 + 8003831c: 0016f693 andi a3,a3,1 + 80038320: de068ee3 beqz a3,8003811c <_ZL5B2007jh+0x1b0> + 80038324: 00379793 slli a5,a5,0x3 + 80038328: 00193697 auipc a3,0x193 + 8003832c: 79868693 addi a3,a3,1944 # 801cbac0 + 80038330: 00f687b3 add a5,a3,a5 + 80038334: 0007b783 ld a5,0(a5) + 80038338: 3ff77713 andi a4,a4,1023 + 8003833c: 00e78733 add a4,a5,a4 + 80038340: 01270023 sb s2,0(a4) + 80038344: 0009a703 lw a4,0(s3) + 80038348: dd5ff06f j 8003811c <_ZL5B2007jh+0x1b0> + 8003834c: 00192797 auipc a5,0x192 + 80038350: 9dc78793 addi a5,a5,-1572 # 801c9d28 + 80038354: 01f67613 andi a2,a2,31 + 80038358: 00c78633 add a2,a5,a2 + 8003835c: 00100793 li a5,1 + 80038360: 01260023 sb s2,0(a2) + 80038364: 00194697 auipc a3,0x194 + 80038368: 3cf6a223 sw a5,964(a3) # 801cc728 <_ZL16PALcache_outdate> + 8003836c: db1ff06f j 8003811c <_ZL5B2007jh+0x1b0> + 80038370: 00100793 li a5,1 + 80038374: 3c000613 li a2,960 + 80038378: e8f596e3 bne a1,a5,80038204 <_ZL5B2007jh+0x298> + 8003837c: 0018081b addiw a6,a6,1 + 80038380: 00193797 auipc a5,0x193 + 80038384: 6c07a623 sw zero,1740(a5) # 801cba4c + 80038388: 00000613 li a2,0 + 8003838c: e79ff06f j 80038204 <_ZL5B2007jh+0x298> + 80038390: 00194717 auipc a4,0x194 + 80038394: 37474703 lbu a4,884(a4) # 801cc704 + 80038398: 00a55793 srli a5,a0,0xa + 8003839c: 40f757bb sraw a5,a4,a5 + 800383a0: 0017f793 andi a5,a5,1 + 800383a4: 00a5571b srliw a4,a0,0xa + 800383a8: c60780e3 beqz a5,80038008 <_ZL5B2007jh+0x9c> + 800383ac: 02071713 slli a4,a4,0x20 + 800383b0: 0008b797 auipc a5,0x8b + 800383b4: 99078793 addi a5,a5,-1648 # 800c2d40 + 800383b8: 01d75713 srli a4,a4,0x1d + 800383bc: 00e78733 add a4,a5,a4 + 800383c0: 00073783 ld a5,0(a4) + 800383c4: 00a78533 add a0,a5,a0 + 800383c8: 01250023 sb s2,0(a0) + 800383cc: c3dff06f j 80038008 <_ZL5B2007jh+0x9c> + 800383d0: 0027d79b srliw a5,a5,0x2 + 800383d4: 0037f793 andi a5,a5,3 + 800383d8: fff7879b addiw a5,a5,-1 + 800383dc: 02079793 slli a5,a5,0x20 + 800383e0: 0207d793 srli a5,a5,0x20 + 800383e4: 00194697 auipc a3,0x194 + 800383e8: 33c68693 addi a3,a3,828 # 801cc720 + 800383ec: 00f687b3 add a5,a3,a5 + 800383f0: 01278023 sb s2,0(a5) + 800383f4: d29ff06f j 8003811c <_ZL5B2007jh+0x1b0> + 800383f8: 0034f793 andi a5,s1,3 + 800383fc: 03f97913 andi s2,s2,63 + 80038400: 06079e63 bnez a5,8003847c <_ZL5B2007jh+0x510> + 80038404: 00c4f793 andi a5,s1,12 + 80038408: 08079c63 bnez a5,800384a0 <_ZL5B2007jh+0x534> + 8003840c: 00192797 auipc a5,0x192 + 80038410: 91c78793 addi a5,a5,-1764 # 801c9d28 + 80038414: 01278623 sb s2,12(a5) + 80038418: 01278423 sb s2,8(a5) + 8003841c: 01278223 sb s2,4(a5) + 80038420: 01278023 sb s2,0(a5) + 80038424: 01278e23 sb s2,28(a5) + 80038428: 01278c23 sb s2,24(a5) + 8003842c: 01278a23 sb s2,20(a5) + 80038430: 01278823 sb s2,16(a5) + 80038434: 00100793 li a5,1 + 80038438: 00194717 auipc a4,0x194 + 8003843c: 2ef72823 sw a5,752(a4) # 801cc728 <_ZL16PALcache_outdate> + 80038440: bc9ff06f j 80038008 <_ZL5B2007jh+0x9c> + 80038444: 00194797 auipc a5,0x194 + 80038448: 2c27c783 lbu a5,706(a5) # 801cc706 + 8003844c: 40a7d7bb sraw a5,a5,a0 + 80038450: 0017f793 andi a5,a5,1 + 80038454: ba078ae3 beqz a5,80038008 <_ZL5B2007jh+0x9c> + 80038458: 00193797 auipc a5,0x193 + 8003845c: 66878793 addi a5,a5,1640 # 801cbac0 + 80038460: 00351513 slli a0,a0,0x3 + 80038464: 00a78533 add a0,a5,a0 + 80038468: 00053783 ld a5,0(a0) + 8003846c: 3ff4f493 andi s1,s1,1023 + 80038470: 009787b3 add a5,a5,s1 + 80038474: 01278023 sb s2,0(a5) + 80038478: b91ff06f j 80038008 <_ZL5B2007jh+0x9c> + 8003847c: 00192717 auipc a4,0x192 + 80038480: 8ac70713 addi a4,a4,-1876 # 801c9d28 + 80038484: 01f4f793 andi a5,s1,31 + 80038488: 00f707b3 add a5,a4,a5 + 8003848c: 01278023 sb s2,0(a5) + 80038490: 00100793 li a5,1 + 80038494: 00194717 auipc a4,0x194 + 80038498: 28f72a23 sw a5,660(a4) # 801cc728 <_ZL16PALcache_outdate> + 8003849c: b6dff06f j 80038008 <_ZL5B2007jh+0x9c> + 800384a0: 0025579b srliw a5,a0,0x2 + 800384a4: 0037f793 andi a5,a5,3 + 800384a8: fff7879b addiw a5,a5,-1 + 800384ac: 02079793 slli a5,a5,0x20 + 800384b0: 0207d793 srli a5,a5,0x20 + 800384b4: 00194717 auipc a4,0x194 + 800384b8: 26c70713 addi a4,a4,620 # 801cc720 + 800384bc: 00f707b3 add a5,a4,a5 + 800384c0: 01278023 sb s2,0(a5) + 800384c4: b45ff06f j 80038008 <_ZL5B2007jh+0x9c> + +00000000800384c8 <_Z19newppu_get_scanlinev>: + 800384c8: 00193517 auipc a0,0x193 + 800384cc: 5ac52503 lw a0,1452(a0) # 801cba74 + 800384d0: 00008067 ret + +00000000800384d4 <_Z19READPAL_MOTHEROFALLj>: + 800384d4: 00194797 auipc a5,0x194 + 800384d8: 22d7c783 lbu a5,557(a5) # 801cc701 + 800384dc: 00357713 andi a4,a0,3 + 800384e0: 0017f793 andi a5,a5,1 + 800384e4: 04071863 bnez a4,80038534 <_Z19READPAL_MOTHEROFALLj+0x60> + 800384e8: 00c57713 andi a4,a0,12 + 800384ec: 00071c63 bnez a4,80038504 <_Z19READPAL_MOTHEROFALLj+0x30> + 800384f0: 00192517 auipc a0,0x192 + 800384f4: 83854503 lbu a0,-1992(a0) # 801c9d28 + 800384f8: 00078463 beqz a5,80038500 <_Z19READPAL_MOTHEROFALLj+0x2c> + 800384fc: 03057513 andi a0,a0,48 + 80038500: 00008067 ret + 80038504: 0025551b srliw a0,a0,0x2 + 80038508: 00357513 andi a0,a0,3 + 8003850c: fff5051b addiw a0,a0,-1 + 80038510: 02051513 slli a0,a0,0x20 + 80038514: 02055513 srli a0,a0,0x20 + 80038518: 00194717 auipc a4,0x194 + 8003851c: 20870713 addi a4,a4,520 # 801cc720 + 80038520: 00a70533 add a0,a4,a0 + 80038524: 00054503 lbu a0,0(a0) + 80038528: fc078ce3 beqz a5,80038500 <_Z19READPAL_MOTHEROFALLj+0x2c> + 8003852c: 03057513 andi a0,a0,48 + 80038530: fd1ff06f j 80038500 <_Z19READPAL_MOTHEROFALLj+0x2c> + 80038534: 01f57513 andi a0,a0,31 + 80038538: 00191717 auipc a4,0x191 + 8003853c: 7f070713 addi a4,a4,2032 # 801c9d28 + 80038540: 00a70533 add a0,a4,a0 + 80038544: 00054503 lbu a0,0(a0) + 80038548: fa078ce3 beqz a5,80038500 <_Z19READPAL_MOTHEROFALLj+0x2c> + 8003854c: 03057513 andi a0,a0,48 + 80038550: fb1ff06f j 80038500 <_Z19READPAL_MOTHEROFALLj+0x2c> + +0000000080038554 <_Z14FCEUPPU_GetCHRjj>: + 80038554: 00194717 auipc a4,0x194 + 80038558: 18072703 lw a4,384(a4) # 801cc6d4 + 8003855c: 00050793 mv a5,a0 + 80038560: 08070063 beqz a4,800385e0 <_Z14FCEUPPU_GetCHRjj+0x8c> + 80038564: 00194697 auipc a3,0x194 + 80038568: 1746c683 lbu a3,372(a3) # 801cc6d8 + 8003856c: 00100713 li a4,1 + 80038570: 00e68463 beq a3,a4,80038578 <_Z14FCEUPPU_GetCHRjj+0x24> + 80038574: e48e706f j 8001fbbc <_Z13MMC5BGVRAMADRj> + 80038578: 00194717 auipc a4,0x194 + 8003857c: 16873703 ld a4,360(a4) # 801cc6e0 + 80038580: 3ff5f593 andi a1,a1,1023 + 80038584: 00b705b3 add a1,a4,a1 + 80038588: 0005c703 lbu a4,0(a1) + 8003858c: 00194517 auipc a0,0x194 + 80038590: 16052503 lw a0,352(a0) # 801cc6ec + 80038594: 00a77733 and a4,a4,a0 + 80038598: 00c7171b slliw a4,a4,0xc + 8003859c: 03479513 slli a0,a5,0x34 + 800385a0: 0003f7b7 lui a5,0x3f + 800385a4: 00f777b3 and a5,a4,a5 + 800385a8: 03455513 srli a0,a0,0x34 + 800385ac: 00194717 auipc a4,0x194 + 800385b0: 12474703 lbu a4,292(a4) # 801cc6d0 + 800385b4: 00a7e533 or a0,a5,a0 + 800385b8: 02051513 slli a0,a0,0x20 + 800385bc: 0127179b slliw a5,a4,0x12 + 800385c0: 000c0737 lui a4,0xc0 + 800385c4: 00e7f7b3 and a5,a5,a4 + 800385c8: 02055513 srli a0,a0,0x20 + 800385cc: 00f50533 add a0,a0,a5 + 800385d0: 00194797 auipc a5,0x194 + 800385d4: 1207b783 ld a5,288(a5) # 801cc6f0 + 800385d8: 00a78533 add a0,a5,a0 + 800385dc: 00008067 ret + 800385e0: 00a5571b srliw a4,a0,0xa + 800385e4: 00371693 slli a3,a4,0x3 + 800385e8: 0008a717 auipc a4,0x8a + 800385ec: 75870713 addi a4,a4,1880 # 800c2d40 + 800385f0: 00d70733 add a4,a4,a3 + 800385f4: 00073703 ld a4,0(a4) + 800385f8: 02051513 slli a0,a0,0x20 + 800385fc: 02055513 srli a0,a0,0x20 + 80038600: 00a70533 add a0,a4,a0 + 80038604: 00008067 ret + +0000000080038608 <_Z18FCEUPPU_LineUpdatev>: + 80038608: 00194797 auipc a5,0x194 + 8003860c: 18c7a783 lw a5,396(a5) # 801cc794 + 80038610: 00079a63 bnez a5,80038624 <_Z18FCEUPPU_LineUpdatev+0x1c> + 80038614: 00194797 auipc a5,0x194 + 80038618: 1247b783 ld a5,292(a5) # 801cc738 <_ZL5Pline> + 8003861c: 00078463 beqz a5,80038624 <_Z18FCEUPPU_LineUpdatev+0x1c> + 80038620: b55fc06f j 80035174 <_Z18FCEUPPU_LineUpdatev.part.0> + 80038624: 00008067 ret + +0000000080038628 <_Z29FCEUI_DisableSpriteLimitationi>: + 80038628: 04000793 li a5,64 + 8003862c: 00051463 bnez a0,80038634 <_Z29FCEUI_DisableSpriteLimitationi+0xc> + 80038630: 00800793 li a5,8 + 80038634: 00015717 auipc a4,0x15 + 80038638: b4f72223 sw a5,-1212(a4) # 8004d178 <_ZL10maxsprites> + 8003863c: 00008067 ret + +0000000080038640 <_Z22FCEUPPU_SetVideoSystemi>: + 80038640: 02050c63 beqz a0,80038678 <_Z22FCEUPPU_SetVideoSystemi+0x38> + 80038644: 00193797 auipc a5,0x193 + 80038648: 5d47a783 lw a5,1492(a5) # 801cbc18 + 8003864c: 10600713 li a4,262 + 80038650: 04078863 beqz a5,800386a0 <_Z22FCEUPPU_SetVideoSystemi+0x60> + 80038654: 00190797 auipc a5,0x190 + 80038658: 68c78793 addi a5,a5,1676 # 801c8ce0 + 8003865c: 0307a603 lw a2,48(a5) + 80038660: 0387a683 lw a3,56(a5) + 80038664: 00194597 auipc a1,0x194 + 80038668: 0ce5a423 sw a4,200(a1) # 801cc72c <_ZL19scanlines_per_frame> + 8003866c: 02c7a223 sw a2,36(a5) + 80038670: 02d7a423 sw a3,40(a5) + 80038674: 00008067 ret + 80038678: 00190797 auipc a5,0x190 + 8003867c: 66878793 addi a5,a5,1640 # 801c8ce0 + 80038680: 02c7a683 lw a3,44(a5) + 80038684: 0347a703 lw a4,52(a5) + 80038688: 10600613 li a2,262 + 8003868c: 00194597 auipc a1,0x194 + 80038690: 0ac5a023 sw a2,160(a1) # 801cc72c <_ZL19scanlines_per_frame> + 80038694: 02d7a223 sw a3,36(a5) + 80038698: 02e7a423 sw a4,40(a5) + 8003869c: 00008067 ret + 800386a0: 00190797 auipc a5,0x190 + 800386a4: 64078793 addi a5,a5,1600 # 801c8ce0 + 800386a8: 0307a603 lw a2,48(a5) + 800386ac: 0387a683 lw a3,56(a5) + 800386b0: 13800713 li a4,312 + 800386b4: 00194597 auipc a1,0x194 + 800386b8: 06e5ac23 sw a4,120(a1) # 801cc72c <_ZL19scanlines_per_frame> + 800386bc: 02c7a223 sw a2,36(a5) + 800386c0: 02d7a423 sw a3,40(a5) + 800386c4: 00008067 ret + +00000000800386c8 <_Z12FCEUPPU_Initv>: + 800386c8: 00192517 auipc a0,0x192 + 800386cc: 18850513 addi a0,a0,392 # 801ca850 <_ZL7ppulut1> + 800386d0: 00192597 auipc a1,0x192 + 800386d4: 58058593 addi a1,a1,1408 # 801cac50 <_ZL7ppulut2> + 800386d8: 00000613 li a2,0 + 800386dc: 00700313 li t1,7 + 800386e0: 00800893 li a7,8 + 800386e4: 10000813 li a6,256 + 800386e8: 00000713 li a4,0 + 800386ec: 00000693 li a3,0 + 800386f0: 40d307bb subw a5,t1,a3 + 800386f4: 40f657bb sraw a5,a2,a5 + 800386f8: 00269e1b slliw t3,a3,0x2 + 800386fc: 0017f793 andi a5,a5,1 + 80038700: 01c797bb sllw a5,a5,t3 + 80038704: 00f76733 or a4,a4,a5 + 80038708: 0016869b addiw a3,a3,1 + 8003870c: 0007071b sext.w a4,a4 + 80038710: ff1690e3 bne a3,a7,800386f0 <_Z12FCEUPPU_Initv+0x28> + 80038714: 0017179b slliw a5,a4,0x1 + 80038718: 00e52023 sw a4,0(a0) + 8003871c: 00f5a023 sw a5,0(a1) + 80038720: 0016061b addiw a2,a2,1 + 80038724: 00450513 addi a0,a0,4 + 80038728: 00458593 addi a1,a1,4 + 8003872c: fb061ee3 bne a2,a6,800386e8 <_Z12FCEUPPU_Initv+0x20> + 80038730: 00000713 li a4,0 + 80038734: 00193f17 auipc t5,0x193 + 80038738: 91cf0f13 addi t5,t5,-1764 # 801cb050 <_ZL7ppulut3> + 8003873c: 02200e93 li t4,34 + 80038740: 00800e13 li t3,8 + 80038744: 01000313 li t1,16 + 80038748: 0037181b slliw a6,a4,0x3 + 8003874c: 00000693 li a3,0 + 80038750: 00d86633 or a2,a6,a3 + 80038754: 0006889b sext.w a7,a3 + 80038758: 00200513 li a0,2 + 8003875c: 00000593 li a1,0 + 80038760: 4038d79b sraiw a5,a7,0x3 + 80038764: 0017979b slliw a5,a5,0x1 + 80038768: 40f757bb sraw a5,a4,a5 + 8003876c: 0037f793 andi a5,a5,3 + 80038770: 00a797bb sllw a5,a5,a0 + 80038774: 00f5e5b3 or a1,a1,a5 + 80038778: 0045051b addiw a0,a0,4 + 8003877c: 0005859b sext.w a1,a1 + 80038780: 0018889b addiw a7,a7,1 + 80038784: fdd51ee3 bne a0,t4,80038760 <_Z12FCEUPPU_Initv+0x98> + 80038788: 00261613 slli a2,a2,0x2 + 8003878c: 00cf0633 add a2,t5,a2 + 80038790: 00b62023 sw a1,0(a2) + 80038794: 0016869b addiw a3,a3,1 + 80038798: fbc69ce3 bne a3,t3,80038750 <_Z12FCEUPPU_Initv+0x88> + 8003879c: 0017071b addiw a4,a4,1 + 800387a0: fa6714e3 bne a4,t1,80038748 <_Z12FCEUPPU_Initv+0x80> + 800387a4: 00008067 ret + +00000000800387a8 <_Z14PPU_ResetHooksv>: + 800387a8: ffffc797 auipc a5,0xffffc + 800387ac: 07c78793 addi a5,a5,124 # 80034824 <_Z22FFCEUX_PPURead_Defaultj> + 800387b0: 00194717 auipc a4,0x194 + 800387b4: f0f73023 sd a5,-256(a4) # 801cc6b0 + 800387b8: 00008067 ret + +00000000800387bc <_Z13FCEUPPU_Powerv>: + 800387bc: 00001637 lui a2,0x1 + 800387c0: ff010113 addi sp,sp,-16 + 800387c4: 80060613 addi a2,a2,-2048 # 800 <_entry_offset+0x800> + 800387c8: 00000593 li a1,0 + 800387cc: 00191517 auipc a0,0x191 + 800387d0: d5c50513 addi a0,a0,-676 # 801c9528 + 800387d4: 00113423 sd ra,8(sp) + 800387d8: 250030ef jal ra,8003ba28 + 800387dc: 02000613 li a2,32 + 800387e0: 00000593 li a1,0 + 800387e4: 00191517 auipc a0,0x191 + 800387e8: 54450513 addi a0,a0,1348 # 801c9d28 + 800387ec: 23c030ef jal ra,8003ba28 + 800387f0: 00300613 li a2,3 + 800387f4: 00000593 li a1,0 + 800387f8: 00194517 auipc a0,0x194 + 800387fc: f2850513 addi a0,a0,-216 # 801cc720 + 80038800: 228030ef jal ra,8003ba28 + 80038804: 10000613 li a2,256 + 80038808: 00000593 li a1,0 + 8003880c: 00192517 auipc a0,0x192 + 80038810: d3c50513 addi a0,a0,-708 # 801ca548 + 80038814: 214030ef jal ra,8003ba28 + 80038818: 00194717 auipc a4,0x194 + 8003881c: ee072423 sw zero,-280(a4) # 801cc700 + 80038820: 00194717 auipc a4,0x194 + 80038824: f00701a3 sb zero,-253(a4) # 801cc723 + 80038828: 00194717 auipc a4,0x194 + 8003882c: ec070fa3 sb zero,-289(a4) # 801cc707 + 80038830: 00194717 auipc a4,0x194 + 80038834: ec070aa3 sb zero,-299(a4) # 801cc705 + 80038838: 00194717 auipc a4,0x194 + 8003883c: ee072223 sw zero,-284(a4) # 801cc71c + 80038840: 00194717 auipc a4,0x194 + 80038844: ec072a23 sw zero,-300(a4) # 801cc714 + 80038848: 00194717 auipc a4,0x194 + 8003884c: f6070023 sb zero,-160(a4) # 801cc7a8 + 80038850: 00200713 li a4,2 + 80038854: 00100793 li a5,1 + 80038858: 00015697 auipc a3,0x15 + 8003885c: 92e6a423 sw a4,-1752(a3) # 8004d180 <_ZL7ppudead> + 80038860: 00015717 auipc a4,0x15 + 80038864: 92f70323 sb a5,-1754(a4) # 8004d186 + 80038868: 00194717 auipc a4,0x194 + 8003886c: eaf70fa3 sb a5,-321(a4) # 801cc727 <_ZL13new_ppu_reset> + 80038870: 00194717 auipc a4,0x194 + 80038874: ec072023 sw zero,-320(a4) # 801cc730 <_ZL4kook> + 80038878: 000a0797 auipc a5,0xa0 + 8003887c: 46878793 addi a5,a5,1128 # 800d8ce0 + 80038880: 00120717 auipc a4,0x120 + 80038884: 46070713 addi a4,a4,1120 # 80158ce0 + 80038888: 000b0397 auipc t2,0xb0 + 8003888c: 45838393 addi t2,t2,1112 # 800e8ce0 + 80038890: ffffd697 auipc a3,0xffffd + 80038894: 2b068693 addi a3,a3,688 # 80035b40 <_ZL5A200xj> + 80038898: ffffd297 auipc t0,0xffffd + 8003889c: 1d028293 addi t0,t0,464 # 80035a68 <_ZL5B2000jh> + 800388a0: ffffdf97 auipc t6,0xffffd + 800388a4: 138f8f93 addi t6,t6,312 # 800359d8 <_ZL5B2001jh> + 800388a8: ffffdf17 auipc t5,0xffffd + 800388ac: 0ccf0f13 addi t5,t5,204 # 80035974 <_ZL5A2002j> + 800388b0: ffffce97 auipc t4,0xffffc + 800388b4: ed8e8e93 addi t4,t4,-296 # 80034788 <_ZL5B2002jh> + 800388b8: ffffce17 auipc t3,0xffffc + 800388bc: edce0e13 addi t3,t3,-292 # 80034794 <_ZL5B2003jh> + 800388c0: ffffd317 auipc t1,0xffffd + 800388c4: 35830313 addi t1,t1,856 # 80035c18 <_ZL5A2004j> + 800388c8: ffffc897 auipc a7,0xffffc + 800388cc: 08c88893 addi a7,a7,140 # 80034954 <_ZL5B2004jh> + 800388d0: ffffd817 auipc a6,0xffffd + 800388d4: fb080813 addi a6,a6,-80 # 80035880 <_ZL5B2005jh> + 800388d8: ffffd517 auipc a0,0xffffd + 800388dc: e2c50513 addi a0,a0,-468 # 80035704 <_ZL5B2006jh> + 800388e0: ffffd597 auipc a1,0xffffd + 800388e4: 8f058593 addi a1,a1,-1808 # 800351d0 <_ZL5A2007j> + 800388e8: fffff617 auipc a2,0xfffff + 800388ec: 68460613 addi a2,a2,1668 # 80037f6c <_ZL5B2007jh> + 800388f0: 00d7b023 sd a3,0(a5) + 800388f4: 00573023 sd t0,0(a4) + 800388f8: 00d7b423 sd a3,8(a5) + 800388fc: 01f73423 sd t6,8(a4) + 80038900: 01e7b823 sd t5,16(a5) + 80038904: 01d73823 sd t4,16(a4) + 80038908: 00d7bc23 sd a3,24(a5) + 8003890c: 01c73c23 sd t3,24(a4) + 80038910: 0267b023 sd t1,32(a5) + 80038914: 03173023 sd a7,32(a4) + 80038918: 02d7b423 sd a3,40(a5) + 8003891c: 03073423 sd a6,40(a4) + 80038920: 02d7b823 sd a3,48(a5) + 80038924: 02a73823 sd a0,48(a4) + 80038928: 02b7bc23 sd a1,56(a5) + 8003892c: 02c73c23 sd a2,56(a4) + 80038930: 04078793 addi a5,a5,64 + 80038934: 04070713 addi a4,a4,64 + 80038938: fa779ce3 bne a5,t2,800388f0 <_Z13FCEUPPU_Powerv+0x134> + 8003893c: 00813083 ld ra,8(sp) + 80038940: ffffc797 auipc a5,0xffffc + 80038944: e7478793 addi a5,a5,-396 # 800347b4 <_ZL5B4014jh> + 80038948: 00130717 auipc a4,0x130 + 8003894c: 42f73c23 sd a5,1080(a4) # 80168d80 + 80038950: 01010113 addi sp,sp,16 + 80038954: 00008067 ret + +0000000080038958 <_Z6runppui>: + 80038958: fe010113 addi sp,sp,-32 + 8003895c: 00913423 sd s1,8(sp) + 80038960: 00193497 auipc s1,0x193 + 80038964: 0e048493 addi s1,s1,224 # 801cba40 + 80038968: 0384a783 lw a5,56(s1) + 8003896c: 03c4a583 lw a1,60(s1) + 80038970: 00813823 sd s0,16(sp) + 80038974: 00050413 mv s0,a0 + 80038978: 00a7853b addw a0,a5,a0 + 8003897c: 00113c23 sd ra,24(sp) + 80038980: 524010ef jal ra,80039ea4 <__moddi3> + 80038984: 02a4ac23 sw a0,56(s1) + 80038988: 00194797 auipc a5,0x194 + 8003898c: d9f7c783 lbu a5,-609(a5) # 801cc727 <_ZL13new_ppu_reset> + 80038990: 00078c63 beqz a5,800389a8 <_Z6runppui+0x50> + 80038994: 01813083 ld ra,24(sp) + 80038998: 01013403 ld s0,16(sp) + 8003899c: 00813483 ld s1,8(sp) + 800389a0: 02010113 addi sp,sp,32 + 800389a4: 00008067 ret + 800389a8: 00040513 mv a0,s0 + 800389ac: 01013403 ld s0,16(sp) + 800389b0: 01813083 ld ra,24(sp) + 800389b4: 00813483 ld s1,8(sp) + 800389b8: 02010113 addi sp,sp,32 + 800389bc: ca4c806f j 80000e60 <_Z14X6502_RunDebugi> + +00000000800389c0 <_Z14FCEUX_PPU_Loopi>: + 800389c0: ef010113 addi sp,sp,-272 + 800389c4: 0f413023 sd s4,224(sp) + 800389c8: 10113423 sd ra,264(sp) + 800389cc: 10813023 sd s0,256(sp) + 800389d0: 0e913c23 sd s1,248(sp) + 800389d4: 0f213823 sd s2,240(sp) + 800389d8: 0f313423 sd s3,232(sp) + 800389dc: 0d513c23 sd s5,216(sp) + 800389e0: 0d613823 sd s6,208(sp) + 800389e4: 0d713423 sd s7,200(sp) + 800389e8: 0d813023 sd s8,192(sp) + 800389ec: 0b913c23 sd s9,184(sp) + 800389f0: 0ba13823 sd s10,176(sp) + 800389f4: 0bb13423 sd s11,168(sp) + 800389f8: 00194797 auipc a5,0x194 + 800389fc: d2f7c783 lbu a5,-721(a5) # 801cc727 <_ZL13new_ppu_reset> + 80038a00: 00193a17 auipc s4,0x193 + 80038a04: 040a0a13 addi s4,s4,64 # 801cba40 + 80038a08: 08078863 beqz a5,80038a98 <_Z14FCEUX_PPU_Loopi+0xd8> + 80038a0c: 00193797 auipc a5,0x193 + 80038a10: 0207ba23 sd zero,52(a5) # 801cba40 + 80038a14: 00193797 auipc a5,0x193 + 80038a18: 0207ba23 sd zero,52(a5) # 801cba48 + 80038a1c: 00193797 auipc a5,0x193 + 80038a20: 0207ba23 sd zero,52(a5) # 801cba50 + 80038a24: 00193797 auipc a5,0x193 + 80038a28: 0207ba23 sd zero,52(a5) # 801cba58 + 80038a2c: 00193797 auipc a5,0x193 + 80038a30: 0207ba23 sd zero,52(a5) # 801cba60 + 80038a34: 00193797 auipc a5,0x193 + 80038a38: 0207ba23 sd zero,52(a5) # 801cba68 + 80038a3c: 00193797 auipc a5,0x193 + 80038a40: 0207aa23 sw zero,52(a5) # 801cba70 + 80038a44: 15500793 li a5,341 + 80038a48: 02079793 slli a5,a5,0x20 + 80038a4c: 02fa3c23 sd a5,56(s4) + 80038a50: 00193797 auipc a5,0x193 + 80038a54: 0207b823 sd zero,48(a5) # 801cba80 + 80038a58: 00193797 auipc a5,0x193 + 80038a5c: 0207b823 sd zero,48(a5) # 801cba88 + 80038a60: 00193797 auipc a5,0x193 + 80038a64: 0207b823 sd zero,48(a5) # 801cba90 + 80038a68: 00193797 auipc a5,0x193 + 80038a6c: 0207b823 sd zero,48(a5) # 801cba98 + 80038a70: 00193797 auipc a5,0x193 + 80038a74: 0207b823 sd zero,48(a5) # 801cbaa0 + 80038a78: 00193797 auipc a5,0x193 + 80038a7c: 0207b823 sd zero,48(a5) # 801cbaa8 + 80038a80: 00193797 auipc a5,0x193 + 80038a84: 0207b823 sd zero,48(a5) # 801cbab0 + 80038a88: 00193797 auipc a5,0x193 + 80038a8c: 0207a823 sw zero,48(a5) # 801cbab8 + 80038a90: 00194797 auipc a5,0x194 + 80038a94: c8078ba3 sb zero,-873(a5) # 801cc727 <_ZL13new_ppu_reset> + 80038a98: 00014417 auipc s0,0x14 + 80038a9c: 6e840413 addi s0,s0,1768 # 8004d180 <_ZL7ppudead> + 80038aa0: 00042783 lw a5,0(s0) + 80038aa4: 00078463 beqz a5,80038aac <_Z14FCEUX_PPU_Loopi+0xec> + 80038aa8: 23c0106f j 80039ce4 <_Z14FCEUX_PPU_Loopi+0x1324> + 80038aac: 00194b97 auipc s7,0x194 + 80038ab0: c54b8b93 addi s7,s7,-940 # 801cc700 + 80038ab4: 002bc783 lbu a5,2(s7) + 80038ab8: 00194717 auipc a4,0x194 + 80038abc: ce072023 sw zero,-800(a4) # 801cc798 + 80038ac0: 01400413 li s0,20 + 80038ac4: f807e793 ori a5,a5,-128 + 80038ac8: 00fb8123 sb a5,2(s7) + 80038acc: 00194797 auipc a5,0x194 + 80038ad0: c2078da3 sb zero,-965(a5) # 801cc707 + 80038ad4: 00194797 auipc a5,0x194 + 80038ad8: c20787a3 sb zero,-977(a5) # 801cc703 + 80038adc: 0f100793 li a5,241 + 80038ae0: 02fa2a23 sw a5,52(s4) + 80038ae4: fff4041b addiw s0,s0,-1 + 80038ae8: 00100513 li a0,1 + 80038aec: e6dff0ef jal ra,80038958 <_Z6runppui> + 80038af0: fe041ae3 bnez s0,80038ae4 <_Z14FCEUX_PPU_Loopi+0x124> + 80038af4: 000b8783 lb a5,0(s7) + 80038af8: 0007d463 bgez a5,80038b00 <_Z14FCEUX_PPU_Loopi+0x140> + 80038afc: 23c0106f j 80039d38 <_Z14FCEUX_PPU_Loopi+0x1378> + 80038b00: 00194797 auipc a5,0x194 + 80038b04: b6078793 addi a5,a5,-1184 # 801cc660 + 80038b08: 0007c783 lbu a5,0(a5) + 80038b0c: 04600993 li s3,70 + 80038b10: 00079463 bnez a5,80038b18 <_Z14FCEUX_PPU_Loopi+0x158> + 80038b14: 1bc0106f j 80039cd0 <_Z14FCEUX_PPU_Loopi+0x1310> + 80038b18: 00000913 li s2,0 + 80038b1c: 01400413 li s0,20 + 80038b20: 15500493 li s1,341 + 80038b24: 0014041b addiw s0,s0,1 + 80038b28: 00100513 li a0,1 + 80038b2c: e2dff0ef jal ra,80038958 <_Z6runppui> + 80038b30: fe941ae3 bne s0,s1,80038b24 <_Z14FCEUX_PPU_Loopi+0x164> + 80038b34: 034a2783 lw a5,52(s4) + 80038b38: 0019091b addiw s2,s2,1 + 80038b3c: 00000413 li s0,0 + 80038b40: 0017879b addiw a5,a5,1 + 80038b44: 02fa2a23 sw a5,52(s4) + 80038b48: fd299ee3 bne s3,s2,80038b24 <_Z14FCEUX_PPU_Loopi+0x164> + 80038b4c: 00194797 auipc a5,0x194 + 80038b50: b3c78793 addi a5,a5,-1220 # 801cc688 + 80038b54: 0007a783 lw a5,0(a5) + 80038b58: 00194717 auipc a4,0x194 + 80038b5c: ba070523 sb zero,-1110(a4) # 801cc702 + 80038b60: 00f04463 bgtz a5,80038b68 <_Z14FCEUX_PPU_Loopi+0x1a8> + 80038b64: 1f40106f j 80039d58 <_Z14FCEUX_PPU_Loopi+0x1398> + 80038b68: fff00793 li a5,-1 + 80038b6c: 08f13423 sd a5,136(sp) + 80038b70: 00194797 auipc a5,0x194 + 80038b74: b6478793 addi a5,a5,-1180 # 801cc6d4 + 80038b78: 08f13c23 sd a5,152(sp) + 80038b7c: 000027b7 lui a5,0x2 + 80038b80: 3c078793 addi a5,a5,960 # 23c0 <_entry_offset+0x23c0> + 80038b84: 02f13023 sd a5,32(sp) + 80038b88: 000047b7 lui a5,0x4 + 80038b8c: f0078793 addi a5,a5,-256 # 3f00 <_entry_offset+0x3f00> + 80038b90: 04013423 sd zero,72(sp) + 80038b94: 00194a97 auipc s5,0x194 + 80038b98: b80a8a93 addi s5,s5,-1152 # 801cc714 + 80038b9c: 00194b17 auipc s6,0x194 + 80038ba0: b14b0b13 addi s6,s6,-1260 # 801cc6b0 + 80038ba4: 04f13023 sd a5,64(sp) + 80038ba8: 00193797 auipc a5,0x193 + 80038bac: fa478793 addi a5,a5,-92 # 801cbb4c + 80038bb0: 0007a703 lw a4,0(a5) + 80038bb4: 0001f797 auipc a5,0x1f + 80038bb8: c9c78793 addi a5,a5,-868 # 80057850 + 80038bbc: 0107a683 lw a3,16(a5) + 80038bc0: 0017179b slliw a5,a4,0x1 + 80038bc4: 00e787bb addw a5,a5,a4 + 80038bc8: 0047979b slliw a5,a5,0x4 + 80038bcc: 04000713 li a4,64 + 80038bd0: 00d787bb addw a5,a5,a3 + 80038bd4: 00100613 li a2,1 + 80038bd8: 00193697 auipc a3,0x193 + 80038bdc: ea868693 addi a3,a3,-344 # 801cba80 + 80038be0: 02e6aa23 sw a4,52(a3) + 80038be4: 00c6b023 sd a2,0(a3) + 80038be8: 00c6b423 sd a2,8(a3) + 80038bec: 00194697 auipc a3,0x194 + 80038bf0: ba468693 addi a3,a3,-1116 # 801cc790 + 80038bf4: 00f6a023 sw a5,0(a3) + 80038bf8: 00193717 auipc a4,0x193 + 80038bfc: ec072023 sw zero,-320(a4) # 801cbab8 + 80038c00: 00194797 auipc a5,0x194 + 80038c04: b9878793 addi a5,a5,-1128 # 801cc798 + 80038c08: 00193717 auipc a4,0x193 + 80038c0c: e8073423 sd zero,-376(a4) # 801cba90 + 80038c10: 00193717 auipc a4,0x193 + 80038c14: e8073423 sd zero,-376(a4) # 801cba98 + 80038c18: 00193717 auipc a4,0x193 + 80038c1c: e8073423 sd zero,-376(a4) # 801cbaa0 + 80038c20: 00193717 auipc a4,0x193 + 80038c24: e8073423 sd zero,-376(a4) # 801cbaa8 + 80038c28: 00194717 auipc a4,0x194 + 80038c2c: b6072223 sw zero,-1180(a4) # 801cc78c + 80038c30: 00100693 li a3,1 + 80038c34: 04813703 ld a4,72(sp) + 80038c38: 00d7a023 sw a3,0(a5) + 80038c3c: 08812783 lw a5,136(sp) + 80038c40: 02ea2a23 sw a4,52(s4) + 80038c44: 04f13823 sd a5,80(sp) + 80038c48: 00070a63 beqz a4,80038c5c <_Z14FCEUX_PPU_Loopi+0x29c> + 80038c4c: 09813783 ld a5,152(sp) + 80038c50: 0007a783 lw a5,0(a5) + 80038c54: 00078463 beqz a5,80038c5c <_Z14FCEUX_PPU_Loopi+0x29c> + 80038c58: 7f90006f j 80039c50 <_Z14FCEUX_PPU_Loopi+0x1290> + 80038c5c: 00194617 auipc a2,0x194 + 80038c60: b0860613 addi a2,a2,-1272 # 801cc764 <_ZZ14FCEUX_PPU_LoopiE7oamslot> + 80038c64: 08813703 ld a4,136(sp) + 80038c68: 00062783 lw a5,0(a2) + 80038c6c: 00194697 auipc a3,0x194 + 80038c70: b0468693 addi a3,a3,-1276 # 801cc770 <_ZZ14FCEUX_PPU_LoopiE9oamcounts> + 80038c74: 0087171b slliw a4,a4,0x8 + 80038c78: 06e13823 sd a4,112(sp) + 80038c7c: 00279713 slli a4,a5,0x2 + 80038c80: 08e13023 sd a4,128(sp) + 80038c84: 00e68733 add a4,a3,a4 + 80038c88: 0017c593 xori a1,a5,1 + 80038c8c: 00072683 lw a3,0(a4) + 80038c90: 00979713 slli a4,a5,0x9 + 80038c94: 00679793 slli a5,a5,0x6 + 80038c98: 00270713 addi a4,a4,2 + 80038c9c: 06f13c23 sd a5,120(sp) + 80038ca0: 04813903 ld s2,72(sp) + 80038ca4: 00192797 auipc a5,0x192 + 80038ca8: 7cc78793 addi a5,a5,1996 # 801cb470 <_ZZ14FCEUX_PPU_LoopiE4oams> + 80038cac: 00b62023 sw a1,0(a2) + 80038cb0: 00e787b3 add a5,a5,a4 + 80038cb4: 00194617 auipc a2,0x194 + 80038cb8: ab460613 addi a2,a2,-1356 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 80038cbc: 08b13823 sd a1,144(sp) + 80038cc0: 00d62023 sw a3,0(a2) + 80038cc4: 00193c97 auipc s9,0x193 + 80038cc8: bb8c8c93 addi s9,s9,-1096 # 801cb87c + 80038ccc: 02f13423 sd a5,40(sp) + 80038cd0: 00100d13 li s10,1 + 80038cd4: 004a2783 lw a5,4(s4) + 80038cd8: 008a2683 lw a3,8(s4) + 80038cdc: 010a2603 lw a2,16(s4) + 80038ce0: 00ca2703 lw a4,12(s4) + 80038ce4: 00a6969b slliw a3,a3,0xa + 80038ce8: 00b7979b slliw a5,a5,0xb + 80038cec: 00d7e7b3 or a5,a5,a3 + 80038cf0: 0057171b slliw a4,a4,0x5 + 80038cf4: 00c7e7b3 or a5,a5,a2 + 80038cf8: 00e7e7b3 or a5,a5,a4 + 80038cfc: 00194717 auipc a4,0x194 + 80038d00: a0070713 addi a4,a4,-1536 # 801cc6fc + 80038d04: 0007879b sext.w a5,a5 + 80038d08: 00002537 lui a0,0x2 + 80038d0c: 00072703 lw a4,0(a4) + 80038d10: 00a7e533 or a0,a5,a0 + 80038d14: 00194697 auipc a3,0x194 + 80038d18: 9e468693 addi a3,a3,-1564 # 801cc6f8 + 80038d1c: 00aaa023 sw a0,0(s5) + 80038d20: 00a6a023 sw a0,0(a3) + 80038d24: 0c070ae3 beqz a4,800395f8 <_Z14FCEUX_PPU_Loopi+0xc38> + 80038d28: 0095579b srliw a5,a0,0x9 + 80038d2c: 0017f793 andi a5,a5,1 + 80038d30: 02fa2623 sw a5,44(s4) + 80038d34: 000b3703 ld a4,0(s6) + 80038d38: 00351793 slli a5,a0,0x3 + 80038d3c: 0087f793 andi a5,a5,8 + 80038d40: 00fc80a3 sb a5,1(s9) + 80038d44: 000700e7 jalr a4 + 80038d48: 00050793 mv a5,a0 + 80038d4c: 00fc8023 sb a5,0(s9) + 80038d50: 00200513 li a0,2 + 80038d54: c05ff0ef jal ra,80038958 <_Z6runppui> + 80038d58: 004a2503 lw a0,4(s4) + 80038d5c: 008a2683 lw a3,8(s4) + 80038d60: 010a2703 lw a4,16(s4) + 80038d64: 00ca2783 lw a5,12(s4) + 80038d68: 00a6969b slliw a3,a3,0xa + 80038d6c: 00b5151b slliw a0,a0,0xb + 80038d70: 0027571b srliw a4,a4,0x2 + 80038d74: 00d56533 or a0,a0,a3 + 80038d78: 00777713 andi a4,a4,7 + 80038d7c: 0017979b slliw a5,a5,0x1 + 80038d80: 00e56533 or a0,a0,a4 + 80038d84: 0387f793 andi a5,a5,56 + 80038d88: 00f56533 or a0,a0,a5 + 80038d8c: 02013783 ld a5,32(sp) + 80038d90: 00f56533 or a0,a0,a5 + 80038d94: 000b3783 ld a5,0(s6) + 80038d98: 0005051b sext.w a0,a0 + 80038d9c: 00aaa023 sw a0,0(s5) + 80038da0: 000780e7 jalr a5 + 80038da4: 00ca2783 lw a5,12(s4) + 80038da8: 0027f793 andi a5,a5,2 + 80038dac: 00078663 beqz a5,80038db8 <_Z14FCEUX_PPU_Loopi+0x3f8> + 80038db0: 4045551b sraiw a0,a0,0x4 + 80038db4: 0ff57513 andi a0,a0,255 + 80038db8: 010a2783 lw a5,16(s4) + 80038dbc: 0027f793 andi a5,a5,2 + 80038dc0: 00078663 beqz a5,80038dcc <_Z14FCEUX_PPU_Loopi+0x40c> + 80038dc4: 4025551b sraiw a0,a0,0x2 + 80038dc8: 0ff57513 andi a0,a0,255 + 80038dcc: 00251513 slli a0,a0,0x2 + 80038dd0: 00c57793 andi a5,a0,12 + 80038dd4: 00fc8123 sb a5,2(s9) + 80038dd8: 00100513 li a0,1 + 80038ddc: b7dff0ef jal ra,80038958 <_Z6runppui> + 80038de0: 001bc783 lbu a5,1(s7) + 80038de4: 0187f793 andi a5,a5,24 + 80038de8: 02078a63 beqz a5,80038e1c <_Z14FCEUX_PPU_Loopi+0x45c> + 80038dec: 010a2783 lw a5,16(s4) + 80038df0: 008a2603 lw a2,8(s4) + 80038df4: 038a2683 lw a3,56(s4) + 80038df8: 0017879b addiw a5,a5,1 + 80038dfc: 0057d71b srliw a4,a5,0x5 + 80038e00: 00c7073b addw a4,a4,a2 + 80038e04: 01f7f793 andi a5,a5,31 + 80038e08: 00177713 andi a4,a4,1 + 80038e0c: 00fa2823 sw a5,16(s4) + 80038e10: 00ea2423 sw a4,8(s4) + 80038e14: 0fb00793 li a5,251 + 80038e18: 02f68ce3 beq a3,a5,80039650 <_Z14FCEUX_PPU_Loopi+0xc90> + 80038e1c: 00100513 li a0,1 + 80038e20: b39ff0ef jal ra,80038958 <_Z6runppui> + 80038e24: 02ca2503 lw a0,44(s4) + 80038e28: 000cc783 lbu a5,0(s9) + 80038e2c: 000a2683 lw a3,0(s4) + 80038e30: 00c5151b slliw a0,a0,0xc + 80038e34: 0047971b slliw a4,a5,0x4 + 80038e38: 00d56533 or a0,a0,a3 + 80038e3c: 00e56533 or a0,a0,a4 + 80038e40: 00194717 auipc a4,0x194 + 80038e44: 8bc70713 addi a4,a4,-1860 # 801cc6fc + 80038e48: 00072703 lw a4,0(a4) + 80038e4c: 0005051b sext.w a0,a0 + 80038e50: 02fa2823 sw a5,48(s4) + 80038e54: 00aaa023 sw a0,0(s5) + 80038e58: 74070c63 beqz a4,800395b0 <_Z14FCEUX_PPU_Loopi+0xbf0> + 80038e5c: 001cc703 lbu a4,1(s9) + 80038e60: 000b3783 ld a5,0(s6) + 80038e64: 00e56533 or a0,a0,a4 + 80038e68: 000780e7 jalr a5 + 80038e6c: 00050793 mv a5,a0 + 80038e70: 00fc81a3 sb a5,3(s9) + 80038e74: 00200513 li a0,2 + 80038e78: ae1ff0ef jal ra,80038958 <_Z6runppui> + 80038e7c: 001cc503 lbu a0,1(s9) + 80038e80: 000aa703 lw a4,0(s5) + 80038e84: 000b3783 ld a5,0(s6) + 80038e88: 00e56533 or a0,a0,a4 + 80038e8c: 0005051b sext.w a0,a0 + 80038e90: 000780e7 jalr a5 + 80038e94: 00050793 mv a5,a0 + 80038e98: 00fc8223 sb a5,4(s9) + 80038e9c: 00200513 li a0,2 + 80038ea0: ab9ff0ef jal ra,80038958 <_Z6runppui> + 80038ea4: 00014797 auipc a5,0x14 + 80038ea8: 2e178793 addi a5,a5,737 # 8004d185 + 80038eac: 0007ce03 lbu t3,0(a5) + 80038eb0: 0ff00793 li a5,255 + 80038eb4: 5cfe0e63 beq t3,a5,80039490 <_Z14FCEUX_PPU_Loopi+0xad0> + 80038eb8: 00090663 beqz s2,80038ec4 <_Z14FCEUX_PPU_Loopi+0x504> + 80038ebc: 0f000793 li a5,240 + 80038ec0: 7f27dc63 bge a5,s2,800396b8 <_Z14FCEUX_PPU_Loopi+0xcf8> + 80038ec4: 001d0d13 addi s10,s10,1 + 80038ec8: 02100793 li a5,33 + 80038ecc: 006c8c93 addi s9,s9,6 + 80038ed0: e0fd12e3 bne s10,a5,80038cd4 <_Z14FCEUX_PPU_Loopi+0x314> + 80038ed4: 09013703 ld a4,144(sp) + 80038ed8: 000bc783 lbu a5,0(s7) + 80038edc: 01000413 li s0,16 + 80038ee0: 00271093 slli ra,a4,0x2 + 80038ee4: 00194717 auipc a4,0x194 + 80038ee8: 88c70713 addi a4,a4,-1908 # 801cc770 <_ZZ14FCEUX_PPU_LoopiE9oamcounts> + 80038eec: 00170733 add a4,a4,ra + 80038ef0: 00072023 sw zero,0(a4) + 80038ef4: 0207f793 andi a5,a5,32 + 80038ef8: 00194717 auipc a4,0x194 + 80038efc: 86072823 sw zero,-1936(a4) # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 80038f00: 00079463 bnez a5,80038f08 <_Z14FCEUX_PPU_Loopi+0x548> + 80038f04: 00800413 li s0,8 + 80038f08: 00014797 auipc a5,0x14 + 80038f0c: 27078793 addi a5,a5,624 # 8004d178 <_ZL10maxsprites> + 80038f10: 001bce83 lbu t4,1(s7) + 80038f14: 0007af83 lw t6,0(a5) + 80038f18: 09013783 ld a5,144(sp) + 80038f1c: 002bcf03 lbu t5,2(s7) + 80038f20: 05013483 ld s1,80(sp) + 80038f24: 018efe93 andi t4,t4,24 + 80038f28: 00191717 auipc a4,0x191 + 80038f2c: 62070713 addi a4,a4,1568 # 801ca548 + 80038f30: 00000593 li a1,0 + 80038f34: 04000693 li a3,64 + 80038f38: 00000293 li t0,0 + 80038f3c: 00000893 li a7,0 + 80038f40: 00679513 slli a0,a5,0x6 + 80038f44: 00700313 li t1,7 + 80038f48: 00100e13 li t3,1 + 80038f4c: 04000813 li a6,64 + 80038f50: 00800393 li t2,8 + 80038f54: 00074603 lbu a2,0(a4) + 80038f58: 00b507b3 add a5,a0,a1 + 80038f5c: 00379793 slli a5,a5,0x3 + 80038f60: 00192997 auipc s3,0x192 + 80038f64: 51098993 addi s3,s3,1296 # 801cb470 <_ZZ14FCEUX_PPU_LoopiE4oams> + 80038f68: 00f987b3 add a5,s3,a5 + 80038f6c: 000783a3 sb zero,7(a5) + 80038f70: 0006079b sext.w a5,a2 + 80038f74: 00878933 add s2,a5,s0 + 80038f78: 04c4cc63 blt s1,a2,80038fd0 <_Z14FCEUX_PPU_Loopi+0x610> + 80038f7c: 00b507b3 add a5,a0,a1 + 80038f80: 00379793 slli a5,a5,0x3 + 80038f84: 00f987b3 add a5,s3,a5 + 80038f88: 40d809bb subw s3,a6,a3 + 80038f8c: 0524d263 bge s1,s2,80038fd0 <_Z14FCEUX_PPU_Loopi+0x610> + 80038f90: 00b35a63 bge t1,a1,80038fa4 <_Z14FCEUX_PPU_Loopi+0x5e4> + 80038f94: 000e8863 beqz t4,80038fa4 <_Z14FCEUX_PPU_Loopi+0x5e4> + 80038f98: 020f6f13 ori t5,t5,32 + 80038f9c: 467f84e3 beq t6,t2,80039c04 <_Z14FCEUX_PPU_Loopi+0x1244> + 80038fa0: 00100293 li t0,1 + 80038fa4: 00374883 lbu a7,3(a4) + 80038fa8: 00174c03 lbu s8,1(a4) + 80038fac: 00274903 lbu s2,2(a4) + 80038fb0: 011781a3 sb a7,3(a5) + 80038fb4: 00c78023 sb a2,0(a5) + 80038fb8: 018780a3 sb s8,1(a5) + 80038fbc: 01278123 sb s2,2(a5) + 80038fc0: 01c783a3 sb t3,7(a5) + 80038fc4: 01378323 sb s3,6(a5) + 80038fc8: 0015859b addiw a1,a1,1 + 80038fcc: 00100893 li a7,1 + 80038fd0: fff6869b addiw a3,a3,-1 + 80038fd4: 00470713 addi a4,a4,4 + 80038fd8: f6069ee3 bnez a3,80038f54 <_Z14FCEUX_PPU_Loopi+0x594> + 80038fdc: 460886e3 beqz a7,80039c48 <_Z14FCEUX_PPU_Loopi+0x1288> + 80038fe0: 00193797 auipc a5,0x193 + 80038fe4: 78878793 addi a5,a5,1928 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 80038fe8: 00b7a023 sw a1,0(a5) + 80038fec: 00028463 beqz t0,80038ff4 <_Z14FCEUX_PPU_Loopi+0x634> + 80038ff0: 01eb8123 sb t5,2(s7) + 80038ff4: 00193797 auipc a5,0x193 + 80038ff8: 77c78793 addi a5,a5,1916 # 801cc770 <_ZZ14FCEUX_PPU_LoopiE9oamcounts> + 80038ffc: 001780b3 add ra,a5,ra + 80039000: 00193717 auipc a4,0x193 + 80039004: 79870713 addi a4,a4,1944 # 801cc798 + 80039008: 00200793 li a5,2 + 8003900c: 00b0a023 sw a1,0(ra) + 80039010: 00f72023 sw a5,0(a4) + 80039014: 17f05263 blez t6,80039178 <_Z14FCEUX_PPU_Loopi+0x7b8> + 80039018: 09013783 ld a5,144(sp) + 8003901c: 00192717 auipc a4,0x192 + 80039020: 45470713 addi a4,a4,1108 # 801cb470 <_ZZ14FCEUX_PPU_LoopiE4oams> + 80039024: 00000d13 li s10,0 + 80039028: 00979793 slli a5,a5,0x9 + 8003902c: 00f70cb3 add s9,a4,a5 + 80039030: fff4079b addiw a5,s0,-1 + 80039034: 00f12423 sw a5,8(sp) + 80039038: 00700493 li s1,7 + 8003903c: 000019b7 lui s3,0x1 + 80039040: 00200c13 li s8,2 + 80039044: 00193917 auipc s2,0x193 + 80039048: 8fc90913 addi s2,s2,-1796 # 801cb940 + 8003904c: 12bd0463 beq s10,a1,80039174 <_Z14FCEUX_PPU_Loopi+0x7b4> + 80039050: 000cc783 lbu a5,0(s9) + 80039054: 05013683 ld a3,80(sp) + 80039058: 002c8703 lb a4,2(s9) + 8003905c: 40f685bb subw a1,a3,a5 + 80039060: 00075663 bgez a4,8003906c <_Z14FCEUX_PPU_Loopi+0x6ac> + 80039064: 00812703 lw a4,8(sp) + 80039068: 40b705bb subw a1,a4,a1 + 8003906c: 007cc783 lbu a5,7(s9) + 80039070: 001cc703 lbu a4,1(s9) + 80039074: 62078a63 beqz a5,800396a8 <_Z14FCEUX_PPU_Loopi+0xce8> + 80039078: 0007071b sext.w a4,a4 + 8003907c: 0075f513 andi a0,a1,7 + 80039080: 000bc683 lbu a3,0(s7) + 80039084: 0206f793 andi a5,a3,32 + 80039088: 60078463 beqz a5,80039690 <_Z14FCEUX_PPU_Loopi+0xcd0> + 8003908c: 0fe77793 andi a5,a4,254 + 80039090: 0035d59b srliw a1,a1,0x3 + 80039094: 00b7e5b3 or a1,a5,a1 + 80039098: 00c7179b slliw a5,a4,0xc + 8003909c: 0045959b slliw a1,a1,0x4 + 800390a0: 0137f7b3 and a5,a5,s3 + 800390a4: 00b7e7b3 or a5,a5,a1 + 800390a8: 0007879b sext.w a5,a5 + 800390ac: 001bc703 lbu a4,1(s7) + 800390b0: 00a78dbb addw s11,a5,a0 + 800390b4: 000d8413 mv s0,s11 + 800390b8: 01877713 andi a4,a4,24 + 800390bc: 00200513 li a0,2 + 800390c0: 00070c63 beqz a4,800390d8 <_Z14FCEUX_PPU_Loopi+0x718> + 800390c4: 04813783 ld a5,72(sp) + 800390c8: 1c079ce3 bnez a5,80039aa0 <_Z14FCEUX_PPU_Loopi+0x10e0> + 800390cc: 038a2583 lw a1,56(s4) + 800390d0: 13000713 li a4,304 + 800390d4: 46e58663 beq a1,a4,80039540 <_Z14FCEUX_PPU_Loopi+0xb80> + 800390d8: 4ba4da63 bge s1,s10,8003958c <_Z14FCEUX_PPU_Loopi+0xbcc> + 800390dc: 000bc703 lbu a4,0(s7) + 800390e0: 01800593 li a1,24 + 800390e4: 03877713 andi a4,a4,56 + 800390e8: 4ab70c63 beq a4,a1,800395a0 <_Z14FCEUX_PPU_Loopi+0xbe0> + 800390ec: 3d8d0263 beq s10,s8,800394b0 <_Z14FCEUX_PPU_Loopi+0xaf0> + 800390f0: 41a4d063 bge s1,s10,800394f0 <_Z14FCEUX_PPU_Loopi+0xb30> + 800390f4: 000b3703 ld a4,0(s6) + 800390f8: 008aa023 sw s0,0(s5) + 800390fc: 000d8513 mv a0,s11 + 80039100: 000700e7 jalr a4 + 80039104: 000aa783 lw a5,0(s5) + 80039108: 000b3703 ld a4,0(s6) + 8003910c: 00ac8223 sb a0,4(s9) + 80039110: 0087851b addiw a0,a5,8 + 80039114: 00aaa023 sw a0,0(s5) + 80039118: 000700e7 jalr a4 + 8003911c: 00ac82a3 sb a0,5(s9) + 80039120: 002cc783 lbu a5,2(s9) + 80039124: 0407f793 andi a5,a5,64 + 80039128: 02079263 bnez a5,8003914c <_Z14FCEUX_PPU_Loopi+0x78c> + 8003912c: 004cc703 lbu a4,4(s9) + 80039130: 005cc783 lbu a5,5(s9) + 80039134: 00e90733 add a4,s2,a4 + 80039138: 00f907b3 add a5,s2,a5 + 8003913c: 00074703 lbu a4,0(a4) + 80039140: 0007c783 lbu a5,0(a5) + 80039144: 00ec8223 sb a4,4(s9) + 80039148: 00fc82a3 sb a5,5(s9) + 8003914c: 00014797 auipc a5,0x14 + 80039150: 02c78793 addi a5,a5,44 # 8004d178 <_ZL10maxsprites> + 80039154: 0007a783 lw a5,0(a5) + 80039158: 001d0d1b addiw s10,s10,1 + 8003915c: 008c8c93 addi s9,s9,8 + 80039160: 00fd5c63 bge s10,a5,80039178 <_Z14FCEUX_PPU_Loopi+0x7b8> + 80039164: 00193797 auipc a5,0x193 + 80039168: 60478793 addi a5,a5,1540 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 8003916c: 0007a583 lw a1,0(a5) + 80039170: eebd10e3 bne s10,a1,80039050 <_Z14FCEUX_PPU_Loopi+0x690> + 80039174: eda4dee3 bge s1,s10,80039050 <_Z14FCEUX_PPU_Loopi+0x690> + 80039178: 00100793 li a5,1 + 8003917c: 00193717 auipc a4,0x193 + 80039180: 61c70713 addi a4,a4,1564 # 801cc798 + 80039184: 00f72023 sw a5,0(a4) + 80039188: 00192417 auipc s0,0x192 + 8003918c: 6e840413 addi s0,s0,1768 # 801cb870 + 80039190: 00002937 lui s2,0x2 + 80039194: 00193497 auipc s1,0x193 + 80039198: 57c48493 addi s1,s1,1404 # 801cc710 + 8003919c: 00191d97 auipc s11,0x191 + 800391a0: bacd8d93 addi s11,s11,-1108 # 801c9d48 + 800391a4: 00193d17 auipc s10,0x193 + 800391a8: 5f8d0d13 addi s10,s10,1528 # 801cc79c + 800391ac: 0fb00993 li s3,251 + 800391b0: 01e00c93 li s9,30 + 800391b4: 00089c17 auipc s8,0x89 + 800391b8: 44cc0c13 addi s8,s8,1100 # 800c2600 + 800391bc: 004a2783 lw a5,4(s4) + 800391c0: 008a2683 lw a3,8(s4) + 800391c4: 010a2603 lw a2,16(s4) + 800391c8: 00ca2703 lw a4,12(s4) + 800391cc: 00a6969b slliw a3,a3,0xa + 800391d0: 00b7979b slliw a5,a5,0xb + 800391d4: 00d7e7b3 or a5,a5,a3 + 800391d8: 0057171b slliw a4,a4,0x5 + 800391dc: 00c7e7b3 or a5,a5,a2 + 800391e0: 00e7e7b3 or a5,a5,a4 + 800391e4: 0007879b sext.w a5,a5 + 800391e8: 00193717 auipc a4,0x193 + 800391ec: 51470713 addi a4,a4,1300 # 801cc6fc + 800391f0: 0127e533 or a0,a5,s2 + 800391f4: 00072703 lw a4,0(a4) + 800391f8: 0005051b sext.w a0,a0 + 800391fc: 00193697 auipc a3,0x193 + 80039200: 4fc68693 addi a3,a3,1276 # 801cc6f8 + 80039204: 00aaa023 sw a0,0(s5) + 80039208: 00a6a023 sw a0,0(a3) + 8003920c: 140708e3 beqz a4,80039b5c <_Z14FCEUX_PPU_Loopi+0x119c> + 80039210: 0095579b srliw a5,a0,0x9 + 80039214: 0017f793 andi a5,a5,1 + 80039218: 02fa2623 sw a5,44(s4) + 8003921c: 000b3703 ld a4,0(s6) + 80039220: 00351793 slli a5,a0,0x3 + 80039224: 0087f793 andi a5,a5,8 + 80039228: 00f400a3 sb a5,1(s0) + 8003922c: 000700e7 jalr a4 + 80039230: 00050793 mv a5,a0 + 80039234: 00f40023 sb a5,0(s0) + 80039238: 00200513 li a0,2 + 8003923c: f1cff0ef jal ra,80038958 <_Z6runppui> + 80039240: 004a2503 lw a0,4(s4) + 80039244: 008a2683 lw a3,8(s4) + 80039248: 010a2703 lw a4,16(s4) + 8003924c: 00ca2783 lw a5,12(s4) + 80039250: 00a6969b slliw a3,a3,0xa + 80039254: 00b5151b slliw a0,a0,0xb + 80039258: 0027571b srliw a4,a4,0x2 + 8003925c: 00d56533 or a0,a0,a3 + 80039260: 00777713 andi a4,a4,7 + 80039264: 0017979b slliw a5,a5,0x1 + 80039268: 00e56533 or a0,a0,a4 + 8003926c: 0387f793 andi a5,a5,56 + 80039270: 00f56533 or a0,a0,a5 + 80039274: 02013783 ld a5,32(sp) + 80039278: 00f56533 or a0,a0,a5 + 8003927c: 000b3783 ld a5,0(s6) + 80039280: 0005051b sext.w a0,a0 + 80039284: 00aaa023 sw a0,0(s5) + 80039288: 000780e7 jalr a5 + 8003928c: 00ca2783 lw a5,12(s4) + 80039290: 0027f793 andi a5,a5,2 + 80039294: 00078663 beqz a5,800392a0 <_Z14FCEUX_PPU_Loopi+0x8e0> + 80039298: 4045551b sraiw a0,a0,0x4 + 8003929c: 0ff57513 andi a0,a0,255 + 800392a0: 010a2783 lw a5,16(s4) + 800392a4: 0027f793 andi a5,a5,2 + 800392a8: 00078663 beqz a5,800392b4 <_Z14FCEUX_PPU_Loopi+0x8f4> + 800392ac: 4025551b sraiw a0,a0,0x2 + 800392b0: 0ff57513 andi a0,a0,255 + 800392b4: 00251793 slli a5,a0,0x2 + 800392b8: 00c7f793 andi a5,a5,12 + 800392bc: 00f40123 sb a5,2(s0) + 800392c0: 00100513 li a0,1 + 800392c4: e94ff0ef jal ra,80038958 <_Z6runppui> + 800392c8: 001bc783 lbu a5,1(s7) + 800392cc: 0187f793 andi a5,a5,24 + 800392d0: 02078863 beqz a5,80039300 <_Z14FCEUX_PPU_Loopi+0x940> + 800392d4: 010a2783 lw a5,16(s4) + 800392d8: 008a2603 lw a2,8(s4) + 800392dc: 038a2683 lw a3,56(s4) + 800392e0: 0017879b addiw a5,a5,1 + 800392e4: 0057d71b srliw a4,a5,0x5 + 800392e8: 00c7073b addw a4,a4,a2 + 800392ec: 01f7f793 andi a5,a5,31 + 800392f0: 00177713 andi a4,a4,1 + 800392f4: 00fa2823 sw a5,16(s4) + 800392f8: 00ea2423 sw a4,8(s4) + 800392fc: 0d3686e3 beq a3,s3,80039bc8 <_Z14FCEUX_PPU_Loopi+0x1208> + 80039300: 00100513 li a0,1 + 80039304: e54ff0ef jal ra,80038958 <_Z6runppui> + 80039308: 02ca2503 lw a0,44(s4) + 8003930c: 00044783 lbu a5,0(s0) + 80039310: 000a2683 lw a3,0(s4) + 80039314: 00c5151b slliw a0,a0,0xc + 80039318: 0047971b slliw a4,a5,0x4 + 8003931c: 00d56533 or a0,a0,a3 + 80039320: 00e56533 or a0,a0,a4 + 80039324: 00193717 auipc a4,0x193 + 80039328: 3d870713 addi a4,a4,984 # 801cc6fc + 8003932c: 00072703 lw a4,0(a4) + 80039330: 0005051b sext.w a0,a0 + 80039334: 02fa2823 sw a5,48(s4) + 80039338: 00aaa023 sw a0,0(s5) + 8003933c: 7e070063 beqz a4,80039b1c <_Z14FCEUX_PPU_Loopi+0x115c> + 80039340: 00144703 lbu a4,1(s0) + 80039344: 000b3783 ld a5,0(s6) + 80039348: 00e56533 or a0,a0,a4 + 8003934c: 000780e7 jalr a5 + 80039350: 00050793 mv a5,a0 + 80039354: 00f401a3 sb a5,3(s0) + 80039358: 00200513 li a0,2 + 8003935c: dfcff0ef jal ra,80038958 <_Z6runppui> + 80039360: 00144503 lbu a0,1(s0) + 80039364: 000aa703 lw a4,0(s5) + 80039368: 000b3783 ld a5,0(s6) + 8003936c: 00e56533 or a0,a0,a4 + 80039370: 0005051b sext.w a0,a0 + 80039374: 000780e7 jalr a5 + 80039378: 00050793 mv a5,a0 + 8003937c: 00f40223 sb a5,4(s0) + 80039380: 00200513 li a0,2 + 80039384: dd4ff0ef jal ra,80038958 <_Z6runppui> + 80039388: 00640413 addi s0,s0,6 + 8003938c: 00192797 auipc a5,0x192 + 80039390: 4f078793 addi a5,a5,1264 # 801cb87c + 80039394: e28794e3 bne a5,s0,800391bc <_Z14FCEUX_PPU_Loopi+0x7fc> + 80039398: 00200513 li a0,2 + 8003939c: dbcff0ef jal ra,80038958 <_Z6runppui> + 800393a0: 04813783 ld a5,72(sp) + 800393a4: 7e079e63 bnez a5,80039ba0 <_Z14FCEUX_PPU_Loopi+0x11e0> + 800393a8: 00014697 auipc a3,0x14 + 800393ac: dde68693 addi a3,a3,-546 # 8004d186 + 800393b0: 0006c783 lbu a5,0(a3) + 800393b4: 02078063 beqz a5,800393d4 <_Z14FCEUX_PPU_Loopi+0xa14> + 800393b8: 001bc703 lbu a4,1(s7) + 800393bc: 01877713 andi a4,a4,24 + 800393c0: 00070a63 beqz a4,800393d4 <_Z14FCEUX_PPU_Loopi+0xa14> + 800393c4: 00193717 auipc a4,0x193 + 800393c8: 29c70713 addi a4,a4,668 # 801cc660 + 800393cc: 00074703 lbu a4,0(a4) + 800393d0: 0e070ae3 beqz a4,80039cc4 <_Z14FCEUX_PPU_Loopi+0x1304> + 800393d4: 15500713 li a4,341 + 800393d8: 02ea2e23 sw a4,60(s4) + 800393dc: 0017c793 xori a5,a5,1 + 800393e0: 00200513 li a0,2 + 800393e4: 00f68023 sb a5,0(a3) + 800393e8: d70ff0ef jal ra,80038958 <_Z6runppui> + 800393ec: 03ca2703 lw a4,60(s4) + 800393f0: 15500793 li a5,341 + 800393f4: 7cf70463 beq a4,a5,80039bbc <_Z14FCEUX_PPU_Loopi+0x11fc> + 800393f8: 04813703 ld a4,72(sp) + 800393fc: 08813683 ld a3,136(sp) + 80039400: 00193797 auipc a5,0x193 + 80039404: 28878793 addi a5,a5,648 # 801cc688 + 80039408: 0007a783 lw a5,0(a5) + 8003940c: 0017071b addiw a4,a4,1 + 80039410: 0016869b addiw a3,a3,1 + 80039414: 04e13423 sd a4,72(sp) + 80039418: 08d13423 sd a3,136(sp) + 8003941c: f8f74663 blt a4,a5,80038ba8 <_Z14FCEUX_PPU_Loopi+0x1e8> + 80039420: 09813783 ld a5,152(sp) + 80039424: 00192717 auipc a4,0x192 + 80039428: 72070aa3 sb zero,1845(a4) # 801cbb59 + 8003942c: 0007a783 lw a5,0(a5) + 80039430: 0a0794e3 bnez a5,80039cd8 <_Z14FCEUX_PPU_Loopi+0x1318> + 80039434: 15500513 li a0,341 + 80039438: d20ff0ef jal ra,80038958 <_Z6runppui> + 8003943c: 00193717 auipc a4,0x193 + 80039440: 34c70713 addi a4,a4,844 # 801cc788 + 80039444: 00072783 lw a5,0(a4) + 80039448: 0017879b addiw a5,a5,1 + 8003944c: 00f72023 sw a5,0(a4) + 80039450: 10813083 ld ra,264(sp) + 80039454: 10013403 ld s0,256(sp) + 80039458: 0f813483 ld s1,248(sp) + 8003945c: 0f013903 ld s2,240(sp) + 80039460: 0e813983 ld s3,232(sp) + 80039464: 0e013a03 ld s4,224(sp) + 80039468: 0d813a83 ld s5,216(sp) + 8003946c: 0d013b03 ld s6,208(sp) + 80039470: 0c813b83 ld s7,200(sp) + 80039474: 0c013c03 ld s8,192(sp) + 80039478: 0b813c83 ld s9,184(sp) + 8003947c: 0b013d03 ld s10,176(sp) + 80039480: 0a813d83 ld s11,168(sp) + 80039484: 00000513 li a0,0 + 80039488: 11010113 addi sp,sp,272 + 8003948c: 00008067 ret + 80039490: 001bc783 lbu a5,1(s7) + 80039494: 00191717 auipc a4,0x191 + 80039498: 89470713 addi a4,a4,-1900 # 801c9d28 + 8003949c: 00074e03 lbu t3,0(a4) + 800394a0: 0017f793 andi a5,a5,1 + 800394a4: a0078ae3 beqz a5,80038eb8 <_Z14FCEUX_PPU_Loopi+0x4f8> + 800394a8: 030e7e13 andi t3,t3,48 + 800394ac: a0dff06f j 80038eb8 <_Z14FCEUX_PPU_Loopi+0x4f8> + 800394b0: 001bc703 lbu a4,1(s7) + 800394b4: 01877713 andi a4,a4,24 + 800394b8: 02070c63 beqz a4,800394f0 <_Z14FCEUX_PPU_Loopi+0xb30> + 800394bc: 00193797 auipc a5,0x193 + 800394c0: 20478793 addi a5,a5,516 # 801cc6c0 + 800394c4: 0007b703 ld a4,0(a5) + 800394c8: 00070a63 beqz a4,800394dc <_Z14FCEUX_PPU_Loopi+0xb1c> + 800394cc: 000700e7 jalr a4 + 800394d0: 001bc703 lbu a4,1(s7) + 800394d4: 01877713 andi a4,a4,24 + 800394d8: 00070c63 beqz a4,800394f0 <_Z14FCEUX_PPU_Loopi+0xb30> + 800394dc: 00193797 auipc a5,0x193 + 800394e0: 1ec78793 addi a5,a5,492 # 801cc6c8 + 800394e4: 0007b703 ld a4,0(a5) + 800394e8: 00070463 beqz a4,800394f0 <_Z14FCEUX_PPU_Loopi+0xb30> + 800394ec: 000700e7 jalr a4 + 800394f0: 00200513 li a0,2 + 800394f4: c64ff0ef jal ra,80038958 <_Z6runppui> + 800394f8: 000b3703 ld a4,0(s6) + 800394fc: 008aa023 sw s0,0(s5) + 80039500: 000d8513 mv a0,s11 + 80039504: 000700e7 jalr a4 + 80039508: 00050793 mv a5,a0 + 8003950c: 00fc8223 sb a5,4(s9) + 80039510: 00200513 li a0,2 + 80039514: c44ff0ef jal ra,80038958 <_Z6runppui> + 80039518: 000aa503 lw a0,0(s5) + 8003951c: 000b3783 ld a5,0(s6) + 80039520: 0085051b addiw a0,a0,8 + 80039524: 00aaa023 sw a0,0(s5) + 80039528: 000780e7 jalr a5 + 8003952c: 00050793 mv a5,a0 + 80039530: 00fc82a3 sb a5,5(s9) + 80039534: 00200513 li a0,2 + 80039538: c20ff0ef jal ra,80038958 <_Z6runppui> + 8003953c: be5ff06f j 80039120 <_Z14FCEUX_PPU_Loopi+0x760> + 80039540: 00100513 li a0,1 + 80039544: c14ff0ef jal ra,80038958 <_Z6runppui> + 80039548: 001bc703 lbu a4,1(s7) + 8003954c: 01877713 andi a4,a4,24 + 80039550: 02070663 beqz a4,8003957c <_Z14FCEUX_PPU_Loopi+0xbbc> + 80039554: 014a2883 lw a7,20(s4) + 80039558: 018a2803 lw a6,24(s4) + 8003955c: 01ca2503 lw a0,28(s4) + 80039560: 020a2583 lw a1,32(s4) + 80039564: 024a2703 lw a4,36(s4) + 80039568: 011a2023 sw a7,0(s4) + 8003956c: 010a2223 sw a6,4(s4) + 80039570: 00aa2423 sw a0,8(s4) + 80039574: 00ba2623 sw a1,12(s4) + 80039578: 00ea2823 sw a4,16(s4) + 8003957c: 00100513 li a0,1 + 80039580: bd8ff0ef jal ra,80038958 <_Z6runppui> + 80039584: 00000513 li a0,0 + 80039588: b5a4cae3 blt s1,s10,800390dc <_Z14FCEUX_PPU_Loopi+0x71c> + 8003958c: bccff0ef jal ra,80038958 <_Z6runppui> + 80039590: 000bc703 lbu a4,0(s7) + 80039594: 01800593 li a1,24 + 80039598: 03877713 andi a4,a4,56 + 8003959c: b4b718e3 bne a4,a1,800390ec <_Z14FCEUX_PPU_Loopi+0x72c> + 800395a0: b58d18e3 bne s10,s8,800390f0 <_Z14FCEUX_PPU_Loopi+0x730> + 800395a4: 001bc703 lbu a4,1(s7) + 800395a8: 01877713 andi a4,a4,24 + 800395ac: f2dff06f j 800394d8 <_Z14FCEUX_PPU_Loopi+0xb18> + 800395b0: 00193797 auipc a5,0x193 + 800395b4: 16078793 addi a5,a5,352 # 801cc710 + 800395b8: 0007a783 lw a5,0(a5) + 800395bc: 00078863 beqz a5,800395cc <_Z14FCEUX_PPU_Loopi+0xc0c> + 800395c0: 005cc783 lbu a5,5(s9) + 800395c4: 0407f793 andi a5,a5,64 + 800395c8: 46079c63 bnez a5,80039a40 <_Z14FCEUX_PPU_Loopi+0x1080> + 800395cc: 000b3783 ld a5,0(s6) + 800395d0: 000780e7 jalr a5 + 800395d4: 00050793 mv a5,a0 + 800395d8: 00fc81a3 sb a5,3(s9) + 800395dc: 00200513 li a0,2 + 800395e0: b78ff0ef jal ra,80038958 <_Z6runppui> + 800395e4: 000aa503 lw a0,0(s5) + 800395e8: 000b3783 ld a5,0(s6) + 800395ec: 00856513 ori a0,a0,8 + 800395f0: 00aaa023 sw a0,0(s5) + 800395f4: 89dff06f j 80038e90 <_Z14FCEUX_PPU_Loopi+0x4d0> + 800395f8: 00193717 auipc a4,0x193 + 800395fc: 11870713 addi a4,a4,280 # 801cc710 + 80039600: 00072703 lw a4,0(a4) + 80039604: f2070863 beqz a4,80038d34 <_Z14FCEUX_PPU_Loopi+0x374> + 80039608: 00a5571b srliw a4,a0,0xa + 8003960c: 00193697 auipc a3,0x193 + 80039610: 1906c683 lbu a3,400(a3) # 801cc79c + 80039614: 00377713 andi a4,a4,3 + 80039618: 4016d69b sraiw a3,a3,0x1 + 8003961c: 00d7573b srlw a4,a4,a3 + 80039620: 00a7171b slliw a4,a4,0xa + 80039624: 40077713 andi a4,a4,1024 + 80039628: 3ff7f793 andi a5,a5,1023 + 8003962c: 00f767b3 or a5,a4,a5 + 80039630: 00190717 auipc a4,0x190 + 80039634: 71870713 addi a4,a4,1816 # 801c9d48 + 80039638: 00f707b3 add a5,a4,a5 + 8003963c: 0007c783 lbu a5,0(a5) + 80039640: 03f7f713 andi a4,a5,63 + 80039644: 00fc82a3 sb a5,5(s9) + 80039648: 02ea2623 sw a4,44(s4) + 8003964c: ee8ff06f j 80038d34 <_Z14FCEUX_PPU_Loopi+0x374> + 80039650: 000a2783 lw a5,0(s4) + 80039654: 00ca2703 lw a4,12(s4) + 80039658: 01e00613 li a2,30 + 8003965c: 0017879b addiw a5,a5,1 + 80039660: 0037d69b srliw a3,a5,0x3 + 80039664: 00d7073b addw a4,a4,a3 + 80039668: 01f77713 andi a4,a4,31 + 8003966c: 00ea2623 sw a4,12(s4) + 80039670: 004a2683 lw a3,4(s4) + 80039674: 0037d59b srliw a1,a5,0x3 + 80039678: 48c70663 beq a4,a2,80039b04 <_Z14FCEUX_PPU_Loopi+0x1144> + 8003967c: 0077f793 andi a5,a5,7 + 80039680: 0016f693 andi a3,a3,1 + 80039684: 00fa2023 sw a5,0(s4) + 80039688: 00da2223 sw a3,4(s4) + 8003968c: f90ff06f j 80038e1c <_Z14FCEUX_PPU_Loopi+0x45c> + 80039690: 0096979b slliw a5,a3,0x9 + 80039694: 0137f7b3 and a5,a5,s3 + 80039698: 0047171b slliw a4,a4,0x4 + 8003969c: 00e7e7b3 or a5,a5,a4 + 800396a0: 0007879b sext.w a5,a5 + 800396a4: a09ff06f j 800390ac <_Z14FCEUX_PPU_Loopi+0x6ec> + 800396a8: 00000513 li a0,0 + 800396ac: 00000713 li a4,0 + 800396b0: 00000593 li a1,0 + 800396b4: 9cdff06f j 80039080 <_Z14FCEUX_PPU_Loopi+0x6c0> + 800396b8: 08013703 ld a4,128(sp) + 800396bc: 00193797 auipc a5,0x193 + 800396c0: 0b478793 addi a5,a5,180 # 801cc770 <_ZZ14FCEUX_PPU_LoopiE9oamcounts> + 800396c4: 001bc983 lbu s3,1(s7) + 800396c8: 00e787b3 add a5,a5,a4 + 800396cc: 0007af83 lw t6,0(a5) + 800396d0: 07013783 ld a5,112(sp) + 800396d4: 003d1d93 slli s11,s10,0x3 + 800396d8: 00193697 auipc a3,0x193 + 800396dc: 09068693 addi a3,a3,144 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 800396e0: ff878793 addi a5,a5,-8 + 800396e4: 01b787b3 add a5,a5,s11 + 800396e8: 003d171b slliw a4,s10,0x3 + 800396ec: 01f6a023 sw t6,0(a3) + 800396f0: 00192c17 auipc s8,0x192 + 800396f4: 430c3c03 ld s8,1072(s8) # 801cbb20 + 800396f8: 00192d97 auipc s11,0x192 + 800396fc: 438dbd83 ld s11,1080(s11) # 801cbb30 + 80039700: 0109f693 andi a3,s3,16 + 80039704: 00fc0c33 add s8,s8,a5 + 80039708: 00fd8db3 add s11,s11,a5 + 8003970c: 000d061b sext.w a2,s10 + 80039710: ff87041b addiw s0,a4,-8 + 80039714: 0089f793 andi a5,s3,8 + 80039718: 3c068463 beqz a3,80039ae0 <_Z14FCEUX_PPU_Loopi+0x1120> + 8003971c: 00100693 li a3,1 + 80039720: 2cd60463 beq a2,a3,800399e8 <_Z14FCEUX_PPU_Loopi+0x1028> + 80039724: 00100e93 li t4,1 + 80039728: 00100293 li t0,1 + 8003972c: 2c078a63 beqz a5,80039a00 <_Z14FCEUX_PPU_Loopi+0x1040> + 80039730: 07813783 ld a5,120(sp) + 80039734: ffff849b addiw s1,t6,-1 + 80039738: 02049493 slli s1,s1,0x20 + 8003973c: 0204d493 srli s1,s1,0x20 + 80039740: 00f484b3 add s1,s1,a5 + 80039744: 00014797 auipc a5,0x14 + 80039748: a407c783 lbu a5,-1472(a5) # 8004d184 <_ZL8renderbg> + 8003974c: 00f13423 sd a5,8(sp) + 80039750: 00014797 auipc a5,0x14 + 80039754: a2c7c783 lbu a5,-1492(a5) # 8004d17c <_ZL13rendersprites> + 80039758: 00349493 slli s1,s1,0x3 + 8003975c: 02f13823 sd a5,48(sp) + 80039760: 00192797 auipc a5,0x192 + 80039764: d1a78793 addi a5,a5,-742 # 801cb47a <_ZZ14FCEUX_PPU_LoopiE4oams+0xa> + 80039768: 00f484b3 add s1,s1,a5 + 8003976c: 05213c23 sd s2,88(sp) + 80039770: 0007079b sext.w a5,a4 + 80039774: 000d8913 mv s2,s11 + 80039778: 000c0d93 mv s11,s8 + 8003977c: 00098c13 mv s8,s3 + 80039780: 07913023 sd s9,96(sp) + 80039784: 07a13423 sd s10,104(sp) + 80039788: 00f13823 sd a5,16(sp) + 8003978c: 03c13c23 sd t3,56(sp) + 80039790: 018c7713 andi a4,s8,24 + 80039794: 00048993 mv s3,s1 + 80039798: 00193f17 auipc t5,0x193 + 8003979c: ff4f0f13 addi t5,t5,-12 # 801cc78c + 800397a0: 000e8c93 mv s9,t4 + 800397a4: 000f8d13 mv s10,t6 + 800397a8: 00028493 mv s1,t0 + 800397ac: 22071863 bnez a4,800399dc <_Z14FCEUX_PPU_Loopi+0x101c> + 800397b0: 004a2783 lw a5,4(s4) + 800397b4: 008a2503 lw a0,8(s4) + 800397b8: 010a2583 lw a1,16(s4) + 800397bc: 00ca2603 lw a2,12(s4) + 800397c0: 00a5151b slliw a0,a0,0xa + 800397c4: 000a2683 lw a3,0(s4) + 800397c8: 00b7979b slliw a5,a5,0xb + 800397cc: 00a7e7b3 or a5,a5,a0 + 800397d0: 0056161b slliw a2,a2,0x5 + 800397d4: 00b7e7b3 or a5,a5,a1 + 800397d8: 00c7e7b3 or a5,a5,a2 + 800397dc: 00c6969b slliw a3,a3,0xc + 800397e0: 00003637 lui a2,0x3 + 800397e4: 00c6f6b3 and a3,a3,a2 + 800397e8: 04013603 ld a2,64(sp) + 800397ec: 00d7e7b3 or a5,a5,a3 + 800397f0: 0007879b sext.w a5,a5 + 800397f4: 00c7f6b3 and a3,a5,a2 + 800397f8: 00000513 li a0,0 + 800397fc: 00c69663 bne a3,a2,80039808 <_Z14FCEUX_PPU_Loopi+0xe48> + 80039800: 01f7f713 andi a4,a5,31 + 80039804: 00070513 mv a0,a4 + 80039808: 00e13c23 sd a4,24(sp) + 8003980c: cc9fe0ef jal ra,800384d4 <_Z19READPAL_MOTHEROFALLj> + 80039810: 01813703 ld a4,24(sp) + 80039814: 00193f17 auipc t5,0x193 + 80039818: f78f0f13 addi t5,t5,-136 # 801cc78c + 8003981c: 06048263 beqz s1,80039880 <_Z14FCEUX_PPU_Loopi+0xec0> + 80039820: 028a2683 lw a3,40(s4) + 80039824: 00192817 auipc a6,0x192 + 80039828: 04c80813 addi a6,a6,76 # 801cb870 + 8003982c: 008686bb addw a3,a3,s0 + 80039830: 4036d79b sraiw a5,a3,0x3 + 80039834: 00179593 slli a1,a5,0x1 + 80039838: 00f585b3 add a1,a1,a5 + 8003983c: 00159593 slli a1,a1,0x1 + 80039840: 00358793 addi a5,a1,3 + 80039844: 00f807b3 add a5,a6,a5 + 80039848: 0007c703 lbu a4,0(a5) + 8003984c: 0017c603 lbu a2,1(a5) + 80039850: 00b805b3 add a1,a6,a1 + 80039854: fff6c793 not a5,a3 + 80039858: 0077f793 andi a5,a5,7 + 8003985c: 0025c683 lbu a3,2(a1) + 80039860: 40f7573b sraw a4,a4,a5 + 80039864: 40f657bb sraw a5,a2,a5 + 80039868: 00177713 andi a4,a4,1 + 8003986c: 0017979b slliw a5,a5,0x1 + 80039870: 00d76733 or a4,a4,a3 + 80039874: 0027f793 andi a5,a5,2 + 80039878: 00f76733 or a4,a4,a5 + 8003987c: 0ff77713 andi a4,a4,255 + 80039880: 00813783 ld a5,8(sp) + 80039884: 02078063 beqz a5,800398a4 <_Z14FCEUX_PPU_Loopi+0xee4> + 80039888: 00190797 auipc a5,0x190 + 8003988c: 4a078793 addi a5,a5,1184 # 801c9d28 + 80039890: 00e786b3 add a3,a5,a4 + 80039894: 001c7793 andi a5,s8,1 + 80039898: 0006c503 lbu a0,0(a3) + 8003989c: 00078463 beqz a5,800398a4 <_Z14FCEUX_PPU_Loopi+0xee4> + 800398a0: 03057513 andi a0,a0,48 + 800398a4: 0fa05063 blez s10,80039984 <_Z14FCEUX_PPU_Loopi+0xfc4> + 800398a8: 001c7793 andi a5,s8,1 + 800398ac: 002bc083 lbu ra,2(s7) + 800398b0: fff00893 li a7,-1 + 800398b4: 00078463 beqz a5,800398bc <_Z14FCEUX_PPU_Loopi+0xefc> + 800398b8: 03000893 li a7,48 + 800398bc: 02813783 ld a5,40(sp) + 800398c0: 0188989b slliw a7,a7,0x18 + 800398c4: 4188d89b sraiw a7,a7,0x18 + 800398c8: 00000393 li t2,0 + 800398cc: 00000313 li t1,0 + 800398d0: 00377713 andi a4,a4,3 + 800398d4: 0017c603 lbu a2,1(a5) + 800398d8: 0ac44063 blt s0,a2,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 800398dc: 0076069b addiw a3,a2,7 + 800398e0: 0886cc63 blt a3,s0,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 800398e4: 0027c603 lbu a2,2(a5) + 800398e8: 0037c683 lbu a3,3(a5) + 800398ec: 4016581b sraiw a6,a2,0x1 + 800398f0: 4016d59b sraiw a1,a3,0x1 + 800398f4: 01078123 sb a6,2(a5) + 800398f8: 00b781a3 sb a1,3(a5) + 800398fc: 060c8e63 beqz s9,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039900: 06031c63 bnez t1,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039904: 0016969b slliw a3,a3,0x1 + 80039908: 0026f693 andi a3,a3,2 + 8003990c: 00167613 andi a2,a2,1 + 80039910: 00c6e6b3 or a3,a3,a2 + 80039914: 06068263 beqz a3,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039918: 0007c603 lbu a2,0(a5) + 8003991c: 0047c583 lbu a1,4(a5) + 80039920: 02067813 andi a6,a2,32 + 80039924: 0e059863 bnez a1,80039a14 <_Z14FCEUX_PPU_Loopi+0x1054> + 80039928: 00070e63 beqz a4,80039944 <_Z14FCEUX_PPU_Loopi+0xf84> + 8003992c: 0fe00593 li a1,254 + 80039930: 0085c663 blt a1,s0,8003993c <_Z14FCEUX_PPU_Loopi+0xf7c> + 80039934: 0400e093 ori ra,ra,64 + 80039938: 000c8393 mv t2,s9 + 8003993c: 000c8313 mv t1,s9 + 80039940: 02081c63 bnez a6,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039944: 03013583 ld a1,48(sp) + 80039948: 000c8313 mv t1,s9 + 8003994c: 02058663 beqz a1,80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039950: 00261613 slli a2,a2,0x2 + 80039954: 00c67613 andi a2,a2,12 + 80039958: 00c6e6b3 or a3,a3,a2 + 8003995c: 0106869b addiw a3,a3,16 + 80039960: 00190617 auipc a2,0x190 + 80039964: 3c860613 addi a2,a2,968 # 801c9d28 + 80039968: 00d606b3 add a3,a2,a3 + 8003996c: 0006c503 lbu a0,0(a3) + 80039970: 00058313 mv t1,a1 + 80039974: 00a8f533 and a0,a7,a0 + 80039978: 00878793 addi a5,a5,8 + 8003997c: f4f99ce3 bne s3,a5,800398d4 <_Z14FCEUX_PPU_Loopi+0xf14> + 80039980: 10039c63 bnez t2,80039a98 <_Z14FCEUX_PPU_Loopi+0x10d8> + 80039984: 005c5793 srli a5,s8,0x5 + 80039988: 00700713 li a4,7 + 8003998c: 08e78c63 beq a5,a4,80039a24 <_Z14FCEUX_PPU_Loopi+0x1064> + 80039990: 0e0c7c13 andi s8,s8,224 + 80039994: 060c0a63 beqz s8,80039a08 <_Z14FCEUX_PPU_Loopi+0x1048> + 80039998: 04056513 ori a0,a0,64 + 8003999c: 0ff57513 andi a0,a0,255 + 800399a0: 00ad8023 sb a0,0(s11) + 800399a4: 001bc783 lbu a5,1(s7) + 800399a8: 00190913 addi s2,s2,1 # 2001 <_entry_offset+0x2001> + 800399ac: 0014041b addiw s0,s0,1 + 800399b0: 4057d79b sraiw a5,a5,0x5 + 800399b4: fef90fa3 sb a5,-1(s2) + 800399b8: 000f2783 lw a5,0(t5) + 800399bc: 001d8d93 addi s11,s11,1 + 800399c0: 0017879b addiw a5,a5,1 + 800399c4: 00ff2023 sw a5,0(t5) + 800399c8: 01013783 ld a5,16(sp) + 800399cc: 06f40263 beq s0,a5,80039a30 <_Z14FCEUX_PPU_Loopi+0x1070> + 800399d0: 001bcc03 lbu s8,1(s7) + 800399d4: 018c7713 andi a4,s8,24 + 800399d8: dc070ce3 beqz a4,800397b0 <_Z14FCEUX_PPU_Loopi+0xdf0> + 800399dc: 03813503 ld a0,56(sp) + 800399e0: 00000713 li a4,0 + 800399e4: e39ff06f j 8003981c <_Z14FCEUX_PPU_Loopi+0xe5c> + 800399e8: 0029de9b srliw t4,s3,0x2 + 800399ec: 001efe93 andi t4,t4,1 + 800399f0: 00078863 beqz a5,80039a00 <_Z14FCEUX_PPU_Loopi+0x1040> + 800399f4: 0029f793 andi a5,s3,2 + 800399f8: 00100293 li t0,1 + 800399fc: d2079ae3 bnez a5,80039730 <_Z14FCEUX_PPU_Loopi+0xd70> + 80039a00: 00000293 li t0,0 + 80039a04: d2dff06f j 80039730 <_Z14FCEUX_PPU_Loopi+0xd70> + 80039a08: 03f57513 andi a0,a0,63 + 80039a0c: 08056513 ori a0,a0,128 + 80039a10: f91ff06f j 800399a0 <_Z14FCEUX_PPU_Loopi+0xfe0> + 80039a14: f20808e3 beqz a6,80039944 <_Z14FCEUX_PPU_Loopi+0xf84> + 80039a18: f20706e3 beqz a4,80039944 <_Z14FCEUX_PPU_Loopi+0xf84> + 80039a1c: 000c8313 mv t1,s9 + 80039a20: f59ff06f j 80039978 <_Z14FCEUX_PPU_Loopi+0xfb8> + 80039a24: fc056513 ori a0,a0,-64 + 80039a28: 0ff57513 andi a0,a0,255 + 80039a2c: f75ff06f j 800399a0 <_Z14FCEUX_PPU_Loopi+0xfe0> + 80039a30: 05813903 ld s2,88(sp) + 80039a34: 06013c83 ld s9,96(sp) + 80039a38: 06813d03 ld s10,104(sp) + 80039a3c: c88ff06f j 80038ec4 <_Z14FCEUX_PPU_Loopi+0x504> + 80039a40: 00089417 auipc s0,0x89 + 80039a44: bc040413 addi s0,s0,-1088 # 800c2600 + 80039a48: 00043703 ld a4,0(s0) + 80039a4c: 02051793 slli a5,a0,0x20 + 80039a50: 0207d793 srli a5,a5,0x20 + 80039a54: 00f707b3 add a5,a4,a5 + 80039a58: 0007c783 lbu a5,0(a5) + 80039a5c: 00200513 li a0,2 + 80039a60: 00fc81a3 sb a5,3(s9) + 80039a64: ef5fe0ef jal ra,80038958 <_Z6runppui> + 80039a68: 000aa783 lw a5,0(s5) + 80039a6c: 00043703 ld a4,0(s0) + 80039a70: 00200513 li a0,2 + 80039a74: 0087e793 ori a5,a5,8 + 80039a78: 02079693 slli a3,a5,0x20 + 80039a7c: 0206d693 srli a3,a3,0x20 + 80039a80: 00faa023 sw a5,0(s5) + 80039a84: 00d707b3 add a5,a4,a3 + 80039a88: 0007c783 lbu a5,0(a5) + 80039a8c: 00fc8223 sb a5,4(s9) + 80039a90: ec9fe0ef jal ra,80038958 <_Z6runppui> + 80039a94: c10ff06f j 80038ea4 <_Z14FCEUX_PPU_Loopi+0x4e4> + 80039a98: 001b8123 sb ra,2(s7) + 80039a9c: ee9ff06f j 80039984 <_Z14FCEUX_PPU_Loopi+0xfc4> + 80039aa0: 04813783 ld a5,72(sp) + 80039aa4: 0f000713 li a4,240 + 80039aa8: e2f74863 blt a4,a5,800390d8 <_Z14FCEUX_PPU_Loopi+0x718> + 80039aac: 038a2583 lw a1,56(s4) + 80039ab0: 10000713 li a4,256 + 80039ab4: e2e59263 bne a1,a4,800390d8 <_Z14FCEUX_PPU_Loopi+0x718> + 80039ab8: 00100513 li a0,1 + 80039abc: e9dfe0ef jal ra,80038958 <_Z6runppui> + 80039ac0: 001bc703 lbu a4,1(s7) + 80039ac4: 01877713 andi a4,a4,24 + 80039ac8: aa070ae3 beqz a4,8003957c <_Z14FCEUX_PPU_Loopi+0xbbc> + 80039acc: 024a2583 lw a1,36(s4) + 80039ad0: 01ca2703 lw a4,28(s4) + 80039ad4: 00ba2823 sw a1,16(s4) + 80039ad8: 00ea2423 sw a4,8(s4) + 80039adc: aa1ff06f j 8003957c <_Z14FCEUX_PPU_Loopi+0xbbc> + 80039ae0: 00000e93 li t4,0 + 80039ae4: f0078ee3 beqz a5,80039a00 <_Z14FCEUX_PPU_Loopi+0x1040> + 80039ae8: 00100793 li a5,1 + 80039aec: 00100293 li t0,1 + 80039af0: c4f610e3 bne a2,a5,80039730 <_Z14FCEUX_PPU_Loopi+0xd70> + 80039af4: 0029f793 andi a5,s3,2 + 80039af8: 00100293 li t0,1 + 80039afc: c2079ae3 bnez a5,80039730 <_Z14FCEUX_PPU_Loopi+0xd70> + 80039b00: f01ff06f j 80039a00 <_Z14FCEUX_PPU_Loopi+0x1040> + 80039b04: 00100713 li a4,1 + 80039b08: b6e59ae3 bne a1,a4,8003967c <_Z14FCEUX_PPU_Loopi+0xcbc> + 80039b0c: 0016869b addiw a3,a3,1 + 80039b10: 00192717 auipc a4,0x192 + 80039b14: f2072e23 sw zero,-196(a4) # 801cba4c + 80039b18: b65ff06f j 8003967c <_Z14FCEUX_PPU_Loopi+0xcbc> + 80039b1c: 0004a783 lw a5,0(s1) + 80039b20: 00078863 beqz a5,80039b30 <_Z14FCEUX_PPU_Loopi+0x1170> + 80039b24: 00544783 lbu a5,5(s0) + 80039b28: 0407f793 andi a5,a5,64 + 80039b2c: 12079863 bnez a5,80039c5c <_Z14FCEUX_PPU_Loopi+0x129c> + 80039b30: 000b3783 ld a5,0(s6) + 80039b34: 000780e7 jalr a5 + 80039b38: 00050793 mv a5,a0 + 80039b3c: 00f401a3 sb a5,3(s0) + 80039b40: 00200513 li a0,2 + 80039b44: e15fe0ef jal ra,80038958 <_Z6runppui> + 80039b48: 000aa503 lw a0,0(s5) + 80039b4c: 000b3783 ld a5,0(s6) + 80039b50: 00856513 ori a0,a0,8 + 80039b54: 00aaa023 sw a0,0(s5) + 80039b58: 81dff06f j 80039374 <_Z14FCEUX_PPU_Loopi+0x9b4> + 80039b5c: 0004a703 lw a4,0(s1) + 80039b60: ea070e63 beqz a4,8003921c <_Z14FCEUX_PPU_Loopi+0x85c> + 80039b64: 000d4683 lbu a3,0(s10) + 80039b68: 00a5571b srliw a4,a0,0xa + 80039b6c: 00377713 andi a4,a4,3 + 80039b70: 4016d69b sraiw a3,a3,0x1 + 80039b74: 00d7573b srlw a4,a4,a3 + 80039b78: 00a7171b slliw a4,a4,0xa + 80039b7c: 40077713 andi a4,a4,1024 + 80039b80: 3ff7f793 andi a5,a5,1023 + 80039b84: 00f767b3 or a5,a4,a5 + 80039b88: 00fd87b3 add a5,s11,a5 + 80039b8c: 0007c783 lbu a5,0(a5) + 80039b90: 03f7f713 andi a4,a5,63 + 80039b94: 00f402a3 sb a5,5(s0) + 80039b98: 02ea2623 sw a4,44(s4) + 80039b9c: e80ff06f j 8003921c <_Z14FCEUX_PPU_Loopi+0x85c> + 80039ba0: 15500793 li a5,341 + 80039ba4: 00200513 li a0,2 + 80039ba8: 02fa2e23 sw a5,60(s4) + 80039bac: dadfe0ef jal ra,80038958 <_Z6runppui> + 80039bb0: 03ca2703 lw a4,60(s4) + 80039bb4: 15500793 li a5,341 + 80039bb8: 84f710e3 bne a4,a5,800393f8 <_Z14FCEUX_PPU_Loopi+0xa38> + 80039bbc: 00100513 li a0,1 + 80039bc0: d99fe0ef jal ra,80038958 <_Z6runppui> + 80039bc4: 835ff06f j 800393f8 <_Z14FCEUX_PPU_Loopi+0xa38> + 80039bc8: 000a2783 lw a5,0(s4) + 80039bcc: 00ca2703 lw a4,12(s4) + 80039bd0: 004a2683 lw a3,4(s4) + 80039bd4: 0017879b addiw a5,a5,1 + 80039bd8: 0037d61b srliw a2,a5,0x3 + 80039bdc: 00c7073b addw a4,a4,a2 + 80039be0: 01f77713 andi a4,a4,31 + 80039be4: 00ea2623 sw a4,12(s4) + 80039be8: 0037d61b srliw a2,a5,0x3 + 80039bec: 0d970063 beq a4,s9,80039cac <_Z14FCEUX_PPU_Loopi+0x12ec> + 80039bf0: 0077f793 andi a5,a5,7 + 80039bf4: 0016f693 andi a3,a3,1 + 80039bf8: 00fa2023 sw a5,0(s4) + 80039bfc: 00da2223 sw a3,4(s4) + 80039c00: f00ff06f j 80039300 <_Z14FCEUX_PPU_Loopi+0x940> + 80039c04: 00088863 beqz a7,80039c14 <_Z14FCEUX_PPU_Loopi+0x1254> + 80039c08: 00193797 auipc a5,0x193 + 80039c0c: b6078793 addi a5,a5,-1184 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 80039c10: 00b7a023 sw a1,0(a5) + 80039c14: 00193797 auipc a5,0x193 + 80039c18: b5478793 addi a5,a5,-1196 # 801cc768 <_ZZ14FCEUX_PPU_LoopiE8oamcount> + 80039c1c: 0007a583 lw a1,0(a5) + 80039c20: 00193797 auipc a5,0x193 + 80039c24: b5078793 addi a5,a5,-1200 # 801cc770 <_ZZ14FCEUX_PPU_LoopiE9oamcounts> + 80039c28: 001780b3 add ra,a5,ra + 80039c2c: 00193717 auipc a4,0x193 + 80039c30: b6c70713 addi a4,a4,-1172 # 801cc798 + 80039c34: 00200793 li a5,2 + 80039c38: 01eb8123 sb t5,2(s7) + 80039c3c: 00b0a023 sw a1,0(ra) + 80039c40: 00f72023 sw a5,0(a4) + 80039c44: bd4ff06f j 80039018 <_Z14FCEUX_PPU_Loopi+0x658> + 80039c48: 00000593 li a1,0 + 80039c4c: ba0ff06f j 80038fec <_Z14FCEUX_PPU_Loopi+0x62c> + 80039c50: 05013503 ld a0,80(sp) + 80039c54: bf8e60ef jal ra,8002004c <_Z7MMC5_hbi> + 80039c58: 804ff06f j 80038c5c <_Z14FCEUX_PPU_Loopi+0x29c> + 80039c5c: 000c3703 ld a4,0(s8) + 80039c60: 02051793 slli a5,a0,0x20 + 80039c64: 0207d793 srli a5,a5,0x20 + 80039c68: 00f707b3 add a5,a4,a5 + 80039c6c: 0007c783 lbu a5,0(a5) + 80039c70: 00200513 li a0,2 + 80039c74: 00f401a3 sb a5,3(s0) + 80039c78: ce1fe0ef jal ra,80038958 <_Z6runppui> + 80039c7c: 000aa783 lw a5,0(s5) + 80039c80: 000c3703 ld a4,0(s8) + 80039c84: 00200513 li a0,2 + 80039c88: 0087e793 ori a5,a5,8 + 80039c8c: 02079693 slli a3,a5,0x20 + 80039c90: 0206d693 srli a3,a3,0x20 + 80039c94: 00faa023 sw a5,0(s5) + 80039c98: 00d707b3 add a5,a4,a3 + 80039c9c: 0007c783 lbu a5,0(a5) + 80039ca0: 00f40223 sb a5,4(s0) + 80039ca4: cb5fe0ef jal ra,80038958 <_Z6runppui> + 80039ca8: ee0ff06f j 80039388 <_Z14FCEUX_PPU_Loopi+0x9c8> + 80039cac: 00100713 li a4,1 + 80039cb0: f4e610e3 bne a2,a4,80039bf0 <_Z14FCEUX_PPU_Loopi+0x1230> + 80039cb4: 0016869b addiw a3,a3,1 + 80039cb8: 00192717 auipc a4,0x192 + 80039cbc: d8072a23 sw zero,-620(a4) # 801cba4c + 80039cc0: f31ff06f j 80039bf0 <_Z14FCEUX_PPU_Loopi+0x1230> + 80039cc4: 15400713 li a4,340 + 80039cc8: 02ea2e23 sw a4,60(s4) + 80039ccc: f10ff06f j 800393dc <_Z14FCEUX_PPU_Loopi+0xa1c> + 80039cd0: 01400993 li s3,20 + 80039cd4: e45fe06f j 80038b18 <_Z14FCEUX_PPU_Loopi+0x158> + 80039cd8: 0f000513 li a0,240 + 80039cdc: b70e60ef jal ra,8002004c <_Z7MMC5_hbi> + 80039ce0: f54ff06f j 80039434 <_Z14FCEUX_PPU_Loopi+0xa74> + 80039ce4: 0f100793 li a5,241 + 80039ce8: 02fa2a23 sw a5,52(s4) + 80039cec: 00193797 auipc a5,0x193 + 80039cf0: 9747c783 lbu a5,-1676(a5) # 801cc660 + 80039cf4: 02079a63 bnez a5,80039d28 <_Z14FCEUX_PPU_Loopi+0x1368> + 80039cf8: 00002537 lui a0,0x2 + 80039cfc: aa450513 addi a0,a0,-1372 # 1aa4 <_entry_offset+0x1aa4> + 80039d00: c59fe0ef jal ra,80038958 <_Z6runppui> + 80039d04: 00014537 lui a0,0x14 + 80039d08: 25a50513 addi a0,a0,602 # 1425a <_entry_offset+0x1425a> + 80039d0c: 00192797 auipc a5,0x192 + 80039d10: d607a423 sw zero,-664(a5) # 801cba74 + 80039d14: c45fe0ef jal ra,80038958 <_Z6runppui> + 80039d18: 00042783 lw a5,0(s0) + 80039d1c: fff7879b addiw a5,a5,-1 + 80039d20: 00f42023 sw a5,0(s0) + 80039d24: f2cff06f j 80039450 <_Z14FCEUX_PPU_Loopi+0xa90> + 80039d28: 00006537 lui a0,0x6 + 80039d2c: d3e50513 addi a0,a0,-706 # 5d3e <_entry_offset+0x5d3e> + 80039d30: c29fe0ef jal ra,80038958 <_Z6runppui> + 80039d34: fd1ff06f j 80039d04 <_Z14FCEUX_PPU_Loopi+0x1344> + 80039d38: 834c70ef jal ra,80000d6c <_Z10TriggerNMIv> + 80039d3c: 00193797 auipc a5,0x193 + 80039d40: 92478793 addi a5,a5,-1756 # 801cc660 + 80039d44: 0007c783 lbu a5,0(a5) + 80039d48: 04600993 li s3,70 + 80039d4c: 00078463 beqz a5,80039d54 <_Z14FCEUX_PPU_Loopi+0x1394> + 80039d50: dc9fe06f j 80038b18 <_Z14FCEUX_PPU_Loopi+0x158> + 80039d54: f7dff06f j 80039cd0 <_Z14FCEUX_PPU_Loopi+0x1310> + 80039d58: 00193797 auipc a5,0x193 + 80039d5c: 97c78793 addi a5,a5,-1668 # 801cc6d4 + 80039d60: 08f13c23 sd a5,152(sp) + 80039d64: ebcff06f j 80039420 <_Z14FCEUX_PPU_Loopi+0xa60> + +0000000080039d68 : + 80039d68: 00050513 mv a0,a0 + 80039d6c: 0000007b 0x7b + 80039d70: 00008067 ret + +0000000080039d74 : + 80039d74: 00050513 mv a0,a0 + 80039d78: 0000006b 0x6b + 80039d7c: 0000006f j 80039d7c + +0000000080039d80 <_assert>: + 80039d80: 00051a63 bnez a0,80039d94 <_assert+0x14> + 80039d84: 00100793 li a5,1 + 80039d88: 00078513 mv a0,a5 + 80039d8c: 0000006b 0x6b + 80039d90: 0000006f j 80039d90 <_assert+0x10> + 80039d94: 00008067 ret + +0000000080039d98 <_trm_init>: + 80039d98: ff010113 addi sp,sp,-16 + 80039d9c: 00113423 sd ra,8(sp) + 80039da0: 5cc000ef jal ra,8003a36c + 80039da4: 0000d517 auipc a0,0xd + 80039da8: c4450513 addi a0,a0,-956 # 800469e8 + 80039dac: a01d40ef jal ra,8000e7ac
+ 80039db0: 00050513 mv a0,a0 + 80039db4: 0000006b 0x6b + 80039db8: 0000006f j 80039db8 <_trm_init+0x20> + +0000000080039dbc <__muldi3>: + 80039dbc: 00050613 mv a2,a0 + 80039dc0: 00000513 li a0,0 + 80039dc4: 0015f693 andi a3,a1,1 + 80039dc8: 00068463 beqz a3,80039dd0 <__muldi3+0x14> + 80039dcc: 00c50533 add a0,a0,a2 + 80039dd0: 0015d593 srli a1,a1,0x1 + 80039dd4: 00161613 slli a2,a2,0x1 + 80039dd8: fe0596e3 bnez a1,80039dc4 <__muldi3+0x8> + 80039ddc: 00008067 ret + +0000000080039de0 <__udivsi3>: + 80039de0: 02051513 slli a0,a0,0x20 + 80039de4: 02059593 slli a1,a1,0x20 + 80039de8: 00008293 mv t0,ra + 80039dec: 03c000ef jal ra,80039e28 <__udivdi3> + 80039df0: 0005051b sext.w a0,a0 + 80039df4: 00028067 jr t0 + +0000000080039df8 <__umodsi3>: + 80039df8: 02051513 slli a0,a0,0x20 + 80039dfc: 02059593 slli a1,a1,0x20 + 80039e00: 02055513 srli a0,a0,0x20 + 80039e04: 0205d593 srli a1,a1,0x20 + 80039e08: 00008293 mv t0,ra + 80039e0c: 01c000ef jal ra,80039e28 <__udivdi3> + 80039e10: 0005851b sext.w a0,a1 + 80039e14: 00028067 jr t0 + +0000000080039e18 <__divsi3>: + 80039e18: fff00293 li t0,-1 + 80039e1c: 0a558c63 beq a1,t0,80039ed4 <__moddi3+0x30> + +0000000080039e20 <__divdi3>: + 80039e20: 06054063 bltz a0,80039e80 <__umoddi3+0x10> + 80039e24: 0605c663 bltz a1,80039e90 <__umoddi3+0x20> + +0000000080039e28 <__udivdi3>: + 80039e28: 00058613 mv a2,a1 + 80039e2c: 00050593 mv a1,a0 + 80039e30: fff00513 li a0,-1 + 80039e34: 02060c63 beqz a2,80039e6c <__udivdi3+0x44> + 80039e38: 00100693 li a3,1 + 80039e3c: 00b67a63 bgeu a2,a1,80039e50 <__udivdi3+0x28> + 80039e40: 00c05863 blez a2,80039e50 <__udivdi3+0x28> + 80039e44: 00161613 slli a2,a2,0x1 + 80039e48: 00169693 slli a3,a3,0x1 + 80039e4c: feb66ae3 bltu a2,a1,80039e40 <__udivdi3+0x18> + 80039e50: 00000513 li a0,0 + 80039e54: 00c5e663 bltu a1,a2,80039e60 <__udivdi3+0x38> + 80039e58: 40c585b3 sub a1,a1,a2 + 80039e5c: 00d56533 or a0,a0,a3 + 80039e60: 0016d693 srli a3,a3,0x1 + 80039e64: 00165613 srli a2,a2,0x1 + 80039e68: fe0696e3 bnez a3,80039e54 <__udivdi3+0x2c> + 80039e6c: 00008067 ret + +0000000080039e70 <__umoddi3>: + 80039e70: 00008293 mv t0,ra + 80039e74: fb5ff0ef jal ra,80039e28 <__udivdi3> + 80039e78: 00058513 mv a0,a1 + 80039e7c: 00028067 jr t0 + 80039e80: 40a00533 neg a0,a0 + 80039e84: 00b04863 bgtz a1,80039e94 <__umoddi3+0x24> + 80039e88: 40b005b3 neg a1,a1 + 80039e8c: f9dff06f j 80039e28 <__udivdi3> + 80039e90: 40b005b3 neg a1,a1 + 80039e94: 00008293 mv t0,ra + 80039e98: f91ff0ef jal ra,80039e28 <__udivdi3> + 80039e9c: 40a00533 neg a0,a0 + 80039ea0: 00028067 jr t0 + +0000000080039ea4 <__moddi3>: + 80039ea4: 00008293 mv t0,ra + 80039ea8: 0005ca63 bltz a1,80039ebc <__moddi3+0x18> + 80039eac: 00054c63 bltz a0,80039ec4 <__moddi3+0x20> + 80039eb0: f79ff0ef jal ra,80039e28 <__udivdi3> + 80039eb4: 00058513 mv a0,a1 + 80039eb8: 00028067 jr t0 + 80039ebc: 40b005b3 neg a1,a1 + 80039ec0: fe0558e3 bgez a0,80039eb0 <__moddi3+0xc> + 80039ec4: 40a00533 neg a0,a0 + 80039ec8: f61ff0ef jal ra,80039e28 <__udivdi3> + 80039ecc: 40b00533 neg a0,a1 + 80039ed0: 00028067 jr t0 + 80039ed4: 01f29293 slli t0,t0,0x1f + 80039ed8: f45514e3 bne a0,t0,80039e20 <__divdi3> + 80039edc: 00008067 ret + +0000000080039ee0 <__am_timer_config>: + 80039ee0: 00100793 li a5,1 + 80039ee4: 00f50023 sb a5,0(a0) + 80039ee8: 00f500a3 sb a5,1(a0) + 80039eec: 00008067 ret + +0000000080039ef0 <__am_input_config>: + 80039ef0: 00100793 li a5,1 + 80039ef4: 00f50023 sb a5,0(a0) + 80039ef8: 00008067 ret + +0000000080039efc : + 80039efc: ff010113 addi sp,sp,-16 + 80039f00: 00813023 sd s0,0(sp) + 80039f04: 00113423 sd ra,8(sp) + 80039f08: 0000c417 auipc s0,0xc + 80039f0c: 75840413 addi s0,s0,1880 # 80046660 + 80039f10: 04100513 li a0,65 + 80039f14: 00140413 addi s0,s0,1 + 80039f18: e51ff0ef jal ra,80039d68 + 80039f1c: 00044503 lbu a0,0(s0) + 80039f20: fe051ae3 bnez a0,80039f14 + 80039f24: 0000c417 auipc s0,0xc + 80039f28: 74c40413 addi s0,s0,1868 # 80046670 + 80039f2c: 06100513 li a0,97 + 80039f30: 00140413 addi s0,s0,1 + 80039f34: e35ff0ef jal ra,80039d68 + 80039f38: 00044503 lbu a0,0(s0) + 80039f3c: fe051ae3 bnez a0,80039f30 + 80039f40: 0000c417 auipc s0,0xc + 80039f44: 75040413 addi s0,s0,1872 # 80046690 + 80039f48: 02000513 li a0,32 + 80039f4c: 00140413 addi s0,s0,1 + 80039f50: e19ff0ef jal ra,80039d68 + 80039f54: 00044503 lbu a0,0(s0) + 80039f58: fe051ae3 bnez a0,80039f4c + 80039f5c: 00100513 li a0,1 + 80039f60: e15ff0ef jal ra,80039d74 + +0000000080039f64 : + 80039f64: ff010113 addi sp,sp,-16 + 80039f68: 00113423 sd ra,8(sp) + 80039f6c: 0001d797 auipc a5,0x1d + 80039f70: 24478793 addi a5,a5,580 # 800571b0 + 80039f74: 0001d697 auipc a3,0x1d + 80039f78: 63c68693 addi a3,a3,1596 # 800575b0 + 80039f7c: 00000617 auipc a2,0x0 + 80039f80: f8060613 addi a2,a2,-128 # 80039efc + 80039f84: 00c0006f j 80039f90 + 80039f88: 00878793 addi a5,a5,8 + 80039f8c: 00d78c63 beq a5,a3,80039fa4 + 80039f90: 0007b703 ld a4,0(a5) + 80039f94: fe071ae3 bnez a4,80039f88 + 80039f98: 00c7b023 sd a2,0(a5) + 80039f9c: 00878793 addi a5,a5,8 + 80039fa0: fed798e3 bne a5,a3,80039f90 + 80039fa4: 030000ef jal ra,80039fd4 <__am_timer_init> + 80039fa8: 00813083 ld ra,8(sp) + 80039fac: 00100513 li a0,1 + 80039fb0: 01010113 addi sp,sp,16 + 80039fb4: 00008067 ret + +0000000080039fb8 : + 80039fb8: 00351793 slli a5,a0,0x3 + 80039fbc: 0001d517 auipc a0,0x1d + 80039fc0: 1f450513 addi a0,a0,500 # 800571b0 + 80039fc4: 00f50533 add a0,a0,a5 + 80039fc8: 00053303 ld t1,0(a0) + 80039fcc: 00058513 mv a0,a1 + 80039fd0: 00030067 jr t1 + +0000000080039fd4 <__am_timer_init>: + 80039fd4: 00008067 ret + +0000000080039fd8 <__am_timer_uptime>: + 80039fd8: fd010113 addi sp,sp,-48 + 80039fdc: 00913c23 sd s1,24(sp) + 80039fe0: 02113423 sd ra,40(sp) + 80039fe4: 02813023 sd s0,32(sp) + 80039fe8: 01213823 sd s2,16(sp) + 80039fec: 01313423 sd s3,8(sp) + 80039ff0: 00050493 mv s1,a0 + 80039ff4: b0002973 csrr s2,mcycle + 80039ff8: 000f49b7 lui s3,0xf4 + 80039ffc: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 8003a000: 00090513 mv a0,s2 + 8003a004: e25ff0ef jal ra,80039e28 <__udivdi3> + 8003a008: 00551413 slli s0,a0,0x5 + 8003a00c: 40a407b3 sub a5,s0,a0 + 8003a010: 00679413 slli s0,a5,0x6 + 8003a014: 40f40433 sub s0,s0,a5 + 8003a018: 00341413 slli s0,s0,0x3 + 8003a01c: 00a40433 add s0,s0,a0 + 8003a020: 24098593 addi a1,s3,576 + 8003a024: 00090513 mv a0,s2 + 8003a028: e49ff0ef jal ra,80039e70 <__umoddi3> + 8003a02c: 00641413 slli s0,s0,0x6 + 8003a030: 00a40433 add s0,s0,a0 + 8003a034: 0084b023 sd s0,0(s1) + 8003a038: 02813083 ld ra,40(sp) + 8003a03c: 02013403 ld s0,32(sp) + 8003a040: 01813483 ld s1,24(sp) + 8003a044: 01013903 ld s2,16(sp) + 8003a048: 00813983 ld s3,8(sp) + 8003a04c: 03010113 addi sp,sp,48 + 8003a050: 00008067 ret + +000000008003a054 : + 8003a054: fc010113 addi sp,sp,-64 + 8003a058: 01313c23 sd s3,24(sp) + 8003a05c: 00058993 mv s3,a1 + 8003a060: 00050793 mv a5,a0 + 8003a064: 03800613 li a2,56 + 8003a068: 00000593 li a1,0 + 8003a06c: 00098513 mv a0,s3 + 8003a070: 02813823 sd s0,48(sp) + 8003a074: 03213023 sd s2,32(sp) + 8003a078: 0007b403 ld s0,0(a5) + 8003a07c: 01413823 sd s4,16(sp) + 8003a080: 02113c23 sd ra,56(sp) + 8003a084: 02913423 sd s1,40(sp) + 8003a088: 01513423 sd s5,8(sp) + 8003a08c: 19d010ef jal ra,8003ba28 + 8003a090: 7b200793 li a5,1970 + 8003a094: 00f9aa23 sw a5,20(s3) + 8003a098: 7b200913 li s2,1970 + 8003a09c: 0000da17 auipc s4,0xd + 8003a0a0: 954a0a13 addi s4,s4,-1708 # 800469f0 + 8003a0a4: 0100006f j 8003a0b4 + 8003a0a8: 40e40433 sub s0,s0,a4 + 8003a0ac: 00d9aa23 sw a3,20(s3) + 8003a0b0: 00068913 mv s2,a3 + 8003a0b4: 0009049b sext.w s1,s2 + 8003a0b8: 06400593 li a1,100 + 8003a0bc: 00048513 mv a0,s1 + 8003a0c0: de5ff0ef jal ra,80039ea4 <__moddi3> + 8003a0c4: 00050793 mv a5,a0 + 8003a0c8: 0007879b sext.w a5,a5 + 8003a0cc: 00048513 mv a0,s1 + 8003a0d0: 00397493 andi s1,s2,3 + 8003a0d4: 19000593 li a1,400 + 8003a0d8: 0014b493 seqz s1,s1 + 8003a0dc: 00079863 bnez a5,8003a0ec + 8003a0e0: dc5ff0ef jal ra,80039ea4 <__moddi3> + 8003a0e4: 0005049b sext.w s1,a0 + 8003a0e8: 0014b493 seqz s1,s1 + 8003a0ec: 00249793 slli a5,s1,0x2 + 8003a0f0: 00fa07b3 add a5,s4,a5 + 8003a0f4: 0007e703 lwu a4,0(a5) + 8003a0f8: 0019069b addiw a3,s2,1 + 8003a0fc: fae456e3 bge s0,a4,8003a0a8 + 8003a100: 000155b7 lui a1,0x15 + 8003a104: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 8003a108: 00040513 mv a0,s0 + 8003a10c: d15ff0ef jal ra,80039e20 <__divdi3> + 8003a110: 00149793 slli a5,s1,0x1 + 8003a114: 009784b3 add s1,a5,s1 + 8003a118: 00449793 slli a5,s1,0x4 + 8003a11c: 0000c497 auipc s1,0xc + 8003a120: 5b448493 addi s1,s1,1460 # 800466d0 + 8003a124: 00f484b3 add s1,s1,a5 + 8003a128: 0004e783 lwu a5,0(s1) + 8003a12c: 0005051b sext.w a0,a0 + 8003a130: 00a9ae23 sw a0,28(s3) + 8003a134: 14f44463 blt s0,a5,8003a27c + 8003a138: 00100713 li a4,1 + 8003a13c: 40f40433 sub s0,s0,a5 + 8003a140: 0044e783 lwu a5,4(s1) + 8003a144: 00070a1b sext.w s4,a4 + 8003a148: 00448493 addi s1,s1,4 + 8003a14c: 00170713 addi a4,a4,1 + 8003a150: fef456e3 bge s0,a5,8003a13c + 8003a154: 000155b7 lui a1,0x15 + 8003a158: 0149a823 sw s4,16(s3) + 8003a15c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 8003a160: 00040513 mv a0,s0 + 8003a164: cbdff0ef jal ra,80039e20 <__divdi3> + 8003a168: 0005051b sext.w a0,a0 + 8003a16c: 0015079b addiw a5,a0,1 + 8003a170: 000155b7 lui a1,0x15 + 8003a174: 00f9a623 sw a5,12(s3) + 8003a178: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 8003a17c: 00040513 mv a0,s0 + 8003a180: 00001ab7 lui s5,0x1 + 8003a184: 0007841b sext.w s0,a5 + 8003a188: d1dff0ef jal ra,80039ea4 <__moddi3> + 8003a18c: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 8003a190: 00050493 mv s1,a0 + 8003a194: c8dff0ef jal ra,80039e20 <__divdi3> + 8003a198: e10a8593 addi a1,s5,-496 + 8003a19c: 00a9a423 sw a0,8(s3) + 8003a1a0: 00048513 mv a0,s1 + 8003a1a4: d01ff0ef jal ra,80039ea4 <__moddi3> + 8003a1a8: 03c00593 li a1,60 + 8003a1ac: 00050493 mv s1,a0 + 8003a1b0: c71ff0ef jal ra,80039e20 <__divdi3> + 8003a1b4: 00a9a223 sw a0,4(s3) + 8003a1b8: 03c00593 li a1,60 + 8003a1bc: 00048513 mv a0,s1 + 8003a1c0: ce5ff0ef jal ra,80039ea4 <__moddi3> + 8003a1c4: 001a0a1b addiw s4,s4,1 + 8003a1c8: 0ffa7793 andi a5,s4,255 + 8003a1cc: 0037b793 sltiu a5,a5,3 + 8003a1d0: 40f9093b subw s2,s2,a5 + 8003a1d4: 03091913 slli s2,s2,0x30 + 8003a1d8: 03095913 srli s2,s2,0x30 + 8003a1dc: 00a9a023 sw a0,0(s3) + 8003a1e0: 06400593 li a1,100 + 8003a1e4: 00090513 mv a0,s2 + 8003a1e8: c41ff0ef jal ra,80039e28 <__udivdi3> + 8003a1ec: 0029549b srliw s1,s2,0x2 + 8003a1f0: 0105179b slliw a5,a0,0x10 + 8003a1f4: 0107d79b srliw a5,a5,0x10 + 8003a1f8: 012484bb addw s1,s1,s2 + 8003a1fc: 19000593 li a1,400 + 8003a200: 00090513 mv a0,s2 + 8003a204: 0ffa7a13 andi s4,s4,255 + 8003a208: 40f484bb subw s1,s1,a5 + 8003a20c: fffa0a1b addiw s4,s4,-1 + 8003a210: c19ff0ef jal ra,80039e28 <__udivdi3> + 8003a214: 0000c797 auipc a5,0xc + 8003a218: 51c78793 addi a5,a5,1308 # 80046730 + 8003a21c: 002a1a13 slli s4,s4,0x2 + 8003a220: 01478a33 add s4,a5,s4 + 8003a224: 000a2783 lw a5,0(s4) + 8003a228: 0105151b slliw a0,a0,0x10 + 8003a22c: 0105551b srliw a0,a0,0x10 + 8003a230: 00a484bb addw s1,s1,a0 + 8003a234: 00f484bb addw s1,s1,a5 + 8003a238: 0ff47513 andi a0,s0,255 + 8003a23c: 00a4853b addw a0,s1,a0 + 8003a240: 00700593 li a1,7 + 8003a244: c61ff0ef jal ra,80039ea4 <__moddi3> + 8003a248: 03813083 ld ra,56(sp) + 8003a24c: 03013403 ld s0,48(sp) + 8003a250: 0ff57513 andi a0,a0,255 + 8003a254: 00a9ac23 sw a0,24(s3) + 8003a258: 0209a023 sw zero,32(s3) + 8003a25c: 02813483 ld s1,40(sp) + 8003a260: 02013903 ld s2,32(sp) + 8003a264: 01013a03 ld s4,16(sp) + 8003a268: 00813a83 ld s5,8(sp) + 8003a26c: 00098513 mv a0,s3 + 8003a270: 01813983 ld s3,24(sp) + 8003a274: 04010113 addi sp,sp,64 + 8003a278: 00008067 ret + 8003a27c: 00000a13 li s4,0 + 8003a280: eedff06f j 8003a16c + +000000008003a284 <__am_timer_rtc>: + 8003a284: f9010113 addi sp,sp,-112 + 8003a288: 06813023 sd s0,96(sp) + 8003a28c: 06113423 sd ra,104(sp) + 8003a290: 04913c23 sd s1,88(sp) + 8003a294: 05213823 sd s2,80(sp) + 8003a298: 00050413 mv s0,a0 + 8003a29c: b00024f3 csrr s1,mcycle + 8003a2a0: 000f4937 lui s2,0xf4 + 8003a2a4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 8003a2a8: 00048513 mv a0,s1 + 8003a2ac: b7dff0ef jal ra,80039e28 <__udivdi3> + 8003a2b0: 00050793 mv a5,a0 + 8003a2b4: 24090593 addi a1,s2,576 + 8003a2b8: 00048513 mv a0,s1 + 8003a2bc: 00f13423 sd a5,8(sp) + 8003a2c0: bb1ff0ef jal ra,80039e70 <__umoddi3> + 8003a2c4: 00050793 mv a5,a0 + 8003a2c8: 01810593 addi a1,sp,24 + 8003a2cc: 00810513 addi a0,sp,8 + 8003a2d0: 00f13823 sd a5,16(sp) + 8003a2d4: d81ff0ef jal ra,8003a054 + 8003a2d8: 01812703 lw a4,24(sp) + 8003a2dc: 02812783 lw a5,40(sp) + 8003a2e0: 06813083 ld ra,104(sp) + 8003a2e4: 00e42a23 sw a4,20(s0) + 8003a2e8: 01c12703 lw a4,28(sp) + 8003a2ec: 0017879b addiw a5,a5,1 + 8003a2f0: 00f42223 sw a5,4(s0) + 8003a2f4: 00e42823 sw a4,16(s0) + 8003a2f8: 02012703 lw a4,32(sp) + 8003a2fc: 02c12783 lw a5,44(sp) + 8003a300: 05813483 ld s1,88(sp) + 8003a304: 00e42623 sw a4,12(s0) + 8003a308: 02412703 lw a4,36(sp) + 8003a30c: 00f42023 sw a5,0(s0) + 8003a310: 05013903 ld s2,80(sp) + 8003a314: 00e42423 sw a4,8(s0) + 8003a318: 06013403 ld s0,96(sp) + 8003a31c: 07010113 addi sp,sp,112 + 8003a320: 00008067 ret + +000000008003a324 <__am_input_keybrd>: + 8003a324: 00050023 sb zero,0(a0) + 8003a328: 00052223 sw zero,4(a0) + 8003a32c: 00008067 ret + +000000008003a330 : + 8003a330: 00054783 lbu a5,0(a0) + 8003a334: 00158593 addi a1,a1,1 + 8003a338: 00150513 addi a0,a0,1 + 8003a33c: fff5c703 lbu a4,-1(a1) + 8003a340: 00078863 beqz a5,8003a350 + 8003a344: fee786e3 beq a5,a4,8003a330 + 8003a348: 40e7853b subw a0,a5,a4 + 8003a34c: 00008067 ret + 8003a350: 40e0053b negw a0,a4 + 8003a354: 00008067 ret + +000000008003a358 : + 8003a358: 02051513 slli a0,a0,0x20 + 8003a35c: 02055513 srli a0,a0,0x20 + 8003a360: 0001d797 auipc a5,0x1d + 8003a364: 24a7b823 sd a0,592(a5) # 800575b0 + 8003a368: 00008067 ret + +000000008003a36c : + 8003a36c: 0001d797 auipc a5,0x1d + 8003a370: e3478793 addi a5,a5,-460 # 800571a0 + 8003a374: 0007b503 ld a0,0(a5) + 8003a378: 0087b583 ld a1,8(a5) + 8003a37c: ff010113 addi sp,sp,-16 + 8003a380: 00000693 li a3,0 + 8003a384: 00000613 li a2,0 + 8003a388: 40a585b3 sub a1,a1,a0 + 8003a38c: 00113423 sd ra,8(sp) + 8003a390: 0a1010ef jal ra,8003bc30 + 8003a394: 00813083 ld ra,8(sp) + 8003a398: 00192797 auipc a5,0x192 + 8003a39c: 40a7bc23 sd a0,1048(a5) # 801cc7b0 + 8003a3a0: 01010113 addi sp,sp,16 + 8003a3a4: 00008067 ret + +000000008003a3a8 : + 8003a3a8: 00050593 mv a1,a0 + 8003a3ac: 00192517 auipc a0,0x192 + 8003a3b0: 40453503 ld a0,1028(a0) # 801cc7b0 + 8003a3b4: 2510106f j 8003be04 + +000000008003a3b8 : + 8003a3b8: 00050593 mv a1,a0 + 8003a3bc: 00192517 auipc a0,0x192 + 8003a3c0: 3f453503 ld a0,1012(a0) # 801cc7b0 + 8003a3c4: 7150106f j 8003c2d8 + +000000008003a3c8 : + 8003a3c8: 00757793 andi a5,a0,7 + 8003a3cc: 02078463 beqz a5,8003a3f4 + 8003a3d0: 00050793 mv a5,a0 + 8003a3d4: 0100006f j 8003a3e4 + 8003a3d8: 00178793 addi a5,a5,1 + 8003a3dc: 0077f713 andi a4,a5,7 + 8003a3e0: 00070c63 beqz a4,8003a3f8 + 8003a3e4: 0007c703 lbu a4,0(a5) + 8003a3e8: fe0718e3 bnez a4,8003a3d8 + 8003a3ec: 40a78533 sub a0,a5,a0 + 8003a3f0: 00008067 ret + 8003a3f4: 00050793 mv a5,a0 + 8003a3f8: 0000c817 auipc a6,0xc + 8003a3fc: 5c083803 ld a6,1472(a6) # 800469b8 + 8003a400: 0000c597 auipc a1,0xc + 8003a404: 5c05b583 ld a1,1472(a1) # 800469c0 + 8003a408: 0007b603 ld a2,0(a5) + 8003a40c: 00878693 addi a3,a5,8 + 8003a410: 01060733 add a4,a2,a6 + 8003a414: fff64613 not a2,a2 + 8003a418: 00c77733 and a4,a4,a2 + 8003a41c: 00b77733 and a4,a4,a1 + 8003a420: 04070263 beqz a4,8003a464 + 8003a424: ff86c703 lbu a4,-8(a3) + 8003a428: fc0702e3 beqz a4,8003a3ec + 8003a42c: ff96c703 lbu a4,-7(a3) + 8003a430: 04070463 beqz a4,8003a478 + 8003a434: ffa6c703 lbu a4,-6(a3) + 8003a438: 04070663 beqz a4,8003a484 + 8003a43c: ffb6c703 lbu a4,-5(a3) + 8003a440: 04070863 beqz a4,8003a490 + 8003a444: ffc6c703 lbu a4,-4(a3) + 8003a448: 04070a63 beqz a4,8003a49c + 8003a44c: ffd6c703 lbu a4,-3(a3) + 8003a450: 04070c63 beqz a4,8003a4a8 + 8003a454: ffe6c703 lbu a4,-2(a3) + 8003a458: 04070e63 beqz a4,8003a4b4 + 8003a45c: fff6c703 lbu a4,-1(a3) + 8003a460: 00070663 beqz a4,8003a46c + 8003a464: 00068793 mv a5,a3 + 8003a468: fa1ff06f j 8003a408 + 8003a46c: 40a787b3 sub a5,a5,a0 + 8003a470: 00778513 addi a0,a5,7 + 8003a474: 00008067 ret + 8003a478: 40a787b3 sub a5,a5,a0 + 8003a47c: 00178513 addi a0,a5,1 + 8003a480: 00008067 ret + 8003a484: 40a787b3 sub a5,a5,a0 + 8003a488: 00278513 addi a0,a5,2 + 8003a48c: 00008067 ret + 8003a490: 40a787b3 sub a5,a5,a0 + 8003a494: 00378513 addi a0,a5,3 + 8003a498: 00008067 ret + 8003a49c: 40a787b3 sub a5,a5,a0 + 8003a4a0: 00478513 addi a0,a5,4 + 8003a4a4: 00008067 ret + 8003a4a8: 40a787b3 sub a5,a5,a0 + 8003a4ac: 00578513 addi a0,a5,5 + 8003a4b0: 00008067 ret + 8003a4b4: 40a787b3 sub a5,a5,a0 + 8003a4b8: 00678513 addi a0,a5,6 + 8003a4bc: 00008067 ret + +000000008003a4c0 <_out_buffer>: + 8003a4c0: 00d67663 bgeu a2,a3,8003a4cc <_out_buffer+0xc> + 8003a4c4: 00c585b3 add a1,a1,a2 + 8003a4c8: 00a58023 sb a0,0(a1) + 8003a4cc: 00008067 ret + +000000008003a4d0 <_out_null>: + 8003a4d0: 00008067 ret + +000000008003a4d4 <_ntoa_format>: + 8003a4d4: fa010113 addi sp,sp,-96 + 8003a4d8: 07012303 lw t1,112(sp) + 8003a4dc: 03313c23 sd s3,56(sp) + 8003a4e0: 03413823 sd s4,48(sp) + 8003a4e4: 03513423 sd s5,40(sp) + 8003a4e8: 03613023 sd s6,32(sp) + 8003a4ec: 01713c23 sd s7,24(sp) + 8003a4f0: 01813823 sd s8,16(sp) + 8003a4f4: 01913423 sd s9,8(sp) + 8003a4f8: 00237e13 andi t3,t1,2 + 8003a4fc: 01037e93 andi t4,t1,16 + 8003a500: 04113c23 sd ra,88(sp) + 8003a504: 04813823 sd s0,80(sp) + 8003a508: 04913423 sd s1,72(sp) + 8003a50c: 05213023 sd s2,64(sp) + 8003a510: 06012f03 lw t5,96(sp) + 8003a514: 06812c03 lw s8,104(sp) + 8003a518: 00050a13 mv s4,a0 + 8003a51c: 00058a93 mv s5,a1 + 8003a520: 00060b93 mv s7,a2 + 8003a524: 00068b13 mv s6,a3 + 8003a528: 00070993 mv s3,a4 + 8003a52c: 000e0c9b sext.w s9,t3 + 8003a530: 000e8e9b sext.w t4,t4 + 8003a534: 060e1c63 bnez t3,8003a5ac <_ntoa_format+0xd8> + 8003a538: 020f1693 slli a3,t5,0x20 + 8003a53c: 00137513 andi a0,t1,1 + 8003a540: 0206d693 srli a3,a3,0x20 + 8003a544: 180c1e63 bnez s8,8003a6e0 <_ntoa_format+0x20c> + 8003a548: 02d7f663 bgeu a5,a3,8003a574 <_ntoa_format+0xa0> + 8003a54c: 02000713 li a4,32 + 8003a550: 36e78e63 beq a5,a4,8003a8cc <_ntoa_format+0x3f8> + 8003a554: 03000613 li a2,48 + 8003a558: 02000593 li a1,32 + 8003a55c: 0080006f j 8003a564 <_ntoa_format+0x90> + 8003a560: 00b78a63 beq a5,a1,8003a574 <_ntoa_format+0xa0> + 8003a564: 00178793 addi a5,a5,1 + 8003a568: 00f98733 add a4,s3,a5 + 8003a56c: fec70fa3 sb a2,-1(a4) + 8003a570: fed7e8e3 bltu a5,a3,8003a560 <_ntoa_format+0x8c> + 8003a574: 02050c63 beqz a0,8003a5ac <_ntoa_format+0xd8> + 8003a578: 020c1913 slli s2,s8,0x20 + 8003a57c: 02095913 srli s2,s2,0x20 + 8003a580: 0327f663 bgeu a5,s2,8003a5ac <_ntoa_format+0xd8> + 8003a584: 02000713 li a4,32 + 8003a588: 36e78863 beq a5,a4,8003a8f8 <_ntoa_format+0x424> + 8003a58c: 03000693 li a3,48 + 8003a590: 02000613 li a2,32 + 8003a594: 0080006f j 8003a59c <_ntoa_format+0xc8> + 8003a598: 16c78463 beq a5,a2,8003a700 <_ntoa_format+0x22c> + 8003a59c: 00178793 addi a5,a5,1 + 8003a5a0: 00f98733 add a4,s3,a5 + 8003a5a4: fed70fa3 sb a3,-1(a4) + 8003a5a8: ff2798e3 bne a5,s2,8003a598 <_ntoa_format+0xc4> + 8003a5ac: 1a0e8663 beqz t4,8003a758 <_ntoa_format+0x284> + 8003a5b0: 40037713 andi a4,t1,1024 + 8003a5b4: 20071463 bnez a4,8003a7bc <_ntoa_format+0x2e8> + 8003a5b8: 1e079663 bnez a5,8003a7a4 <_ntoa_format+0x2d0> + 8003a5bc: 01000793 li a5,16 + 8003a5c0: 2af88863 beq a7,a5,8003a870 <_ntoa_format+0x39c> + 8003a5c4: 00200793 li a5,2 + 8003a5c8: 2ef88a63 beq a7,a5,8003a8bc <_ntoa_format+0x3e8> + 8003a5cc: 03000793 li a5,48 + 8003a5d0: 00f98023 sb a5,0(s3) + 8003a5d4: 00100793 li a5,1 + 8003a5d8: 1a080463 beqz a6,8003a780 <_ntoa_format+0x2ac> + 8003a5dc: 00f98733 add a4,s3,a5 + 8003a5e0: 00178413 addi s0,a5,1 + 8003a5e4: 02d00793 li a5,45 + 8003a5e8: 00f70023 sb a5,0(a4) + 8003a5ec: 00337313 andi t1,t1,3 + 8003a5f0: 20031263 bnez t1,8003a7f4 <_ntoa_format+0x320> + 8003a5f4: 020c1913 slli s2,s8,0x20 + 8003a5f8: 02095913 srli s2,s2,0x20 + 8003a5fc: 1f247c63 bgeu s0,s2,8003a7f4 <_ntoa_format+0x320> + 8003a600: 40890933 sub s2,s2,s0 + 8003a604: 01790933 add s2,s2,s7 + 8003a608: 000b8493 mv s1,s7 + 8003a60c: 00048613 mv a2,s1 + 8003a610: 000b0693 mv a3,s6 + 8003a614: 00148493 addi s1,s1,1 + 8003a618: 000a8593 mv a1,s5 + 8003a61c: 02000513 li a0,32 + 8003a620: 000a00e7 jalr s4 + 8003a624: ff2494e3 bne s1,s2,8003a60c <_ntoa_format+0x138> + 8003a628: 02040663 beqz s0,8003a654 <_ntoa_format+0x180> + 8003a62c: 01240933 add s2,s0,s2 + 8003a630: 012984b3 add s1,s3,s2 + 8003a634: 00898433 add s0,s3,s0 + 8003a638: fff44503 lbu a0,-1(s0) + 8003a63c: 40848633 sub a2,s1,s0 + 8003a640: 000b0693 mv a3,s6 + 8003a644: fff40413 addi s0,s0,-1 + 8003a648: 000a8593 mv a1,s5 + 8003a64c: 000a00e7 jalr s4 + 8003a650: fe8994e3 bne s3,s0,8003a638 <_ntoa_format+0x164> + 8003a654: 040c8a63 beqz s9,8003a6a8 <_ntoa_format+0x1d4> + 8003a658: 020c1c13 slli s8,s8,0x20 + 8003a65c: 41790433 sub s0,s2,s7 + 8003a660: 020c5c13 srli s8,s8,0x20 + 8003a664: 05847263 bgeu s0,s8,8003a6a8 <_ntoa_format+0x1d4> + 8003a668: 01740633 add a2,s0,s7 + 8003a66c: 000b0693 mv a3,s6 + 8003a670: 00140413 addi s0,s0,1 + 8003a674: 000a8593 mv a1,s5 + 8003a678: 02000513 li a0,32 + 8003a67c: 000a00e7 jalr s4 + 8003a680: ff8464e3 bltu s0,s8,8003a668 <_ntoa_format+0x194> + 8003a684: 41790733 sub a4,s2,s7 + 8003a688: 00170713 addi a4,a4,1 + 8003a68c: 00000793 li a5,0 + 8003a690: 00ec6863 bltu s8,a4,8003a6a0 <_ntoa_format+0x1cc> + 8003a694: fffb8793 addi a5,s7,-1 + 8003a698: 01878c33 add s8,a5,s8 + 8003a69c: 412c07b3 sub a5,s8,s2 + 8003a6a0: 00190913 addi s2,s2,1 + 8003a6a4: 00f90933 add s2,s2,a5 + 8003a6a8: 05813083 ld ra,88(sp) + 8003a6ac: 05013403 ld s0,80(sp) + 8003a6b0: 04813483 ld s1,72(sp) + 8003a6b4: 03813983 ld s3,56(sp) + 8003a6b8: 03013a03 ld s4,48(sp) + 8003a6bc: 02813a83 ld s5,40(sp) + 8003a6c0: 02013b03 ld s6,32(sp) + 8003a6c4: 01813b83 ld s7,24(sp) + 8003a6c8: 01013c03 ld s8,16(sp) + 8003a6cc: 00813c83 ld s9,8(sp) + 8003a6d0: 00090513 mv a0,s2 + 8003a6d4: 04013903 ld s2,64(sp) + 8003a6d8: 06010113 addi sp,sp,96 + 8003a6dc: 00008067 ret + 8003a6e0: 12050863 beqz a0,8003a810 <_ntoa_format+0x33c> + 8003a6e4: 00081663 bnez a6,8003a6f0 <_ntoa_format+0x21c> + 8003a6e8: 00c37713 andi a4,t1,12 + 8003a6ec: 00070463 beqz a4,8003a6f4 <_ntoa_format+0x220> + 8003a6f0: fffc0c1b addiw s8,s8,-1 + 8003a6f4: e8d7f2e3 bgeu a5,a3,8003a578 <_ntoa_format+0xa4> + 8003a6f8: 02000713 li a4,32 + 8003a6fc: e4e79ce3 bne a5,a4,8003a554 <_ntoa_format+0x80> + 8003a700: 0e0e8063 beqz t4,8003a7e0 <_ntoa_format+0x30c> + 8003a704: 40037793 andi a5,t1,1024 + 8003a708: 04079c63 bnez a5,8003a760 <_ntoa_format+0x28c> + 8003a70c: 02000793 li a5,32 + 8003a710: 0aff1063 bne t5,a5,8003a7b0 <_ntoa_format+0x2dc> + 8003a714: 02000793 li a5,32 + 8003a718: 01f00713 li a4,31 + 8003a71c: 01000693 li a3,16 + 8003a720: 1ad88c63 beq a7,a3,8003a8d8 <_ntoa_format+0x404> + 8003a724: 00200693 li a3,2 + 8003a728: 00070793 mv a5,a4 + 8003a72c: 00d89e63 bne a7,a3,8003a748 <_ntoa_format+0x274> + 8003a730: 00e986b3 add a3,s3,a4 + 8003a734: 00170793 addi a5,a4,1 + 8003a738: 06200713 li a4,98 + 8003a73c: 00e68023 sb a4,0(a3) + 8003a740: 02000713 li a4,32 + 8003a744: 00e78e63 beq a5,a4,8003a760 <_ntoa_format+0x28c> + 8003a748: 00f98733 add a4,s3,a5 + 8003a74c: 03000693 li a3,48 + 8003a750: 00d70023 sb a3,0(a4) + 8003a754: 00178793 addi a5,a5,1 + 8003a758: 02000713 li a4,32 + 8003a75c: e6e79ee3 bne a5,a4,8003a5d8 <_ntoa_format+0x104> + 8003a760: 00337313 andi t1,t1,3 + 8003a764: 08031263 bnez t1,8003a7e8 <_ntoa_format+0x314> + 8003a768: 02000413 li s0,32 + 8003a76c: 020c1913 slli s2,s8,0x20 + 8003a770: 02095913 srli s2,s2,0x20 + 8003a774: e92466e3 bltu s0,s2,8003a600 <_ntoa_format+0x12c> + 8003a778: 000b8913 mv s2,s7 + 8003a77c: eadff06f j 8003a628 <_ntoa_format+0x154> + 8003a780: 00437713 andi a4,t1,4 + 8003a784: 06071c63 bnez a4,8003a7fc <_ntoa_format+0x328> + 8003a788: 00837713 andi a4,t1,8 + 8003a78c: 0c071863 bnez a4,8003a85c <_ntoa_format+0x388> + 8003a790: 00337313 andi t1,t1,3 + 8003a794: 00078413 mv s0,a5 + 8003a798: fc030ae3 beqz t1,8003a76c <_ntoa_format+0x298> + 8003a79c: 000b8913 mv s2,s7 + 8003a7a0: e89ff06f j 8003a628 <_ntoa_format+0x154> + 8003a7a4: 020f1f13 slli t5,t5,0x20 + 8003a7a8: 020f5f13 srli t5,t5,0x20 + 8003a7ac: 0aff0263 beq t5,a5,8003a850 <_ntoa_format+0x37c> + 8003a7b0: 020c1913 slli s2,s8,0x20 + 8003a7b4: 02095913 srli s2,s2,0x20 + 8003a7b8: 08f90c63 beq s2,a5,8003a850 <_ntoa_format+0x37c> + 8003a7bc: 01000713 li a4,16 + 8003a7c0: 06e88663 beq a7,a4,8003a82c <_ntoa_format+0x358> + 8003a7c4: 00200713 li a4,2 + 8003a7c8: f6e89ce3 bne a7,a4,8003a740 <_ntoa_format+0x26c> + 8003a7cc: 02000713 li a4,32 + 8003a7d0: f8e788e3 beq a5,a4,8003a760 <_ntoa_format+0x28c> + 8003a7d4: 00f986b3 add a3,s3,a5 + 8003a7d8: 00178793 addi a5,a5,1 + 8003a7dc: f5dff06f j 8003a738 <_ntoa_format+0x264> + 8003a7e0: 00337313 andi t1,t1,3 + 8003a7e4: 0c030063 beqz t1,8003a8a4 <_ntoa_format+0x3d0> + 8003a7e8: 000b8913 mv s2,s7 + 8003a7ec: 02000413 li s0,32 + 8003a7f0: e3dff06f j 8003a62c <_ntoa_format+0x158> + 8003a7f4: 000b8913 mv s2,s7 + 8003a7f8: e35ff06f j 8003a62c <_ntoa_format+0x158> + 8003a7fc: 00f98733 add a4,s3,a5 + 8003a800: 00178413 addi s0,a5,1 + 8003a804: 02b00793 li a5,43 + 8003a808: 00f70023 sb a5,0(a4) + 8003a80c: de1ff06f j 8003a5ec <_ntoa_format+0x118> + 8003a810: d8d7fee3 bgeu a5,a3,8003a5ac <_ntoa_format+0xd8> + 8003a814: 02000713 li a4,32 + 8003a818: d2e79ee3 bne a5,a4,8003a554 <_ntoa_format+0x80> + 8003a81c: 0e0e8c63 beqz t4,8003a914 <_ntoa_format+0x440> + 8003a820: 40037793 andi a5,t1,1024 + 8003a824: f2079ee3 bnez a5,8003a760 <_ntoa_format+0x28c> + 8003a828: ee5ff06f j 8003a70c <_ntoa_format+0x238> + 8003a82c: 02037713 andi a4,t1,32 + 8003a830: 04071c63 bnez a4,8003a888 <_ntoa_format+0x3b4> + 8003a834: 02000713 li a4,32 + 8003a838: f2e784e3 beq a5,a4,8003a760 <_ntoa_format+0x28c> + 8003a83c: 00f98733 add a4,s3,a5 + 8003a840: 00178793 addi a5,a5,1 + 8003a844: 07800693 li a3,120 + 8003a848: 00d70023 sb a3,0(a4) + 8003a84c: ef5ff06f j 8003a740 <_ntoa_format+0x26c> + 8003a850: fff78713 addi a4,a5,-1 + 8003a854: d60704e3 beqz a4,8003a5bc <_ntoa_format+0xe8> + 8003a858: ec5ff06f j 8003a71c <_ntoa_format+0x248> + 8003a85c: 00f98733 add a4,s3,a5 + 8003a860: 00178413 addi s0,a5,1 + 8003a864: 02000793 li a5,32 + 8003a868: 00f70023 sb a5,0(a4) + 8003a86c: d81ff06f j 8003a5ec <_ntoa_format+0x118> + 8003a870: 02037793 andi a5,t1,32 + 8003a874: 02079c63 bnez a5,8003a8ac <_ntoa_format+0x3d8> + 8003a878: 07800793 li a5,120 + 8003a87c: 00f98023 sb a5,0(s3) + 8003a880: 00100793 li a5,1 + 8003a884: ec5ff06f j 8003a748 <_ntoa_format+0x274> + 8003a888: 02000713 li a4,32 + 8003a88c: ece78ae3 beq a5,a4,8003a760 <_ntoa_format+0x28c> + 8003a890: 00f98733 add a4,s3,a5 + 8003a894: 05800693 li a3,88 + 8003a898: 00d70023 sb a3,0(a4) + 8003a89c: 00178793 addi a5,a5,1 + 8003a8a0: ea1ff06f j 8003a740 <_ntoa_format+0x26c> + 8003a8a4: 02000413 li s0,32 + 8003a8a8: d4dff06f j 8003a5f4 <_ntoa_format+0x120> + 8003a8ac: 05800793 li a5,88 + 8003a8b0: 00f98023 sb a5,0(s3) + 8003a8b4: 00100793 li a5,1 + 8003a8b8: e91ff06f j 8003a748 <_ntoa_format+0x274> + 8003a8bc: 06200793 li a5,98 + 8003a8c0: 00f98023 sb a5,0(s3) + 8003a8c4: 00100793 li a5,1 + 8003a8c8: e81ff06f j 8003a748 <_ntoa_format+0x274> + 8003a8cc: e2051ae3 bnez a0,8003a700 <_ntoa_format+0x22c> + 8003a8d0: 000c8c13 mv s8,s9 + 8003a8d4: f49ff06f j 8003a81c <_ntoa_format+0x348> + 8003a8d8: 02037693 andi a3,t1,32 + 8003a8dc: ffe78713 addi a4,a5,-2 + 8003a8e0: 00069863 bnez a3,8003a8f0 <_ntoa_format+0x41c> + 8003a8e4: 00e98733 add a4,s3,a4 + 8003a8e8: fff78793 addi a5,a5,-1 + 8003a8ec: f59ff06f j 8003a844 <_ntoa_format+0x370> + 8003a8f0: 00070793 mv a5,a4 + 8003a8f4: f9dff06f j 8003a890 <_ntoa_format+0x3bc> + 8003a8f8: 000e8e63 beqz t4,8003a914 <_ntoa_format+0x440> + 8003a8fc: 40037713 andi a4,t1,1024 + 8003a900: 00071e63 bnez a4,8003a91c <_ntoa_format+0x448> + 8003a904: 02ff0e63 beq t5,a5,8003a940 <_ntoa_format+0x46c> + 8003a908: e4fc1ce3 bne s8,a5,8003a760 <_ntoa_format+0x28c> + 8003a90c: 01f00713 li a4,31 + 8003a910: e0dff06f j 8003a71c <_ntoa_format+0x248> + 8003a914: 02000413 li s0,32 + 8003a918: cd5ff06f j 8003a5ec <_ntoa_format+0x118> + 8003a91c: 01000793 li a5,16 + 8003a920: 02f88c63 beq a7,a5,8003a958 <_ntoa_format+0x484> + 8003a924: 00200793 li a5,2 + 8003a928: e2f88ce3 beq a7,a5,8003a760 <_ntoa_format+0x28c> + 8003a92c: 00337313 andi t1,t1,3 + 8003a930: ea031ce3 bnez t1,8003a7e8 <_ntoa_format+0x314> + 8003a934: 02000413 li s0,32 + 8003a938: cd2464e3 bltu s0,s2,8003a600 <_ntoa_format+0x12c> + 8003a93c: e3dff06f j 8003a778 <_ntoa_format+0x2a4> + 8003a940: 01000713 li a4,16 + 8003a944: f8e88ae3 beq a7,a4,8003a8d8 <_ntoa_format+0x404> + 8003a948: 00200793 li a5,2 + 8003a94c: 00f88c63 beq a7,a5,8003a964 <_ntoa_format+0x490> + 8003a950: 01f00793 li a5,31 + 8003a954: df5ff06f j 8003a748 <_ntoa_format+0x274> + 8003a958: 02037793 andi a5,t1,32 + 8003a95c: fc0788e3 beqz a5,8003a92c <_ntoa_format+0x458> + 8003a960: e01ff06f j 8003a760 <_ntoa_format+0x28c> + 8003a964: 01f00713 li a4,31 + 8003a968: dc9ff06f j 8003a730 <_ntoa_format+0x25c> + +000000008003a96c <_ntoa_long>: + 8003a96c: f4010113 addi sp,sp,-192 + 8003a970: 09413823 sd s4,144(sp) + 8003a974: 09513423 sd s5,136(sp) + 8003a978: 09613023 sd s6,128(sp) + 8003a97c: 07713c23 sd s7,120(sp) + 8003a980: 07813823 sd s8,112(sp) + 8003a984: 07913423 sd s9,104(sp) + 8003a988: 05b13c23 sd s11,88(sp) + 8003a98c: 0a113c23 sd ra,184(sp) + 8003a990: 0a813823 sd s0,176(sp) + 8003a994: 0a913423 sd s1,168(sp) + 8003a998: 0b213023 sd s2,160(sp) + 8003a99c: 09313c23 sd s3,152(sp) + 8003a9a0: 07a13023 sd s10,96(sp) + 8003a9a4: 02a13023 sd a0,32(sp) + 8003a9a8: 03113423 sd a7,40(sp) + 8003a9ac: 0c812a03 lw s4,200(sp) + 8003a9b0: 00070d93 mv s11,a4 + 8003a9b4: 00058b13 mv s6,a1 + 8003a9b8: 00060b93 mv s7,a2 + 8003a9bc: 00068c13 mv s8,a3 + 8003a9c0: 00078c93 mv s9,a5 + 8003a9c4: 00080a93 mv s5,a6 + 8003a9c8: 00071863 bnez a4,8003a9d8 <_ntoa_long+0x6c> + 8003a9cc: 400a7793 andi a5,s4,1024 + 8003a9d0: fefa7a13 andi s4,s4,-17 + 8003a9d4: 0e079663 bnez a5,8003aac0 <_ntoa_long+0x154> + 8003a9d8: 020a7793 andi a5,s4,32 + 8003a9dc: 06100413 li s0,97 + 8003a9e0: 0c079c63 bnez a5,8003aab8 <_ntoa_long+0x14c> + 8003a9e4: 00000d13 li s10,0 + 8003a9e8: 03010493 addi s1,sp,48 + 8003a9ec: 00900913 li s2,9 + 8003a9f0: ff64041b addiw s0,s0,-10 + 8003a9f4: 02000993 li s3,32 + 8003a9f8: 00c0006f j 8003aa04 <_ntoa_long+0x98> + 8003a9fc: 053d0463 beq s10,s3,8003aa44 <_ntoa_long+0xd8> + 8003aa00: 00050d93 mv s11,a0 + 8003aa04: 000a8593 mv a1,s5 + 8003aa08: 000d8513 mv a0,s11 + 8003aa0c: c64ff0ef jal ra,80039e70 <__umoddi3> + 8003aa10: 0ff57313 andi t1,a0,255 + 8003aa14: 0303071b addiw a4,t1,48 + 8003aa18: 0064033b addw t1,s0,t1 + 8003aa1c: 0ff37313 andi t1,t1,255 + 8003aa20: 00a96463 bltu s2,a0,8003aa28 <_ntoa_long+0xbc> + 8003aa24: 0ff77313 andi t1,a4,255 + 8003aa28: 001d0d13 addi s10,s10,1 + 8003aa2c: 01a48733 add a4,s1,s10 + 8003aa30: 000d8513 mv a0,s11 + 8003aa34: 000a8593 mv a1,s5 + 8003aa38: fe670fa3 sb t1,-1(a4) + 8003aa3c: becff0ef jal ra,80039e28 <__udivdi3> + 8003aa40: fb5dfee3 bgeu s11,s5,8003a9fc <_ntoa_long+0x90> + 8003aa44: 0c012703 lw a4,192(sp) + 8003aa48: 02813783 ld a5,40(sp) + 8003aa4c: 02013503 ld a0,32(sp) + 8003aa50: 01413823 sd s4,16(sp) + 8003aa54: 00e13423 sd a4,8(sp) + 8003aa58: 00f13023 sd a5,0(sp) + 8003aa5c: 000a889b sext.w a7,s5 + 8003aa60: 000c8813 mv a6,s9 + 8003aa64: 000d0793 mv a5,s10 + 8003aa68: 00048713 mv a4,s1 + 8003aa6c: 000c0693 mv a3,s8 + 8003aa70: 000b8613 mv a2,s7 + 8003aa74: 000b0593 mv a1,s6 + 8003aa78: a5dff0ef jal ra,8003a4d4 <_ntoa_format> + 8003aa7c: 0b813083 ld ra,184(sp) + 8003aa80: 0b013403 ld s0,176(sp) + 8003aa84: 0a813483 ld s1,168(sp) + 8003aa88: 0a013903 ld s2,160(sp) + 8003aa8c: 09813983 ld s3,152(sp) + 8003aa90: 09013a03 ld s4,144(sp) + 8003aa94: 08813a83 ld s5,136(sp) + 8003aa98: 08013b03 ld s6,128(sp) + 8003aa9c: 07813b83 ld s7,120(sp) + 8003aaa0: 07013c03 ld s8,112(sp) + 8003aaa4: 06813c83 ld s9,104(sp) + 8003aaa8: 06013d03 ld s10,96(sp) + 8003aaac: 05813d83 ld s11,88(sp) + 8003aab0: 0c010113 addi sp,sp,192 + 8003aab4: 00008067 ret + 8003aab8: 04100413 li s0,65 + 8003aabc: f29ff06f j 8003a9e4 <_ntoa_long+0x78> + 8003aac0: 00000d13 li s10,0 + 8003aac4: 03010493 addi s1,sp,48 + 8003aac8: f7dff06f j 8003aa44 <_ntoa_long+0xd8> + +000000008003aacc <_ntoa_long_long>: + 8003aacc: f4010113 addi sp,sp,-192 + 8003aad0: 09413823 sd s4,144(sp) + 8003aad4: 09513423 sd s5,136(sp) + 8003aad8: 09613023 sd s6,128(sp) + 8003aadc: 07713c23 sd s7,120(sp) + 8003aae0: 07813823 sd s8,112(sp) + 8003aae4: 07913423 sd s9,104(sp) + 8003aae8: 05b13c23 sd s11,88(sp) + 8003aaec: 0a113c23 sd ra,184(sp) + 8003aaf0: 0a813823 sd s0,176(sp) + 8003aaf4: 0a913423 sd s1,168(sp) + 8003aaf8: 0b213023 sd s2,160(sp) + 8003aafc: 09313c23 sd s3,152(sp) + 8003ab00: 07a13023 sd s10,96(sp) + 8003ab04: 02a13023 sd a0,32(sp) + 8003ab08: 03113423 sd a7,40(sp) + 8003ab0c: 0c812a03 lw s4,200(sp) + 8003ab10: 00070d93 mv s11,a4 + 8003ab14: 00058b13 mv s6,a1 + 8003ab18: 00060b93 mv s7,a2 + 8003ab1c: 00068c13 mv s8,a3 + 8003ab20: 00078c93 mv s9,a5 + 8003ab24: 00080a93 mv s5,a6 + 8003ab28: 00071863 bnez a4,8003ab38 <_ntoa_long_long+0x6c> + 8003ab2c: 400a7793 andi a5,s4,1024 + 8003ab30: fefa7a13 andi s4,s4,-17 + 8003ab34: 0e079663 bnez a5,8003ac20 <_ntoa_long_long+0x154> + 8003ab38: 020a7793 andi a5,s4,32 + 8003ab3c: 06100413 li s0,97 + 8003ab40: 0c079c63 bnez a5,8003ac18 <_ntoa_long_long+0x14c> + 8003ab44: 00000d13 li s10,0 + 8003ab48: 03010493 addi s1,sp,48 + 8003ab4c: 00900913 li s2,9 + 8003ab50: ff64041b addiw s0,s0,-10 + 8003ab54: 02000993 li s3,32 + 8003ab58: 00c0006f j 8003ab64 <_ntoa_long_long+0x98> + 8003ab5c: 053d0463 beq s10,s3,8003aba4 <_ntoa_long_long+0xd8> + 8003ab60: 00050d93 mv s11,a0 + 8003ab64: 000a8593 mv a1,s5 + 8003ab68: 000d8513 mv a0,s11 + 8003ab6c: b04ff0ef jal ra,80039e70 <__umoddi3> + 8003ab70: 0ff57313 andi t1,a0,255 + 8003ab74: 0303071b addiw a4,t1,48 + 8003ab78: 0064033b addw t1,s0,t1 + 8003ab7c: 0ff37313 andi t1,t1,255 + 8003ab80: 00a96463 bltu s2,a0,8003ab88 <_ntoa_long_long+0xbc> + 8003ab84: 0ff77313 andi t1,a4,255 + 8003ab88: 001d0d13 addi s10,s10,1 + 8003ab8c: 01a48733 add a4,s1,s10 + 8003ab90: 000d8513 mv a0,s11 + 8003ab94: 000a8593 mv a1,s5 + 8003ab98: fe670fa3 sb t1,-1(a4) + 8003ab9c: a8cff0ef jal ra,80039e28 <__udivdi3> + 8003aba0: fb5dfee3 bgeu s11,s5,8003ab5c <_ntoa_long_long+0x90> + 8003aba4: 0c012703 lw a4,192(sp) + 8003aba8: 02813783 ld a5,40(sp) + 8003abac: 02013503 ld a0,32(sp) + 8003abb0: 01413823 sd s4,16(sp) + 8003abb4: 00e13423 sd a4,8(sp) + 8003abb8: 00f13023 sd a5,0(sp) + 8003abbc: 000a889b sext.w a7,s5 + 8003abc0: 000c8813 mv a6,s9 + 8003abc4: 000d0793 mv a5,s10 + 8003abc8: 00048713 mv a4,s1 + 8003abcc: 000c0693 mv a3,s8 + 8003abd0: 000b8613 mv a2,s7 + 8003abd4: 000b0593 mv a1,s6 + 8003abd8: 8fdff0ef jal ra,8003a4d4 <_ntoa_format> + 8003abdc: 0b813083 ld ra,184(sp) + 8003abe0: 0b013403 ld s0,176(sp) + 8003abe4: 0a813483 ld s1,168(sp) + 8003abe8: 0a013903 ld s2,160(sp) + 8003abec: 09813983 ld s3,152(sp) + 8003abf0: 09013a03 ld s4,144(sp) + 8003abf4: 08813a83 ld s5,136(sp) + 8003abf8: 08013b03 ld s6,128(sp) + 8003abfc: 07813b83 ld s7,120(sp) + 8003ac00: 07013c03 ld s8,112(sp) + 8003ac04: 06813c83 ld s9,104(sp) + 8003ac08: 06013d03 ld s10,96(sp) + 8003ac0c: 05813d83 ld s11,88(sp) + 8003ac10: 0c010113 addi sp,sp,192 + 8003ac14: 00008067 ret + 8003ac18: 04100413 li s0,65 + 8003ac1c: f29ff06f j 8003ab44 <_ntoa_long_long+0x78> + 8003ac20: 00000d13 li s10,0 + 8003ac24: 03010493 addi s1,sp,48 + 8003ac28: f7dff06f j 8003aba4 <_ntoa_long_long+0xd8> + +000000008003ac2c <_vsnprintf>: + 8003ac2c: f6010113 addi sp,sp,-160 + 8003ac30: 08913423 sd s1,136(sp) + 8003ac34: 09213023 sd s2,128(sp) + 8003ac38: 07313c23 sd s3,120(sp) + 8003ac3c: 05713c23 sd s7,88(sp) + 8003ac40: 05813823 sd s8,80(sp) + 8003ac44: 08113c23 sd ra,152(sp) + 8003ac48: 08813823 sd s0,144(sp) + 8003ac4c: 07413823 sd s4,112(sp) + 8003ac50: 07513423 sd s5,104(sp) + 8003ac54: 07613023 sd s6,96(sp) + 8003ac58: 05913423 sd s9,72(sp) + 8003ac5c: 05a13023 sd s10,64(sp) + 8003ac60: 03b13c23 sd s11,56(sp) + 8003ac64: 00058493 mv s1,a1 + 8003ac68: 00060c13 mv s8,a2 + 8003ac6c: 00068b93 mv s7,a3 + 8003ac70: 00070993 mv s3,a4 + 8003ac74: 00000917 auipc s2,0x0 + 8003ac78: 85c90913 addi s2,s2,-1956 # 8003a4d0 <_out_null> + 8003ac7c: 00058463 beqz a1,8003ac84 <_vsnprintf+0x58> + 8003ac80: 00050913 mv s2,a0 + 8003ac84: 000bc503 lbu a0,0(s7) + 8003ac88: 00000d13 li s10,0 + 8003ac8c: 66050663 beqz a0,8003b2f8 <_vsnprintf+0x6cc> + 8003ac90: 000107b7 lui a5,0x10 + 8003ac94: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8003ac98: 02500a13 li s4,37 + 8003ac9c: 0000c417 auipc s0,0xc + 8003aca0: ac440413 addi s0,s0,-1340 # 80046760 + 8003aca4: 00f13c23 sd a5,24(sp) + 8003aca8: 0200006f j 8003acc8 <_vsnprintf+0x9c> + 8003acac: 000d0613 mv a2,s10 + 8003acb0: 000c0693 mv a3,s8 + 8003acb4: 00048593 mv a1,s1 + 8003acb8: 001d0d13 addi s10,s10,1 + 8003acbc: 000900e7 jalr s2 + 8003acc0: 000bc503 lbu a0,0(s7) + 8003acc4: 1c050263 beqz a0,8003ae88 <_vsnprintf+0x25c> + 8003acc8: 001b8b93 addi s7,s7,1 + 8003accc: ff4510e3 bne a0,s4,8003acac <_vsnprintf+0x80> + 8003acd0: 00000593 li a1,0 + 8003acd4: 01000813 li a6,16 + 8003acd8: 000bc503 lbu a0,0(s7) + 8003acdc: 001b8713 addi a4,s7,1 + 8003ace0: 00070613 mv a2,a4 + 8003ace4: fe05079b addiw a5,a0,-32 + 8003ace8: 0ff7f793 andi a5,a5,255 + 8003acec: 00f86c63 bltu a6,a5,8003ad04 <_vsnprintf+0xd8> + 8003acf0: 00279793 slli a5,a5,0x2 + 8003acf4: 008787b3 add a5,a5,s0 + 8003acf8: 0007a783 lw a5,0(a5) + 8003acfc: 008787b3 add a5,a5,s0 + 8003ad00: 00078067 jr a5 + 8003ad04: fd05079b addiw a5,a0,-48 + 8003ad08: 0ff7f793 andi a5,a5,255 + 8003ad0c: 00900813 li a6,9 + 8003ad10: 0ef87c63 bgeu a6,a5,8003ae08 <_vsnprintf+0x1dc> + 8003ad14: 02a00793 li a5,42 + 8003ad18: 20f50463 beq a0,a5,8003af20 <_vsnprintf+0x2f4> + 8003ad1c: 000b8613 mv a2,s7 + 8003ad20: 00000d93 li s11,0 + 8003ad24: 00070b93 mv s7,a4 + 8003ad28: 02e00793 li a5,46 + 8003ad2c: 00000c93 li s9,0 + 8003ad30: 12f50463 beq a0,a5,8003ae58 <_vsnprintf+0x22c> + 8003ad34: f985079b addiw a5,a0,-104 + 8003ad38: 0ff7f793 andi a5,a5,255 + 8003ad3c: 01200713 li a4,18 + 8003ad40: 08f76463 bltu a4,a5,8003adc8 <_vsnprintf+0x19c> + 8003ad44: 0000c717 auipc a4,0xc + 8003ad48: a6070713 addi a4,a4,-1440 # 800467a4 + 8003ad4c: 00279793 slli a5,a5,0x2 + 8003ad50: 00e787b3 add a5,a5,a4 + 8003ad54: 0007a783 lw a5,0(a5) + 8003ad58: 00e787b3 add a5,a5,a4 + 8003ad5c: 00078067 jr a5 + 8003ad60: 0015e593 ori a1,a1,1 + 8003ad64: 0005859b sext.w a1,a1 + 8003ad68: 00070b93 mv s7,a4 + 8003ad6c: f6dff06f j 8003acd8 <_vsnprintf+0xac> + 8003ad70: 0025e593 ori a1,a1,2 + 8003ad74: 0005859b sext.w a1,a1 + 8003ad78: 00070b93 mv s7,a4 + 8003ad7c: f5dff06f j 8003acd8 <_vsnprintf+0xac> + 8003ad80: 0045e593 ori a1,a1,4 + 8003ad84: 0005859b sext.w a1,a1 + 8003ad88: 00070b93 mv s7,a4 + 8003ad8c: f4dff06f j 8003acd8 <_vsnprintf+0xac> + 8003ad90: 0105e593 ori a1,a1,16 + 8003ad94: 0005859b sext.w a1,a1 + 8003ad98: 00070b93 mv s7,a4 + 8003ad9c: f3dff06f j 8003acd8 <_vsnprintf+0xac> + 8003ada0: 0085e593 ori a1,a1,8 + 8003ada4: 0005859b sext.w a1,a1 + 8003ada8: 00070b93 mv s7,a4 + 8003adac: f2dff06f j 8003acd8 <_vsnprintf+0xac> + 8003adb0: 00164503 lbu a0,1(a2) + 8003adb4: 06800793 li a5,104 + 8003adb8: 52f50663 beq a0,a5,8003b2e4 <_vsnprintf+0x6b8> + 8003adbc: 0805e593 ori a1,a1,128 + 8003adc0: 0005859b sext.w a1,a1 + 8003adc4: 001b8b93 addi s7,s7,1 + 8003adc8: fdb5079b addiw a5,a0,-37 + 8003adcc: 0ff7f793 andi a5,a5,255 + 8003add0: 05300713 li a4,83 + 8003add4: ecf76ce3 bltu a4,a5,8003acac <_vsnprintf+0x80> + 8003add8: 0000c717 auipc a4,0xc + 8003addc: a1870713 addi a4,a4,-1512 # 800467f0 + 8003ade0: 00279793 slli a5,a5,0x2 + 8003ade4: 00e787b3 add a5,a5,a4 + 8003ade8: 0007a783 lw a5,0(a5) + 8003adec: 00e787b3 add a5,a5,a4 + 8003adf0: 00078067 jr a5 + 8003adf4: 00164503 lbu a0,1(a2) + 8003adf8: 1005e593 ori a1,a1,256 + 8003adfc: 0005859b sext.w a1,a1 + 8003ae00: 001b8b93 addi s7,s7,1 + 8003ae04: fc5ff06f j 8003adc8 <_vsnprintf+0x19c> + 8003ae08: 00000d93 li s11,0 + 8003ae0c: 00900813 li a6,9 + 8003ae10: 0080006f j 8003ae18 <_vsnprintf+0x1ec> + 8003ae14: 00170713 addi a4,a4,1 + 8003ae18: 002d979b slliw a5,s11,0x2 + 8003ae1c: 01b787bb addw a5,a5,s11 + 8003ae20: 0017979b slliw a5,a5,0x1 + 8003ae24: 00a787bb addw a5,a5,a0 + 8003ae28: 00074503 lbu a0,0(a4) + 8003ae2c: 000b8893 mv a7,s7 + 8003ae30: fd078d9b addiw s11,a5,-48 + 8003ae34: fd05061b addiw a2,a0,-48 + 8003ae38: 0ff67613 andi a2,a2,255 + 8003ae3c: 00070b93 mv s7,a4 + 8003ae40: fcc87ae3 bgeu a6,a2,8003ae14 <_vsnprintf+0x1e8> + 8003ae44: 02e00793 li a5,46 + 8003ae48: 00070613 mv a2,a4 + 8003ae4c: 00288b93 addi s7,a7,2 + 8003ae50: 00000c93 li s9,0 + 8003ae54: eef510e3 bne a0,a5,8003ad34 <_vsnprintf+0x108> + 8003ae58: 00164503 lbu a0,1(a2) + 8003ae5c: 4005e593 ori a1,a1,1024 + 8003ae60: 00900713 li a4,9 + 8003ae64: fd05079b addiw a5,a0,-48 + 8003ae68: 0ff7f793 andi a5,a5,255 + 8003ae6c: 0005859b sext.w a1,a1 + 8003ae70: 06f77c63 bgeu a4,a5,8003aee8 <_vsnprintf+0x2bc> + 8003ae74: 02a00793 li a5,42 + 8003ae78: 32f50e63 beq a0,a5,8003b1b4 <_vsnprintf+0x588> + 8003ae7c: 000b8613 mv a2,s7 + 8003ae80: 001b8b93 addi s7,s7,1 + 8003ae84: eb1ff06f j 8003ad34 <_vsnprintf+0x108> + 8003ae88: 000d041b sext.w s0,s10 + 8003ae8c: 018d6463 bltu s10,s8,8003ae94 <_vsnprintf+0x268> + 8003ae90: fffc0d13 addi s10,s8,-1 + 8003ae94: 000c0693 mv a3,s8 + 8003ae98: 000d0613 mv a2,s10 + 8003ae9c: 00048593 mv a1,s1 + 8003aea0: 00000513 li a0,0 + 8003aea4: 000900e7 jalr s2 + 8003aea8: 09813083 ld ra,152(sp) + 8003aeac: 00040513 mv a0,s0 + 8003aeb0: 09013403 ld s0,144(sp) + 8003aeb4: 08813483 ld s1,136(sp) + 8003aeb8: 08013903 ld s2,128(sp) + 8003aebc: 07813983 ld s3,120(sp) + 8003aec0: 07013a03 ld s4,112(sp) + 8003aec4: 06813a83 ld s5,104(sp) + 8003aec8: 06013b03 ld s6,96(sp) + 8003aecc: 05813b83 ld s7,88(sp) + 8003aed0: 05013c03 ld s8,80(sp) + 8003aed4: 04813c83 ld s9,72(sp) + 8003aed8: 04013d03 ld s10,64(sp) + 8003aedc: 03813d83 ld s11,56(sp) + 8003aee0: 0a010113 addi sp,sp,160 + 8003aee4: 00008067 ret + 8003aee8: 002c979b slliw a5,s9,0x2 + 8003aeec: 019788bb addw a7,a5,s9 + 8003aef0: 000b8813 mv a6,s7 + 8003aef4: 0018989b slliw a7,a7,0x1 + 8003aef8: 001b8b93 addi s7,s7,1 + 8003aefc: 00a888bb addw a7,a7,a0 + 8003af00: 000bc503 lbu a0,0(s7) + 8003af04: fd088c9b addiw s9,a7,-48 + 8003af08: fd05079b addiw a5,a0,-48 + 8003af0c: 0ff7f793 andi a5,a5,255 + 8003af10: fcf77ce3 bgeu a4,a5,8003aee8 <_vsnprintf+0x2bc> + 8003af14: 000b8613 mv a2,s7 + 8003af18: 00280b93 addi s7,a6,2 + 8003af1c: e19ff06f j 8003ad34 <_vsnprintf+0x108> + 8003af20: 0009a783 lw a5,0(s3) + 8003af24: 00898993 addi s3,s3,8 + 8003af28: 00078d9b sext.w s11,a5 + 8003af2c: 0007c863 bltz a5,8003af3c <_vsnprintf+0x310> + 8003af30: 001bc503 lbu a0,1(s7) + 8003af34: 002b8b93 addi s7,s7,2 + 8003af38: df1ff06f j 8003ad28 <_vsnprintf+0xfc> + 8003af3c: 0025e593 ori a1,a1,2 + 8003af40: 001bc503 lbu a0,1(s7) + 8003af44: 0005859b sext.w a1,a1 + 8003af48: 40f00dbb negw s11,a5 + 8003af4c: 002b8b93 addi s7,s7,2 + 8003af50: dd9ff06f j 8003ad28 <_vsnprintf+0xfc> + 8003af54: 07800793 li a5,120 + 8003af58: 00898a93 addi s5,s3,8 + 8003af5c: 56f50063 beq a0,a5,8003b4bc <_vsnprintf+0x890> + 8003af60: 05800793 li a5,88 + 8003af64: 28f50463 beq a0,a5,8003b1ec <_vsnprintf+0x5c0> + 8003af68: 06f00793 li a5,111 + 8003af6c: 4af50c63 beq a0,a5,8003b424 <_vsnprintf+0x7f8> + 8003af70: 06200793 li a5,98 + 8003af74: 54f50063 beq a0,a5,8003b4b4 <_vsnprintf+0x888> + 8003af78: fef5ff13 andi t5,a1,-17 + 8003af7c: 4005f793 andi a5,a1,1024 + 8003af80: 06900713 li a4,105 + 8003af84: 000f0f1b sext.w t5,t5 + 8003af88: 0007879b sext.w a5,a5 + 8003af8c: 5ae51063 bne a0,a4,8003b52c <_vsnprintf+0x900> + 8003af90: 48079663 bnez a5,8003b41c <_vsnprintf+0x7f0> + 8003af94: 2005f593 andi a1,a1,512 + 8003af98: 0005879b sext.w a5,a1 + 8003af9c: 00a00813 li a6,10 + 8003afa0: 42079663 bnez a5,8003b3cc <_vsnprintf+0x7a0> + 8003afa4: 100f7793 andi a5,t5,256 + 8003afa8: 000f0613 mv a2,t5 + 8003afac: 4e079063 bnez a5,8003b48c <_vsnprintf+0x860> + 8003afb0: 040f7713 andi a4,t5,64 + 8003afb4: 0009a783 lw a5,0(s3) + 8003afb8: 4a071a63 bnez a4,8003b46c <_vsnprintf+0x840> + 8003afbc: 08067613 andi a2,a2,128 + 8003afc0: 52060a63 beqz a2,8003b4f4 <_vsnprintf+0x8c8> + 8003afc4: 0107979b slliw a5,a5,0x10 + 8003afc8: 4107d79b sraiw a5,a5,0x10 + 8003afcc: 40f7d61b sraiw a2,a5,0xf + 8003afd0: 00c7c733 xor a4,a5,a2 + 8003afd4: 40c7073b subw a4,a4,a2 + 8003afd8: 03071713 slli a4,a4,0x30 + 8003afdc: 03075713 srli a4,a4,0x30 + 8003afe0: 000d0613 mv a2,s10 + 8003afe4: 01e13423 sd t5,8(sp) + 8003afe8: 01b13023 sd s11,0(sp) + 8003afec: 000c8893 mv a7,s9 + 8003aff0: 01f7d79b srliw a5,a5,0x1f + 8003aff4: 000c0693 mv a3,s8 + 8003aff8: 00048593 mv a1,s1 + 8003affc: 00090513 mv a0,s2 + 8003b000: 96dff0ef jal ra,8003a96c <_ntoa_long> + 8003b004: 00050d13 mv s10,a0 + 8003b008: 000a8993 mv s3,s5 + 8003b00c: cb5ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b010: 00164503 lbu a0,1(a2) + 8003b014: 06c00793 li a5,108 + 8003b018: def510e3 bne a0,a5,8003adf8 <_vsnprintf+0x1cc> + 8003b01c: 3005e593 ori a1,a1,768 + 8003b020: 00264503 lbu a0,2(a2) + 8003b024: 0005859b sext.w a1,a1 + 8003b028: 00360b93 addi s7,a2,3 + 8003b02c: d9dff06f j 8003adc8 <_vsnprintf+0x19c> + 8003b030: 00898793 addi a5,s3,8 + 8003b034: 001d0813 addi a6,s10,1 + 8003b038: 0025f593 andi a1,a1,2 + 8003b03c: 02f13023 sd a5,32(sp) + 8003b040: 00080b13 mv s6,a6 + 8003b044: 32058263 beqz a1,8003b368 <_vsnprintf+0x73c> + 8003b048: 0009c503 lbu a0,0(s3) + 8003b04c: 000c0693 mv a3,s8 + 8003b050: 000d0613 mv a2,s10 + 8003b054: 00048593 mv a1,s1 + 8003b058: 000900e7 jalr s2 + 8003b05c: 00100793 li a5,1 + 8003b060: 43b7f063 bgeu a5,s11,8003b480 <_vsnprintf+0x854> + 8003b064: ffed879b addiw a5,s11,-2 + 8003b068: 02079a93 slli s5,a5,0x20 + 8003b06c: 020ada93 srli s5,s5,0x20 + 8003b070: 002d0d13 addi s10,s10,2 + 8003b074: 015d0cb3 add s9,s10,s5 + 8003b078: 000b0613 mv a2,s6 + 8003b07c: 000c0693 mv a3,s8 + 8003b080: 001b0b13 addi s6,s6,1 + 8003b084: 00048593 mv a1,s1 + 8003b088: 02000513 li a0,32 + 8003b08c: 000900e7 jalr s2 + 8003b090: ff6c94e3 bne s9,s6,8003b078 <_vsnprintf+0x44c> + 8003b094: 02013983 ld s3,32(sp) + 8003b098: 015d0d33 add s10,s10,s5 + 8003b09c: c25ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b0a0: 000d0613 mv a2,s10 + 8003b0a4: 000c0693 mv a3,s8 + 8003b0a8: 00048593 mv a1,s1 + 8003b0ac: 02500513 li a0,37 + 8003b0b0: 001d0d13 addi s10,s10,1 + 8003b0b4: 000900e7 jalr s2 + 8003b0b8: c09ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b0bc: 0009ba83 ld s5,0(s3) + 8003b0c0: 00898793 addi a5,s3,8 + 8003b0c4: 02f13023 sd a5,32(sp) + 8003b0c8: 000ac503 lbu a0,0(s5) + 8003b0cc: 100c9663 bnez s9,8003b1d8 <_vsnprintf+0x5ac> + 8003b0d0: ffe00793 li a5,-2 + 8003b0d4: 42050863 beqz a0,8003b504 <_vsnprintf+0x8d8> + 8003b0d8: 00178693 addi a3,a5,1 + 8003b0dc: 00da8633 add a2,s5,a3 + 8003b0e0: 000a8793 mv a5,s5 + 8003b0e4: 0080006f j 8003b0ec <_vsnprintf+0x4c0> + 8003b0e8: 1ec78863 beq a5,a2,8003b2d8 <_vsnprintf+0x6ac> + 8003b0ec: 0017c703 lbu a4,1(a5) + 8003b0f0: 00178793 addi a5,a5,1 + 8003b0f4: fe071ae3 bnez a4,8003b0e8 <_vsnprintf+0x4bc> + 8003b0f8: 415787bb subw a5,a5,s5 + 8003b0fc: 00f13823 sd a5,16(sp) + 8003b100: 4005f793 andi a5,a1,1024 + 8003b104: 0007899b sext.w s3,a5 + 8003b108: 00078c63 beqz a5,8003b120 <_vsnprintf+0x4f4> + 8003b10c: 01013703 ld a4,16(sp) + 8003b110: 000c879b sext.w a5,s9 + 8003b114: 01977463 bgeu a4,s9,8003b11c <_vsnprintf+0x4f0> + 8003b118: 0007079b sext.w a5,a4 + 8003b11c: 00f13823 sd a5,16(sp) + 8003b120: 0025f593 andi a1,a1,2 + 8003b124: 0005879b sext.w a5,a1 + 8003b128: 02f13423 sd a5,40(sp) + 8003b12c: 1c058a63 beqz a1,8003b300 <_vsnprintf+0x6d4> + 8003b130: 14050863 beqz a0,8003b280 <_vsnprintf+0x654> + 8003b134: 000d0613 mv a2,s10 + 8003b138: 00098863 beqz s3,8003b148 <_vsnprintf+0x51c> + 8003b13c: fffc879b addiw a5,s9,-1 + 8003b140: 120c8863 beqz s9,8003b270 <_vsnprintf+0x644> + 8003b144: 00078c93 mv s9,a5 + 8003b148: 000c0693 mv a3,s8 + 8003b14c: 00048593 mv a1,s1 + 8003b150: 00160b13 addi s6,a2,1 + 8003b154: 000900e7 jalr s2 + 8003b158: 41ab07b3 sub a5,s6,s10 + 8003b15c: 00fa87b3 add a5,s5,a5 + 8003b160: 0007c503 lbu a0,0(a5) + 8003b164: 10050863 beqz a0,8003b274 <_vsnprintf+0x648> + 8003b168: 000b0613 mv a2,s6 + 8003b16c: fcdff06f j 8003b138 <_vsnprintf+0x50c> + 8003b170: 0009b703 ld a4,0(s3) + 8003b174: 0215e593 ori a1,a1,33 + 8003b178: 0005859b sext.w a1,a1 + 8003b17c: 01000793 li a5,16 + 8003b180: 000d0613 mv a2,s10 + 8003b184: 00b13423 sd a1,8(sp) + 8003b188: 00f13023 sd a5,0(sp) + 8003b18c: 000c8893 mv a7,s9 + 8003b190: 01000813 li a6,16 + 8003b194: 00000793 li a5,0 + 8003b198: 000c0693 mv a3,s8 + 8003b19c: 00048593 mv a1,s1 + 8003b1a0: 00090513 mv a0,s2 + 8003b1a4: 929ff0ef jal ra,8003aacc <_ntoa_long_long> + 8003b1a8: 00898993 addi s3,s3,8 + 8003b1ac: 00050d13 mv s10,a0 + 8003b1b0: b11ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b1b4: 0009a883 lw a7,0(s3) + 8003b1b8: 00264503 lbu a0,2(a2) + 8003b1bc: 00360b93 addi s7,a2,3 + 8003b1c0: fff8c793 not a5,a7 + 8003b1c4: 43f7d793 srai a5,a5,0x3f + 8003b1c8: 00f8fcb3 and s9,a7,a5 + 8003b1cc: 00898993 addi s3,s3,8 + 8003b1d0: 00260613 addi a2,a2,2 + 8003b1d4: b61ff06f j 8003ad34 <_vsnprintf+0x108> + 8003b1d8: 020c9793 slli a5,s9,0x20 + 8003b1dc: 0207d793 srli a5,a5,0x20 + 8003b1e0: 32050263 beqz a0,8003b504 <_vsnprintf+0x8d8> + 8003b1e4: fff78793 addi a5,a5,-1 + 8003b1e8: ef1ff06f j 8003b0d8 <_vsnprintf+0x4ac> + 8003b1ec: ff35ff13 andi t5,a1,-13 + 8003b1f0: 000f0f1b sext.w t5,t5 + 8003b1f4: 4005f793 andi a5,a1,1024 + 8003b1f8: 020f6f13 ori t5,t5,32 + 8003b1fc: 20078863 beqz a5,8003b40c <_vsnprintf+0x7e0> + 8003b200: 01000813 li a6,16 + 8003b204: ffef7f13 andi t5,t5,-2 + 8003b208: 000f0f1b sext.w t5,t5 + 8003b20c: 200f7793 andi a5,t5,512 + 8003b210: 06900713 li a4,105 + 8003b214: 0007879b sext.w a5,a5 + 8003b218: d8e504e3 beq a0,a4,8003afa0 <_vsnprintf+0x374> + 8003b21c: 06400713 li a4,100 + 8003b220: d8e500e3 beq a0,a4,8003afa0 <_vsnprintf+0x374> + 8003b224: 22079663 bnez a5,8003b450 <_vsnprintf+0x824> + 8003b228: 100f7793 andi a5,t5,256 + 8003b22c: 000f0713 mv a4,t5 + 8003b230: 2a079463 bnez a5,8003b4d8 <_vsnprintf+0x8ac> + 8003b234: 040f7793 andi a5,t5,64 + 8003b238: 24079063 bnez a5,8003b478 <_vsnprintf+0x84c> + 8003b23c: 08077713 andi a4,a4,128 + 8003b240: 2c070663 beqz a4,8003b50c <_vsnprintf+0x8e0> + 8003b244: 0009a703 lw a4,0(s3) + 8003b248: 01813783 ld a5,24(sp) + 8003b24c: 00e7f733 and a4,a5,a4 + 8003b250: 02071713 slli a4,a4,0x20 + 8003b254: 000d0613 mv a2,s10 + 8003b258: 01e13423 sd t5,8(sp) + 8003b25c: 01b13023 sd s11,0(sp) + 8003b260: 000c8893 mv a7,s9 + 8003b264: 00000793 li a5,0 + 8003b268: 02075713 srli a4,a4,0x20 + 8003b26c: d89ff06f j 8003aff4 <_vsnprintf+0x3c8> + 8003b270: 00060b13 mv s6,a2 + 8003b274: 02813783 ld a5,40(sp) + 8003b278: 04078a63 beqz a5,8003b2cc <_vsnprintf+0x6a0> + 8003b27c: 000b0d13 mv s10,s6 + 8003b280: 01013703 ld a4,16(sp) + 8003b284: 0db77e63 bgeu a4,s11,8003b360 <_vsnprintf+0x734> + 8003b288: fffd879b addiw a5,s11,-1 + 8003b28c: 40e7873b subw a4,a5,a4 + 8003b290: 02071713 slli a4,a4,0x20 + 8003b294: 02075713 srli a4,a4,0x20 + 8003b298: 001d0813 addi a6,s10,1 + 8003b29c: 01070b33 add s6,a4,a6 + 8003b2a0: 0080006f j 8003b2a8 <_vsnprintf+0x67c> + 8003b2a4: 00180813 addi a6,a6,1 + 8003b2a8: 000d0613 mv a2,s10 + 8003b2ac: 01013823 sd a6,16(sp) + 8003b2b0: 000c0693 mv a3,s8 + 8003b2b4: 00048593 mv a1,s1 + 8003b2b8: 02000513 li a0,32 + 8003b2bc: 00080d13 mv s10,a6 + 8003b2c0: 000900e7 jalr s2 + 8003b2c4: 01013803 ld a6,16(sp) + 8003b2c8: fd0b1ee3 bne s6,a6,8003b2a4 <_vsnprintf+0x678> + 8003b2cc: 02013983 ld s3,32(sp) + 8003b2d0: 000b0d13 mv s10,s6 + 8003b2d4: 9edff06f j 8003acc0 <_vsnprintf+0x94> + 8003b2d8: 0006879b sext.w a5,a3 + 8003b2dc: 00f13823 sd a5,16(sp) + 8003b2e0: e21ff06f j 8003b100 <_vsnprintf+0x4d4> + 8003b2e4: 0c05e593 ori a1,a1,192 + 8003b2e8: 00264503 lbu a0,2(a2) + 8003b2ec: 0005859b sext.w a1,a1 + 8003b2f0: 00360b93 addi s7,a2,3 + 8003b2f4: ad5ff06f j 8003adc8 <_vsnprintf+0x19c> + 8003b2f8: 00000413 li s0,0 + 8003b2fc: b91ff06f j 8003ae8c <_vsnprintf+0x260> + 8003b300: 01013703 ld a4,16(sp) + 8003b304: 0017079b addiw a5,a4,1 + 8003b308: 21b77c63 bgeu a4,s11,8003b520 <_vsnprintf+0x8f4> + 8003b30c: fffd879b addiw a5,s11,-1 + 8003b310: 40e787bb subw a5,a5,a4 + 8003b314: 02079793 slli a5,a5,0x20 + 8003b318: 0207d793 srli a5,a5,0x20 + 8003b31c: 001d0813 addi a6,s10,1 + 8003b320: 01078b33 add s6,a5,a6 + 8003b324: 00c0006f j 8003b330 <_vsnprintf+0x704> + 8003b328: 01013803 ld a6,16(sp) + 8003b32c: 00180813 addi a6,a6,1 + 8003b330: 000d0613 mv a2,s10 + 8003b334: 000c0693 mv a3,s8 + 8003b338: 00080d13 mv s10,a6 + 8003b33c: 01013823 sd a6,16(sp) + 8003b340: 00048593 mv a1,s1 + 8003b344: 02000513 li a0,32 + 8003b348: 000900e7 jalr s2 + 8003b34c: fd6d1ee3 bne s10,s6,8003b328 <_vsnprintf+0x6fc> + 8003b350: 000ac503 lbu a0,0(s5) + 8003b354: 001d879b addiw a5,s11,1 + 8003b358: 00f13823 sd a5,16(sp) + 8003b35c: dc051ce3 bnez a0,8003b134 <_vsnprintf+0x508> + 8003b360: 000d0b13 mv s6,s10 + 8003b364: f69ff06f j 8003b2cc <_vsnprintf+0x6a0> + 8003b368: 00100793 li a5,1 + 8003b36c: 1bb7f463 bgeu a5,s11,8003b514 <_vsnprintf+0x8e8> + 8003b370: ffed879b addiw a5,s11,-2 + 8003b374: 02079a93 slli s5,a5,0x20 + 8003b378: 020ada93 srli s5,s5,0x20 + 8003b37c: 010a8ab3 add s5,s5,a6 + 8003b380: 00c0006f j 8003b38c <_vsnprintf+0x760> + 8003b384: 01013803 ld a6,16(sp) + 8003b388: 00180813 addi a6,a6,1 + 8003b38c: 000d0613 mv a2,s10 + 8003b390: 000c0693 mv a3,s8 + 8003b394: 00080d13 mv s10,a6 + 8003b398: 01013823 sd a6,16(sp) + 8003b39c: 00048593 mv a1,s1 + 8003b3a0: 02000513 li a0,32 + 8003b3a4: 000900e7 jalr s2 + 8003b3a8: fdaa9ee3 bne s5,s10,8003b384 <_vsnprintf+0x758> + 8003b3ac: 001a8d13 addi s10,s5,1 + 8003b3b0: 0009c503 lbu a0,0(s3) + 8003b3b4: 000c0693 mv a3,s8 + 8003b3b8: 000a8613 mv a2,s5 + 8003b3bc: 00048593 mv a1,s1 + 8003b3c0: 000900e7 jalr s2 + 8003b3c4: 02013983 ld s3,32(sp) + 8003b3c8: 8f9ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b3cc: 0009b783 ld a5,0(s3) + 8003b3d0: 000d0613 mv a2,s10 + 8003b3d4: 01e13423 sd t5,8(sp) + 8003b3d8: 43f7d713 srai a4,a5,0x3f + 8003b3dc: 00f745b3 xor a1,a4,a5 + 8003b3e0: 01b13023 sd s11,0(sp) + 8003b3e4: 000c8893 mv a7,s9 + 8003b3e8: 03f7d793 srli a5,a5,0x3f + 8003b3ec: 40e58733 sub a4,a1,a4 + 8003b3f0: 000c0693 mv a3,s8 + 8003b3f4: 00048593 mv a1,s1 + 8003b3f8: 00090513 mv a0,s2 + 8003b3fc: ed0ff0ef jal ra,8003aacc <_ntoa_long_long> + 8003b400: 00050d13 mv s10,a0 + 8003b404: 000a8993 mv s3,s5 + 8003b408: 8b9ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b40c: 2005f793 andi a5,a1,512 + 8003b410: 0007879b sext.w a5,a5 + 8003b414: 01000813 li a6,16 + 8003b418: e0dff06f j 8003b224 <_vsnprintf+0x5f8> + 8003b41c: 00a00813 li a6,10 + 8003b420: de5ff06f j 8003b204 <_vsnprintf+0x5d8> + 8003b424: 00800813 li a6,8 + 8003b428: 00058f13 mv t5,a1 + 8003b42c: 400f7713 andi a4,t5,1024 + 8003b430: 06400613 li a2,100 + 8003b434: 000f0793 mv a5,t5 + 8003b438: 0007071b sext.w a4,a4 + 8003b43c: 0ec51c63 bne a0,a2,8003b534 <_vsnprintf+0x908> + 8003b440: dc0712e3 bnez a4,8003b204 <_vsnprintf+0x5d8> + 8003b444: 2007f793 andi a5,a5,512 + 8003b448: 0007879b sext.w a5,a5 + 8003b44c: b55ff06f j 8003afa0 <_vsnprintf+0x374> + 8003b450: 0009b703 ld a4,0(s3) + 8003b454: 000d0613 mv a2,s10 + 8003b458: 01e13423 sd t5,8(sp) + 8003b45c: 01b13023 sd s11,0(sp) + 8003b460: 000c8893 mv a7,s9 + 8003b464: 00000793 li a5,0 + 8003b468: f89ff06f j 8003b3f0 <_vsnprintf+0x7c4> + 8003b46c: 0ff7f793 andi a5,a5,255 + 8003b470: 00078713 mv a4,a5 + 8003b474: b6dff06f j 8003afe0 <_vsnprintf+0x3b4> + 8003b478: 0009c703 lbu a4,0(s3) + 8003b47c: dd5ff06f j 8003b250 <_vsnprintf+0x624> + 8003b480: 02013983 ld s3,32(sp) + 8003b484: 000b0d13 mv s10,s6 + 8003b488: 839ff06f j 8003acc0 <_vsnprintf+0x94> + 8003b48c: 0009b783 ld a5,0(s3) + 8003b490: 000d0613 mv a2,s10 + 8003b494: 01e13423 sd t5,8(sp) + 8003b498: 43f7d713 srai a4,a5,0x3f + 8003b49c: 00f745b3 xor a1,a4,a5 + 8003b4a0: 01b13023 sd s11,0(sp) + 8003b4a4: 000c8893 mv a7,s9 + 8003b4a8: 03f7d793 srli a5,a5,0x3f + 8003b4ac: 40e58733 sub a4,a1,a4 + 8003b4b0: b45ff06f j 8003aff4 <_vsnprintf+0x3c8> + 8003b4b4: 00200813 li a6,2 + 8003b4b8: f71ff06f j 8003b428 <_vsnprintf+0x7fc> + 8003b4bc: 4005f713 andi a4,a1,1024 + 8003b4c0: 0007071b sext.w a4,a4 + 8003b4c4: 01000813 li a6,16 + 8003b4c8: ff35f593 andi a1,a1,-13 + 8003b4cc: 00058f1b sext.w t5,a1 + 8003b4d0: d2071ae3 bnez a4,8003b204 <_vsnprintf+0x5d8> + 8003b4d4: d39ff06f j 8003b20c <_vsnprintf+0x5e0> + 8003b4d8: 0009b703 ld a4,0(s3) + 8003b4dc: 000d0613 mv a2,s10 + 8003b4e0: 01e13423 sd t5,8(sp) + 8003b4e4: 01b13023 sd s11,0(sp) + 8003b4e8: 000c8893 mv a7,s9 + 8003b4ec: 00000793 li a5,0 + 8003b4f0: b05ff06f j 8003aff4 <_vsnprintf+0x3c8> + 8003b4f4: 41f7d61b sraiw a2,a5,0x1f + 8003b4f8: 00c7c733 xor a4,a5,a2 + 8003b4fc: 40c7073b subw a4,a4,a2 + 8003b500: ae1ff06f j 8003afe0 <_vsnprintf+0x3b4> + 8003b504: 00013823 sd zero,16(sp) + 8003b508: bf9ff06f j 8003b100 <_vsnprintf+0x4d4> + 8003b50c: 0009a703 lw a4,0(s3) + 8003b510: d41ff06f j 8003b250 <_vsnprintf+0x624> + 8003b514: 000d0a93 mv s5,s10 + 8003b518: 00080d13 mv s10,a6 + 8003b51c: e95ff06f j 8003b3b0 <_vsnprintf+0x784> + 8003b520: 00f13823 sd a5,16(sp) + 8003b524: c00518e3 bnez a0,8003b134 <_vsnprintf+0x508> + 8003b528: e39ff06f j 8003b360 <_vsnprintf+0x734> + 8003b52c: 00a00813 li a6,10 + 8003b530: efdff06f j 8003b42c <_vsnprintf+0x800> + 8003b534: 000f0593 mv a1,t5 + 8003b538: f91ff06f j 8003b4c8 <_vsnprintf+0x89c> + +000000008003b53c <_out_char>: + 8003b53c: 00051463 bnez a0,8003b544 <_out_char+0x8> + 8003b540: 00008067 ret + 8003b544: 825fe06f j 80039d68 + +000000008003b548 : + 8003b548: fa010113 addi sp,sp,-96 + 8003b54c: 02810313 addi t1,sp,40 + 8003b550: 02b13423 sd a1,40(sp) + 8003b554: 02c13823 sd a2,48(sp) + 8003b558: 02d13c23 sd a3,56(sp) + 8003b55c: 04e13023 sd a4,64(sp) + 8003b560: 00050693 mv a3,a0 + 8003b564: 00010593 mv a1,sp + 8003b568: 00030713 mv a4,t1 + 8003b56c: fff00613 li a2,-1 + 8003b570: 00000517 auipc a0,0x0 + 8003b574: fcc50513 addi a0,a0,-52 # 8003b53c <_out_char> + 8003b578: 00113c23 sd ra,24(sp) + 8003b57c: 04f13423 sd a5,72(sp) + 8003b580: 05013823 sd a6,80(sp) + 8003b584: 05113c23 sd a7,88(sp) + 8003b588: 00613423 sd t1,8(sp) + 8003b58c: ea0ff0ef jal ra,8003ac2c <_vsnprintf> + 8003b590: 01813083 ld ra,24(sp) + 8003b594: 06010113 addi sp,sp,96 + 8003b598: 00008067 ret + +000000008003b59c : + 8003b59c: fb010113 addi sp,sp,-80 + 8003b5a0: 02010313 addi t1,sp,32 + 8003b5a4: 02c13023 sd a2,32(sp) + 8003b5a8: 02d13423 sd a3,40(sp) + 8003b5ac: 02e13823 sd a4,48(sp) + 8003b5b0: 00058693 mv a3,a1 + 8003b5b4: 00030713 mv a4,t1 + 8003b5b8: 00050593 mv a1,a0 + 8003b5bc: fff00613 li a2,-1 + 8003b5c0: fffff517 auipc a0,0xfffff + 8003b5c4: f0050513 addi a0,a0,-256 # 8003a4c0 <_out_buffer> + 8003b5c8: 00113c23 sd ra,24(sp) + 8003b5cc: 02f13c23 sd a5,56(sp) + 8003b5d0: 05013023 sd a6,64(sp) + 8003b5d4: 05113423 sd a7,72(sp) + 8003b5d8: 00613423 sd t1,8(sp) + 8003b5dc: e50ff0ef jal ra,8003ac2c <_vsnprintf> + 8003b5e0: 01813083 ld ra,24(sp) + 8003b5e4: 05010113 addi sp,sp,80 + 8003b5e8: 00008067 ret + +000000008003b5ec : + 8003b5ec: 00f00793 li a5,15 + 8003b5f0: 02c7ea63 bltu a5,a2,8003b624 + 8003b5f4: 02060463 beqz a2,8003b61c + 8003b5f8: 00a60633 add a2,a2,a0 + 8003b5fc: 40a585b3 sub a1,a1,a0 + 8003b600: 00a587b3 add a5,a1,a0 + 8003b604: 00054703 lbu a4,0(a0) + 8003b608: 0007c783 lbu a5,0(a5) + 8003b60c: 00150513 addi a0,a0,1 + 8003b610: 40f706b3 sub a3,a4,a5 + 8003b614: 04f71663 bne a4,a5,8003b660 + 8003b618: fec514e3 bne a0,a2,8003b600 + 8003b61c: 00000513 li a0,0 + 8003b620: 00008067 ret + 8003b624: 0075f793 andi a5,a1,7 + 8003b628: 00058713 mv a4,a1 + 8003b62c: 02078e63 beqz a5,8003b668 + 8003b630: 00c50633 add a2,a0,a2 + 8003b634: 0100006f j 8003b644 + 8003b638: 40a606b3 sub a3,a2,a0 + 8003b63c: 00058713 mv a4,a1 + 8003b640: 02080663 beqz a6,8003b66c + 8003b644: 0005c783 lbu a5,0(a1) + 8003b648: 00054703 lbu a4,0(a0) + 8003b64c: 00158593 addi a1,a1,1 + 8003b650: 0075f813 andi a6,a1,7 + 8003b654: 00150513 addi a0,a0,1 + 8003b658: 40f706b3 sub a3,a4,a5 + 8003b65c: fcf70ee3 beq a4,a5,8003b638 + 8003b660: 0006851b sext.w a0,a3 + 8003b664: 00008067 ret + 8003b668: 00060693 mv a3,a2 + 8003b66c: 0036d793 srli a5,a3,0x3 + 8003b670: 00757813 andi a6,a0,7 + 8003b674: ff010113 addi sp,sp,-16 + 8003b678: 0037f613 andi a2,a5,3 + 8003b67c: 0a081663 bnez a6,8003b728 + 8003b680: 00100813 li a6,1 + 8003b684: 1d060263 beq a2,a6,8003b848 + 8003b688: 00300813 li a6,3 + 8003b68c: 17060a63 beq a2,a6,8003b800 + 8003b690: 12060663 beqz a2,8003b7bc + 8003b694: 00053e03 ld t3,0(a0) + 8003b698: 0005b303 ld t1,0(a1) + 8003b69c: ff050613 addi a2,a0,-16 + 8003b6a0: ff070593 addi a1,a4,-16 + 8003b6a4: 00278793 addi a5,a5,2 + 8003b6a8: 01863883 ld a7,24(a2) + 8003b6ac: 0185b803 ld a6,24(a1) + 8003b6b0: 346e0863 beq t3,t1,8003ba00 + 8003b6b4: 01c13423 sd t3,8(sp) + 8003b6b8: 00613023 sd t1,0(sp) + 8003b6bc: 00810593 addi a1,sp,8 + 8003b6c0: 00010613 mv a2,sp + 8003b6c4: 0005c783 lbu a5,0(a1) + 8003b6c8: 00064803 lbu a6,0(a2) + 8003b6cc: 00158593 addi a1,a1,1 + 8003b6d0: 00160613 addi a2,a2,1 + 8003b6d4: ff0788e3 beq a5,a6,8003b6c4 + 8003b6d8: 410787bb subw a5,a5,a6 + 8003b6dc: 0c079c63 bnez a5,8003b7b4 + 8003b6e0: ff86f593 andi a1,a3,-8 + 8003b6e4: 0076f613 andi a2,a3,7 + 8003b6e8: 00b50533 add a0,a0,a1 + 8003b6ec: 00e585b3 add a1,a1,a4 + 8003b6f0: 0a060c63 beqz a2,8003b7a8 + 8003b6f4: 00a60633 add a2,a2,a0 + 8003b6f8: 40a585b3 sub a1,a1,a0 + 8003b6fc: 0080006f j 8003b704 + 8003b700: 0ac50463 beq a0,a2,8003b7a8 + 8003b704: 00a587b3 add a5,a1,a0 + 8003b708: 00054703 lbu a4,0(a0) + 8003b70c: 0007c783 lbu a5,0(a5) + 8003b710: 00150513 addi a0,a0,1 + 8003b714: 40f706b3 sub a3,a4,a5 + 8003b718: fef704e3 beq a4,a5,8003b700 + 8003b71c: 0006851b sext.w a0,a3 + 8003b720: 01010113 addi sp,sp,16 + 8003b724: 00008067 ret + 8003b728: 0038189b slliw a7,a6,0x3 + 8003b72c: 04000313 li t1,64 + 8003b730: 00100e13 li t3,1 + 8003b734: 411308bb subw a7,t1,a7 + 8003b738: 00381813 slli a6,a6,0x3 + 8003b73c: ff857313 andi t1,a0,-8 + 8003b740: 21c60063 beq a2,t3,8003b940 + 8003b744: 00300e13 li t3,3 + 8003b748: 1bc60063 beq a2,t3,8003b8e8 + 8003b74c: 14060463 beqz a2,8003b894 + 8003b750: 00033e83 ld t4,0(t1) + 8003b754: 00833603 ld a2,8(t1) + 8003b758: 0005b283 ld t0,0(a1) + 8003b75c: ff830f13 addi t5,t1,-8 + 8003b760: ff070593 addi a1,a4,-16 + 8003b764: 00278793 addi a5,a5,2 + 8003b768: 010ede33 srl t3,t4,a6 + 8003b76c: 01161333 sll t1,a2,a7 + 8003b770: 006e6e33 or t3,t3,t1 + 8003b774: 018f3e83 ld t4,24(t5) + 8003b778: 0185bf83 ld t6,24(a1) + 8003b77c: 285e0c63 beq t3,t0,8003ba14 + 8003b780: 01c13423 sd t3,8(sp) + 8003b784: 00513023 sd t0,0(sp) + 8003b788: 00810593 addi a1,sp,8 + 8003b78c: 00010613 mv a2,sp + 8003b790: 0005c783 lbu a5,0(a1) + 8003b794: 00064803 lbu a6,0(a2) + 8003b798: 00158593 addi a1,a1,1 + 8003b79c: 00160613 addi a2,a2,1 + 8003b7a0: ff0788e3 beq a5,a6,8003b790 + 8003b7a4: f35ff06f j 8003b6d8 + 8003b7a8: 00000513 li a0,0 + 8003b7ac: 01010113 addi sp,sp,16 + 8003b7b0: 00008067 ret + 8003b7b4: 0007851b sext.w a0,a5 + 8003b7b8: f69ff06f j 8003b720 + 8003b7bc: f20782e3 beqz a5,8003b6e0 + 8003b7c0: 00053e03 ld t3,0(a0) + 8003b7c4: 0005b303 ld t1,0(a1) + 8003b7c8: 00050613 mv a2,a0 + 8003b7cc: 00863883 ld a7,8(a2) + 8003b7d0: 0085b803 ld a6,8(a1) + 8003b7d4: 046e0063 beq t3,t1,8003b814 + 8003b7d8: 01c13423 sd t3,8(sp) + 8003b7dc: 00613023 sd t1,0(sp) + 8003b7e0: 00810593 addi a1,sp,8 + 8003b7e4: 00010613 mv a2,sp + 8003b7e8: 0005c783 lbu a5,0(a1) + 8003b7ec: 00064803 lbu a6,0(a2) + 8003b7f0: 00158593 addi a1,a1,1 + 8003b7f4: 00160613 addi a2,a2,1 + 8003b7f8: ff0788e3 beq a5,a6,8003b7e8 + 8003b7fc: eddff06f j 8003b6d8 + 8003b800: 00053883 ld a7,0(a0) + 8003b804: 0005b803 ld a6,0(a1) + 8003b808: ff850613 addi a2,a0,-8 + 8003b80c: ff870593 addi a1,a4,-8 + 8003b810: 00178793 addi a5,a5,1 + 8003b814: 01063e03 ld t3,16(a2) + 8003b818: 0105b303 ld t1,16(a1) + 8003b81c: e90886e3 beq a7,a6,8003b6a8 + 8003b820: 01113423 sd a7,8(sp) + 8003b824: 01013023 sd a6,0(sp) + 8003b828: 00810593 addi a1,sp,8 + 8003b82c: 00010613 mv a2,sp + 8003b830: 0005c783 lbu a5,0(a1) + 8003b834: 00064803 lbu a6,0(a2) + 8003b838: 00158593 addi a1,a1,1 + 8003b83c: 00160613 addi a2,a2,1 + 8003b840: ff0788e3 beq a5,a6,8003b830 + 8003b844: e95ff06f j 8003b6d8 + 8003b848: fff78793 addi a5,a5,-1 + 8003b84c: 00053883 ld a7,0(a0) + 8003b850: 0005b803 ld a6,0(a1) + 8003b854: 14078463 beqz a5,8003b99c + 8003b858: 00850613 addi a2,a0,8 + 8003b85c: 00870593 addi a1,a4,8 + 8003b860: 00063e03 ld t3,0(a2) + 8003b864: 0005b303 ld t1,0(a1) + 8003b868: f70882e3 beq a7,a6,8003b7cc + 8003b86c: 01113423 sd a7,8(sp) + 8003b870: 01013023 sd a6,0(sp) + 8003b874: 00810593 addi a1,sp,8 + 8003b878: 00010613 mv a2,sp + 8003b87c: 0005c783 lbu a5,0(a1) + 8003b880: 00064803 lbu a6,0(a2) + 8003b884: 00158593 addi a1,a1,1 + 8003b888: 00160613 addi a2,a2,1 + 8003b88c: ff0788e3 beq a5,a6,8003b87c + 8003b890: e49ff06f j 8003b6d8 + 8003b894: e40786e3 beqz a5,8003b6e0 + 8003b898: 00033e83 ld t4,0(t1) + 8003b89c: 00833e03 ld t3,8(t1) + 8003b8a0: 0005b283 ld t0,0(a1) + 8003b8a4: 00830f13 addi t5,t1,8 + 8003b8a8: 010ed633 srl a2,t4,a6 + 8003b8ac: 011e1333 sll t1,t3,a7 + 8003b8b0: 00666633 or a2,a2,t1 + 8003b8b4: 008f3e83 ld t4,8(t5) + 8003b8b8: 0085b303 ld t1,8(a1) + 8003b8bc: 04560263 beq a2,t0,8003b900 + 8003b8c0: 00c13423 sd a2,8(sp) + 8003b8c4: 00513023 sd t0,0(sp) + 8003b8c8: 00810593 addi a1,sp,8 + 8003b8cc: 00010613 mv a2,sp + 8003b8d0: 0005c783 lbu a5,0(a1) + 8003b8d4: 00064803 lbu a6,0(a2) + 8003b8d8: 00158593 addi a1,a1,1 + 8003b8dc: 00160613 addi a2,a2,1 + 8003b8e0: ff0788e3 beq a5,a6,8003b8d0 + 8003b8e4: df5ff06f j 8003b6d8 + 8003b8e8: 00033e03 ld t3,0(t1) + 8003b8ec: 00833e83 ld t4,8(t1) + 8003b8f0: 00030f13 mv t5,t1 + 8003b8f4: 00178793 addi a5,a5,1 + 8003b8f8: 0005b303 ld t1,0(a1) + 8003b8fc: ff870593 addi a1,a4,-8 + 8003b900: 011e9633 sll a2,t4,a7 + 8003b904: 010e5e33 srl t3,t3,a6 + 8003b908: 00ce6e33 or t3,t3,a2 + 8003b90c: 0105b283 ld t0,16(a1) + 8003b910: 010f3603 ld a2,16(t5) + 8003b914: e46e0ae3 beq t3,t1,8003b768 + 8003b918: 01c13423 sd t3,8(sp) + 8003b91c: 00613023 sd t1,0(sp) + 8003b920: 00810593 addi a1,sp,8 + 8003b924: 00010613 mv a2,sp + 8003b928: 0005c783 lbu a5,0(a1) + 8003b92c: 00064803 lbu a6,0(a2) + 8003b930: 00158593 addi a1,a1,1 + 8003b934: 00160613 addi a2,a2,1 + 8003b938: ff0788e3 beq a5,a6,8003b928 + 8003b93c: d9dff06f j 8003b6d8 + 8003b940: fff78793 addi a5,a5,-1 + 8003b944: 00033603 ld a2,0(t1) + 8003b948: 00833e83 ld t4,8(t1) + 8003b94c: 0005bf83 ld t6,0(a1) + 8003b950: 06078c63 beqz a5,8003b9c8 + 8003b954: 01030f13 addi t5,t1,16 + 8003b958: 00870593 addi a1,a4,8 + 8003b95c: 01065633 srl a2,a2,a6 + 8003b960: 011e9333 sll t1,t4,a7 + 8003b964: 00666633 or a2,a2,t1 + 8003b968: 000f3e03 ld t3,0(t5) + 8003b96c: 0005b283 ld t0,0(a1) + 8003b970: f3f60ce3 beq a2,t6,8003b8a8 + 8003b974: 00c13423 sd a2,8(sp) + 8003b978: 01f13023 sd t6,0(sp) + 8003b97c: 00810593 addi a1,sp,8 + 8003b980: 00010613 mv a2,sp + 8003b984: 0005c783 lbu a5,0(a1) + 8003b988: 00064803 lbu a6,0(a2) + 8003b98c: 00158593 addi a1,a1,1 + 8003b990: 00160613 addi a2,a2,1 + 8003b994: ff0788e3 beq a5,a6,8003b984 + 8003b998: d41ff06f j 8003b6d8 + 8003b99c: d50882e3 beq a7,a6,8003b6e0 + 8003b9a0: 01113423 sd a7,8(sp) + 8003b9a4: 01013023 sd a6,0(sp) + 8003b9a8: 00810593 addi a1,sp,8 + 8003b9ac: 00010613 mv a2,sp + 8003b9b0: 0005c783 lbu a5,0(a1) + 8003b9b4: 00064803 lbu a6,0(a2) + 8003b9b8: 00158593 addi a1,a1,1 + 8003b9bc: 00160613 addi a2,a2,1 + 8003b9c0: ff0788e3 beq a5,a6,8003b9b0 + 8003b9c4: d15ff06f j 8003b6d8 + 8003b9c8: 01065833 srl a6,a2,a6 + 8003b9cc: 011e97b3 sll a5,t4,a7 + 8003b9d0: 00f867b3 or a5,a6,a5 + 8003b9d4: d1f786e3 beq a5,t6,8003b6e0 + 8003b9d8: 00f13423 sd a5,8(sp) + 8003b9dc: 01f13023 sd t6,0(sp) + 8003b9e0: 00810593 addi a1,sp,8 + 8003b9e4: 00010613 mv a2,sp + 8003b9e8: 0005c783 lbu a5,0(a1) + 8003b9ec: 00064803 lbu a6,0(a2) + 8003b9f0: 00158593 addi a1,a1,1 + 8003b9f4: 00160613 addi a2,a2,1 + 8003b9f8: ff0788e3 beq a5,a6,8003b9e8 + 8003b9fc: cddff06f j 8003b6d8 + 8003ba00: ffc78793 addi a5,a5,-4 + 8003ba04: f8078ce3 beqz a5,8003b99c + 8003ba08: 02060613 addi a2,a2,32 + 8003ba0c: 02058593 addi a1,a1,32 + 8003ba10: e51ff06f j 8003b860 + 8003ba14: ffc78793 addi a5,a5,-4 + 8003ba18: fa0788e3 beqz a5,8003b9c8 + 8003ba1c: 020f0f13 addi t5,t5,32 + 8003ba20: 02058593 addi a1,a1,32 + 8003ba24: f39ff06f j 8003b95c + +000000008003ba28 : + 8003ba28: 00700713 li a4,7 + 8003ba2c: 00050793 mv a5,a0 + 8003ba30: 0ac77a63 bgeu a4,a2,8003bae4 + 8003ba34: 0ff5f893 andi a7,a1,255 + 8003ba38: 00889693 slli a3,a7,0x8 + 8003ba3c: 0116e6b3 or a3,a3,a7 + 8003ba40: 01069713 slli a4,a3,0x10 + 8003ba44: 00d766b3 or a3,a4,a3 + 8003ba48: 02069713 slli a4,a3,0x20 + 8003ba4c: 00757813 andi a6,a0,7 + 8003ba50: 00d76733 or a4,a4,a3 + 8003ba54: 0a080663 beqz a6,8003bb00 + 8003ba58: 00c50633 add a2,a0,a2 + 8003ba5c: 01178023 sb a7,0(a5) + 8003ba60: 00178793 addi a5,a5,1 + 8003ba64: 0077f813 andi a6,a5,7 + 8003ba68: 40f606b3 sub a3,a2,a5 + 8003ba6c: fe0818e3 bnez a6,8003ba5c + 8003ba70: 0066d813 srli a6,a3,0x6 + 8003ba74: 04080063 beqz a6,8003bab4 + 8003ba78: 00681613 slli a2,a6,0x6 + 8003ba7c: 00078893 mv a7,a5 + 8003ba80: 00f60633 add a2,a2,a5 + 8003ba84: 00e7b023 sd a4,0(a5) + 8003ba88: 00e7b423 sd a4,8(a5) + 8003ba8c: 00e7b823 sd a4,16(a5) + 8003ba90: 00e7bc23 sd a4,24(a5) + 8003ba94: 02e7b023 sd a4,32(a5) + 8003ba98: 02e7b423 sd a4,40(a5) + 8003ba9c: 02e7b823 sd a4,48(a5) + 8003baa0: 02e7bc23 sd a4,56(a5) + 8003baa4: 04078793 addi a5,a5,64 + 8003baa8: fcf61ee3 bne a2,a5,8003ba84 + 8003baac: 00681793 slli a5,a6,0x6 + 8003bab0: 011787b3 add a5,a5,a7 + 8003bab4: 0036d813 srli a6,a3,0x3 + 8003bab8: 00787813 andi a6,a6,7 + 8003babc: 02080263 beqz a6,8003bae0 + 8003bac0: 00381613 slli a2,a6,0x3 + 8003bac4: 00078893 mv a7,a5 + 8003bac8: 00f60633 add a2,a2,a5 + 8003bacc: 00e7b023 sd a4,0(a5) + 8003bad0: 00878793 addi a5,a5,8 + 8003bad4: fef61ce3 bne a2,a5,8003bacc + 8003bad8: 00381793 slli a5,a6,0x3 + 8003badc: 011787b3 add a5,a5,a7 + 8003bae0: 0076f613 andi a2,a3,7 + 8003bae4: 0ff5f593 andi a1,a1,255 + 8003bae8: 00c78733 add a4,a5,a2 + 8003baec: 00060863 beqz a2,8003bafc + 8003baf0: 00b78023 sb a1,0(a5) + 8003baf4: 00178793 addi a5,a5,1 + 8003baf8: fef71ce3 bne a4,a5,8003baf0 + 8003bafc: 00008067 ret + 8003bb00: 00060693 mv a3,a2 + 8003bb04: f6dff06f j 8003ba70 + +000000008003bb08 : + 8003bb08: 40b506b3 sub a3,a0,a1 + 8003bb0c: 0005c703 lbu a4,0(a1) + 8003bb10: 00d587b3 add a5,a1,a3 + 8003bb14: 00158593 addi a1,a1,1 + 8003bb18: 00e78023 sb a4,0(a5) + 8003bb1c: fe0718e3 bnez a4,8003bb0c + 8003bb20: 00008067 ret + +000000008003bb24 : + 8003bb24: 00050713 mv a4,a0 + 8003bb28: 00074683 lbu a3,0(a4) + 8003bb2c: 00070793 mv a5,a4 + 8003bb30: 00170713 addi a4,a4,1 + 8003bb34: fe069ae3 bnez a3,8003bb28 + 8003bb38: fff78793 addi a5,a5,-1 + 8003bb3c: 0005c703 lbu a4,0(a1) + 8003bb40: 00178793 addi a5,a5,1 + 8003bb44: 00158593 addi a1,a1,1 + 8003bb48: 00e78023 sb a4,0(a5) + 8003bb4c: fe0718e3 bnez a4,8003bb3c + 8003bb50: 00008067 ret + +000000008003bb54 : + 8003bb54: fd010113 addi sp,sp,-48 + 8003bb58: 02813023 sd s0,32(sp) + 8003bb5c: 01213823 sd s2,16(sp) + 8003bb60: 02113423 sd ra,40(sp) + 8003bb64: 00913c23 sd s1,24(sp) + 8003bb68: 01313423 sd s3,8(sp) + 8003bb6c: 00f00793 li a5,15 + 8003bb70: 00050913 mv s2,a0 + 8003bb74: 00050713 mv a4,a0 + 8003bb78: 00058413 mv s0,a1 + 8003bb7c: 04c7fe63 bgeu a5,a2,8003bbd8 + 8003bb80: 40a00533 neg a0,a0 + 8003bb84: 00757513 andi a0,a0,7 + 8003bb88: 40a604b3 sub s1,a2,a0 + 8003bb8c: 08050e63 beqz a0,8003bc28 + 8003bb90: 012509b3 add s3,a0,s2 + 8003bb94: 00058793 mv a5,a1 + 8003bb98: 0007c683 lbu a3,0(a5) + 8003bb9c: 00170713 addi a4,a4,1 + 8003bba0: 00178793 addi a5,a5,1 + 8003bba4: fed70fa3 sb a3,-1(a4) + 8003bba8: fee998e3 bne s3,a4,8003bb98 + 8003bbac: 00a58433 add s0,a1,a0 + 8003bbb0: 00747793 andi a5,s0,7 + 8003bbb4: 0034d613 srli a2,s1,0x3 + 8003bbb8: 00040593 mv a1,s0 + 8003bbbc: 00098513 mv a0,s3 + 8003bbc0: 06079063 bnez a5,8003bc20 + 8003bbc4: 69d000ef jal ra,8003ca60 <_wordcopy_fwd_aligned> + 8003bbc8: ff84f713 andi a4,s1,-8 + 8003bbcc: 00e40433 add s0,s0,a4 + 8003bbd0: 0074f613 andi a2,s1,7 + 8003bbd4: 01370733 add a4,a4,s3 + 8003bbd8: fff70713 addi a4,a4,-1 + 8003bbdc: 00040793 mv a5,s0 + 8003bbe0: 008605b3 add a1,a2,s0 + 8003bbe4: 40870733 sub a4,a4,s0 + 8003bbe8: 00060c63 beqz a2,8003bc00 + 8003bbec: 0007c603 lbu a2,0(a5) + 8003bbf0: 00178793 addi a5,a5,1 + 8003bbf4: 00f706b3 add a3,a4,a5 + 8003bbf8: 00c68023 sb a2,0(a3) + 8003bbfc: fef598e3 bne a1,a5,8003bbec + 8003bc00: 02813083 ld ra,40(sp) + 8003bc04: 02013403 ld s0,32(sp) + 8003bc08: 01813483 ld s1,24(sp) + 8003bc0c: 00813983 ld s3,8(sp) + 8003bc10: 00090513 mv a0,s2 + 8003bc14: 01013903 ld s2,16(sp) + 8003bc18: 03010113 addi sp,sp,48 + 8003bc1c: 00008067 ret + 8003bc20: 789000ef jal ra,8003cba8 <_wordcopy_fwd_dest_aligned> + 8003bc24: fa5ff06f j 8003bbc8 + 8003bc28: 00090993 mv s3,s2 + 8003bc2c: f85ff06f j 8003bbb0 + +000000008003bc30 : + 8003bc30: 1a050e63 beqz a0,8003bdec + 8003bc34: fd010113 addi sp,sp,-48 + 8003bc38: 02813023 sd s0,32(sp) + 8003bc3c: 00913c23 sd s1,24(sp) + 8003bc40: 01f57793 andi a5,a0,31 + 8003bc44: 02113423 sd ra,40(sp) + 8003bc48: 01213823 sd s2,16(sp) + 8003bc4c: 01313423 sd s3,8(sp) + 8003bc50: 01413023 sd s4,0(sp) + 8003bc54: 00050493 mv s1,a0 + 8003bc58: 00050413 mv s0,a0 + 8003bc5c: 00000513 li a0,0 + 8003bc60: 14079a63 bnez a5,8003bdb4 + 8003bc64: 27f00713 li a4,639 + 8003bc68: 00058913 mv s2,a1 + 8003bc6c: 00078513 mv a0,a5 + 8003bc70: 14b77263 bgeu a4,a1,8003bdb4 + 8003bc74: 0074f513 andi a0,s1,7 + 8003bc78: 00153513 seqz a0,a0 + 8003bc7c: 00060a13 mv s4,a2 + 8003bc80: 00068993 mv s3,a3 + 8003bc84: 8fcfe0ef jal ra,80039d80 <_assert> + 8003bc88: 20048793 addi a5,s1,512 + 8003bc8c: 2004b023 sd zero,512(s1) + 8003bc90: 2144b423 sd s4,520(s1) + 8003bc94: 2134b823 sd s3,528(s1) + 8003bc98: 00043023 sd zero,0(s0) + 8003bc9c: 00840413 addi s0,s0,8 + 8003bca0: fe879ce3 bne a5,s0,8003bc98 + 8003bca4: fff00793 li a5,-1 + 8003bca8: dc090413 addi s0,s2,-576 + 8003bcac: 03f79793 slli a5,a5,0x3f + 8003bcb0: 1287f863 bgeu a5,s0,8003bde0 + 8003bcb4: 00078413 mv s0,a5 + 8003bcb8: 00100513 li a0,1 + 8003bcbc: 8c4fe0ef jal ra,80039d80 <_assert> + 8003bcc0: f8300793 li a5,-125 + 8003bcc4: 0017d793 srli a5,a5,0x1 + 8003bcc8: fc040513 addi a0,s0,-64 + 8003bccc: 00f53533 sltu a0,a0,a5 + 8003bcd0: 8b0fe0ef jal ra,80039d80 <_assert> + 8003bcd4: 01f4f513 andi a0,s1,31 + 8003bcd8: 00153513 seqz a0,a0 + 8003bcdc: 8a4fe0ef jal ra,80039d80 <_assert> + 8003bce0: 00100513 li a0,1 + 8003bce4: 2404b023 sd zero,576(s1) + 8003bce8: 2404b423 sd zero,584(s1) + 8003bcec: 2484b823 sd s0,592(s1) + 8003bcf0: 24048c23 sb zero,600(s1) + 8003bcf4: 2604b023 sd zero,608(s1) + 8003bcf8: 2604b423 sd zero,616(s1) + 8003bcfc: 884fe0ef jal ra,80039d80 <_assert> + 8003bd00: 00100513 li a0,1 + 8003bd04: 87cfe0ef jal ra,80039d80 <_assert> + 8003bd08: 2504b503 ld a0,592(s1) + 8003bd0c: 24048a13 addi s4,s1,576 + 8003bd10: 04053513 sltiu a0,a0,64 + 8003bd14: 00154513 xori a0,a0,1 + 8003bd18: 00157513 andi a0,a0,1 + 8003bd1c: 864fe0ef jal ra,80039d80 <_assert> + 8003bd20: 2504b503 ld a0,592(s1) + 8003bd24: 03f57513 andi a0,a0,63 + 8003bd28: 00153513 seqz a0,a0 + 8003bd2c: 854fe0ef jal ra,80039d80 <_assert> + 8003bd30: 2504b703 ld a4,592(s1) + 8003bd34: 00100793 li a5,1 + 8003bd38: 00675713 srli a4,a4,0x6 + 8003bd3c: 0ae7fc63 bgeu a5,a4,8003bdf4 + 8003bd40: 00000793 li a5,0 + 8003bd44: 00100693 li a3,1 + 8003bd48: 0017879b addiw a5,a5,1 + 8003bd4c: 00175713 srli a4,a4,0x1 + 8003bd50: 0ff7f793 andi a5,a5,255 + 8003bd54: fed71ae3 bne a4,a3,8003bd48 + 8003bd58: 00078993 mv s3,a5 + 8003bd5c: 0407b513 sltiu a0,a5,64 + 8003bd60: 00f71933 sll s2,a4,a5 + 8003bd64: 81cfe0ef jal ra,80039d80 <_assert> + 8003bd68: 00399793 slli a5,s3,0x3 + 8003bd6c: 00f487b3 add a5,s1,a5 + 8003bd70: 0007b703 ld a4,0(a5) + 8003bd74: 2604b423 sd zero,616(s1) + 8003bd78: 26e4b023 sd a4,608(s1) + 8003bd7c: 00070463 beqz a4,8003bd84 + 8003bd80: 03473423 sd s4,40(a4) + 8003bd84: 0147b023 sd s4,0(a5) + 8003bd88: 2004b503 ld a0,512(s1) + 8003bd8c: 00a96533 or a0,s2,a0 + 8003bd90: 20a4b023 sd a0,512(s1) + 8003bd94: 00a03533 snez a0,a0 + 8003bd98: fe9fd0ef jal ra,80039d80 <_assert> + 8003bd9c: 00048513 mv a0,s1 + 8003bda0: 2084bc23 sd s0,536(s1) + 8003bda4: 2204b023 sd zero,544(s1) + 8003bda8: 2204b423 sd zero,552(s1) + 8003bdac: 2204b823 sd zero,560(s1) + 8003bdb0: 2204bc23 sd zero,568(s1) + 8003bdb4: 02813083 ld ra,40(sp) + 8003bdb8: 02013403 ld s0,32(sp) + 8003bdbc: 01813483 ld s1,24(sp) + 8003bdc0: 01013903 ld s2,16(sp) + 8003bdc4: 00813983 ld s3,8(sp) + 8003bdc8: 00013a03 ld s4,0(sp) + 8003bdcc: 03010113 addi sp,sp,48 + 8003bdd0: 00008067 ret + 8003bdd4: 00100513 li a0,1 + 8003bdd8: fff40413 addi s0,s0,-1 + 8003bddc: fa5fd0ef jal ra,80039d80 <_assert> + 8003bde0: 03f47793 andi a5,s0,63 + 8003bde4: fe0798e3 bnez a5,8003bdd4 + 8003bde8: ed1ff06f j 8003bcb8 + 8003bdec: 00000513 li a0,0 + 8003bdf0: 00008067 ret + 8003bdf4: 00100913 li s2,1 + 8003bdf8: 00000993 li s3,0 + 8003bdfc: 00100513 li a0,1 + 8003be00: f65ff06f j 8003bd64 + +000000008003be04 : + 8003be04: fb010113 addi sp,sp,-80 + 8003be08: 03313423 sd s3,40(sp) + 8003be0c: 00a039b3 snez s3,a0 + 8003be10: 01513c23 sd s5,24(sp) + 8003be14: 00050a93 mv s5,a0 + 8003be18: 00098513 mv a0,s3 + 8003be1c: 02913c23 sd s1,56(sp) + 8003be20: 04113423 sd ra,72(sp) + 8003be24: 04813023 sd s0,64(sp) + 8003be28: 03213823 sd s2,48(sp) + 8003be2c: 03413023 sd s4,32(sp) + 8003be30: 01613823 sd s6,16(sp) + 8003be34: 01713423 sd s7,8(sp) + 8003be38: 00058493 mv s1,a1 + 8003be3c: f45fd0ef jal ra,80039d80 <_assert> + 8003be40: 218ab503 ld a0,536(s5) + 8003be44: fff00793 li a5,-1 + 8003be48: 03f79793 slli a5,a5,0x3f + 8003be4c: 00178793 addi a5,a5,1 + 8003be50: 00f53533 sltu a0,a0,a5 + 8003be54: f2dfd0ef jal ra,80039d80 <_assert> + 8003be58: 40048063 beqz s1,8003c258 + 8003be5c: 218ab783 ld a5,536(s5) + 8003be60: fe078793 addi a5,a5,-32 + 8003be64: 4297e663 bltu a5,s1,8003c290 + 8003be68: 02048913 addi s2,s1,32 + 8003be6c: 00100793 li a5,1 + 8003be70: 4327f663 bgeu a5,s2,8003c29c + 8003be74: 00090713 mv a4,s2 + 8003be78: 00000793 li a5,0 + 8003be7c: 00100693 li a3,1 + 8003be80: 0017879b addiw a5,a5,1 + 8003be84: 00175713 srli a4,a4,0x1 + 8003be88: 0ff7f793 andi a5,a5,255 + 8003be8c: fed71ae3 bne a4,a3,8003be80 + 8003be90: 01f48a13 addi s4,s1,31 + 8003be94: 012a7a33 and s4,s4,s2 + 8003be98: 01403a33 snez s4,s4 + 8003be9c: fff00513 li a0,-1 + 8003bea0: 00fa07bb addw a5,s4,a5 + 8003bea4: 00100413 li s0,1 + 8003bea8: 03f51513 slli a0,a0,0x3f + 8003beac: 00f41a33 sll s4,s0,a5 + 8003beb0: 00150513 addi a0,a0,1 + 8003beb4: 00aa3533 sltu a0,s4,a0 + 8003beb8: ec9fd0ef jal ra,80039d80 <_assert> + 8003bebc: 040a3513 sltiu a0,s4,64 + 8003bec0: 00154513 xori a0,a0,1 + 8003bec4: 00157513 andi a0,a0,1 + 8003bec8: eb9fd0ef jal ra,80039d80 <_assert> + 8003becc: 012a3533 sltu a0,s4,s2 + 8003bed0: 00154513 xori a0,a0,1 + 8003bed4: 00157513 andi a0,a0,1 + 8003bed8: ea9fd0ef jal ra,80039d80 <_assert> + 8003bedc: fffa0513 addi a0,s4,-1 + 8003bee0: 01457533 and a0,a0,s4 + 8003bee4: 00153513 seqz a0,a0 + 8003bee8: e99fd0ef jal ra,80039d80 <_assert> + 8003beec: 006a5613 srli a2,s4,0x6 + 8003bef0: 3ac47a63 bgeu s0,a2,8003c2a4 + 8003bef4: 00060713 mv a4,a2 + 8003bef8: 00000793 li a5,0 + 8003befc: 00100693 li a3,1 + 8003bf00: 0017879b addiw a5,a5,1 + 8003bf04: 00175713 srli a4,a4,0x1 + 8003bf08: 0ff7f793 andi a5,a5,255 + 8003bf0c: fed71ae3 bne a4,a3,8003bf00 + 8003bf10: fff60713 addi a4,a2,-1 + 8003bf14: 00c77733 and a4,a4,a2 + 8003bf18: 00e03733 snez a4,a4 + 8003bf1c: 00f707bb addw a5,a4,a5 + 8003bf20: 0ff7fb13 andi s6,a5,255 + 8003bf24: 040b3513 sltiu a0,s6,64 + 8003bf28: e59fd0ef jal ra,80039d80 <_assert> + 8003bf2c: 208ab703 ld a4,520(s5) + 8003bf30: fff00793 li a5,-1 + 8003bf34: 01679433 sll s0,a5,s6 + 8003bf38: 00070463 beqz a4,8003bf40 + 8003bf3c: 000700e7 jalr a4 + 8003bf40: 200ab783 ld a5,512(s5) + 8003bf44: 00f477b3 and a5,s0,a5 + 8003bf48: 40f00433 neg s0,a5 + 8003bf4c: 00f47433 and s0,s0,a5 + 8003bf50: 34040e63 beqz s0,8003c2ac + 8003bf54: fff40513 addi a0,s0,-1 + 8003bf58: 00857533 and a0,a0,s0 + 8003bf5c: 00153513 seqz a0,a0 + 8003bf60: e21fd0ef jal ra,80039d80 <_assert> + 8003bf64: 00100793 li a5,1 + 8003bf68: 34f40a63 beq s0,a5,8003c2bc + 8003bf6c: 00000793 li a5,0 + 8003bf70: 00100713 li a4,1 + 8003bf74: 0017879b addiw a5,a5,1 + 8003bf78: 00145413 srli s0,s0,0x1 + 8003bf7c: 0ff7f793 andi a5,a5,255 + 8003bf80: fee41ae3 bne s0,a4,8003bf74 + 8003bf84: 0007851b sext.w a0,a5 + 8003bf88: 0407b413 sltiu s0,a5,64 + 8003bf8c: 00050b93 mv s7,a0 + 8003bf90: 01653533 sltu a0,a0,s6 + 8003bf94: 00154513 xori a0,a0,1 + 8003bf98: 00157513 andi a0,a0,1 + 8003bf9c: de5fd0ef jal ra,80039d80 <_assert> + 8003bfa0: 00040513 mv a0,s0 + 8003bfa4: dddfd0ef jal ra,80039d80 <_assert> + 8003bfa8: 003b9793 slli a5,s7,0x3 + 8003bfac: 00fa87b3 add a5,s5,a5 + 8003bfb0: 0007bb03 ld s6,0(a5) + 8003bfb4: 01603433 snez s0,s6 + 8003bfb8: 00040513 mv a0,s0 + 8003bfbc: dc5fd0ef jal ra,80039d80 <_assert> + 8003bfc0: 010b3503 ld a0,16(s6) + 8003bfc4: 01453533 sltu a0,a0,s4 + 8003bfc8: 00154513 xori a0,a0,1 + 8003bfcc: 00157513 andi a0,a0,1 + 8003bfd0: db1fd0ef jal ra,80039d80 <_assert> + 8003bfd4: 010b3503 ld a0,16(s6) + 8003bfd8: 03f57513 andi a0,a0,63 + 8003bfdc: 00153513 seqz a0,a0 + 8003bfe0: da1fd0ef jal ra,80039d80 <_assert> + 8003bfe4: 018b4503 lbu a0,24(s6) + 8003bfe8: 00154513 xori a0,a0,1 + 8003bfec: d95fd0ef jal ra,80039d80 <_assert> + 8003bff0: 00098513 mv a0,s3 + 8003bff4: d8dfd0ef jal ra,80039d80 <_assert> + 8003bff8: 00040513 mv a0,s0 + 8003bffc: d85fd0ef jal ra,80039d80 <_assert> + 8003c000: 010b3503 ld a0,16(s6) + 8003c004: 04053513 sltiu a0,a0,64 + 8003c008: 00154513 xori a0,a0,1 + 8003c00c: 00157513 andi a0,a0,1 + 8003c010: d71fd0ef jal ra,80039d80 <_assert> + 8003c014: 010b3503 ld a0,16(s6) + 8003c018: 03f57513 andi a0,a0,63 + 8003c01c: 00153513 seqz a0,a0 + 8003c020: d61fd0ef jal ra,80039d80 <_assert> + 8003c024: 010b3703 ld a4,16(s6) + 8003c028: 00100793 li a5,1 + 8003c02c: 00675713 srli a4,a4,0x6 + 8003c030: 28e7fe63 bgeu a5,a4,8003c2cc + 8003c034: 00000793 li a5,0 + 8003c038: 00100693 li a3,1 + 8003c03c: 0017879b addiw a5,a5,1 + 8003c040: 00175713 srli a4,a4,0x1 + 8003c044: 0ff7f793 andi a5,a5,255 + 8003c048: fed71ae3 bne a4,a3,8003c03c + 8003c04c: 0407b513 sltiu a0,a5,64 + 8003c050: 00078413 mv s0,a5 + 8003c054: d2dfd0ef jal ra,80039d80 <_assert> + 8003c058: 020b3783 ld a5,32(s6) + 8003c05c: 00078663 beqz a5,8003c068 + 8003c060: 028b3703 ld a4,40(s6) + 8003c064: 02e7b423 sd a4,40(a5) + 8003c068: 028b3503 ld a0,40(s6) + 8003c06c: 00050463 beqz a0,8003c074 + 8003c070: 02f53023 sd a5,32(a0) + 8003c074: 00341b93 slli s7,s0,0x3 + 8003c078: 017a8bb3 add s7,s5,s7 + 8003c07c: 000bb783 ld a5,0(s7) + 8003c080: 02fb1863 bne s6,a5,8003c0b0 + 8003c084: 00153513 seqz a0,a0 + 8003c088: cf9fd0ef jal ra,80039d80 <_assert> + 8003c08c: 020b3783 ld a5,32(s6) + 8003c090: 00fbb023 sd a5,0(s7) + 8003c094: 00079e63 bnez a5,8003c0b0 + 8003c098: 200ab703 ld a4,512(s5) + 8003c09c: 00100793 li a5,1 + 8003c0a0: 008797b3 sll a5,a5,s0 + 8003c0a4: fff7c793 not a5,a5 + 8003c0a8: 00f777b3 and a5,a4,a5 + 8003c0ac: 20fab023 sd a5,512(s5) + 8003c0b0: 010b3403 ld s0,16(s6) + 8003c0b4: 218ab503 ld a0,536(s5) + 8003c0b8: 014b3823 sd s4,16(s6) + 8003c0bc: 41440433 sub s0,s0,s4 + 8003c0c0: 00a43533 sltu a0,s0,a0 + 8003c0c4: cbdfd0ef jal ra,80039d80 <_assert> + 8003c0c8: 03f47513 andi a0,s0,63 + 8003c0cc: 00153513 seqz a0,a0 + 8003c0d0: cb1fd0ef jal ra,80039d80 <_assert> + 8003c0d4: 03f00793 li a5,63 + 8003c0d8: 0c87fc63 bgeu a5,s0,8003c1b0 + 8003c0dc: 014b0bb3 add s7,s6,s4 + 8003c0e0: 01fbf513 andi a0,s7,31 + 8003c0e4: 00153513 seqz a0,a0 + 8003c0e8: c99fd0ef jal ra,80039d80 <_assert> + 8003c0ec: 000b3783 ld a5,0(s6) + 8003c0f0: 008bb823 sd s0,16(s7) + 8003c0f4: 000b8c23 sb zero,24(s7) + 8003c0f8: 00fbb023 sd a5,0(s7) + 8003c0fc: 00078463 beqz a5,8003c104 + 8003c100: 0177b423 sd s7,8(a5) + 8003c104: 017b3023 sd s7,0(s6) + 8003c108: 00098513 mv a0,s3 + 8003c10c: 016bb423 sd s6,8(s7) + 8003c110: c71fd0ef jal ra,80039d80 <_assert> + 8003c114: 00100513 li a0,1 + 8003c118: c69fd0ef jal ra,80039d80 <_assert> + 8003c11c: 010bb503 ld a0,16(s7) + 8003c120: 00100413 li s0,1 + 8003c124: 00000993 li s3,0 + 8003c128: 04053513 sltiu a0,a0,64 + 8003c12c: 00154513 xori a0,a0,1 + 8003c130: 00157513 andi a0,a0,1 + 8003c134: c4dfd0ef jal ra,80039d80 <_assert> + 8003c138: 010bb503 ld a0,16(s7) + 8003c13c: 03f57513 andi a0,a0,63 + 8003c140: 00153513 seqz a0,a0 + 8003c144: c3dfd0ef jal ra,80039d80 <_assert> + 8003c148: 010bb703 ld a4,16(s7) + 8003c14c: 00100613 li a2,1 + 8003c150: 00000793 li a5,0 + 8003c154: 00675713 srli a4,a4,0x6 + 8003c158: 00100693 li a3,1 + 8003c15c: 00100513 li a0,1 + 8003c160: 02e67063 bgeu a2,a4,8003c180 + 8003c164: 0017879b addiw a5,a5,1 + 8003c168: 00175713 srli a4,a4,0x1 + 8003c16c: 0ff7f793 andi a5,a5,255 + 8003c170: fed71ae3 bne a4,a3,8003c164 + 8003c174: 00078993 mv s3,a5 + 8003c178: 0407b513 sltiu a0,a5,64 + 8003c17c: 00f71433 sll s0,a4,a5 + 8003c180: c01fd0ef jal ra,80039d80 <_assert> + 8003c184: 00399793 slli a5,s3,0x3 + 8003c188: 00fa87b3 add a5,s5,a5 + 8003c18c: 0007b703 ld a4,0(a5) + 8003c190: 020bb423 sd zero,40(s7) + 8003c194: 02ebb023 sd a4,32(s7) + 8003c198: 00070463 beqz a4,8003c1a0 + 8003c19c: 03773423 sd s7,40(a4) + 8003c1a0: 0177b023 sd s7,0(a5) + 8003c1a4: 200ab703 ld a4,512(s5) + 8003c1a8: 00876733 or a4,a4,s0 + 8003c1ac: 20eab023 sd a4,512(s5) + 8003c1b0: 220ab503 ld a0,544(s5) + 8003c1b4: 03f57513 andi a0,a0,63 + 8003c1b8: 00153513 seqz a0,a0 + 8003c1bc: bc5fd0ef jal ra,80039d80 <_assert> + 8003c1c0: 220ab783 ld a5,544(s5) + 8003c1c4: 218ab503 ld a0,536(s5) + 8003c1c8: 00fa0a33 add s4,s4,a5 + 8003c1cc: 01453533 sltu a0,a0,s4 + 8003c1d0: 00154513 xori a0,a0,1 + 8003c1d4: 234ab023 sd s4,544(s5) + 8003c1d8: 00157513 andi a0,a0,1 + 8003c1dc: ba5fd0ef jal ra,80039d80 <_assert> + 8003c1e0: 220ab783 ld a5,544(s5) + 8003c1e4: 228ab703 ld a4,552(s5) + 8003c1e8: 00f77463 bgeu a4,a5,8003c1f0 + 8003c1ec: 22fab423 sd a5,552(s5) + 8003c1f0: 010b3503 ld a0,16(s6) + 8003c1f4: 020b0413 addi s0,s6,32 + 8003c1f8: 01253533 sltu a0,a0,s2 + 8003c1fc: 00154513 xori a0,a0,1 + 8003c200: 00157513 andi a0,a0,1 + 8003c204: b7dfd0ef jal ra,80039d80 <_assert> + 8003c208: 230ab783 ld a5,560(s5) + 8003c20c: 00100713 li a4,1 + 8003c210: 00eb0c23 sb a4,24(s6) + 8003c214: 0097f463 bgeu a5,s1,8003c21c + 8003c218: 229ab823 sd s1,560(s5) + 8003c21c: 210ab783 ld a5,528(s5) + 8003c220: 00078463 beqz a5,8003c228 + 8003c224: 000780e7 jalr a5 + 8003c228: 04813083 ld ra,72(sp) + 8003c22c: 00040513 mv a0,s0 + 8003c230: 04013403 ld s0,64(sp) + 8003c234: 03813483 ld s1,56(sp) + 8003c238: 03013903 ld s2,48(sp) + 8003c23c: 02813983 ld s3,40(sp) + 8003c240: 02013a03 ld s4,32(sp) + 8003c244: 01813a83 ld s5,24(sp) + 8003c248: 01013b03 ld s6,16(sp) + 8003c24c: 00813b83 ld s7,8(sp) + 8003c250: 05010113 addi sp,sp,80 + 8003c254: 00008067 ret + 8003c258: 208ab783 ld a5,520(s5) + 8003c25c: 02078663 beqz a5,8003c288 + 8003c260: 000780e7 jalr a5 + 8003c264: 230ab783 ld a5,560(s5) + 8003c268: 0097f463 bgeu a5,s1,8003c270 + 8003c26c: 229ab823 sd s1,560(s5) + 8003c270: 00048c63 beqz s1,8003c288 + 8003c274: 238ab783 ld a5,568(s5) + 8003c278: 00000413 li s0,0 + 8003c27c: 00178793 addi a5,a5,1 + 8003c280: 22fabc23 sd a5,568(s5) + 8003c284: f99ff06f j 8003c21c + 8003c288: 00000413 li s0,0 + 8003c28c: f91ff06f j 8003c21c + 8003c290: 208ab783 ld a5,520(s5) + 8003c294: fc0796e3 bnez a5,8003c260 + 8003c298: fcdff06f j 8003c264 + 8003c29c: 00000793 li a5,0 + 8003c2a0: bf1ff06f j 8003be90 + 8003c2a4: 00000793 li a5,0 + 8003c2a8: c69ff06f j 8003bf10 + 8003c2ac: 230ab783 ld a5,560(s5) + 8003c2b0: fc97f2e3 bgeu a5,s1,8003c274 + 8003c2b4: 229ab823 sd s1,560(s5) + 8003c2b8: fb9ff06f j 8003c270 + 8003c2bc: 00000b93 li s7,0 + 8003c2c0: 00100413 li s0,1 + 8003c2c4: 00000513 li a0,0 + 8003c2c8: cc9ff06f j 8003bf90 + 8003c2cc: 00000413 li s0,0 + 8003c2d0: 00100513 li a0,1 + 8003c2d4: d81ff06f j 8003c054 + +000000008003c2d8 : + 8003c2d8: fc010113 addi sp,sp,-64 + 8003c2dc: 01413823 sd s4,16(sp) + 8003c2e0: 00a03a33 snez s4,a0 + 8003c2e4: 02913423 sd s1,40(sp) + 8003c2e8: 00050493 mv s1,a0 + 8003c2ec: 000a0513 mv a0,s4 + 8003c2f0: 02813823 sd s0,48(sp) + 8003c2f4: 02113c23 sd ra,56(sp) + 8003c2f8: 03213023 sd s2,32(sp) + 8003c2fc: 01313c23 sd s3,24(sp) + 8003c300: 01513423 sd s5,8(sp) + 8003c304: 01613023 sd s6,0(sp) + 8003c308: 00058413 mv s0,a1 + 8003c30c: a75fd0ef jal ra,80039d80 <_assert> + 8003c310: 2184b503 ld a0,536(s1) + 8003c314: fff00793 li a5,-1 + 8003c318: 03f79793 slli a5,a5,0x3f + 8003c31c: 00178793 addi a5,a5,1 + 8003c320: 00f53533 sltu a0,a0,a5 + 8003c324: a5dfd0ef jal ra,80039d80 <_assert> + 8003c328: 34040e63 beqz s0,8003c684 + 8003c32c: 00747513 andi a0,s0,7 + 8003c330: 00153513 seqz a0,a0 + 8003c334: a4dfd0ef jal ra,80039d80 <_assert> + 8003c338: fe040a93 addi s5,s0,-32 + 8003c33c: 24048513 addi a0,s1,576 + 8003c340: 00aab533 sltu a0,s5,a0 + 8003c344: 00154513 xori a0,a0,1 + 8003c348: 00157513 andi a0,a0,1 + 8003c34c: a35fd0ef jal ra,80039d80 <_assert> + 8003c350: 2184b503 ld a0,536(s1) + 8003c354: 00a48533 add a0,s1,a0 + 8003c358: 20050513 addi a0,a0,512 + 8003c35c: 01553533 sltu a0,a0,s5 + 8003c360: 00154513 xori a0,a0,1 + 8003c364: 00157513 andi a0,a0,1 + 8003c368: a19fd0ef jal ra,80039d80 <_assert> + 8003c36c: ff844503 lbu a0,-8(s0) + 8003c370: a11fd0ef jal ra,80039d80 <_assert> + 8003c374: fe043503 ld a0,-32(s0) + 8003c378: 00757513 andi a0,a0,7 + 8003c37c: 00153513 seqz a0,a0 + 8003c380: a01fd0ef jal ra,80039d80 <_assert> + 8003c384: fe843503 ld a0,-24(s0) + 8003c388: 00757513 andi a0,a0,7 + 8003c38c: 00153513 seqz a0,a0 + 8003c390: 9f1fd0ef jal ra,80039d80 <_assert> + 8003c394: ff043503 ld a0,-16(s0) + 8003c398: 04053513 sltiu a0,a0,64 + 8003c39c: 00154513 xori a0,a0,1 + 8003c3a0: 00157513 andi a0,a0,1 + 8003c3a4: 9ddfd0ef jal ra,80039d80 <_assert> + 8003c3a8: 2184b783 ld a5,536(s1) + 8003c3ac: ff043503 ld a0,-16(s0) + 8003c3b0: 00a7b533 sltu a0,a5,a0 + 8003c3b4: 00154513 xori a0,a0,1 + 8003c3b8: 00157513 andi a0,a0,1 + 8003c3bc: 9c5fd0ef jal ra,80039d80 <_assert> + 8003c3c0: ff043503 ld a0,-16(s0) + 8003c3c4: 03f57513 andi a0,a0,63 + 8003c3c8: 00153513 seqz a0,a0 + 8003c3cc: 9b5fd0ef jal ra,80039d80 <_assert> + 8003c3d0: 2084b783 ld a5,520(s1) + 8003c3d4: 00078463 beqz a5,8003c3dc + 8003c3d8: 000780e7 jalr a5 + 8003c3dc: 2204b503 ld a0,544(s1) + 8003c3e0: ff043783 ld a5,-16(s0) + 8003c3e4: fe040c23 sb zero,-8(s0) + 8003c3e8: 00f53533 sltu a0,a0,a5 + 8003c3ec: 00154513 xori a0,a0,1 + 8003c3f0: 00157513 andi a0,a0,1 + 8003c3f4: 98dfd0ef jal ra,80039d80 <_assert> + 8003c3f8: 2204b783 ld a5,544(s1) + 8003c3fc: ff043703 ld a4,-16(s0) + 8003c400: fe843903 ld s2,-24(s0) + 8003c404: fe043983 ld s3,-32(s0) + 8003c408: 40e787b3 sub a5,a5,a4 + 8003c40c: 22f4b023 sd a5,544(s1) + 8003c410: 28090e63 beqz s2,8003c6ac + 8003c414: 01894783 lbu a5,24(s2) + 8003c418: 28079a63 bnez a5,8003c6ac + 8003c41c: 000a0513 mv a0,s4 + 8003c420: 4a098c63 beqz s3,8003c8d8 + 8003c424: 0189c783 lbu a5,24(s3) + 8003c428: 4a079863 bnez a5,8003c8d8 + 8003c42c: 955fd0ef jal ra,80039d80 <_assert> + 8003c430: 00100513 li a0,1 + 8003c434: 94dfd0ef jal ra,80039d80 <_assert> + 8003c438: 01093503 ld a0,16(s2) + 8003c43c: 04053513 sltiu a0,a0,64 + 8003c440: 00154513 xori a0,a0,1 + 8003c444: 00157513 andi a0,a0,1 + 8003c448: 939fd0ef jal ra,80039d80 <_assert> + 8003c44c: 01093503 ld a0,16(s2) + 8003c450: 03f57513 andi a0,a0,63 + 8003c454: 00153513 seqz a0,a0 + 8003c458: 929fd0ef jal ra,80039d80 <_assert> + 8003c45c: 01093703 ld a4,16(s2) + 8003c460: 00100793 li a5,1 + 8003c464: 00675713 srli a4,a4,0x6 + 8003c468: 5ee7f663 bgeu a5,a4,8003ca54 + 8003c46c: 00000793 li a5,0 + 8003c470: 00100693 li a3,1 + 8003c474: 0017879b addiw a5,a5,1 + 8003c478: 00175713 srli a4,a4,0x1 + 8003c47c: 0ff7f793 andi a5,a5,255 + 8003c480: fed71ae3 bne a4,a3,8003c474 + 8003c484: 0407b513 sltiu a0,a5,64 + 8003c488: 00078a93 mv s5,a5 + 8003c48c: 8f5fd0ef jal ra,80039d80 <_assert> + 8003c490: 02093783 ld a5,32(s2) + 8003c494: 00078663 beqz a5,8003c4a0 + 8003c498: 02893703 ld a4,40(s2) + 8003c49c: 02e7b423 sd a4,40(a5) + 8003c4a0: 02893503 ld a0,40(s2) + 8003c4a4: 00050463 beqz a0,8003c4ac + 8003c4a8: 02f53023 sd a5,32(a0) + 8003c4ac: 003a9b13 slli s6,s5,0x3 + 8003c4b0: 01648b33 add s6,s1,s6 + 8003c4b4: 000b3783 ld a5,0(s6) + 8003c4b8: 02f91863 bne s2,a5,8003c4e8 + 8003c4bc: 00153513 seqz a0,a0 + 8003c4c0: 8c1fd0ef jal ra,80039d80 <_assert> + 8003c4c4: 02093783 ld a5,32(s2) + 8003c4c8: 00fb3023 sd a5,0(s6) + 8003c4cc: 00079e63 bnez a5,8003c4e8 + 8003c4d0: 2004b703 ld a4,512(s1) + 8003c4d4: 00100793 li a5,1 + 8003c4d8: 015797b3 sll a5,a5,s5 + 8003c4dc: fff7c793 not a5,a5 + 8003c4e0: 00f777b3 and a5,a4,a5 + 8003c4e4: 20f4b023 sd a5,512(s1) + 8003c4e8: 000a0513 mv a0,s4 + 8003c4ec: 895fd0ef jal ra,80039d80 <_assert> + 8003c4f0: 00100513 li a0,1 + 8003c4f4: 88dfd0ef jal ra,80039d80 <_assert> + 8003c4f8: 0109b503 ld a0,16(s3) + 8003c4fc: 04053513 sltiu a0,a0,64 + 8003c500: 00154513 xori a0,a0,1 + 8003c504: 00157513 andi a0,a0,1 + 8003c508: 879fd0ef jal ra,80039d80 <_assert> + 8003c50c: 0109b503 ld a0,16(s3) + 8003c510: 03f57513 andi a0,a0,63 + 8003c514: 00153513 seqz a0,a0 + 8003c518: 869fd0ef jal ra,80039d80 <_assert> + 8003c51c: 0109b703 ld a4,16(s3) + 8003c520: 00100793 li a5,1 + 8003c524: 00675713 srli a4,a4,0x6 + 8003c528: 52e7f063 bgeu a5,a4,8003ca48 + 8003c52c: 00000793 li a5,0 + 8003c530: 00100693 li a3,1 + 8003c534: 0017879b addiw a5,a5,1 + 8003c538: 00175713 srli a4,a4,0x1 + 8003c53c: 0ff7f793 andi a5,a5,255 + 8003c540: fed71ae3 bne a4,a3,8003c534 + 8003c544: 0407b513 sltiu a0,a5,64 + 8003c548: 00078a93 mv s5,a5 + 8003c54c: 835fd0ef jal ra,80039d80 <_assert> + 8003c550: 0209b783 ld a5,32(s3) + 8003c554: 00078663 beqz a5,8003c560 + 8003c558: 0289b703 ld a4,40(s3) + 8003c55c: 02e7b423 sd a4,40(a5) + 8003c560: 0289b503 ld a0,40(s3) + 8003c564: 00050463 beqz a0,8003c56c + 8003c568: 02f53023 sd a5,32(a0) + 8003c56c: 003a9b13 slli s6,s5,0x3 + 8003c570: 01648b33 add s6,s1,s6 + 8003c574: 000b3783 ld a5,0(s6) + 8003c578: 02f99863 bne s3,a5,8003c5a8 + 8003c57c: 00153513 seqz a0,a0 + 8003c580: 801fd0ef jal ra,80039d80 <_assert> + 8003c584: 0209b783 ld a5,32(s3) + 8003c588: 00fb3023 sd a5,0(s6) + 8003c58c: 00079e63 bnez a5,8003c5a8 + 8003c590: 2004b703 ld a4,512(s1) + 8003c594: 00100793 li a5,1 + 8003c598: 015797b3 sll a5,a5,s5 + 8003c59c: fff7c793 not a5,a5 + 8003c5a0: 00f777b3 and a5,a4,a5 + 8003c5a4: 20f4b023 sd a5,512(s1) + 8003c5a8: 01093783 ld a5,16(s2) + 8003c5ac: 0109b683 ld a3,16(s3) + 8003c5b0: ff043703 ld a4,-16(s0) + 8003c5b4: 00d787b3 add a5,a5,a3 + 8003c5b8: 00e787b3 add a5,a5,a4 + 8003c5bc: 00f93823 sd a5,16(s2) + 8003c5c0: fe043823 sd zero,-16(s0) + 8003c5c4: 0009b823 sd zero,16(s3) + 8003c5c8: 01093503 ld a0,16(s2) + 8003c5cc: 03f57513 andi a0,a0,63 + 8003c5d0: 00153513 seqz a0,a0 + 8003c5d4: facfd0ef jal ra,80039d80 <_assert> + 8003c5d8: 0009b783 ld a5,0(s3) + 8003c5dc: 00f93023 sd a5,0(s2) + 8003c5e0: 00078463 beqz a5,8003c5e8 + 8003c5e4: 0127b423 sd s2,8(a5) + 8003c5e8: 000a0513 mv a0,s4 + 8003c5ec: f94fd0ef jal ra,80039d80 <_assert> + 8003c5f0: 00100513 li a0,1 + 8003c5f4: f8cfd0ef jal ra,80039d80 <_assert> + 8003c5f8: 01093503 ld a0,16(s2) + 8003c5fc: 04053513 sltiu a0,a0,64 + 8003c600: 00154513 xori a0,a0,1 + 8003c604: 00157513 andi a0,a0,1 + 8003c608: f78fd0ef jal ra,80039d80 <_assert> + 8003c60c: 01093503 ld a0,16(s2) + 8003c610: 03f57513 andi a0,a0,63 + 8003c614: 00153513 seqz a0,a0 + 8003c618: f68fd0ef jal ra,80039d80 <_assert> + 8003c61c: 01093783 ld a5,16(s2) + 8003c620: 00100713 li a4,1 + 8003c624: 0067d793 srli a5,a5,0x6 + 8003c628: 40f77863 bgeu a4,a5,8003ca38 + 8003c62c: 00000513 li a0,0 + 8003c630: 0015051b addiw a0,a0,1 + 8003c634: 0017d793 srli a5,a5,0x1 + 8003c638: 0ff57513 andi a0,a0,255 + 8003c63c: fee79ae3 bne a5,a4,8003c630 + 8003c640: 00050993 mv s3,a0 + 8003c644: 01379433 sll s0,a5,s3 + 8003c648: 04053513 sltiu a0,a0,64 + 8003c64c: f34fd0ef jal ra,80039d80 <_assert> + 8003c650: 00399793 slli a5,s3,0x3 + 8003c654: 00f487b3 add a5,s1,a5 + 8003c658: 0007b703 ld a4,0(a5) + 8003c65c: 02093423 sd zero,40(s2) + 8003c660: 02e93023 sd a4,32(s2) + 8003c664: 00070463 beqz a4,8003c66c + 8003c668: 03273423 sd s2,40(a4) + 8003c66c: 0127b023 sd s2,0(a5) + 8003c670: 2004b783 ld a5,512(s1) + 8003c674: 2104b303 ld t1,528(s1) + 8003c678: 0087e7b3 or a5,a5,s0 + 8003c67c: 20f4b023 sd a5,512(s1) + 8003c680: 1c031863 bnez t1,8003c850 + 8003c684: 03813083 ld ra,56(sp) + 8003c688: 03013403 ld s0,48(sp) + 8003c68c: 02813483 ld s1,40(sp) + 8003c690: 02013903 ld s2,32(sp) + 8003c694: 01813983 ld s3,24(sp) + 8003c698: 01013a03 ld s4,16(sp) + 8003c69c: 00813a83 ld s5,8(sp) + 8003c6a0: 00013b03 ld s6,0(sp) + 8003c6a4: 04010113 addi sp,sp,64 + 8003c6a8: 00008067 ret + 8003c6ac: 1c098663 beqz s3,8003c878 + 8003c6b0: 0189c783 lbu a5,24(s3) + 8003c6b4: 1c079263 bnez a5,8003c878 + 8003c6b8: 000a0513 mv a0,s4 + 8003c6bc: ec4fd0ef jal ra,80039d80 <_assert> + 8003c6c0: 00100513 li a0,1 + 8003c6c4: ebcfd0ef jal ra,80039d80 <_assert> + 8003c6c8: 0109b503 ld a0,16(s3) + 8003c6cc: 00000913 li s2,0 + 8003c6d0: 04053513 sltiu a0,a0,64 + 8003c6d4: 00154513 xori a0,a0,1 + 8003c6d8: 00157513 andi a0,a0,1 + 8003c6dc: ea4fd0ef jal ra,80039d80 <_assert> + 8003c6e0: 0109b503 ld a0,16(s3) + 8003c6e4: 03f57513 andi a0,a0,63 + 8003c6e8: 00153513 seqz a0,a0 + 8003c6ec: e94fd0ef jal ra,80039d80 <_assert> + 8003c6f0: 0109b703 ld a4,16(s3) + 8003c6f4: 00100613 li a2,1 + 8003c6f8: 00000793 li a5,0 + 8003c6fc: 00675713 srli a4,a4,0x6 + 8003c700: 00100693 li a3,1 + 8003c704: 00100513 li a0,1 + 8003c708: 00e67e63 bgeu a2,a4,8003c724 + 8003c70c: 0017879b addiw a5,a5,1 + 8003c710: 00175713 srli a4,a4,0x1 + 8003c714: 0ff7f793 andi a5,a5,255 + 8003c718: fed71ae3 bne a4,a3,8003c70c + 8003c71c: 0407b513 sltiu a0,a5,64 + 8003c720: 00078913 mv s2,a5 + 8003c724: e5cfd0ef jal ra,80039d80 <_assert> + 8003c728: 0209b783 ld a5,32(s3) + 8003c72c: 00078663 beqz a5,8003c738 + 8003c730: 0289b703 ld a4,40(s3) + 8003c734: 02e7b423 sd a4,40(a5) + 8003c738: 0289b503 ld a0,40(s3) + 8003c73c: 00050463 beqz a0,8003c744 + 8003c740: 02f53023 sd a5,32(a0) + 8003c744: 00391b13 slli s6,s2,0x3 + 8003c748: 01648b33 add s6,s1,s6 + 8003c74c: 000b3783 ld a5,0(s6) + 8003c750: 02f99863 bne s3,a5,8003c780 + 8003c754: 00153513 seqz a0,a0 + 8003c758: e28fd0ef jal ra,80039d80 <_assert> + 8003c75c: 0209b783 ld a5,32(s3) + 8003c760: 00fb3023 sd a5,0(s6) + 8003c764: 00079e63 bnez a5,8003c780 + 8003c768: 2004b703 ld a4,512(s1) + 8003c76c: 00100793 li a5,1 + 8003c770: 012797b3 sll a5,a5,s2 + 8003c774: fff7c793 not a5,a5 + 8003c778: 00f777b3 and a5,a4,a5 + 8003c77c: 20f4b023 sd a5,512(s1) + 8003c780: ff043783 ld a5,-16(s0) + 8003c784: 0109b703 ld a4,16(s3) + 8003c788: 00e787b3 add a5,a5,a4 + 8003c78c: fef43823 sd a5,-16(s0) + 8003c790: 0009b823 sd zero,16(s3) + 8003c794: ff043503 ld a0,-16(s0) + 8003c798: 03f57513 andi a0,a0,63 + 8003c79c: 00153513 seqz a0,a0 + 8003c7a0: de0fd0ef jal ra,80039d80 <_assert> + 8003c7a4: 0009b783 ld a5,0(s3) + 8003c7a8: fef43023 sd a5,-32(s0) + 8003c7ac: 00078463 beqz a5,8003c7b4 + 8003c7b0: 0157b423 sd s5,8(a5) + 8003c7b4: 000a0513 mv a0,s4 + 8003c7b8: dc8fd0ef jal ra,80039d80 <_assert> + 8003c7bc: 00100513 li a0,1 + 8003c7c0: dc0fd0ef jal ra,80039d80 <_assert> + 8003c7c4: ff043503 ld a0,-16(s0) + 8003c7c8: 04053513 sltiu a0,a0,64 + 8003c7cc: 00154513 xori a0,a0,1 + 8003c7d0: 00157513 andi a0,a0,1 + 8003c7d4: dacfd0ef jal ra,80039d80 <_assert> + 8003c7d8: ff043503 ld a0,-16(s0) + 8003c7dc: 03f57513 andi a0,a0,63 + 8003c7e0: 00153513 seqz a0,a0 + 8003c7e4: d9cfd0ef jal ra,80039d80 <_assert> + 8003c7e8: ff043783 ld a5,-16(s0) + 8003c7ec: 00100713 li a4,1 + 8003c7f0: 0067d793 srli a5,a5,0x6 + 8003c7f4: 22f77a63 bgeu a4,a5,8003ca28 + 8003c7f8: 00000513 li a0,0 + 8003c7fc: 0015051b addiw a0,a0,1 + 8003c800: 0017d793 srli a5,a5,0x1 + 8003c804: 0ff57513 andi a0,a0,255 + 8003c808: fee79ae3 bne a5,a4,8003c7fc + 8003c80c: 00050993 mv s3,a0 + 8003c810: 01379933 sll s2,a5,s3 + 8003c814: 04053513 sltiu a0,a0,64 + 8003c818: d68fd0ef jal ra,80039d80 <_assert> + 8003c81c: 00399793 slli a5,s3,0x3 + 8003c820: 00f487b3 add a5,s1,a5 + 8003c824: 0007b703 ld a4,0(a5) + 8003c828: 00043423 sd zero,8(s0) + 8003c82c: 00e43023 sd a4,0(s0) + 8003c830: 00070463 beqz a4,8003c838 + 8003c834: 03573423 sd s5,40(a4) + 8003c838: 0157b023 sd s5,0(a5) + 8003c83c: 2004b783 ld a5,512(s1) + 8003c840: 2104b303 ld t1,528(s1) + 8003c844: 0127e7b3 or a5,a5,s2 + 8003c848: 20f4b023 sd a5,512(s1) + 8003c84c: e2030ce3 beqz t1,8003c684 + 8003c850: 03013403 ld s0,48(sp) + 8003c854: 03813083 ld ra,56(sp) + 8003c858: 02813483 ld s1,40(sp) + 8003c85c: 02013903 ld s2,32(sp) + 8003c860: 01813983 ld s3,24(sp) + 8003c864: 01013a03 ld s4,16(sp) + 8003c868: 00813a83 ld s5,8(sp) + 8003c86c: 00013b03 ld s6,0(sp) + 8003c870: 04010113 addi sp,sp,64 + 8003c874: 00030067 jr t1 + 8003c878: 000a0513 mv a0,s4 + 8003c87c: d04fd0ef jal ra,80039d80 <_assert> + 8003c880: 00100513 li a0,1 + 8003c884: cfcfd0ef jal ra,80039d80 <_assert> + 8003c888: ff043503 ld a0,-16(s0) + 8003c88c: 04053513 sltiu a0,a0,64 + 8003c890: 00154513 xori a0,a0,1 + 8003c894: 00157513 andi a0,a0,1 + 8003c898: ce8fd0ef jal ra,80039d80 <_assert> + 8003c89c: ff043503 ld a0,-16(s0) + 8003c8a0: 03f57513 andi a0,a0,63 + 8003c8a4: 00153513 seqz a0,a0 + 8003c8a8: cd8fd0ef jal ra,80039d80 <_assert> + 8003c8ac: ff043783 ld a5,-16(s0) + 8003c8b0: 00100693 li a3,1 + 8003c8b4: 00000513 li a0,0 + 8003c8b8: 0067d793 srli a5,a5,0x6 + 8003c8bc: 00100713 li a4,1 + 8003c8c0: 16f6f463 bgeu a3,a5,8003ca28 + 8003c8c4: 0015051b addiw a0,a0,1 + 8003c8c8: 0017d793 srli a5,a5,0x1 + 8003c8cc: 0ff57513 andi a0,a0,255 + 8003c8d0: fee79ae3 bne a5,a4,8003c8c4 + 8003c8d4: f39ff06f j 8003c80c + 8003c8d8: ca8fd0ef jal ra,80039d80 <_assert> + 8003c8dc: 00100513 li a0,1 + 8003c8e0: ca0fd0ef jal ra,80039d80 <_assert> + 8003c8e4: 01093503 ld a0,16(s2) + 8003c8e8: 00000a93 li s5,0 + 8003c8ec: 04053513 sltiu a0,a0,64 + 8003c8f0: 00154513 xori a0,a0,1 + 8003c8f4: 00157513 andi a0,a0,1 + 8003c8f8: c88fd0ef jal ra,80039d80 <_assert> + 8003c8fc: 01093503 ld a0,16(s2) + 8003c900: 03f57513 andi a0,a0,63 + 8003c904: 00153513 seqz a0,a0 + 8003c908: c78fd0ef jal ra,80039d80 <_assert> + 8003c90c: 01093703 ld a4,16(s2) + 8003c910: 00100613 li a2,1 + 8003c914: 00000793 li a5,0 + 8003c918: 00675713 srli a4,a4,0x6 + 8003c91c: 00100693 li a3,1 + 8003c920: 00100513 li a0,1 + 8003c924: 00e67e63 bgeu a2,a4,8003c940 + 8003c928: 0017879b addiw a5,a5,1 + 8003c92c: 00175713 srli a4,a4,0x1 + 8003c930: 0ff7f793 andi a5,a5,255 + 8003c934: fed71ae3 bne a4,a3,8003c928 + 8003c938: 0407b513 sltiu a0,a5,64 + 8003c93c: 00078a93 mv s5,a5 + 8003c940: c40fd0ef jal ra,80039d80 <_assert> + 8003c944: 02093783 ld a5,32(s2) + 8003c948: 00078663 beqz a5,8003c954 + 8003c94c: 02893703 ld a4,40(s2) + 8003c950: 02e7b423 sd a4,40(a5) + 8003c954: 02893503 ld a0,40(s2) + 8003c958: 00050463 beqz a0,8003c960 + 8003c95c: 02f53023 sd a5,32(a0) + 8003c960: 003a9b13 slli s6,s5,0x3 + 8003c964: 01648b33 add s6,s1,s6 + 8003c968: 000b3783 ld a5,0(s6) + 8003c96c: 02f91863 bne s2,a5,8003c99c + 8003c970: 00153513 seqz a0,a0 + 8003c974: c0cfd0ef jal ra,80039d80 <_assert> + 8003c978: 02093783 ld a5,32(s2) + 8003c97c: 00fb3023 sd a5,0(s6) + 8003c980: 00079e63 bnez a5,8003c99c + 8003c984: 2004b703 ld a4,512(s1) + 8003c988: 00100793 li a5,1 + 8003c98c: 015797b3 sll a5,a5,s5 + 8003c990: fff7c793 not a5,a5 + 8003c994: 00f777b3 and a5,a4,a5 + 8003c998: 20f4b023 sd a5,512(s1) + 8003c99c: 01093783 ld a5,16(s2) + 8003c9a0: ff043703 ld a4,-16(s0) + 8003c9a4: 00e787b3 add a5,a5,a4 + 8003c9a8: 00f93823 sd a5,16(s2) + 8003c9ac: fe043823 sd zero,-16(s0) + 8003c9b0: 01093503 ld a0,16(s2) + 8003c9b4: 03f57513 andi a0,a0,63 + 8003c9b8: 00153513 seqz a0,a0 + 8003c9bc: bc4fd0ef jal ra,80039d80 <_assert> + 8003c9c0: 01393023 sd s3,0(s2) + 8003c9c4: 00098463 beqz s3,8003c9cc + 8003c9c8: 0129b423 sd s2,8(s3) + 8003c9cc: 000a0513 mv a0,s4 + 8003c9d0: bb0fd0ef jal ra,80039d80 <_assert> + 8003c9d4: 00100513 li a0,1 + 8003c9d8: ba8fd0ef jal ra,80039d80 <_assert> + 8003c9dc: 01093503 ld a0,16(s2) + 8003c9e0: 04053513 sltiu a0,a0,64 + 8003c9e4: 00154513 xori a0,a0,1 + 8003c9e8: 00157513 andi a0,a0,1 + 8003c9ec: b94fd0ef jal ra,80039d80 <_assert> + 8003c9f0: 01093503 ld a0,16(s2) + 8003c9f4: 03f57513 andi a0,a0,63 + 8003c9f8: 00153513 seqz a0,a0 + 8003c9fc: b84fd0ef jal ra,80039d80 <_assert> + 8003ca00: 01093783 ld a5,16(s2) + 8003ca04: 00100713 li a4,1 + 8003ca08: 0067d793 srli a5,a5,0x6 + 8003ca0c: 02f77663 bgeu a4,a5,8003ca38 + 8003ca10: 00000513 li a0,0 + 8003ca14: 0015051b addiw a0,a0,1 + 8003ca18: 0017d793 srli a5,a5,0x1 + 8003ca1c: 0ff57513 andi a0,a0,255 + 8003ca20: fee79ae3 bne a5,a4,8003ca14 + 8003ca24: c1dff06f j 8003c640 + 8003ca28: 00100913 li s2,1 + 8003ca2c: 00000993 li s3,0 + 8003ca30: 00100513 li a0,1 + 8003ca34: de5ff06f j 8003c818 + 8003ca38: 00100413 li s0,1 + 8003ca3c: 00000993 li s3,0 + 8003ca40: 00100513 li a0,1 + 8003ca44: c09ff06f j 8003c64c + 8003ca48: 00000a93 li s5,0 + 8003ca4c: 00100513 li a0,1 + 8003ca50: afdff06f j 8003c54c + 8003ca54: 00000a93 li s5,0 + 8003ca58: 00100513 li a0,1 + 8003ca5c: a31ff06f j 8003c48c + +000000008003ca60 <_wordcopy_fwd_aligned>: + 8003ca60: 00767793 andi a5,a2,7 + 8003ca64: 0000a717 auipc a4,0xa + 8003ca68: edc70713 addi a4,a4,-292 # 80046940 + 8003ca6c: 00279793 slli a5,a5,0x2 + 8003ca70: 00e787b3 add a5,a5,a4 + 8003ca74: 0007a783 lw a5,0(a5) + 8003ca78: 00e787b3 add a5,a5,a4 + 8003ca7c: 00078067 jr a5 + 8003ca80: 0005b703 ld a4,0(a1) + 8003ca84: ff050793 addi a5,a0,-16 + 8003ca88: ff858593 addi a1,a1,-8 + 8003ca8c: 00160613 addi a2,a2,1 + 8003ca90: 0105b803 ld a6,16(a1) + 8003ca94: 01878693 addi a3,a5,24 + 8003ca98: 00e53023 sd a4,0(a0) + 8003ca9c: 0185b703 ld a4,24(a1) + 8003caa0: 02078513 addi a0,a5,32 + 8003caa4: 0106b023 sd a6,0(a3) + 8003caa8: 0205b803 ld a6,32(a1) + 8003caac: 02878693 addi a3,a5,40 + 8003cab0: 00e53023 sd a4,0(a0) + 8003cab4: 0285b703 ld a4,40(a1) + 8003cab8: 03078513 addi a0,a5,48 + 8003cabc: 0106b023 sd a6,0(a3) + 8003cac0: 0305b803 ld a6,48(a1) + 8003cac4: 03878693 addi a3,a5,56 + 8003cac8: 00e53023 sd a4,0(a0) + 8003cacc: 0385b703 ld a4,56(a1) + 8003cad0: 04078793 addi a5,a5,64 + 8003cad4: 0106b023 sd a6,0(a3) + 8003cad8: ff860613 addi a2,a2,-8 + 8003cadc: 00078513 mv a0,a5 + 8003cae0: 04058593 addi a1,a1,64 + 8003cae4: 02060063 beqz a2,8003cb04 <_wordcopy_fwd_aligned+0xa4> + 8003cae8: 0005b803 ld a6,0(a1) + 8003caec: 00878693 addi a3,a5,8 + 8003caf0: 00e53023 sd a4,0(a0) + 8003caf4: 0085b703 ld a4,8(a1) + 8003caf8: 01078513 addi a0,a5,16 + 8003cafc: 0106b023 sd a6,0(a3) + 8003cb00: f91ff06f j 8003ca90 <_wordcopy_fwd_aligned+0x30> + 8003cb04: 00e53023 sd a4,0(a0) + 8003cb08: 00008067 ret + 8003cb0c: fff60613 addi a2,a2,-1 + 8003cb10: 0005b703 ld a4,0(a1) + 8003cb14: fe0608e3 beqz a2,8003cb04 <_wordcopy_fwd_aligned+0xa4> + 8003cb18: 00858593 addi a1,a1,8 + 8003cb1c: 00050793 mv a5,a0 + 8003cb20: fc9ff06f j 8003cae8 <_wordcopy_fwd_aligned+0x88> + 8003cb24: 0005b803 ld a6,0(a1) + 8003cb28: 00050693 mv a3,a0 + 8003cb2c: fd058593 addi a1,a1,-48 + 8003cb30: fc850793 addi a5,a0,-56 + 8003cb34: 00660613 addi a2,a2,6 + 8003cb38: f95ff06f j 8003cacc <_wordcopy_fwd_aligned+0x6c> + 8003cb3c: 0005b703 ld a4,0(a1) + 8003cb40: fd050793 addi a5,a0,-48 + 8003cb44: fd858593 addi a1,a1,-40 + 8003cb48: 00560613 addi a2,a2,5 + 8003cb4c: f75ff06f j 8003cac0 <_wordcopy_fwd_aligned+0x60> + 8003cb50: 0005b803 ld a6,0(a1) + 8003cb54: 00050693 mv a3,a0 + 8003cb58: fe058593 addi a1,a1,-32 + 8003cb5c: fd850793 addi a5,a0,-40 + 8003cb60: 00460613 addi a2,a2,4 + 8003cb64: f51ff06f j 8003cab4 <_wordcopy_fwd_aligned+0x54> + 8003cb68: 0005b703 ld a4,0(a1) + 8003cb6c: fe050793 addi a5,a0,-32 + 8003cb70: fe858593 addi a1,a1,-24 + 8003cb74: 00360613 addi a2,a2,3 + 8003cb78: f31ff06f j 8003caa8 <_wordcopy_fwd_aligned+0x48> + 8003cb7c: 0005b803 ld a6,0(a1) + 8003cb80: 00050693 mv a3,a0 + 8003cb84: ff058593 addi a1,a1,-16 + 8003cb88: fe850793 addi a5,a0,-24 + 8003cb8c: 00260613 addi a2,a2,2 + 8003cb90: f0dff06f j 8003ca9c <_wordcopy_fwd_aligned+0x3c> + 8003cb94: f6060ae3 beqz a2,8003cb08 <_wordcopy_fwd_aligned+0xa8> + 8003cb98: 0005b803 ld a6,0(a1) + 8003cb9c: 00050693 mv a3,a0 + 8003cba0: ff850793 addi a5,a0,-8 + 8003cba4: f51ff06f j 8003caf4 <_wordcopy_fwd_aligned+0x94> + +000000008003cba8 <_wordcopy_fwd_dest_aligned>: + 8003cba8: 0075f713 andi a4,a1,7 + 8003cbac: 0037179b slliw a5,a4,0x3 + 8003cbb0: 00371313 slli t1,a4,0x3 + 8003cbb4: 00367693 andi a3,a2,3 + 8003cbb8: 04000713 li a4,64 + 8003cbbc: 00200813 li a6,2 + 8003cbc0: 40f707bb subw a5,a4,a5 + 8003cbc4: ff85f593 andi a1,a1,-8 + 8003cbc8: 0d068e63 beq a3,a6,8003cca4 <_wordcopy_fwd_dest_aligned+0xfc> + 8003cbcc: 00300713 li a4,3 + 8003cbd0: 02e68c63 beq a3,a4,8003cc08 <_wordcopy_fwd_dest_aligned+0x60> + 8003cbd4: 00100713 li a4,1 + 8003cbd8: 00e68663 beq a3,a4,8003cbe4 <_wordcopy_fwd_dest_aligned+0x3c> + 8003cbdc: 0e061863 bnez a2,8003cccc <_wordcopy_fwd_dest_aligned+0x124> + 8003cbe0: 00008067 ret + 8003cbe4: fff60613 addi a2,a2,-1 + 8003cbe8: 0005b703 ld a4,0(a1) + 8003cbec: 0085b803 ld a6,8(a1) + 8003cbf0: 0c061863 bnez a2,8003ccc0 <_wordcopy_fwd_dest_aligned+0x118> + 8003cbf4: 00675733 srl a4,a4,t1 + 8003cbf8: 00f817b3 sll a5,a6,a5 + 8003cbfc: 00f76733 or a4,a4,a5 + 8003cc00: 00e53023 sd a4,0(a0) + 8003cc04: 00008067 ret + 8003cc08: 0005b703 ld a4,0(a1) + 8003cc0c: 0085b683 ld a3,8(a1) + 8003cc10: 00058893 mv a7,a1 + 8003cc14: 00160613 addi a2,a2,1 + 8003cc18: ff050593 addi a1,a0,-16 + 8003cc1c: 0340006f j 8003cc50 <_wordcopy_fwd_dest_aligned+0xa8> + 8003cc20: 006756b3 srl a3,a4,t1 + 8003cc24: 00f81733 sll a4,a6,a5 + 8003cc28: 00e6e6b3 or a3,a3,a4 + 8003cc2c: 00858e13 addi t3,a1,8 + 8003cc30: 0008b703 ld a4,0(a7) + 8003cc34: 00d53023 sd a3,0(a0) + 8003cc38: 00f716b3 sll a3,a4,a5 + 8003cc3c: 00685833 srl a6,a6,t1 + 8003cc40: 00d86833 or a6,a6,a3 + 8003cc44: 01058513 addi a0,a1,16 + 8003cc48: 0088b683 ld a3,8(a7) + 8003cc4c: 010e3023 sd a6,0(t3) + 8003cc50: 00675733 srl a4,a4,t1 + 8003cc54: 00f69833 sll a6,a3,a5 + 8003cc58: 01076833 or a6,a4,a6 + 8003cc5c: 01858e13 addi t3,a1,24 + 8003cc60: 0108b703 ld a4,16(a7) + 8003cc64: 01053023 sd a6,0(a0) + 8003cc68: 00f71533 sll a0,a4,a5 + 8003cc6c: 0066d6b3 srl a3,a3,t1 + 8003cc70: 00a6e6b3 or a3,a3,a0 + 8003cc74: 0188b803 ld a6,24(a7) + 8003cc78: 02058593 addi a1,a1,32 + 8003cc7c: 00de3023 sd a3,0(t3) + 8003cc80: ffc60613 addi a2,a2,-4 + 8003cc84: 00058513 mv a0,a1 + 8003cc88: 02088893 addi a7,a7,32 + 8003cc8c: f8061ae3 bnez a2,8003cc20 <_wordcopy_fwd_dest_aligned+0x78> + 8003cc90: 00675733 srl a4,a4,t1 + 8003cc94: 00f817b3 sll a5,a6,a5 + 8003cc98: 00f76733 or a4,a4,a5 + 8003cc9c: 00e53023 sd a4,0(a0) + 8003cca0: f65ff06f j 8003cc04 <_wordcopy_fwd_dest_aligned+0x5c> + 8003cca4: 0005b683 ld a3,0(a1) + 8003cca8: 0085b703 ld a4,8(a1) + 8003ccac: ff858893 addi a7,a1,-8 + 8003ccb0: 00050e13 mv t3,a0 + 8003ccb4: fe850593 addi a1,a0,-24 + 8003ccb8: 00260613 addi a2,a2,2 + 8003ccbc: fadff06f j 8003cc68 <_wordcopy_fwd_dest_aligned+0xc0> + 8003ccc0: 01058893 addi a7,a1,16 + 8003ccc4: 00050593 mv a1,a0 + 8003ccc8: f59ff06f j 8003cc20 <_wordcopy_fwd_dest_aligned+0x78> + 8003cccc: 0005b803 ld a6,0(a1) + 8003ccd0: 0085b703 ld a4,8(a1) + 8003ccd4: 00858893 addi a7,a1,8 + 8003ccd8: 00050e13 mv t3,a0 + 8003ccdc: ff850593 addi a1,a0,-8 + 8003cce0: f59ff06f j 8003cc38 <_wordcopy_fwd_dest_aligned+0x90> diff --git a/bin/custom-output/rt-thread/rtthread.bin b/bin/custom-output/rt-thread/rtthread.bin new file mode 100755 index 0000000..1ae46c0 Binary files /dev/null and b/bin/custom-output/rt-thread/rtthread.bin differ diff --git a/bin/custom-output/rt-thread/rtthread.elf b/bin/custom-output/rt-thread/rtthread.elf new file mode 100755 index 0000000..59545cf Binary files /dev/null and b/bin/custom-output/rt-thread/rtthread.elf differ diff --git a/bin/custom-output/rt-thread/rtthread.txt b/bin/custom-output/rt-thread/rtthread.txt new file mode 100755 index 0000000..ec01842 --- /dev/null +++ b/bin/custom-output/rt-thread/rtthread.txt @@ -0,0 +1,15696 @@ + +rtthread.elf: file format elf64-littleriscv + + +Disassembly of section .start: + +0000000080000000 <_start>: + 80000000: f14022f3 csrr t0,mhartid + 80000004: 00a29293 slli t0,t0,0xa + 80000008: f1402573 csrr a0,mhartid + 8000000c: 02051e63 bnez a0,80000048 + 80000010: 30405073 csrwi mie,0 + 80000014: 34405073 csrwi mip,0 + 80000018: 00005297 auipc t0,0x5 + 8000001c: 40c28293 addi t0,t0,1036 # 80005424 + 80000020: 30529073 csrw mtvec,t0 + 80000024: 000062b7 lui t0,0x6 + 80000028: 3002b073 csrc mstatus,t0 + 8000002c: 00012197 auipc gp,0x12 + 80000030: aec18193 addi gp,gp,-1300 # 80011b18 <__global_pointer$> + 80000034: 82818113 addi sp,gp,-2008 # 80011340 <__stack_start__> + 80000038: 000042b7 lui t0,0x4 + 8000003c: 00510133 add sp,sp,t0 + 80000040: 34011073 csrw mscratch,sp + 80000044: 3d80006f j 8000041c + +0000000080000048 : + 80000048: 10500073 wfi + 8000004c: ffdff06f j 80000048 + +Disassembly of section .text: + +0000000080000050 : + 80000050: 30047573 csrrci a0,mstatus,8 + 80000054: 00008067 ret + +0000000080000058 : + 80000058: 30051073 csrw mstatus,a0 + 8000005c: 00008067 ret + +0000000080000060 : + 80000060: 00053103 ld sp,0(a0) + 80000064: 01013503 ld a0,16(sp) + 80000068: 30051073 csrw mstatus,a0 + 8000006c: 0a00006f j 8000010c + +0000000080000070 : + 80000070: f0010113 addi sp,sp,-256 + 80000074: 00253023 sd sp,0(a0) + 80000078: 00113023 sd ra,0(sp) + 8000007c: 00113423 sd ra,8(sp) + 80000080: 30002573 csrr a0,mstatus + 80000084: 00857513 andi a0,a0,8 + 80000088: 00050463 beqz a0,80000090 + 8000008c: 08000513 li a0,128 + +0000000080000090 : + 80000090: 00a13823 sd a0,16(sp) + 80000094: 02413023 sd tp,32(sp) + 80000098: 02513423 sd t0,40(sp) + 8000009c: 02613823 sd t1,48(sp) + 800000a0: 02713c23 sd t2,56(sp) + 800000a4: 04813023 sd s0,64(sp) + 800000a8: 04913423 sd s1,72(sp) + 800000ac: 04a13823 sd a0,80(sp) + 800000b0: 04b13c23 sd a1,88(sp) + 800000b4: 06c13023 sd a2,96(sp) + 800000b8: 06d13423 sd a3,104(sp) + 800000bc: 06e13823 sd a4,112(sp) + 800000c0: 06f13c23 sd a5,120(sp) + 800000c4: 09013023 sd a6,128(sp) + 800000c8: 09113423 sd a7,136(sp) + 800000cc: 09213823 sd s2,144(sp) + 800000d0: 09313c23 sd s3,152(sp) + 800000d4: 0b413023 sd s4,160(sp) + 800000d8: 0b513423 sd s5,168(sp) + 800000dc: 0b613823 sd s6,176(sp) + 800000e0: 0b713c23 sd s7,184(sp) + 800000e4: 0d813023 sd s8,192(sp) + 800000e8: 0d913423 sd s9,200(sp) + 800000ec: 0da13823 sd s10,208(sp) + 800000f0: 0db13c23 sd s11,216(sp) + 800000f4: 0fc13023 sd t3,224(sp) + 800000f8: 0fd13423 sd t4,232(sp) + 800000fc: 0fe13823 sd t5,240(sp) + 80000100: 0ff13c23 sd t6,248(sp) + 80000104: 0005b103 ld sp,0(a1) + 80000108: 0040006f j 8000010c + +000000008000010c : + 8000010c: 00013503 ld a0,0(sp) + 80000110: 34151073 csrw mepc,a0 + 80000114: 00813083 ld ra,8(sp) + 80000118: 000082b7 lui t0,0x8 + 8000011c: 8002829b addiw t0,t0,-2048 + 80000120: 30029073 csrw mstatus,t0 + 80000124: 01013503 ld a0,16(sp) + 80000128: 30052073 csrs mstatus,a0 + 8000012c: 02013203 ld tp,32(sp) + 80000130: 02813283 ld t0,40(sp) + 80000134: 03013303 ld t1,48(sp) + 80000138: 03813383 ld t2,56(sp) + 8000013c: 04013403 ld s0,64(sp) + 80000140: 04813483 ld s1,72(sp) + 80000144: 05013503 ld a0,80(sp) + 80000148: 05813583 ld a1,88(sp) + 8000014c: 06013603 ld a2,96(sp) + 80000150: 06813683 ld a3,104(sp) + 80000154: 07013703 ld a4,112(sp) + 80000158: 07813783 ld a5,120(sp) + 8000015c: 08013803 ld a6,128(sp) + 80000160: 08813883 ld a7,136(sp) + 80000164: 09013903 ld s2,144(sp) + 80000168: 09813983 ld s3,152(sp) + 8000016c: 0a013a03 ld s4,160(sp) + 80000170: 0a813a83 ld s5,168(sp) + 80000174: 0b013b03 ld s6,176(sp) + 80000178: 0b813b83 ld s7,184(sp) + 8000017c: 0c013c03 ld s8,192(sp) + 80000180: 0c813c83 ld s9,200(sp) + 80000184: 0d013d03 ld s10,208(sp) + 80000188: 0d813d83 ld s11,216(sp) + 8000018c: 0e013e03 ld t3,224(sp) + 80000190: 0e813e83 ld t4,232(sp) + 80000194: 0f013f03 ld t5,240(sp) + 80000198: 0f813f83 ld t6,248(sp) + 8000019c: 10010113 addi sp,sp,256 + 800001a0: 30200073 mret + +00000000800001a4 <__udivsi3>: + 800001a4: 02051513 slli a0,a0,0x20 + 800001a8: 02059593 slli a1,a1,0x20 + 800001ac: 00008293 mv t0,ra + 800001b0: 03c000ef jal ra,800001ec <__udivdi3> + 800001b4: 0005051b sext.w a0,a0 + 800001b8: 00028067 jr t0 # 8000 <__STACKSIZE__+0x4000> + +00000000800001bc <__umodsi3>: + 800001bc: 02051513 slli a0,a0,0x20 + 800001c0: 02059593 slli a1,a1,0x20 + 800001c4: 02055513 srli a0,a0,0x20 + 800001c8: 0205d593 srli a1,a1,0x20 + 800001cc: 00008293 mv t0,ra + 800001d0: 01c000ef jal ra,800001ec <__udivdi3> + 800001d4: 0005851b sext.w a0,a1 + 800001d8: 00028067 jr t0 + +00000000800001dc <__divsi3>: + 800001dc: fff00293 li t0,-1 + 800001e0: 0a558c63 beq a1,t0,80000298 <__moddi3+0x30> + +00000000800001e4 <__divdi3>: + 800001e4: 06054063 bltz a0,80000244 <__umoddi3+0x10> + 800001e8: 0605c663 bltz a1,80000254 <__umoddi3+0x20> + +00000000800001ec <__udivdi3>: + 800001ec: 00058613 mv a2,a1 + 800001f0: 00050593 mv a1,a0 + 800001f4: fff00513 li a0,-1 + 800001f8: 02060c63 beqz a2,80000230 <__udivdi3+0x44> + 800001fc: 00100693 li a3,1 + 80000200: 00b67a63 bgeu a2,a1,80000214 <__udivdi3+0x28> + 80000204: 00c05863 blez a2,80000214 <__udivdi3+0x28> + 80000208: 00161613 slli a2,a2,0x1 + 8000020c: 00169693 slli a3,a3,0x1 + 80000210: feb66ae3 bltu a2,a1,80000204 <__udivdi3+0x18> + 80000214: 00000513 li a0,0 + 80000218: 00c5e663 bltu a1,a2,80000224 <__udivdi3+0x38> + 8000021c: 40c585b3 sub a1,a1,a2 + 80000220: 00d56533 or a0,a0,a3 + 80000224: 0016d693 srli a3,a3,0x1 + 80000228: 00165613 srli a2,a2,0x1 + 8000022c: fe0696e3 bnez a3,80000218 <__udivdi3+0x2c> + 80000230: 00008067 ret + +0000000080000234 <__umoddi3>: + 80000234: 00008293 mv t0,ra + 80000238: fb5ff0ef jal ra,800001ec <__udivdi3> + 8000023c: 00058513 mv a0,a1 + 80000240: 00028067 jr t0 + 80000244: 40a00533 neg a0,a0 + 80000248: 00b04863 bgtz a1,80000258 <__umoddi3+0x24> + 8000024c: 40b005b3 neg a1,a1 + 80000250: f9dff06f j 800001ec <__udivdi3> + 80000254: 40b005b3 neg a1,a1 + 80000258: 00008293 mv t0,ra + 8000025c: f91ff0ef jal ra,800001ec <__udivdi3> + 80000260: 40a00533 neg a0,a0 + 80000264: 00028067 jr t0 + +0000000080000268 <__moddi3>: + 80000268: 00008293 mv t0,ra + 8000026c: 0005ca63 bltz a1,80000280 <__moddi3+0x18> + 80000270: 00054c63 bltz a0,80000288 <__moddi3+0x20> + 80000274: f79ff0ef jal ra,800001ec <__udivdi3> + 80000278: 00058513 mv a0,a1 + 8000027c: 00028067 jr t0 + 80000280: 40b005b3 neg a1,a1 + 80000284: fe0558e3 bgez a0,80000274 <__moddi3+0xc> + 80000288: 40a00533 neg a0,a0 + 8000028c: f61ff0ef jal ra,800001ec <__udivdi3> + 80000290: 40b00533 neg a0,a1 + 80000294: 00028067 jr t0 + 80000298: 01f29293 slli t0,t0,0x1f + 8000029c: f45514e3 bne a0,t0,800001e4 <__divdi3> + 800002a0: 00008067 ret + +00000000800002a4 <__muldi3>: + 800002a4: 00050613 mv a2,a0 + 800002a8: 00000513 li a0,0 + 800002ac: 0015f693 andi a3,a1,1 + 800002b0: 00068463 beqz a3,800002b8 <__muldi3+0x14> + 800002b4: 00c50533 add a0,a0,a2 + 800002b8: 0015d593 srli a1,a1,0x1 + 800002bc: 00161613 slli a2,a2,0x1 + 800002c0: fe0596e3 bnez a1,800002ac <__muldi3+0x8> + 800002c4: 00008067 ret + +00000000800002c8 : + 800002c8: fe010113 addi sp,sp,-32 + 800002cc: 00813823 sd s0,16(sp) + 800002d0: 00913423 sd s1,8(sp) + 800002d4: 01213023 sd s2,0(sp) + 800002d8: 00113c23 sd ra,24(sp) + 800002dc: 00000413 li s0,0 + 800002e0: 0000d917 auipc s2,0xd + 800002e4: e4090913 addi s2,s2,-448 # 8000d120 + 800002e8: 00a00493 li s1,10 + 800002ec: 00040593 mv a1,s0 + 800002f0: 00090513 mv a0,s2 + 800002f4: 4b5040ef jal ra,80004fa8 + 800002f8: 1f400513 li a0,500 + 800002fc: 0014041b addiw s0,s0,1 + 80000300: 1f9020ef jal ra,80002cf8 + 80000304: fe9414e3 bne s0,s1,800002ec + 80000308: 01013403 ld s0,16(sp) + 8000030c: 01813083 ld ra,24(sp) + 80000310: 00813483 ld s1,8(sp) + 80000314: 00013903 ld s2,0(sp) + 80000318: 0000d517 auipc a0,0xd + 8000031c: e2050513 addi a0,a0,-480 # 8000d138 + 80000320: 02010113 addi sp,sp,32 + 80000324: 4850406f j 80004fa8 + +0000000080000328 : + 80000328: fe010113 addi sp,sp,-32 + 8000032c: 00913423 sd s1,8(sp) + 80000330: 00113c23 sd ra,24(sp) + 80000334: 00813823 sd s0,16(sp) + 80000338: 00000593 li a1,0 + 8000033c: 0000d497 auipc s1,0xd + 80000340: e0c48493 addi s1,s1,-500 # 8000d148 + 80000344: 00048513 mv a0,s1 + 80000348: 0015841b addiw s0,a1,1 + 8000034c: 45d040ef jal ra,80004fa8 + 80000350: 1f400513 li a0,500 + 80000354: 1a5020ef jal ra,80002cf8 + 80000358: 00040593 mv a1,s0 + 8000035c: fe9ff06f j 80000344 + +0000000080000360 : + 80000360: 00019797 auipc a5,0x19 + 80000364: fe078793 addi a5,a5,-32 # 80019340 + 80000368: 0007c703 lbu a4,0(a5) + 8000036c: 08071463 bnez a4,800003f4 + 80000370: ff010113 addi sp,sp,-16 + 80000374: 00113423 sd ra,8(sp) + 80000378: 00100713 li a4,1 + 8000037c: 00e78023 sb a4,0(a5) + 80000380: 20000693 li a3,512 + 80000384: 00500793 li a5,5 + 80000388: 01900713 li a4,25 + 8000038c: 00000613 li a2,0 + 80000390: 00000597 auipc a1,0x0 + 80000394: f9858593 addi a1,a1,-104 # 80000328 + 80000398: 0000d517 auipc a0,0xd + 8000039c: dc850513 addi a0,a0,-568 # 8000d160 + 800003a0: 5f8020ef jal ra,80002998 + 800003a4: 00050463 beqz a0,800003ac + 800003a8: 245020ef jal ra,80002dec + 800003ac: 00500893 li a7,5 + 800003b0: 01800813 li a6,24 + 800003b4: 40000793 li a5,1024 + 800003b8: 00019717 auipc a4,0x19 + 800003bc: 1b870713 addi a4,a4,440 # 80019570 + 800003c0: 00000693 li a3,0 + 800003c4: 00000617 auipc a2,0x0 + 800003c8: f0460613 addi a2,a2,-252 # 800002c8 + 800003cc: 0000d597 auipc a1,0xd + 800003d0: d9c58593 addi a1,a1,-612 # 8000d168 + 800003d4: 00019517 auipc a0,0x19 + 800003d8: 0b450513 addi a0,a0,180 # 80019488 + 800003dc: 3dc020ef jal ra,800027b8 + 800003e0: 00813083 ld ra,8(sp) + 800003e4: 00019517 auipc a0,0x19 + 800003e8: 0a450513 addi a0,a0,164 # 80019488 + 800003ec: 01010113 addi sp,sp,16 + 800003f0: 1fd0206f j 80002dec + 800003f4: 00008067 ret + +00000000800003f8 : + 800003f8: 00000513 li a0,0 + 800003fc: 00000593 li a1,0 + 80000400: 00000613 li a2,0 + 80000404: 00000693 li a3,0 + 80000408: 00000713 li a4,0 + 8000040c: 00000813 li a6,0 + 80000410: 00800893 li a7,8 + 80000414: 00000073 ecall + 80000418: 0000006f j 80000418 + +000000008000041c : + 8000041c: 00019517 auipc a0,0x19 + 80000420: f2450513 addi a0,a0,-220 # 80019340 + 80000424: 00022617 auipc a2,0x22 + 80000428: 6d460613 addi a2,a2,1748 # 80022af8 <__bss_end> + 8000042c: ff010113 addi sp,sp,-16 + 80000430: 40a60633 sub a2,a2,a0 + 80000434: 00000593 li a1,0 + 80000438: 00113423 sd ra,8(sp) + 8000043c: 19c040ef jal ra,800045d8 + 80000440: c11ff0ef jal ra,80000050 + 80000444: 00813083 ld ra,8(sp) + 80000448: 01010113 addi sp,sp,16 + 8000044c: 6a50406f j 800052f0 + +0000000080000450 : + 80000450: ff010113 addi sp,sp,-16 + 80000454: 00000517 auipc a0,0x0 + 80000458: f0c50513 addi a0,a0,-244 # 80000360 + 8000045c: 00113423 sd ra,8(sp) + 80000460: 00813023 sd s0,0(sp) + 80000464: 475000ef jal ra,800010d8 + 80000468: 0ec050ef jal ra,80005554 + 8000046c: 138000ef jal ra,800005a4 + 80000470: 06422617 auipc a2,0x6422 + 80000474: 68860613 addi a2,a2,1672 # 86422af8 <__bss_end+0x6400000> + 80000478: 00022417 auipc s0,0x22 + 8000047c: 68040413 addi s0,s0,1664 # 80022af8 <__bss_end> + 80000480: 00060593 mv a1,a2 + 80000484: 00040513 mv a0,s0 + 80000488: 2e8010ef jal ra,80001770 + 8000048c: 0000d517 auipc a0,0xd + 80000490: ce450513 addi a0,a0,-796 # 8000d170 + 80000494: 2a1040ef jal ra,80004f34 + 80000498: 6c1040ef jal ra,80005358 + 8000049c: 00040593 mv a1,s0 + 800004a0: 06422617 auipc a2,0x6422 + 800004a4: 65860613 addi a2,a2,1624 # 86422af8 <__bss_end+0x6400000> + 800004a8: 0000d517 auipc a0,0xd + 800004ac: cd050513 addi a0,a0,-816 # 8000d178 + 800004b0: 2f9040ef jal ra,80004fa8 + 800004b4: 00013403 ld s0,0(sp) + 800004b8: 00813083 ld ra,8(sp) + 800004bc: 01010113 addi sp,sp,16 + 800004c0: 4e90406f j 800051a8 + +00000000800004c4 : + 800004c4: f14027f3 csrr a5,mhartid + 800004c8: 00c7971b slliw a4,a5,0xc + 800004cc: 0c2007b7 lui a5,0xc200 + 800004d0: 00e787b3 add a5,a5,a4 + 800004d4: 0047a503 lw a0,4(a5) # c200004 <__STACKSIZE__+0xc1fc004> + 800004d8: 00008067 ret + +00000000800004dc : + 800004dc: f14027f3 csrr a5,mhartid + 800004e0: 00c7971b slliw a4,a5,0xc + 800004e4: 0c2007b7 lui a5,0xc200 + 800004e8: 00e787b3 add a5,a5,a4 + 800004ec: 00a7a223 sw a0,4(a5) # c200004 <__STACKSIZE__+0xc1fc004> + 800004f0: 00008067 ret + +00000000800004f4 : + 800004f4: 00058513 mv a0,a1 + 800004f8: 0000007b 0x7b + 800004fc: 00008067 ret + +0000000080000500 : + 80000500: fff00513 li a0,-1 + 80000504: 00008067 ret + +0000000080000508 : + 80000508: fe010113 addi sp,sp,-32 + 8000050c: 00813823 sd s0,16(sp) + 80000510: 00913423 sd s1,8(sp) + 80000514: 00113c23 sd ra,24(sp) + 80000518: 00050413 mv s0,a0 + 8000051c: 00058493 mv s1,a1 + 80000520: 00051e63 bnez a0,8000053c + 80000524: 03a00613 li a2,58 + 80000528: 0000d597 auipc a1,0xd + 8000052c: cb858593 addi a1,a1,-840 # 8000d1e0 <__FUNCTION__.3511> + 80000530: 0000d517 auipc a0,0xd + 80000534: c8850513 addi a0,a0,-888 # 8000d1b8 <__fsym___cmd_reboot_name+0x10> + 80000538: 3fd040ef jal ra,80005134 + 8000053c: 0004a783 lw a5,0(s1) + 80000540: 01813083 ld ra,24(sp) + 80000544: 00000513 li a0,0 + 80000548: 08f42423 sw a5,136(s0) + 8000054c: 0044a783 lw a5,4(s1) + 80000550: 00813483 ld s1,8(sp) + 80000554: 08f42623 sw a5,140(s0) + 80000558: 01013403 ld s0,16(sp) + 8000055c: 02010113 addi sp,sp,32 + 80000560: 00008067 ret + +0000000080000564 : + 80000564: 07853783 ld a5,120(a0) + 80000568: 02079a63 bnez a5,8000059c + 8000056c: ff010113 addi sp,sp,-16 + 80000570: 0000d517 auipc a0,0xd + 80000574: c6050513 addi a0,a0,-928 # 8000d1d0 <__fsym___cmd_reboot_name+0x28> + 80000578: 04700613 li a2,71 + 8000057c: 0000d597 auipc a1,0xd + 80000580: c7c58593 addi a1,a1,-900 # 8000d1f8 <__FUNCTION__.3519> + 80000584: 00113423 sd ra,8(sp) + 80000588: 3ad040ef jal ra,80005134 + 8000058c: 00813083 ld ra,8(sp) + 80000590: 00000513 li a0,0 + 80000594: 01010113 addi sp,sp,16 + 80000598: 00008067 ret + 8000059c: 00000513 li a0,0 + 800005a0: 00008067 ret + +00000000800005a4 : + 800005a4: ff010113 addi sp,sp,-16 + 800005a8: 00113423 sd ra,8(sp) + 800005ac: 00019517 auipc a0,0x19 + 800005b0: 3c450513 addi a0,a0,964 # 80019970 + 800005b4: 0000d797 auipc a5,0xd + 800005b8: c5478793 addi a5,a5,-940 # 8000d208 <_uart_ops> + 800005bc: 08f53023 sd a5,128(a0) + 800005c0: 00011797 auipc a5,0x11 + 800005c4: b107b783 ld a5,-1264(a5) # 800110d0 <__rt_init_end> + 800005c8: 00019697 auipc a3,0x19 + 800005cc: 44868693 addi a3,a3,1096 # 80019a10 + 800005d0: 08f53423 sd a5,136(a0) + 800005d4: 100007b7 lui a5,0x10000 + 800005d8: 00f6b023 sd a5,0(a3) + 800005dc: 04300613 li a2,67 + 800005e0: 00a00793 li a5,10 + 800005e4: 0000d597 auipc a1,0xd + 800005e8: b8c58593 addi a1,a1,-1140 # 8000d170 + 800005ec: 00f6a423 sw a5,8(a3) + 800005f0: 2690c0ef jal ra,8000d058 + 800005f4: 00813083 ld ra,8(sp) + 800005f8: 00000513 li a0,0 + 800005fc: 01010113 addi sp,sp,16 + 80000600: 00008067 ret + +0000000080000604 : + 80000604: 29c0406f j 800048a0 + +0000000080000608 : + 80000608: 00050793 mv a5,a0 + 8000060c: 0005c703 lbu a4,0(a1) + 80000610: 00071663 bnez a4,8000061c + 80000614: 00078023 sb zero,0(a5) # 10000000 <__STACKSIZE__+0xfffc000> + 80000618: 00008067 ret + 8000061c: 00e78023 sb a4,0(a5) + 80000620: 00158593 addi a1,a1,1 + 80000624: 00178793 addi a5,a5,1 + 80000628: fe5ff06f j 8000060c + +000000008000062c : + 8000062c: 1cc0406f j 800047f8 + +0000000080000630 : + 80000630: fe010113 addi sp,sp,-32 + 80000634: 00113c23 sd ra,24(sp) + 80000638: 00813823 sd s0,16(sp) + 8000063c: 00b13423 sd a1,8(sp) + 80000640: 00050413 mv s0,a0 + 80000644: 25c040ef jal ra,800048a0 + 80000648: 00813583 ld a1,8(sp) + 8000064c: 00a40533 add a0,s0,a0 + 80000650: fb9ff0ef jal ra,80000608 + 80000654: 01813083 ld ra,24(sp) + 80000658: 00040513 mv a0,s0 + 8000065c: 01013403 ld s0,16(sp) + 80000660: 02010113 addi sp,sp,32 + 80000664: 00008067 ret + +0000000080000668 : + 80000668: 2140406f j 8000487c + +000000008000066c : + 8000066c: 1d00406f j 8000483c + +0000000080000670 : + 80000670: 7690306f j 800045d8 + +0000000080000674 : + 80000674: 0100406f j 80004684 + +0000000080000678 : + 80000678: 14c0406f j 800047c4 + +000000008000067c : + 8000067c: 2400406f j 800048bc + +0000000080000680 : + 80000680: 00050c63 beqz a0,80000698 + 80000684: 00050793 mv a5,a0 + 80000688: 0ff5f593 andi a1,a1,255 + 8000068c: 00000513 li a0,0 + 80000690: 0007c703 lbu a4,0(a5) + 80000694: 00071463 bnez a4,8000069c + 80000698: 00008067 ret + 8000069c: 00e59463 bne a1,a4,800006a4 + 800006a0: 00078513 mv a0,a5 + 800006a4: 00178793 addi a5,a5,1 + 800006a8: fe9ff06f j 80000690 + +00000000800006ac : + 800006ac: 00050793 mv a5,a0 + 800006b0: 02000713 li a4,32 + 800006b4: 0007c683 lbu a3,0(a5) + 800006b8: 02e68063 beq a3,a4,800006d8 + 800006bc: 00000513 li a0,0 + 800006c0: 00900593 li a1,9 + 800006c4: 0007c603 lbu a2,0(a5) + 800006c8: fd06069b addiw a3,a2,-48 + 800006cc: 0ff6f693 andi a3,a3,255 + 800006d0: 00d5f863 bgeu a1,a3,800006e0 + 800006d4: 00008067 ret + 800006d8: 00178793 addi a5,a5,1 + 800006dc: fd9ff06f j 800006b4 + 800006e0: 0025171b slliw a4,a0,0x2 + 800006e4: 00a7053b addw a0,a4,a0 + 800006e8: 0015151b slliw a0,a0,0x1 + 800006ec: 00c5053b addw a0,a0,a2 + 800006f0: fd05051b addiw a0,a0,-48 + 800006f4: 00178793 addi a5,a5,1 + 800006f8: fcdff06f j 800006c4 + +00000000800006fc
: + 800006fc: ff010113 addi sp,sp,-16 + 80000700: 0000d517 auipc a0,0xd + 80000704: b3050513 addi a0,a0,-1232 # 8000d230 <_uart_ops+0x28> + 80000708: 00113423 sd ra,8(sp) + 8000070c: 09d040ef jal ra,80004fa8 + 80000710: 00813083 ld ra,8(sp) + 80000714: 00000513 li a0,0 + 80000718: 01010113 addi sp,sp,16 + 8000071c: 00008067 ret + +0000000080000720 : + 80000720: 00019517 auipc a0,0x19 + 80000724: c2452503 lw a0,-988(a0) # 80019344 + 80000728: 00008067 ret + +000000008000072c : + 8000072c: ff010113 addi sp,sp,-16 + 80000730: 00113423 sd ra,8(sp) + 80000734: 00813023 sd s0,0(sp) + 80000738: 919ff0ef jal ra,80000050 + 8000073c: 00019797 auipc a5,0x19 + 80000740: c087a783 lw a5,-1016(a5) # 80019344 + 80000744: 0017879b addiw a5,a5,1 + 80000748: 00019717 auipc a4,0x19 + 8000074c: bef72e23 sw a5,-1028(a4) # 80019344 + 80000750: 00050413 mv s0,a0 + 80000754: 13c020ef jal ra,80002890 + 80000758: 08053783 ld a5,128(a0) + 8000075c: fff78793 addi a5,a5,-1 + 80000760: 08f53023 sd a5,128(a0) + 80000764: 02079a63 bnez a5,80000798 + 80000768: 07853783 ld a5,120(a0) + 8000076c: 08f53023 sd a5,128(a0) + 80000770: 06854783 lbu a5,104(a0) + 80000774: 0087e793 ori a5,a5,8 + 80000778: 06f50423 sb a5,104(a0) + 8000077c: 00040513 mv a0,s0 + 80000780: 8d9ff0ef jal ra,80000058 + 80000784: 241020ef jal ra,800031c4 + 80000788: 00013403 ld s0,0(sp) + 8000078c: 00813083 ld ra,8(sp) + 80000790: 01010113 addi sp,sp,16 + 80000794: 5640006f j 80000cf8 + 80000798: 00040513 mv a0,s0 + 8000079c: 8bdff0ef jal ra,80000058 + 800007a0: fe9ff06f j 80000788 + +00000000800007a4 : + 800007a4: 06054c63 bltz a0,8000081c + 800007a8: fe010113 addi sp,sp,-32 + 800007ac: 3e800593 li a1,1000 + 800007b0: 00113c23 sd ra,24(sp) + 800007b4: 00813823 sd s0,16(sp) + 800007b8: 00913423 sd s1,8(sp) + 800007bc: 00050493 mv s1,a0 + 800007c0: a25ff0ef jal ra,800001e4 <__divdi3> + 800007c4: 0015141b slliw s0,a0,0x1 + 800007c8: 00a4043b addw s0,s0,a0 + 800007cc: 0034141b slliw s0,s0,0x3 + 800007d0: 00a4053b addw a0,s0,a0 + 800007d4: 0025141b slliw s0,a0,0x2 + 800007d8: 3e800593 li a1,1000 + 800007dc: 00048513 mv a0,s1 + 800007e0: a89ff0ef jal ra,80000268 <__moddi3> + 800007e4: 0015179b slliw a5,a0,0x1 + 800007e8: 00a787bb addw a5,a5,a0 + 800007ec: 0037979b slliw a5,a5,0x3 + 800007f0: 00a7853b addw a0,a5,a0 + 800007f4: 0025151b slliw a0,a0,0x2 + 800007f8: 3e800593 li a1,1000 + 800007fc: 3e75051b addiw a0,a0,999 + 80000800: 9e5ff0ef jal ra,800001e4 <__divdi3> + 80000804: 01813083 ld ra,24(sp) + 80000808: 00a4053b addw a0,s0,a0 + 8000080c: 01013403 ld s0,16(sp) + 80000810: 00813483 ld s1,8(sp) + 80000814: 02010113 addi sp,sp,32 + 80000818: 00008067 ret + 8000081c: fff00513 li a0,-1 + 80000820: 00008067 ret + +0000000080000824 <_rt_timer_remove>: + 80000824: 02853683 ld a3,40(a0) + 80000828: 03053703 ld a4,48(a0) + 8000082c: 02850793 addi a5,a0,40 + 80000830: 00e6b423 sd a4,8(a3) + 80000834: 00d73023 sd a3,0(a4) + 80000838: 02f53823 sd a5,48(a0) + 8000083c: 02f53423 sd a5,40(a0) + 80000840: 00008067 ret + +0000000080000844 : + 80000844: fc010113 addi sp,sp,-64 + 80000848: 02813823 sd s0,48(sp) + 8000084c: 02913423 sd s1,40(sp) + 80000850: 03213023 sd s2,32(sp) + 80000854: 01313c23 sd s3,24(sp) + 80000858: 01413823 sd s4,16(sp) + 8000085c: 01513423 sd s5,8(sp) + 80000860: 02113c23 sd ra,56(sp) + 80000864: 00050413 mv s0,a0 + 80000868: 00058a93 mv s5,a1 + 8000086c: 00060a13 mv s4,a2 + 80000870: 00068993 mv s3,a3 + 80000874: 00070913 mv s2,a4 + 80000878: 00078493 mv s1,a5 + 8000087c: 00051e63 bnez a0,80000898 + 80000880: 0c900613 li a2,201 + 80000884: 0000d597 auipc a1,0xd + 80000888: a8458593 addi a1,a1,-1404 # 8000d308 <__FUNCTION__.2665> + 8000088c: 0000d517 auipc a0,0xd + 80000890: 9b450513 addi a0,a0,-1612 # 8000d240 <_uart_ops+0x38> + 80000894: 0a1040ef jal ra,80005134 + 80000898: 000a8613 mv a2,s5 + 8000089c: 00040513 mv a0,s0 + 800008a0: 00a00593 li a1,10 + 800008a4: 7d4010ef jal ra,80002078 + 800008a8: 02840793 addi a5,s0,40 + 800008ac: ffe4f493 andi s1,s1,-2 + 800008b0: 00940aa3 sb s1,21(s0) + 800008b4: 03443c23 sd s4,56(s0) + 800008b8: 05343023 sd s3,64(s0) + 800008bc: 05242423 sw s2,72(s0) + 800008c0: 03813083 ld ra,56(sp) + 800008c4: 04042623 sw zero,76(s0) + 800008c8: 02f43823 sd a5,48(s0) + 800008cc: 02f43423 sd a5,40(s0) + 800008d0: 03013403 ld s0,48(sp) + 800008d4: 02813483 ld s1,40(sp) + 800008d8: 02013903 ld s2,32(sp) + 800008dc: 01813983 ld s3,24(sp) + 800008e0: 01013a03 ld s4,16(sp) + 800008e4: 00813a83 ld s5,8(sp) + 800008e8: 04010113 addi sp,sp,64 + 800008ec: 00008067 ret + +00000000800008f0 : + 800008f0: fe010113 addi sp,sp,-32 + 800008f4: 00813823 sd s0,16(sp) + 800008f8: 00113c23 sd ra,24(sp) + 800008fc: 00913423 sd s1,8(sp) + 80000900: 00050413 mv s0,a0 + 80000904: 00051e63 bnez a0,80000920 + 80000908: 0de00613 li a2,222 + 8000090c: 0000d597 auipc a1,0xd + 80000910: a0c58593 addi a1,a1,-1524 # 8000d318 <__FUNCTION__.2670> + 80000914: 0000d517 auipc a0,0xd + 80000918: 92c50513 addi a0,a0,-1748 # 8000d240 <_uart_ops+0x38> + 8000091c: 019040ef jal ra,80005134 + 80000920: 00040513 mv a0,s0 + 80000924: 2d5010ef jal ra,800023f8 + 80000928: 00a00793 li a5,10 + 8000092c: 00f50e63 beq a0,a5,80000948 + 80000930: 0df00613 li a2,223 + 80000934: 0000d597 auipc a1,0xd + 80000938: 9e458593 addi a1,a1,-1564 # 8000d318 <__FUNCTION__.2670> + 8000093c: 0000d517 auipc a0,0xd + 80000940: 91c50513 addi a0,a0,-1764 # 8000d258 <_uart_ops+0x50> + 80000944: 7f0040ef jal ra,80005134 + 80000948: 00040513 mv a0,s0 + 8000094c: 269010ef jal ra,800023b4 + 80000950: 00051e63 bnez a0,8000096c + 80000954: 0e000613 li a2,224 + 80000958: 0000d597 auipc a1,0xd + 8000095c: 9c058593 addi a1,a1,-1600 # 8000d318 <__FUNCTION__.2670> + 80000960: 0000d517 auipc a0,0xd + 80000964: 93850513 addi a0,a0,-1736 # 8000d298 <_uart_ops+0x90> + 80000968: 7cc040ef jal ra,80005134 + 8000096c: ee4ff0ef jal ra,80000050 + 80000970: 00050493 mv s1,a0 + 80000974: 00040513 mv a0,s0 + 80000978: eadff0ef jal ra,80000824 <_rt_timer_remove> + 8000097c: 01544783 lbu a5,21(s0) + 80000980: 00048513 mv a0,s1 + 80000984: ffe7f793 andi a5,a5,-2 + 80000988: 00f40aa3 sb a5,21(s0) + 8000098c: eccff0ef jal ra,80000058 + 80000990: 00040513 mv a0,s0 + 80000994: 7f8010ef jal ra,8000218c + 80000998: 01813083 ld ra,24(sp) + 8000099c: 01013403 ld s0,16(sp) + 800009a0: 00813483 ld s1,8(sp) + 800009a4: 00000513 li a0,0 + 800009a8: 02010113 addi sp,sp,32 + 800009ac: 00008067 ret + +00000000800009b0 : + 800009b0: fe010113 addi sp,sp,-32 + 800009b4: 00813823 sd s0,16(sp) + 800009b8: 00113c23 sd ra,24(sp) + 800009bc: 00913423 sd s1,8(sp) + 800009c0: 00050413 mv s0,a0 + 800009c4: 00051e63 bnez a0,800009e0 + 800009c8: 14500613 li a2,325 + 800009cc: 0000d597 auipc a1,0xd + 800009d0: 95c58593 addi a1,a1,-1700 # 8000d328 <__FUNCTION__.2694> + 800009d4: 0000d517 auipc a0,0xd + 800009d8: 86c50513 addi a0,a0,-1940 # 8000d240 <_uart_ops+0x38> + 800009dc: 758040ef jal ra,80005134 + 800009e0: 00040513 mv a0,s0 + 800009e4: 215010ef jal ra,800023f8 + 800009e8: 00a00793 li a5,10 + 800009ec: 00f50e63 beq a0,a5,80000a08 + 800009f0: 14600613 li a2,326 + 800009f4: 0000d597 auipc a1,0xd + 800009f8: 93458593 addi a1,a1,-1740 # 8000d328 <__FUNCTION__.2694> + 800009fc: 0000d517 auipc a0,0xd + 80000a00: 85c50513 addi a0,a0,-1956 # 8000d258 <_uart_ops+0x50> + 80000a04: 730040ef jal ra,80005134 + 80000a08: e48ff0ef jal ra,80000050 + 80000a0c: 00050493 mv s1,a0 + 80000a10: 00040513 mv a0,s0 + 80000a14: e11ff0ef jal ra,80000824 <_rt_timer_remove> + 80000a18: 01544783 lbu a5,21(s0) + 80000a1c: ffe7f793 andi a5,a5,-2 + 80000a20: 00f40aa3 sb a5,21(s0) + 80000a24: 00019797 auipc a5,0x19 + 80000a28: 9947b783 ld a5,-1644(a5) # 800193b8 + 80000a2c: 00078663 beqz a5,80000a38 + 80000a30: 00040513 mv a0,s0 + 80000a34: 000780e7 jalr a5 + 80000a38: 04842703 lw a4,72(s0) + 80000a3c: 800007b7 lui a5,0x80000 + 80000a40: ffe7c793 xori a5,a5,-2 + 80000a44: 00e7fe63 bgeu a5,a4,80000a60 + 80000a48: 15500613 li a2,341 + 80000a4c: 0000d597 auipc a1,0xd + 80000a50: 8dc58593 addi a1,a1,-1828 # 8000d328 <__FUNCTION__.2694> + 80000a54: 0000d517 auipc a0,0xd + 80000a58: 87450513 addi a0,a0,-1932 # 8000d2c8 <_uart_ops+0xc0> + 80000a5c: 6d8040ef jal ra,80005134 + 80000a60: cc1ff0ef jal ra,80000720 + 80000a64: 04842783 lw a5,72(s0) + 80000a68: 01544703 lbu a4,21(s0) + 80000a6c: 00a785bb addw a1,a5,a0 + 80000a70: 04b42623 sw a1,76(s0) + 80000a74: 00477713 andi a4,a4,4 + 80000a78: 00019797 auipc a5,0x19 + 80000a7c: fa878793 addi a5,a5,-88 # 80019a20 + 80000a80: 00071663 bnez a4,80000a8c + 80000a84: 00019797 auipc a5,0x19 + 80000a88: fac78793 addi a5,a5,-84 # 80019a30 + 80000a8c: 0087b503 ld a0,8(a5) + 80000a90: 80000637 lui a2,0x80000 + 80000a94: ffe64613 xori a2,a2,-2 + 80000a98: 0007b703 ld a4,0(a5) + 80000a9c: 08f51a63 bne a0,a5,80000b30 + 80000aa0: 00019617 auipc a2,0x19 + 80000aa4: 8a860613 addi a2,a2,-1880 # 80019348 + 80000aa8: 00062683 lw a3,0(a2) + 80000aac: 00048513 mv a0,s1 + 80000ab0: 0016869b addiw a3,a3,1 + 80000ab4: 00d62023 sw a3,0(a2) + 80000ab8: 02840693 addi a3,s0,40 + 80000abc: 00d73423 sd a3,8(a4) + 80000ac0: 02e43423 sd a4,40(s0) + 80000ac4: 00d7b023 sd a3,0(a5) + 80000ac8: 02f43823 sd a5,48(s0) + 80000acc: 01544783 lbu a5,21(s0) + 80000ad0: 0017e793 ori a5,a5,1 + 80000ad4: 00f40aa3 sb a5,21(s0) + 80000ad8: d80ff0ef jal ra,80000058 + 80000adc: 01544783 lbu a5,21(s0) + 80000ae0: 0047f793 andi a5,a5,4 + 80000ae4: 02078a63 beqz a5,80000b18 + 80000ae8: 00011717 auipc a4,0x11 + 80000aec: 83074703 lbu a4,-2000(a4) # 80011318 + 80000af0: 00100793 li a5,1 + 80000af4: 02f71263 bne a4,a5,80000b18 + 80000af8: 00019517 auipc a0,0x19 + 80000afc: f4850513 addi a0,a0,-184 # 80019a40 + 80000b00: 06854783 lbu a5,104(a0) + 80000b04: 00200713 li a4,2 + 80000b08: 0077f793 andi a5,a5,7 + 80000b0c: 00e79663 bne a5,a4,80000b18 + 80000b10: 204020ef jal ra,80002d14 + 80000b14: 6b0020ef jal ra,800031c4 + 80000b18: 01813083 ld ra,24(sp) + 80000b1c: 01013403 ld s0,16(sp) + 80000b20: 00813483 ld s1,8(sp) + 80000b24: 00000513 li a0,0 + 80000b28: 02010113 addi sp,sp,32 + 80000b2c: 00008067 ret + 80000b30: 02472683 lw a3,36(a4) + 80000b34: 00d58663 beq a1,a3,80000b40 + 80000b38: 40b686bb subw a3,a3,a1 + 80000b3c: f6d672e3 bgeu a2,a3,80000aa0 + 80000b40: 00070793 mv a5,a4 + 80000b44: f55ff06f j 80000a98 + +0000000080000b48 : + 80000b48: fe010113 addi sp,sp,-32 + 80000b4c: 00813823 sd s0,16(sp) + 80000b50: 00113c23 sd ra,24(sp) + 80000b54: 00913423 sd s1,8(sp) + 80000b58: 00050413 mv s0,a0 + 80000b5c: 00051e63 bnez a0,80000b78 + 80000b60: 1bb00613 li a2,443 + 80000b64: 0000c597 auipc a1,0xc + 80000b68: 7d458593 addi a1,a1,2004 # 8000d338 <__FUNCTION__.2711> + 80000b6c: 0000c517 auipc a0,0xc + 80000b70: 6d450513 addi a0,a0,1748 # 8000d240 <_uart_ops+0x38> + 80000b74: 5c0040ef jal ra,80005134 + 80000b78: 00040513 mv a0,s0 + 80000b7c: 07d010ef jal ra,800023f8 + 80000b80: 00a00793 li a5,10 + 80000b84: 00f50e63 beq a0,a5,80000ba0 + 80000b88: 1bc00613 li a2,444 + 80000b8c: 0000c597 auipc a1,0xc + 80000b90: 7ac58593 addi a1,a1,1964 # 8000d338 <__FUNCTION__.2711> + 80000b94: 0000c517 auipc a0,0xc + 80000b98: 6c450513 addi a0,a0,1732 # 8000d258 <_uart_ops+0x50> + 80000b9c: 598040ef jal ra,80005134 + 80000ba0: 01544783 lbu a5,21(s0) + 80000ba4: fff00513 li a0,-1 + 80000ba8: 0017f793 andi a5,a5,1 + 80000bac: 04078063 beqz a5,80000bec + 80000bb0: 00019797 auipc a5,0x19 + 80000bb4: 8007b783 ld a5,-2048(a5) # 800193b0 + 80000bb8: 00078663 beqz a5,80000bc4 + 80000bbc: 00040513 mv a0,s0 + 80000bc0: 000780e7 jalr a5 + 80000bc4: c8cff0ef jal ra,80000050 + 80000bc8: 00050493 mv s1,a0 + 80000bcc: 00040513 mv a0,s0 + 80000bd0: c55ff0ef jal ra,80000824 <_rt_timer_remove> + 80000bd4: 01544783 lbu a5,21(s0) + 80000bd8: 00048513 mv a0,s1 + 80000bdc: ffe7f793 andi a5,a5,-2 + 80000be0: 00f40aa3 sb a5,21(s0) + 80000be4: c74ff0ef jal ra,80000058 + 80000be8: 00000513 li a0,0 + 80000bec: 01813083 ld ra,24(sp) + 80000bf0: 01013403 ld s0,16(sp) + 80000bf4: 00813483 ld s1,8(sp) + 80000bf8: 02010113 addi sp,sp,32 + 80000bfc: 00008067 ret + +0000000080000c00 : + 80000c00: fe010113 addi sp,sp,-32 + 80000c04: 00813823 sd s0,16(sp) + 80000c08: 00913423 sd s1,8(sp) + 80000c0c: 01213023 sd s2,0(sp) + 80000c10: 00113c23 sd ra,24(sp) + 80000c14: 00050413 mv s0,a0 + 80000c18: 00058493 mv s1,a1 + 80000c1c: 00060913 mv s2,a2 + 80000c20: 00051e63 bnez a0,80000c3c + 80000c24: 1df00613 li a2,479 + 80000c28: 0000c597 auipc a1,0xc + 80000c2c: 72058593 addi a1,a1,1824 # 8000d348 <__FUNCTION__.2718> + 80000c30: 0000c517 auipc a0,0xc + 80000c34: 61050513 addi a0,a0,1552 # 8000d240 <_uart_ops+0x38> + 80000c38: 4fc040ef jal ra,80005134 + 80000c3c: 00040513 mv a0,s0 + 80000c40: 7b8010ef jal ra,800023f8 + 80000c44: 00a00793 li a5,10 + 80000c48: 00f50e63 beq a0,a5,80000c64 + 80000c4c: 1e000613 li a2,480 + 80000c50: 0000c597 auipc a1,0xc + 80000c54: 6f858593 addi a1,a1,1784 # 8000d348 <__FUNCTION__.2718> + 80000c58: 0000c517 auipc a0,0xc + 80000c5c: 60050513 addi a0,a0,1536 # 8000d258 <_uart_ops+0x50> + 80000c60: 4d4040ef jal ra,80005134 + 80000c64: becff0ef jal ra,80000050 + 80000c68: 00400793 li a5,4 + 80000c6c: 0297ea63 bltu a5,s1,80000ca0 + 80000c70: 0000c717 auipc a4,0xc + 80000c74: 67c70713 addi a4,a4,1660 # 8000d2ec <_uart_ops+0xe4> + 80000c78: 00249493 slli s1,s1,0x2 + 80000c7c: 00e484b3 add s1,s1,a4 + 80000c80: 0004a783 lw a5,0(s1) + 80000c84: 00e787b3 add a5,a5,a4 + 80000c88: 00078067 jr a5 + 80000c8c: 04842783 lw a5,72(s0) + 80000c90: 00f92023 sw a5,0(s2) + 80000c94: 00c0006f j 80000ca0 + 80000c98: 00092783 lw a5,0(s2) + 80000c9c: 04f42423 sw a5,72(s0) + 80000ca0: bb8ff0ef jal ra,80000058 + 80000ca4: 01813083 ld ra,24(sp) + 80000ca8: 01013403 ld s0,16(sp) + 80000cac: 00813483 ld s1,8(sp) + 80000cb0: 00013903 ld s2,0(sp) + 80000cb4: 00000513 li a0,0 + 80000cb8: 02010113 addi sp,sp,32 + 80000cbc: 00008067 ret + 80000cc0: 01544783 lbu a5,21(s0) + 80000cc4: ffd7f793 andi a5,a5,-3 + 80000cc8: 00f40aa3 sb a5,21(s0) + 80000ccc: fd5ff06f j 80000ca0 + 80000cd0: 01544783 lbu a5,21(s0) + 80000cd4: 0027e793 ori a5,a5,2 + 80000cd8: ff1ff06f j 80000cc8 + 80000cdc: 01544783 lbu a5,21(s0) + 80000ce0: 0017f793 andi a5,a5,1 + 80000ce4: 00078663 beqz a5,80000cf0 + 80000ce8: 00100793 li a5,1 + 80000cec: fa5ff06f j 80000c90 + 80000cf0: 00092023 sw zero,0(s2) + 80000cf4: fadff06f j 80000ca0 + +0000000080000cf8 : + 80000cf8: f9010113 addi sp,sp,-112 + 80000cfc: 05213823 sd s2,80(sp) + 80000d00: 00010913 mv s2,sp + 80000d04: 06113423 sd ra,104(sp) + 80000d08: 04913c23 sd s1,88(sp) + 80000d0c: 05413023 sd s4,64(sp) + 80000d10: 03513c23 sd s5,56(sp) + 80000d14: 03613823 sd s6,48(sp) + 80000d18: 03713423 sd s7,40(sp) + 80000d1c: 03813023 sd s8,32(sp) + 80000d20: 01913c23 sd s9,24(sp) + 80000d24: 06813023 sd s0,96(sp) + 80000d28: 05313423 sd s3,72(sp) + 80000d2c: 01213423 sd s2,8(sp) + 80000d30: 01213023 sd s2,0(sp) + 80000d34: 9edff0ef jal ra,80000720 + 80000d38: 0005049b sext.w s1,a0 + 80000d3c: 80000ab7 lui s5,0x80000 + 80000d40: b10ff0ef jal ra,80000050 + 80000d44: 00050a13 mv s4,a0 + 80000d48: 00019b17 auipc s6,0x19 + 80000d4c: ce8b0b13 addi s6,s6,-792 # 80019a30 + 80000d50: ffeaca93 xori s5,s5,-2 + 80000d54: 00018b97 auipc s7,0x18 + 80000d58: 5fcb8b93 addi s7,s7,1532 # 80019350 + 80000d5c: 00018c17 auipc s8,0x18 + 80000d60: 5fcc0c13 addi s8,s8,1532 # 80019358 + 80000d64: 00300c93 li s9,3 + 80000d68: 000b3403 ld s0,0(s6) + 80000d6c: 05641063 bne s0,s6,80000dac + 80000d70: 000a0513 mv a0,s4 + 80000d74: ae4ff0ef jal ra,80000058 + 80000d78: 06813083 ld ra,104(sp) + 80000d7c: 06013403 ld s0,96(sp) + 80000d80: 05813483 ld s1,88(sp) + 80000d84: 05013903 ld s2,80(sp) + 80000d88: 04813983 ld s3,72(sp) + 80000d8c: 04013a03 ld s4,64(sp) + 80000d90: 03813a83 ld s5,56(sp) + 80000d94: 03013b03 ld s6,48(sp) + 80000d98: 02813b83 ld s7,40(sp) + 80000d9c: 02013c03 ld s8,32(sp) + 80000da0: 01813c83 ld s9,24(sp) + 80000da4: 07010113 addi sp,sp,112 + 80000da8: 00008067 ret + 80000dac: 02442503 lw a0,36(s0) + 80000db0: fd840993 addi s3,s0,-40 + 80000db4: 40a484bb subw s1,s1,a0 + 80000db8: fa9aece3 bltu s5,s1,80000d70 + 80000dbc: 000bb783 ld a5,0(s7) + 80000dc0: 00078663 beqz a5,80000dcc + 80000dc4: 00098513 mv a0,s3 + 80000dc8: 000780e7 jalr a5 + 80000dcc: 00098513 mv a0,s3 + 80000dd0: a55ff0ef jal ra,80000824 <_rt_timer_remove> + 80000dd4: fed44783 lbu a5,-19(s0) + 80000dd8: 0027f713 andi a4,a5,2 + 80000ddc: 00071663 bnez a4,80000de8 + 80000de0: ffe7f793 andi a5,a5,-2 + 80000de4: fef406a3 sb a5,-19(s0) + 80000de8: 00013783 ld a5,0(sp) + 80000dec: 01843503 ld a0,24(s0) + 80000df0: 0087b423 sd s0,8(a5) + 80000df4: 00f43023 sd a5,0(s0) + 80000df8: 01043783 ld a5,16(s0) + 80000dfc: 00813023 sd s0,0(sp) + 80000e00: 01243423 sd s2,8(s0) + 80000e04: 000780e7 jalr a5 + 80000e08: 919ff0ef jal ra,80000720 + 80000e0c: 000c3783 ld a5,0(s8) + 80000e10: 0005049b sext.w s1,a0 + 80000e14: 00078663 beqz a5,80000e20 + 80000e18: 00098513 mv a0,s3 + 80000e1c: 000780e7 jalr a5 + 80000e20: 00013783 ld a5,0(sp) + 80000e24: f52782e3 beq a5,s2,80000d68 + 80000e28: 00043703 ld a4,0(s0) + 80000e2c: 00843783 ld a5,8(s0) + 80000e30: 00f73423 sd a5,8(a4) + 80000e34: 00e7b023 sd a4,0(a5) + 80000e38: fed44783 lbu a5,-19(s0) + 80000e3c: 00843423 sd s0,8(s0) + 80000e40: 00843023 sd s0,0(s0) + 80000e44: 0037f713 andi a4,a5,3 + 80000e48: f39710e3 bne a4,s9,80000d68 + 80000e4c: ffe7f793 andi a5,a5,-2 + 80000e50: fef406a3 sb a5,-19(s0) + 80000e54: 00098513 mv a0,s3 + 80000e58: b59ff0ef jal ra,800009b0 + 80000e5c: f0dff06f j 80000d68 + +0000000080000e60 : + 80000e60: f9010113 addi sp,sp,-112 + 80000e64: 05213823 sd s2,80(sp) + 80000e68: 00010913 mv s2,sp + 80000e6c: 04913c23 sd s1,88(sp) + 80000e70: 05413023 sd s4,64(sp) + 80000e74: 03513c23 sd s5,56(sp) + 80000e78: 03613823 sd s6,48(sp) + 80000e7c: 03713423 sd s7,40(sp) + 80000e80: 03813023 sd s8,32(sp) + 80000e84: 01913c23 sd s9,24(sp) + 80000e88: 01a13823 sd s10,16(sp) + 80000e8c: 06113423 sd ra,104(sp) + 80000e90: 06813023 sd s0,96(sp) + 80000e94: 05313423 sd s3,72(sp) + 80000e98: 01213423 sd s2,8(sp) + 80000e9c: 01213023 sd s2,0(sp) + 80000ea0: 80000a37 lui s4,0x80000 + 80000ea4: 9acff0ef jal ra,80000050 + 80000ea8: 00050493 mv s1,a0 + 80000eac: 00019a97 auipc s5,0x19 + 80000eb0: b74a8a93 addi s5,s5,-1164 # 80019a20 + 80000eb4: ffea4a13 xori s4,s4,-2 + 80000eb8: 00018b17 auipc s6,0x18 + 80000ebc: 498b0b13 addi s6,s6,1176 # 80019350 + 80000ec0: 00018b97 auipc s7,0x18 + 80000ec4: 498b8b93 addi s7,s7,1176 # 80019358 + 80000ec8: 00010c17 auipc s8,0x10 + 80000ecc: 450c0c13 addi s8,s8,1104 # 80011318 + 80000ed0: 00100c93 li s9,1 + 80000ed4: 00300d13 li s10,3 + 80000ed8: 000ab403 ld s0,0(s5) + 80000edc: 01540c63 beq s0,s5,80000ef4 + 80000ee0: 841ff0ef jal ra,80000720 + 80000ee4: 02442783 lw a5,36(s0) + 80000ee8: fd840993 addi s3,s0,-40 + 80000eec: 40f5053b subw a0,a0,a5 + 80000ef0: 04aa7263 bgeu s4,a0,80000f34 + 80000ef4: 00048513 mv a0,s1 + 80000ef8: 960ff0ef jal ra,80000058 + 80000efc: 06813083 ld ra,104(sp) + 80000f00: 06013403 ld s0,96(sp) + 80000f04: 05813483 ld s1,88(sp) + 80000f08: 05013903 ld s2,80(sp) + 80000f0c: 04813983 ld s3,72(sp) + 80000f10: 04013a03 ld s4,64(sp) + 80000f14: 03813a83 ld s5,56(sp) + 80000f18: 03013b03 ld s6,48(sp) + 80000f1c: 02813b83 ld s7,40(sp) + 80000f20: 02013c03 ld s8,32(sp) + 80000f24: 01813c83 ld s9,24(sp) + 80000f28: 01013d03 ld s10,16(sp) + 80000f2c: 07010113 addi sp,sp,112 + 80000f30: 00008067 ret + 80000f34: 000b3783 ld a5,0(s6) + 80000f38: 00078663 beqz a5,80000f44 + 80000f3c: 00098513 mv a0,s3 + 80000f40: 000780e7 jalr a5 + 80000f44: 00098513 mv a0,s3 + 80000f48: 8ddff0ef jal ra,80000824 <_rt_timer_remove> + 80000f4c: fed44783 lbu a5,-19(s0) + 80000f50: 0027f713 andi a4,a5,2 + 80000f54: 00071663 bnez a4,80000f60 + 80000f58: ffe7f793 andi a5,a5,-2 + 80000f5c: fef406a3 sb a5,-19(s0) + 80000f60: 00013783 ld a5,0(sp) + 80000f64: 00048513 mv a0,s1 + 80000f68: 0087b423 sd s0,8(a5) + 80000f6c: 00f43023 sd a5,0(s0) + 80000f70: 01243423 sd s2,8(s0) + 80000f74: 00010797 auipc a5,0x10 + 80000f78: 3a078223 sb zero,932(a5) # 80011318 + 80000f7c: 00813023 sd s0,0(sp) + 80000f80: 8d8ff0ef jal ra,80000058 + 80000f84: 01043783 ld a5,16(s0) + 80000f88: 01843503 ld a0,24(s0) + 80000f8c: 000780e7 jalr a5 + 80000f90: 000bb783 ld a5,0(s7) + 80000f94: 00078663 beqz a5,80000fa0 + 80000f98: 00098513 mv a0,s3 + 80000f9c: 000780e7 jalr a5 + 80000fa0: 8b0ff0ef jal ra,80000050 + 80000fa4: 00013783 ld a5,0(sp) + 80000fa8: 019c0023 sb s9,0(s8) + 80000fac: 00050493 mv s1,a0 + 80000fb0: f32784e3 beq a5,s2,80000ed8 + 80000fb4: 00043703 ld a4,0(s0) + 80000fb8: 00843783 ld a5,8(s0) + 80000fbc: 00f73423 sd a5,8(a4) + 80000fc0: 00e7b023 sd a4,0(a5) + 80000fc4: fed44783 lbu a5,-19(s0) + 80000fc8: 00843423 sd s0,8(s0) + 80000fcc: 00843023 sd s0,0(s0) + 80000fd0: 0037f713 andi a4,a5,3 + 80000fd4: f1a712e3 bne a4,s10,80000ed8 + 80000fd8: ffe7f793 andi a5,a5,-2 + 80000fdc: fef406a3 sb a5,-19(s0) + 80000fe0: 00098513 mv a0,s3 + 80000fe4: 9cdff0ef jal ra,800009b0 + 80000fe8: ef1ff06f j 80000ed8 + +0000000080000fec : + 80000fec: fd010113 addi sp,sp,-48 + 80000ff0: 00913c23 sd s1,24(sp) + 80000ff4: 800004b7 lui s1,0x80000 + 80000ff8: 01213823 sd s2,16(sp) + 80000ffc: 01313423 sd s3,8(sp) + 80001000: 02113423 sd ra,40(sp) + 80001004: 02813023 sd s0,32(sp) + 80001008: 00019917 auipc s2,0x19 + 8000100c: a1890913 addi s2,s2,-1512 # 80019a20 + 80001010: fff00993 li s3,-1 + 80001014: ffe4c493 xori s1,s1,-2 + 80001018: 838ff0ef jal ra,80000050 + 8000101c: 00093783 ld a5,0(s2) + 80001020: fff00413 li s0,-1 + 80001024: 01278463 beq a5,s2,8000102c + 80001028: 0247a403 lw s0,36(a5) + 8000102c: 82cff0ef jal ra,80000058 + 80001030: 01341c63 bne s0,s3,80001048 + 80001034: 05d010ef jal ra,80002890 + 80001038: 2f1010ef jal ra,80002b28 + 8000103c: 188020ef jal ra,800031c4 + 80001040: e21ff0ef jal ra,80000e60 + 80001044: fd5ff06f j 80001018 + 80001048: ed8ff0ef jal ra,80000720 + 8000104c: 40a4053b subw a0,s0,a0 + 80001050: fea4e8e3 bltu s1,a0,80001040 + 80001054: 4a1010ef jal ra,80002cf4 + 80001058: fe9ff06f j 80001040 + +000000008000105c : + 8000105c: 00019797 auipc a5,0x19 + 80001060: 9d478793 addi a5,a5,-1580 # 80019a30 + 80001064: 00f7b423 sd a5,8(a5) + 80001068: 00f7b023 sd a5,0(a5) + 8000106c: 00008067 ret + +0000000080001070 : + 80001070: ff010113 addi sp,sp,-16 + 80001074: 00813023 sd s0,0(sp) + 80001078: 00113423 sd ra,8(sp) + 8000107c: 00019797 auipc a5,0x19 + 80001080: 9a478793 addi a5,a5,-1628 # 80019a20 + 80001084: 00019417 auipc s0,0x19 + 80001088: 9bc40413 addi s0,s0,-1604 # 80019a40 + 8000108c: 00f7b423 sd a5,8(a5) + 80001090: 00f7b023 sd a5,0(a5) + 80001094: 00040513 mv a0,s0 + 80001098: 00a00893 li a7,10 + 8000109c: 00400813 li a6,4 + 800010a0: 000047b7 lui a5,0x4 + 800010a4: 00019717 auipc a4,0x19 + 800010a8: a8470713 addi a4,a4,-1404 # 80019b28 + 800010ac: 00000693 li a3,0 + 800010b0: 00000617 auipc a2,0x0 + 800010b4: f3c60613 addi a2,a2,-196 # 80000fec + 800010b8: 0000c597 auipc a1,0xc + 800010bc: 24858593 addi a1,a1,584 # 8000d300 <_uart_ops+0xf8> + 800010c0: 6f8010ef jal ra,800027b8 + 800010c4: 00040513 mv a0,s0 + 800010c8: 00013403 ld s0,0(sp) + 800010cc: 00813083 ld ra,8(sp) + 800010d0: 01010113 addi sp,sp,16 + 800010d4: 5190106f j 80002dec + +00000000800010d8 : + 800010d8: ff010113 addi sp,sp,-16 + 800010dc: 00813023 sd s0,0(sp) + 800010e0: 00113423 sd ra,8(sp) + 800010e4: 00050413 mv s0,a0 + 800010e8: f69fe0ef jal ra,80000050 + 800010ec: 0001d717 auipc a4,0x1d + 800010f0: b2470713 addi a4,a4,-1244 # 8001dc10 + 800010f4: 00000793 li a5,0 + 800010f8: 00070693 mv a3,a4 + 800010fc: 00400613 li a2,4 + 80001100: 00073583 ld a1,0(a4) + 80001104: 02059663 bnez a1,80001130 + 80001108: 00379793 slli a5,a5,0x3 + 8000110c: 00f687b3 add a5,a3,a5 + 80001110: 0087b023 sd s0,0(a5) # 4000 <__STACKSIZE__> + 80001114: 00000413 li s0,0 + 80001118: f41fe0ef jal ra,80000058 + 8000111c: 00813083 ld ra,8(sp) + 80001120: 00040513 mv a0,s0 + 80001124: 00013403 ld s0,0(sp) + 80001128: 01010113 addi sp,sp,16 + 8000112c: 00008067 ret + 80001130: 00178793 addi a5,a5,1 + 80001134: 00870713 addi a4,a4,8 + 80001138: fcc794e3 bne a5,a2,80001100 + 8000113c: ffd00413 li s0,-3 + 80001140: fd9ff06f j 80001118 + +0000000080001144 : + 80001144: 00010797 auipc a5,0x10 + 80001148: fa478793 addi a5,a5,-92 # 800110e8 <_rt_thread_defunct> + 8000114c: 0007b683 ld a3,0(a5) + 80001150: 02850713 addi a4,a0,40 + 80001154: 00e7b023 sd a4,0(a5) + 80001158: 00e6b423 sd a4,8(a3) + 8000115c: 02d53423 sd a3,40(a0) + 80001160: 02f53823 sd a5,48(a0) + 80001164: 00008067 ret + +0000000080001168 : + 80001168: 00010717 auipc a4,0x10 + 8000116c: f8070713 addi a4,a4,-128 # 800110e8 <_rt_thread_defunct> + 80001170: 00073783 ld a5,0(a4) + 80001174: 00000513 li a0,0 + 80001178: 02e78063 beq a5,a4,80001198 + 8000117c: 0007b683 ld a3,0(a5) + 80001180: 0087b703 ld a4,8(a5) + 80001184: fd878513 addi a0,a5,-40 + 80001188: 00e6b423 sd a4,8(a3) + 8000118c: 00d73023 sd a3,0(a4) + 80001190: 00f7b423 sd a5,8(a5) + 80001194: 00f7b023 sd a5,0(a5) + 80001198: 00008067 ret + +000000008000119c : + 8000119c: fb010113 addi sp,sp,-80 + 800011a0: 03213823 sd s2,48(sp) + 800011a4: 03313423 sd s3,40(sp) + 800011a8: 03413023 sd s4,32(sp) + 800011ac: 01513c23 sd s5,24(sp) + 800011b0: 01613823 sd s6,16(sp) + 800011b4: 04113423 sd ra,72(sp) + 800011b8: 04813023 sd s0,64(sp) + 800011bc: 02913c23 sd s1,56(sp) + 800011c0: 01713423 sd s7,8(sp) + 800011c4: 0001d997 auipc s3,0x1d + 800011c8: a6c98993 addi s3,s3,-1428 # 8001dc30 + 800011cc: 0000c917 auipc s2,0xc + 800011d0: 1cc90913 addi s2,s2,460 # 8000d398 <__FUNCTION__.2651> + 800011d4: 0000ca17 auipc s4,0xc + 800011d8: 18ca0a13 addi s4,s4,396 # 8000d360 <__FUNCTION__.2718+0x18> + 800011dc: 0000ca97 auipc s5,0xc + 800011e0: 1aca8a93 addi s5,s5,428 # 8000d388 <__FUNCTION__.2718+0x40> + 800011e4: 00100b13 li s6,1 + 800011e8: 0001d417 auipc s0,0x1d + 800011ec: a2840413 addi s0,s0,-1496 # 8001dc10 + 800011f0: 00043783 ld a5,0(s0) + 800011f4: 00078463 beqz a5,800011fc + 800011f8: 000780e7 jalr a5 + 800011fc: 00840413 addi s0,s0,8 + 80001200: fe8998e3 bne s3,s0,800011f0 + 80001204: e4dfe0ef jal ra,80000050 + 80001208: 00050413 mv s0,a0 + 8000120c: 7a4020ef jal ra,800039b0 + 80001210: 02050063 beqz a0,80001230 + 80001214: 00090593 mv a1,s2 + 80001218: 000a0513 mv a0,s4 + 8000121c: 58d030ef jal ra,80004fa8 + 80001220: 0cb00613 li a2,203 + 80001224: 00090593 mv a1,s2 + 80001228: 000a8513 mv a0,s5 + 8000122c: 709030ef jal ra,80005134 + 80001230: 00040513 mv a0,s0 + 80001234: e25fe0ef jal ra,80000058 + 80001238: e19fe0ef jal ra,80000050 + 8000123c: 00050493 mv s1,a0 + 80001240: f29ff0ef jal ra,80001168 + 80001244: 00050413 mv s0,a0 + 80001248: 00051863 bnez a0,80001258 + 8000124c: 00048513 mv a0,s1 + 80001250: e09fe0ef jal ra,80000058 + 80001254: f95ff06f j 800011e8 + 80001258: 0d853b83 ld s7,216(a0) + 8000125c: 000b8e63 beqz s7,80001278 + 80001260: 00048513 mv a0,s1 + 80001264: df5fe0ef jal ra,80000058 + 80001268: 00040513 mv a0,s0 + 8000126c: 000b80e7 jalr s7 + 80001270: de1fe0ef jal ra,80000050 + 80001274: 00050493 mv s1,a0 + 80001278: 00040513 mv a0,s0 + 8000127c: 138010ef jal ra,800023b4 + 80001280: 01651c63 bne a0,s6,80001298 + 80001284: 00040513 mv a0,s0 + 80001288: 705000ef jal ra,8000218c + 8000128c: 00048513 mv a0,s1 + 80001290: dc9fe0ef jal ra,80000058 + 80001294: f71ff06f j 80001204 + 80001298: 00048513 mv a0,s1 + 8000129c: dbdfe0ef jal ra,80000058 + 800012a0: 05043503 ld a0,80(s0) + 800012a4: 18d000ef jal ra,80001c30 + 800012a8: 00040513 mv a0,s0 + 800012ac: 06c010ef jal ra,80002318 + 800012b0: f55ff06f j 80001204 + +00000000800012b4 : + 800012b4: fd010113 addi sp,sp,-48 + 800012b8: 00000613 li a2,0 + 800012bc: 0000c597 auipc a1,0xc + 800012c0: 0d458593 addi a1,a1,212 # 8000d390 <__FUNCTION__.2718+0x48> + 800012c4: 00810513 addi a0,sp,8 + 800012c8: 02113423 sd ra,40(sp) + 800012cc: 02813023 sd s0,32(sp) + 800012d0: 421030ef jal ra,80004ef0 + 800012d4: 0001d417 auipc s0,0x1d + 800012d8: 85440413 addi s0,s0,-1964 # 8001db28 + 800012dc: 00810593 addi a1,sp,8 + 800012e0: 02000893 li a7,32 + 800012e4: 01f00813 li a6,31 + 800012e8: 000047b7 lui a5,0x4 + 800012ec: 0001d717 auipc a4,0x1d + 800012f0: 94470713 addi a4,a4,-1724 # 8001dc30 + 800012f4: 00000693 li a3,0 + 800012f8: 00000617 auipc a2,0x0 + 800012fc: ea460613 addi a2,a2,-348 # 8000119c + 80001300: 00040513 mv a0,s0 + 80001304: 4b4010ef jal ra,800027b8 + 80001308: 00040513 mv a0,s0 + 8000130c: 2e1010ef jal ra,80002dec + 80001310: 02813083 ld ra,40(sp) + 80001314: 02013403 ld s0,32(sp) + 80001318: 03010113 addi sp,sp,48 + 8000131c: 00008067 ret + +0000000080001320 : + 80001320: 00000713 li a4,0 + 80001324: 00800613 li a2,8 + 80001328: 00e586b3 add a3,a1,a4 + 8000132c: 0006c683 lbu a3,0(a3) + 80001330: 0007079b sext.w a5,a4 + 80001334: 00069c63 bnez a3,8000134c + 80001338: 00700713 li a4,7 + 8000133c: 02000613 li a2,32 + 80001340: 0007869b sext.w a3,a5 + 80001344: 00d75e63 bge a4,a3,80001360 + 80001348: 00008067 ret + 8000134c: 00e507b3 add a5,a0,a4 + 80001350: 00d78c23 sb a3,24(a5) # 4018 <__STACKSIZE__+0x18> + 80001354: 00170713 addi a4,a4,1 + 80001358: fcc718e3 bne a4,a2,80001328 + 8000135c: 00008067 ret + 80001360: 00f506b3 add a3,a0,a5 + 80001364: 00c68c23 sb a2,24(a3) + 80001368: 00178793 addi a5,a5,1 + 8000136c: fd5ff06f j 80001340 + +0000000080001370 : + 80001370: ff010113 addi sp,sp,-16 + 80001374: 00018597 auipc a1,0x18 + 80001378: 00c5b583 ld a1,12(a1) # 80019380 + 8000137c: 0000c517 auipc a0,0xc + 80001380: 03450513 addi a0,a0,52 # 8000d3b0 <__FUNCTION__.2651+0x18> + 80001384: 00113423 sd ra,8(sp) + 80001388: 421030ef jal ra,80004fa8 + 8000138c: 00018597 auipc a1,0x18 + 80001390: 00c5b583 ld a1,12(a1) # 80019398 + 80001394: 0000c517 auipc a0,0xc + 80001398: 03450513 addi a0,a0,52 # 8000d3c8 <__FUNCTION__.2651+0x30> + 8000139c: 40d030ef jal ra,80004fa8 + 800013a0: 00813083 ld ra,8(sp) + 800013a4: 00018597 auipc a1,0x18 + 800013a8: fd45b583 ld a1,-44(a1) # 80019378 + 800013ac: 0000c517 auipc a0,0xc + 800013b0: 03450513 addi a0,a0,52 # 8000d3e0 <__FUNCTION__.2651+0x48> + 800013b4: 01010113 addi sp,sp,16 + 800013b8: 3f10306f j 80004fa8 + +00000000800013bc : + 800013bc: fa010113 addi sp,sp,-96 + 800013c0: 04113c23 sd ra,88(sp) + 800013c4: 04813823 sd s0,80(sp) + 800013c8: 04913423 sd s1,72(sp) + 800013cc: 05213023 sd s2,64(sp) + 800013d0: 03313c23 sd s3,56(sp) + 800013d4: 03413823 sd s4,48(sp) + 800013d8: 03513423 sd s5,40(sp) + 800013dc: 03613023 sd s6,32(sp) + 800013e0: 01713c23 sd s7,24(sp) + 800013e4: 01813823 sd s8,16(sp) + 800013e8: 01913423 sd s9,8(sp) + 800013ec: 01a13023 sd s10,0(sp) + 800013f0: f81ff0ef jal ra,80001370 + 800013f4: 0000c517 auipc a0,0xc + 800013f8: 00c50513 addi a0,a0,12 # 8000d400 <__FUNCTION__.2651+0x68> + 800013fc: 3ad030ef jal ra,80004fa8 + 80001400: 00018497 auipc s1,0x18 + 80001404: f6848493 addi s1,s1,-152 # 80019368 + 80001408: 0004b583 ld a1,0(s1) + 8000140c: 0000c517 auipc a0,0xc + 80001410: 00c50513 addi a0,a0,12 # 8000d418 <__FUNCTION__.2651+0x80> + 80001414: 00018917 auipc s2,0x18 + 80001418: f4c90913 addi s2,s2,-180 # 80019360 + 8000141c: 38d030ef jal ra,80004fa8 + 80001420: 00018597 auipc a1,0x18 + 80001424: f505b583 ld a1,-176(a1) # 80019370 + 80001428: 0000c517 auipc a0,0xc + 8000142c: 00850513 addi a0,a0,8 # 8000d430 <__FUNCTION__.2651+0x98> + 80001430: 379030ef jal ra,80004fa8 + 80001434: 00093583 ld a1,0(s2) + 80001438: 0000c517 auipc a0,0xc + 8000143c: 01050513 addi a0,a0,16 # 8000d448 <__FUNCTION__.2651+0xb0> + 80001440: 000029b7 lui s3,0x2 + 80001444: 365030ef jal ra,80004fa8 + 80001448: 0000c517 auipc a0,0xc + 8000144c: 01850513 addi a0,a0,24 # 8000d460 <__FUNCTION__.2651+0xc8> + 80001450: 359030ef jal ra,80004fa8 + 80001454: 0004b403 ld s0,0(s1) + 80001458: 0000ca17 auipc s4,0xc + 8000145c: 028a0a13 addi s4,s4,40 # 8000d480 <__FUNCTION__.2651+0xe8> + 80001460: 3ff00a93 li s5,1023 + 80001464: 00100b37 lui s6,0x100 + 80001468: 0000cb97 auipc s7,0xc + 8000146c: 038b8b93 addi s7,s7,56 # 8000d4a0 <__FUNCTION__.2651+0x108> + 80001470: 0000cc17 auipc s8,0xc + 80001474: 028c0c13 addi s8,s8,40 # 8000d498 <__FUNCTION__.2651+0x100> + 80001478: 0000cc97 auipc s9,0xc + 8000147c: 018c8c93 addi s9,s9,24 # 8000d490 <__FUNCTION__.2651+0xf8> + 80001480: ea098993 addi s3,s3,-352 # 1ea0 <__STACKSIZE__-0x2160> + 80001484: 00093783 ld a5,0(s2) + 80001488: 04879063 bne a5,s0,800014c8 + 8000148c: 05813083 ld ra,88(sp) + 80001490: 05013403 ld s0,80(sp) + 80001494: 04813483 ld s1,72(sp) + 80001498: 04013903 ld s2,64(sp) + 8000149c: 03813983 ld s3,56(sp) + 800014a0: 03013a03 ld s4,48(sp) + 800014a4: 02813a83 ld s5,40(sp) + 800014a8: 02013b03 ld s6,32(sp) + 800014ac: 01813b83 ld s7,24(sp) + 800014b0: 01013c03 ld s8,16(sp) + 800014b4: 00813c83 ld s9,8(sp) + 800014b8: 00013d03 ld s10,0(sp) + 800014bc: 00000513 li a0,0 + 800014c0: 06010113 addi sp,sp,96 + 800014c4: 00008067 ret + 800014c8: 0004b783 ld a5,0(s1) + 800014cc: 00040593 mv a1,s0 + 800014d0: 000a0513 mv a0,s4 + 800014d4: 40f40d33 sub s10,s0,a5 + 800014d8: 2d1030ef jal ra,80004fa8 + 800014dc: 00843783 ld a5,8(s0) + 800014e0: 000c8513 mv a0,s9 + 800014e4: fe07879b addiw a5,a5,-32 + 800014e8: 41a785bb subw a1,a5,s10 + 800014ec: 00bad863 bge s5,a1,800014fc + 800014f0: 0565dc63 bge a1,s6,80001548 + 800014f4: 40a5d59b sraiw a1,a1,0xa + 800014f8: 000c0513 mv a0,s8 + 800014fc: 2ad030ef jal ra,80004fa8 + 80001500: 01b44703 lbu a4,27(s0) + 80001504: 01a44683 lbu a3,26(s0) + 80001508: 01944603 lbu a2,25(s0) + 8000150c: 01844583 lbu a1,24(s0) + 80001510: 0000c517 auipc a0,0xc + 80001514: f9850513 addi a0,a0,-104 # 8000d4a8 <__FUNCTION__.2651+0x110> + 80001518: 291030ef jal ra,80004fa8 + 8000151c: 00045783 lhu a5,0(s0) + 80001520: 0000c517 auipc a0,0xc + 80001524: f9850513 addi a0,a0,-104 # 8000d4b8 <__FUNCTION__.2651+0x120> + 80001528: 01379663 bne a5,s3,80001534 + 8000152c: 0000d517 auipc a0,0xd + 80001530: 4a450513 addi a0,a0,1188 # 8000e9d0 + 80001534: 275030ef jal ra,80004fa8 + 80001538: 00843783 ld a5,8(s0) + 8000153c: 0004b403 ld s0,0(s1) + 80001540: 00f40433 add s0,s0,a5 + 80001544: f41ff06f j 80001484 + 80001548: 4145d59b sraiw a1,a1,0x14 + 8000154c: 000b8513 mv a0,s7 + 80001550: fadff06f j 800014fc + +0000000080001554 : + 80001554: 00018797 auipc a5,0x18 + 80001558: e1478793 addi a5,a5,-492 # 80019368 + 8000155c: 0007b703 ld a4,0(a5) + 80001560: fe010113 addi sp,sp,-32 + 80001564: 00813823 sd s0,16(sp) + 80001568: 01213023 sd s2,0(sp) + 8000156c: 00113c23 sd ra,24(sp) + 80001570: 00913423 sd s1,8(sp) + 80001574: 00050413 mv s0,a0 + 80001578: 00078913 mv s2,a5 + 8000157c: 00e57e63 bgeu a0,a4,80001598 + 80001580: 0a100613 li a2,161 + 80001584: 0000c597 auipc a1,0xc + 80001588: 23c58593 addi a1,a1,572 # 8000d7c0 <__FUNCTION__.2654> + 8000158c: 0000c517 auipc a0,0xc + 80001590: f3450513 addi a0,a0,-204 # 8000d4c0 <__FUNCTION__.2651+0x128> + 80001594: 3a1030ef jal ra,80005134 + 80001598: 00018797 auipc a5,0x18 + 8000159c: dc878793 addi a5,a5,-568 # 80019360 + 800015a0: 0007b703 ld a4,0(a5) + 800015a4: 00078493 mv s1,a5 + 800015a8: 00e46e63 bltu s0,a4,800015c4 + 800015ac: 0a200613 li a2,162 + 800015b0: 0000c597 auipc a1,0xc + 800015b4: 21058593 addi a1,a1,528 # 8000d7c0 <__FUNCTION__.2654> + 800015b8: 0000c517 auipc a0,0xc + 800015bc: f2850513 addi a0,a0,-216 # 8000d4e0 <__FUNCTION__.2651+0x148> + 800015c0: 375030ef jal ra,80005134 + 800015c4: 00245783 lhu a5,2(s0) + 800015c8: 00078e63 beqz a5,800015e4 + 800015cc: 0a300613 li a2,163 + 800015d0: 0000c597 auipc a1,0xc + 800015d4: 1f058593 addi a1,a1,496 # 8000d7c0 <__FUNCTION__.2654> + 800015d8: 0000c517 auipc a0,0xc + 800015dc: f3850513 addi a0,a0,-200 # 8000d510 <__FUNCTION__.2651+0x178> + 800015e0: 355030ef jal ra,80005134 + 800015e4: 00093703 ld a4,0(s2) + 800015e8: 00843783 ld a5,8(s0) + 800015ec: 00f707b3 add a5,a4,a5 + 800015f0: 04f40063 beq s0,a5,80001630 + 800015f4: 0027d683 lhu a3,2(a5) + 800015f8: 02069c63 bnez a3,80001630 + 800015fc: 0004b683 ld a3,0(s1) + 80001600: 02f68863 beq a3,a5,80001630 + 80001604: 00018697 auipc a3,0x18 + 80001608: d6c68693 addi a3,a3,-660 # 80019370 + 8000160c: 0006b603 ld a2,0(a3) + 80001610: 00f61463 bne a2,a5,80001618 + 80001614: 0086b023 sd s0,0(a3) + 80001618: 0087b683 ld a3,8(a5) + 8000161c: 00d43423 sd a3,8(s0) + 80001620: 0087b783 ld a5,8(a5) + 80001624: 40e406b3 sub a3,s0,a4 + 80001628: 00f707b3 add a5,a4,a5 + 8000162c: 00d7b823 sd a3,16(a5) + 80001630: 01043683 ld a3,16(s0) + 80001634: 00d707b3 add a5,a4,a3 + 80001638: 02f40a63 beq s0,a5,8000166c + 8000163c: 0027d603 lhu a2,2(a5) + 80001640: 02061663 bnez a2,8000166c + 80001644: 00018617 auipc a2,0x18 + 80001648: d2c60613 addi a2,a2,-724 # 80019370 + 8000164c: 00063583 ld a1,0(a2) + 80001650: 00859463 bne a1,s0,80001658 + 80001654: 00f63023 sd a5,0(a2) + 80001658: 00843603 ld a2,8(s0) + 8000165c: 00c7b423 sd a2,8(a5) + 80001660: 00843783 ld a5,8(s0) + 80001664: 00f70733 add a4,a4,a5 + 80001668: 00d73823 sd a3,16(a4) + 8000166c: 01813083 ld ra,24(sp) + 80001670: 01013403 ld s0,16(sp) + 80001674: 00813483 ld s1,8(sp) + 80001678: 00013903 ld s2,0(sp) + 8000167c: 02010113 addi sp,sp,32 + 80001680: 00008067 ret + +0000000080001684 : + 80001684: fe010113 addi sp,sp,-32 + 80001688: 00813823 sd s0,16(sp) + 8000168c: 00913423 sd s1,8(sp) + 80001690: 00113c23 sd ra,24(sp) + 80001694: 01213023 sd s2,0(sp) + 80001698: 9b9fe0ef jal ra,80000050 + 8000169c: 00018797 auipc a5,0x18 + 800016a0: ccc7b783 ld a5,-820(a5) # 80019368 + 800016a4: 00002737 lui a4,0x2 + 800016a8: 00050493 mv s1,a0 + 800016ac: 00018697 auipc a3,0x18 + 800016b0: cb46b683 ld a3,-844(a3) # 80019360 + 800016b4: 00018617 auipc a2,0x18 + 800016b8: ccc62603 lw a2,-820(a2) # 80019380 + 800016bc: 00078413 mv s0,a5 + 800016c0: ea070713 addi a4,a4,-352 # 1ea0 <__STACKSIZE__-0x2160> + 800016c4: 00100593 li a1,1 + 800016c8: 02869463 bne a3,s0,800016f0 + 800016cc: 00048513 mv a0,s1 + 800016d0: 989fe0ef jal ra,80000058 + 800016d4: 01813083 ld ra,24(sp) + 800016d8: 01013403 ld s0,16(sp) + 800016dc: 00813483 ld s1,8(sp) + 800016e0: 00013903 ld s2,0(sp) + 800016e4: 00000513 li a0,0 + 800016e8: 02010113 addi sp,sp,32 + 800016ec: 00008067 ret + 800016f0: 40f4093b subw s2,s0,a5 + 800016f4: 02094263 bltz s2,80001718 + 800016f8: 03264063 blt a2,s2,80001718 + 800016fc: 00045503 lhu a0,0(s0) + 80001700: 00e51c63 bne a0,a4,80001718 + 80001704: 00245503 lhu a0,2(s0) + 80001708: 00a5e863 bltu a1,a0,80001718 + 8000170c: 00843403 ld s0,8(s0) + 80001710: 00878433 add s0,a5,s0 + 80001714: fb5ff06f j 800016c8 + 80001718: 0000c517 auipc a0,0xc + 8000171c: e0850513 addi a0,a0,-504 # 8000d520 <__FUNCTION__.2651+0x188> + 80001720: 089030ef jal ra,80004fa8 + 80001724: 00040593 mv a1,s0 + 80001728: 0000c517 auipc a0,0xc + 8000172c: e1050513 addi a0,a0,-496 # 8000d538 <__FUNCTION__.2651+0x1a0> + 80001730: 079030ef jal ra,80004fa8 + 80001734: 00045583 lhu a1,0(s0) + 80001738: 0000c517 auipc a0,0xc + 8000173c: e1850513 addi a0,a0,-488 # 8000d550 <__FUNCTION__.2651+0x1b8> + 80001740: 069030ef jal ra,80004fa8 + 80001744: 00245583 lhu a1,2(s0) + 80001748: 0000c517 auipc a0,0xc + 8000174c: e2050513 addi a0,a0,-480 # 8000d568 <__FUNCTION__.2651+0x1d0> + 80001750: 059030ef jal ra,80004fa8 + 80001754: 00843583 ld a1,8(s0) + 80001758: 0000c517 auipc a0,0xc + 8000175c: e2050513 addi a0,a0,-480 # 8000d578 <__FUNCTION__.2651+0x1e0> + 80001760: fe058593 addi a1,a1,-32 + 80001764: 412585b3 sub a1,a1,s2 + 80001768: 041030ef jal ra,80004fa8 + 8000176c: f61ff06f j 800016cc + +0000000080001770 : + 80001770: fd010113 addi sp,sp,-48 + 80001774: 02813023 sd s0,32(sp) + 80001778: 00913c23 sd s1,24(sp) + 8000177c: 01213823 sd s2,16(sp) + 80001780: 01313423 sd s3,8(sp) + 80001784: 01413023 sd s4,0(sp) + 80001788: 02113423 sd ra,40(sp) + 8000178c: 00750413 addi s0,a0,7 + 80001790: 00050913 mv s2,a0 + 80001794: 00058993 mv s3,a1 + 80001798: ff85f493 andi s1,a1,-8 + 8000179c: 8b5fe0ef jal ra,80000050 + 800017a0: ff847413 andi s0,s0,-8 + 800017a4: 00050a13 mv s4,a0 + 800017a8: 208020ef jal ra,800039b0 + 800017ac: 02050863 beqz a0,800017dc + 800017b0: 0000c597 auipc a1,0xc + 800017b4: 02058593 addi a1,a1,32 # 8000d7d0 <__FUNCTION__.2663> + 800017b8: 0000c517 auipc a0,0xc + 800017bc: ba850513 addi a0,a0,-1112 # 8000d360 <__FUNCTION__.2718+0x18> + 800017c0: 7e8030ef jal ra,80004fa8 + 800017c4: 0d200613 li a2,210 + 800017c8: 0000c597 auipc a1,0xc + 800017cc: 00858593 addi a1,a1,8 # 8000d7d0 <__FUNCTION__.2663> + 800017d0: 0000c517 auipc a0,0xc + 800017d4: bb850513 addi a0,a0,-1096 # 8000d388 <__FUNCTION__.2718+0x40> + 800017d8: 15d030ef jal ra,80005134 + 800017dc: 000a0513 mv a0,s4 + 800017e0: 879fe0ef jal ra,80000058 + 800017e4: 04000793 li a5,64 + 800017e8: 0c97f463 bgeu a5,s1,800018b0 + 800017ec: fc048793 addi a5,s1,-64 + 800017f0: 0c87e063 bltu a5,s0,800018b0 + 800017f4: 408484b3 sub s1,s1,s0 + 800017f8: fc048793 addi a5,s1,-64 + 800017fc: 00018717 auipc a4,0x18 + 80001800: b8f73223 sd a5,-1148(a4) # 80019380 + 80001804: 000027b7 lui a5,0x2 + 80001808: fe048493 addi s1,s1,-32 + 8000180c: ea07879b addiw a5,a5,-352 + 80001810: 00f42023 sw a5,0(s0) + 80001814: 00018917 auipc s2,0x18 + 80001818: b5490913 addi s2,s2,-1196 # 80019368 + 8000181c: 00943423 sd s1,8(s0) + 80001820: 00040513 mv a0,s0 + 80001824: 00043823 sd zero,16(s0) + 80001828: 0000c597 auipc a1,0xc + 8000182c: d6058593 addi a1,a1,-672 # 8000d588 <__FUNCTION__.2651+0x1f0> + 80001830: 00893023 sd s0,0(s2) + 80001834: aedff0ef jal ra,80001320 + 80001838: 00843503 ld a0,8(s0) + 8000183c: 0000c597 auipc a1,0xc + 80001840: d4c58593 addi a1,a1,-692 # 8000d588 <__FUNCTION__.2651+0x1f0> + 80001844: 00a40533 add a0,s0,a0 + 80001848: 00018797 auipc a5,0x18 + 8000184c: b0a7bc23 sd a0,-1256(a5) # 80019360 + 80001850: 000127b7 lui a5,0x12 + 80001854: ea07879b addiw a5,a5,-352 + 80001858: 00f52023 sw a5,0(a0) + 8000185c: 00953423 sd s1,8(a0) + 80001860: 00953823 sd s1,16(a0) + 80001864: abdff0ef jal ra,80001320 + 80001868: 00100693 li a3,1 + 8000186c: 00100613 li a2,1 + 80001870: 0000c597 auipc a1,0xc + 80001874: d2058593 addi a1,a1,-736 # 8000d590 <__FUNCTION__.2651+0x1f8> + 80001878: 00020517 auipc a0,0x20 + 8000187c: 3b850513 addi a0,a0,952 # 80021c30 + 80001880: 23c020ef jal ra,80003abc + 80001884: 00093783 ld a5,0(s2) + 80001888: 02813083 ld ra,40(sp) + 8000188c: 02013403 ld s0,32(sp) + 80001890: 00018717 auipc a4,0x18 + 80001894: aef73023 sd a5,-1312(a4) # 80019370 + 80001898: 01813483 ld s1,24(sp) + 8000189c: 01013903 ld s2,16(sp) + 800018a0: 00813983 ld s3,8(sp) + 800018a4: 00013a03 ld s4,0(sp) + 800018a8: 03010113 addi sp,sp,48 + 800018ac: 00008067 ret + 800018b0: 02013403 ld s0,32(sp) + 800018b4: 02813083 ld ra,40(sp) + 800018b8: 01813483 ld s1,24(sp) + 800018bc: 00013a03 ld s4,0(sp) + 800018c0: 00098613 mv a2,s3 + 800018c4: 00090593 mv a1,s2 + 800018c8: 00813983 ld s3,8(sp) + 800018cc: 01013903 ld s2,16(sp) + 800018d0: 0000c517 auipc a0,0xc + 800018d4: cc850513 addi a0,a0,-824 # 8000d598 <__FUNCTION__.2651+0x200> + 800018d8: 03010113 addi sp,sp,48 + 800018dc: 6cc0306f j 80004fa8 + +00000000800018e0 : + 800018e0: f9010113 addi sp,sp,-112 + 800018e4: 06113423 sd ra,104(sp) + 800018e8: 06813023 sd s0,96(sp) + 800018ec: 04913c23 sd s1,88(sp) + 800018f0: 05213823 sd s2,80(sp) + 800018f4: 05313423 sd s3,72(sp) + 800018f8: 05413023 sd s4,64(sp) + 800018fc: 03513c23 sd s5,56(sp) + 80001900: 03613823 sd s6,48(sp) + 80001904: 03713423 sd s7,40(sp) + 80001908: 03813023 sd s8,32(sp) + 8000190c: 01913c23 sd s9,24(sp) + 80001910: 01a13823 sd s10,16(sp) + 80001914: 01b13423 sd s11,8(sp) + 80001918: 00051663 bnez a0,80001924 + 8000191c: 00000913 li s2,0 + 80001920: 24c0006f j 80001b6c + 80001924: 00050413 mv s0,a0 + 80001928: f28fe0ef jal ra,80000050 + 8000192c: 00050493 mv s1,a0 + 80001930: 080020ef jal ra,800039b0 + 80001934: 02050863 beqz a0,80001964 + 80001938: 0000c597 auipc a1,0xc + 8000193c: eb058593 addi a1,a1,-336 # 8000d7e8 <__FUNCTION__.2672> + 80001940: 0000c517 auipc a0,0xc + 80001944: a2050513 addi a0,a0,-1504 # 8000d360 <__FUNCTION__.2718+0x18> + 80001948: 660030ef jal ra,80004fa8 + 8000194c: 11800613 li a2,280 + 80001950: 0000c597 auipc a1,0xc + 80001954: e9858593 addi a1,a1,-360 # 8000d7e8 <__FUNCTION__.2672> + 80001958: 0000c517 auipc a0,0xc + 8000195c: a3050513 addi a0,a0,-1488 # 8000d388 <__FUNCTION__.2718+0x40> + 80001960: 7d4030ef jal ra,80005134 + 80001964: 00048513 mv a0,s1 + 80001968: ef0fe0ef jal ra,80000058 + 8000196c: 00018797 auipc a5,0x18 + 80001970: a1478793 addi a5,a5,-1516 # 80019380 + 80001974: 0007b703 ld a4,0(a5) + 80001978: 00740413 addi s0,s0,7 + 8000197c: ff847413 andi s0,s0,-8 + 80001980: 00078493 mv s1,a5 + 80001984: f8876ce3 bltu a4,s0,8000191c + 80001988: 01800793 li a5,24 + 8000198c: 00f47463 bgeu s0,a5,80001994 + 80001990: 01800413 li s0,24 + 80001994: fff00593 li a1,-1 + 80001998: 00020517 auipc a0,0x20 + 8000199c: 29850513 addi a0,a0,664 # 80021c30 + 800019a0: 1c4020ef jal ra,80003b64 + 800019a4: 00018a97 auipc s5,0x18 + 800019a8: 9c4a8a93 addi s5,s5,-1596 # 80019368 + 800019ac: 00018997 auipc s3,0x18 + 800019b0: 9c498993 addi s3,s3,-1596 # 80019370 + 800019b4: 000abb83 ld s7,0(s5) + 800019b8: 0009b783 ld a5,0(s3) + 800019bc: 0004bc03 ld s8,0(s1) + 800019c0: 417787b3 sub a5,a5,s7 + 800019c4: 408c0633 sub a2,s8,s0 + 800019c8: 00c7ea63 bltu a5,a2,800019dc + 800019cc: 00020517 auipc a0,0x20 + 800019d0: 26450513 addi a0,a0,612 # 80021c30 + 800019d4: 350020ef jal ra,80003d24 + 800019d8: f45ff06f j 8000191c + 800019dc: 00fb84b3 add s1,s7,a5 + 800019e0: 0024d703 lhu a4,2(s1) + 800019e4: 0084b683 ld a3,8(s1) + 800019e8: 20071063 bnez a4,80001be8 + 800019ec: 40f68733 sub a4,a3,a5 + 800019f0: fe070893 addi a7,a4,-32 + 800019f4: 1e88ea63 bltu a7,s0,80001be8 + 800019f8: 00018617 auipc a2,0x18 + 800019fc: 98060613 addi a2,a2,-1664 # 80019378 + 80001a00: 00018597 auipc a1,0x18 + 80001a04: 99858593 addi a1,a1,-1640 # 80019398 + 80001a08: 03840513 addi a0,s0,56 + 80001a0c: 0005b903 ld s2,0(a1) + 80001a10: 00063d03 ld s10,0(a2) + 80001a14: 02040a13 addi s4,s0,32 + 80001a18: 00060d93 mv s11,a2 + 80001a1c: 18a8e863 bltu a7,a0,80001bac + 80001a20: 01478cb3 add s9,a5,s4 + 80001a24: 00002737 lui a4,0x2 + 80001a28: 019b8b33 add s6,s7,s9 + 80001a2c: ea07071b addiw a4,a4,-352 + 80001a30: 00fb3823 sd a5,16(s6) # 100010 <__STACKSIZE__+0xfc010> + 80001a34: 00eb2023 sw a4,0(s6) + 80001a38: 00db3423 sd a3,8(s6) + 80001a3c: 0000c597 auipc a1,0xc + 80001a40: b9c58593 addi a1,a1,-1124 # 8000d5d8 <__FUNCTION__.2651+0x240> + 80001a44: 000b0513 mv a0,s6 + 80001a48: 8d9ff0ef jal ra,80001320 + 80001a4c: 00100793 li a5,1 + 80001a50: 0194b423 sd s9,8(s1) + 80001a54: 00f49123 sh a5,2(s1) + 80001a58: 008b3783 ld a5,8(s6) + 80001a5c: 020c0c13 addi s8,s8,32 + 80001a60: 00018817 auipc a6,0x18 + 80001a64: 93880813 addi a6,a6,-1736 # 80019398 + 80001a68: 01878663 beq a5,s8,80001a74 + 80001a6c: 00fb8bb3 add s7,s7,a5 + 80001a70: 019bb823 sd s9,16(s7) + 80001a74: 02090793 addi a5,s2,32 + 80001a78: 008787b3 add a5,a5,s0 + 80001a7c: 00f83023 sd a5,0(a6) + 80001a80: 00fd7463 bgeu s10,a5,80001a88 + 80001a84: 00fdb023 sd a5,0(s11) + 80001a88: 000027b7 lui a5,0x2 + 80001a8c: ea07879b addiw a5,a5,-352 + 80001a90: 00f49023 sh a5,0(s1) + 80001a94: 5fd000ef jal ra,80002890 + 80001a98: 0000c597 auipc a1,0xc + 80001a9c: b4858593 addi a1,a1,-1208 # 8000d5e0 <__FUNCTION__.2651+0x248> + 80001aa0: 00050663 beqz a0,80001aac + 80001aa4: 5ed000ef jal ra,80002890 + 80001aa8: 00050593 mv a1,a0 + 80001aac: 00048513 mv a0,s1 + 80001ab0: 871ff0ef jal ra,80001320 + 80001ab4: 0009b783 ld a5,0(s3) + 80001ab8: 00018917 auipc s2,0x18 + 80001abc: 8a890913 addi s2,s2,-1880 # 80019360 + 80001ac0: 02979063 bne a5,s1,80001ae0 + 80001ac4: 00093683 ld a3,0(s2) + 80001ac8: 000ab603 ld a2,0(s5) + 80001acc: 00000713 li a4,0 + 80001ad0: 0027d583 lhu a1,2(a5) # 2002 <__STACKSIZE__-0x1ffe> + 80001ad4: 10059263 bnez a1,80001bd8 + 80001ad8: 00070463 beqz a4,80001ae0 + 80001adc: 00f9b023 sd a5,0(s3) + 80001ae0: 00020517 auipc a0,0x20 + 80001ae4: 15050513 addi a0,a0,336 # 80021c30 + 80001ae8: 23c020ef jal ra,80003d24 + 80001aec: 00093783 ld a5,0(s2) + 80001af0: 01448a33 add s4,s1,s4 + 80001af4: 0147fe63 bgeu a5,s4,80001b10 + 80001af8: 18600613 li a2,390 + 80001afc: 0000c597 auipc a1,0xc + 80001b00: cec58593 addi a1,a1,-788 # 8000d7e8 <__FUNCTION__.2672> + 80001b04: 0000c517 auipc a0,0xc + 80001b08: ae450513 addi a0,a0,-1308 # 8000d5e8 <__FUNCTION__.2651+0x250> + 80001b0c: 628030ef jal ra,80005134 + 80001b10: 0074f793 andi a5,s1,7 + 80001b14: 02048913 addi s2,s1,32 + 80001b18: 00078e63 beqz a5,80001b34 + 80001b1c: 18700613 li a2,391 + 80001b20: 0000c597 auipc a1,0xc + 80001b24: cc858593 addi a1,a1,-824 # 8000d7e8 <__FUNCTION__.2672> + 80001b28: 0000c517 auipc a0,0xc + 80001b2c: b0850513 addi a0,a0,-1272 # 8000d630 <__FUNCTION__.2651+0x298> + 80001b30: 604030ef jal ra,80005134 + 80001b34: 0074f493 andi s1,s1,7 + 80001b38: 00048e63 beqz s1,80001b54 + 80001b3c: 18800613 li a2,392 + 80001b40: 0000c597 auipc a1,0xc + 80001b44: ca858593 addi a1,a1,-856 # 8000d7e8 <__FUNCTION__.2672> + 80001b48: 0000c517 auipc a0,0xc + 80001b4c: b3850513 addi a0,a0,-1224 # 8000d680 <__FUNCTION__.2651+0x2e8> + 80001b50: 5e4030ef jal ra,80005134 + 80001b54: 00018797 auipc a5,0x18 + 80001b58: 83c7b783 ld a5,-1988(a5) # 80019390 + 80001b5c: 00078863 beqz a5,80001b6c + 80001b60: 00040593 mv a1,s0 + 80001b64: 00090513 mv a0,s2 + 80001b68: 000780e7 jalr a5 + 80001b6c: 06813083 ld ra,104(sp) + 80001b70: 06013403 ld s0,96(sp) + 80001b74: 05813483 ld s1,88(sp) + 80001b78: 04813983 ld s3,72(sp) + 80001b7c: 04013a03 ld s4,64(sp) + 80001b80: 03813a83 ld s5,56(sp) + 80001b84: 03013b03 ld s6,48(sp) + 80001b88: 02813b83 ld s7,40(sp) + 80001b8c: 02013c03 ld s8,32(sp) + 80001b90: 01813c83 ld s9,24(sp) + 80001b94: 01013d03 ld s10,16(sp) + 80001b98: 00813d83 ld s11,8(sp) + 80001b9c: 00090513 mv a0,s2 + 80001ba0: 05013903 ld s2,80(sp) + 80001ba4: 07010113 addi sp,sp,112 + 80001ba8: 00008067 ret + 80001bac: 00100793 li a5,1 + 80001bb0: 00f49123 sh a5,2(s1) + 80001bb4: 012707b3 add a5,a4,s2 + 80001bb8: 00f5b023 sd a5,0(a1) + 80001bbc: ecfd76e3 bgeu s10,a5,80001a88 + 80001bc0: 00f63023 sd a5,0(a2) + 80001bc4: ec5ff06f j 80001a88 + 80001bc8: 0087b783 ld a5,8(a5) + 80001bcc: 00100713 li a4,1 + 80001bd0: 00f607b3 add a5,a2,a5 + 80001bd4: efdff06f j 80001ad0 + 80001bd8: fef698e3 bne a3,a5,80001bc8 + 80001bdc: f00702e3 beqz a4,80001ae0 + 80001be0: 00d9b023 sd a3,0(s3) + 80001be4: efdff06f j 80001ae0 + 80001be8: 00068793 mv a5,a3 + 80001bec: dddff06f j 800019c8 + +0000000080001bf0 : + 80001bf0: fe010113 addi sp,sp,-32 + 80001bf4: 00113c23 sd ra,24(sp) + 80001bf8: 00813823 sd s0,16(sp) + 80001bfc: ea8fe0ef jal ra,800002a4 <__muldi3> + 80001c00: 00a13423 sd a0,8(sp) + 80001c04: cddff0ef jal ra,800018e0 + 80001c08: 00050413 mv s0,a0 + 80001c0c: 00050863 beqz a0,80001c1c + 80001c10: 00813603 ld a2,8(sp) + 80001c14: 00000593 li a1,0 + 80001c18: 1c1020ef jal ra,800045d8 + 80001c1c: 01813083 ld ra,24(sp) + 80001c20: 00040513 mv a0,s0 + 80001c24: 01013403 ld s0,16(sp) + 80001c28: 02010113 addi sp,sp,32 + 80001c2c: 00008067 ret + +0000000080001c30 : + 80001c30: 20050663 beqz a0,80001e3c + 80001c34: fe010113 addi sp,sp,-32 + 80001c38: 00813823 sd s0,16(sp) + 80001c3c: 00913423 sd s1,8(sp) + 80001c40: 00113c23 sd ra,24(sp) + 80001c44: 01213023 sd s2,0(sp) + 80001c48: 00050413 mv s0,a0 + 80001c4c: c04fe0ef jal ra,80000050 + 80001c50: 00050493 mv s1,a0 + 80001c54: 55d010ef jal ra,800039b0 + 80001c58: 02050863 beqz a0,80001c88 + 80001c5c: 0000f597 auipc a1,0xf + 80001c60: 47c58593 addi a1,a1,1148 # 800110d8 <__FUNCTION__.2701> + 80001c64: 0000b517 auipc a0,0xb + 80001c68: 6fc50513 addi a0,a0,1788 # 8000d360 <__FUNCTION__.2718+0x18> + 80001c6c: 33c030ef jal ra,80004fa8 + 80001c70: 22f00613 li a2,559 + 80001c74: 0000f597 auipc a1,0xf + 80001c78: 46458593 addi a1,a1,1124 # 800110d8 <__FUNCTION__.2701> + 80001c7c: 0000b517 auipc a0,0xb + 80001c80: 70c50513 addi a0,a0,1804 # 8000d388 <__FUNCTION__.2718+0x40> + 80001c84: 4b0030ef jal ra,80005134 + 80001c88: 00048513 mv a0,s1 + 80001c8c: bccfe0ef jal ra,80000058 + 80001c90: 00747793 andi a5,s0,7 + 80001c94: 00078e63 beqz a5,80001cb0 + 80001c98: 23100613 li a2,561 + 80001c9c: 0000f597 auipc a1,0xf + 80001ca0: 43c58593 addi a1,a1,1084 # 800110d8 <__FUNCTION__.2701> + 80001ca4: 0000c517 auipc a0,0xc + 80001ca8: a0c50513 addi a0,a0,-1524 # 8000d6b0 <__FUNCTION__.2651+0x318> + 80001cac: 488030ef jal ra,80005134 + 80001cb0: 00017917 auipc s2,0x17 + 80001cb4: 6b890913 addi s2,s2,1720 # 80019368 + 80001cb8: 00093783 ld a5,0(s2) + 80001cbc: 00f46863 bltu s0,a5,80001ccc + 80001cc0: 00017797 auipc a5,0x17 + 80001cc4: 6a07b783 ld a5,1696(a5) # 80019360 + 80001cc8: 00f46e63 bltu s0,a5,80001ce4 + 80001ccc: 23200613 li a2,562 + 80001cd0: 0000f597 auipc a1,0xf + 80001cd4: 40858593 addi a1,a1,1032 # 800110d8 <__FUNCTION__.2701> + 80001cd8: 0000c517 auipc a0,0xc + 80001cdc: a0850513 addi a0,a0,-1528 # 8000d6e0 <__FUNCTION__.2651+0x348> + 80001ce0: 454030ef jal ra,80005134 + 80001ce4: 00017797 auipc a5,0x17 + 80001ce8: 6a47b783 ld a5,1700(a5) # 80019388 + 80001cec: 00078663 beqz a5,80001cf8 + 80001cf0: 00040513 mv a0,s0 + 80001cf4: 000780e7 jalr a5 + 80001cf8: 00093783 ld a5,0(s2) + 80001cfc: 12f46463 bltu s0,a5,80001e24 + 80001d00: 00017797 auipc a5,0x17 + 80001d04: 6607b783 ld a5,1632(a5) # 80019360 + 80001d08: 10f47e63 bgeu s0,a5,80001e24 + 80001d0c: fff00593 li a1,-1 + 80001d10: 00020517 auipc a0,0x20 + 80001d14: f2050513 addi a0,a0,-224 # 80021c30 + 80001d18: 64d010ef jal ra,80003b64 + 80001d1c: fe245783 lhu a5,-30(s0) + 80001d20: fe040493 addi s1,s0,-32 + 80001d24: 00078a63 beqz a5,80001d38 + 80001d28: fe045703 lhu a4,-32(s0) + 80001d2c: 000027b7 lui a5,0x2 + 80001d30: ea078793 addi a5,a5,-352 # 1ea0 <__STACKSIZE__-0x2160> + 80001d34: 06f70863 beq a4,a5,80001da4 + 80001d38: 0000c517 auipc a0,0xc + 80001d3c: a0850513 addi a0,a0,-1528 # 8000d740 <__FUNCTION__.2651+0x3a8> + 80001d40: 268030ef jal ra,80004fa8 + 80001d44: fe045683 lhu a3,-32(s0) + 80001d48: fe245603 lhu a2,-30(s0) + 80001d4c: 00048593 mv a1,s1 + 80001d50: 0000c517 auipc a0,0xc + 80001d54: a1050513 addi a0,a0,-1520 # 8000d760 <__FUNCTION__.2651+0x3c8> + 80001d58: 250030ef jal ra,80004fa8 + 80001d5c: fe245783 lhu a5,-30(s0) + 80001d60: 00079e63 bnez a5,80001d7c + 80001d64: 25100613 li a2,593 + 80001d68: 0000f597 auipc a1,0xf + 80001d6c: 37058593 addi a1,a1,880 # 800110d8 <__FUNCTION__.2701> + 80001d70: 0000c517 auipc a0,0xc + 80001d74: a2050513 addi a0,a0,-1504 # 8000d790 <__FUNCTION__.2651+0x3f8> + 80001d78: 3bc030ef jal ra,80005134 + 80001d7c: fe045703 lhu a4,-32(s0) + 80001d80: 000027b7 lui a5,0x2 + 80001d84: ea078793 addi a5,a5,-352 # 1ea0 <__STACKSIZE__-0x2160> + 80001d88: 00f70e63 beq a4,a5,80001da4 + 80001d8c: 25200613 li a2,594 + 80001d90: 0000f597 auipc a1,0xf + 80001d94: 34858593 addi a1,a1,840 # 800110d8 <__FUNCTION__.2701> + 80001d98: 0000c517 auipc a0,0xc + 80001d9c: a0850513 addi a0,a0,-1528 # 8000d7a0 <__FUNCTION__.2651+0x408> + 80001da0: 394030ef jal ra,80005134 + 80001da4: 000027b7 lui a5,0x2 + 80001da8: ea07879b addiw a5,a5,-352 + 80001dac: fef41023 sh a5,-32(s0) + 80001db0: fe041123 sh zero,-30(s0) + 80001db4: 0000c597 auipc a1,0xc + 80001db8: 82458593 addi a1,a1,-2012 # 8000d5d8 <__FUNCTION__.2651+0x240> + 80001dbc: 00048513 mv a0,s1 + 80001dc0: d60ff0ef jal ra,80001320 + 80001dc4: 00017797 auipc a5,0x17 + 80001dc8: 5ac78793 addi a5,a5,1452 # 80019370 + 80001dcc: 0007b703 ld a4,0(a5) + 80001dd0: 00e4f463 bgeu s1,a4,80001dd8 + 80001dd4: 0097b023 sd s1,0(a5) + 80001dd8: 00017697 auipc a3,0x17 + 80001ddc: 5c068693 addi a3,a3,1472 # 80019398 + 80001de0: fe843703 ld a4,-24(s0) + 80001de4: 0006b783 ld a5,0(a3) + 80001de8: 00048513 mv a0,s1 + 80001dec: 40e787b3 sub a5,a5,a4 + 80001df0: 00093703 ld a4,0(s2) + 80001df4: 40e48733 sub a4,s1,a4 + 80001df8: 00e787b3 add a5,a5,a4 + 80001dfc: 00f6b023 sd a5,0(a3) + 80001e00: f54ff0ef jal ra,80001554 + 80001e04: 01013403 ld s0,16(sp) + 80001e08: 01813083 ld ra,24(sp) + 80001e0c: 00813483 ld s1,8(sp) + 80001e10: 00013903 ld s2,0(sp) + 80001e14: 00020517 auipc a0,0x20 + 80001e18: e1c50513 addi a0,a0,-484 # 80021c30 + 80001e1c: 02010113 addi sp,sp,32 + 80001e20: 7050106f j 80003d24 + 80001e24: 01813083 ld ra,24(sp) + 80001e28: 01013403 ld s0,16(sp) + 80001e2c: 00813483 ld s1,8(sp) + 80001e30: 00013903 ld s2,0(sp) + 80001e34: 02010113 addi sp,sp,32 + 80001e38: 00008067 ret + 80001e3c: 00008067 ret + +0000000080001e40 : + 80001e40: fc010113 addi sp,sp,-64 + 80001e44: 02813823 sd s0,48(sp) + 80001e48: 02913423 sd s1,40(sp) + 80001e4c: 03213023 sd s2,32(sp) + 80001e50: 02113c23 sd ra,56(sp) + 80001e54: 01313c23 sd s3,24(sp) + 80001e58: 01413823 sd s4,16(sp) + 80001e5c: 00050493 mv s1,a0 + 80001e60: 00058413 mv s0,a1 + 80001e64: 9ecfe0ef jal ra,80000050 + 80001e68: 00050913 mv s2,a0 + 80001e6c: 345010ef jal ra,800039b0 + 80001e70: 02050863 beqz a0,80001ea0 + 80001e74: 0000c597 auipc a1,0xc + 80001e78: 98458593 addi a1,a1,-1660 # 8000d7f8 <__FUNCTION__.2690> + 80001e7c: 0000b517 auipc a0,0xb + 80001e80: 4e450513 addi a0,a0,1252 # 8000d360 <__FUNCTION__.2718+0x18> + 80001e84: 124030ef jal ra,80004fa8 + 80001e88: 1ac00613 li a2,428 + 80001e8c: 0000c597 auipc a1,0xc + 80001e90: 96c58593 addi a1,a1,-1684 # 8000d7f8 <__FUNCTION__.2690> + 80001e94: 0000b517 auipc a0,0xb + 80001e98: 4f450513 addi a0,a0,1268 # 8000d388 <__FUNCTION__.2718+0x40> + 80001e9c: 298030ef jal ra,80005134 + 80001ea0: 00090513 mv a0,s2 + 80001ea4: 9b4fe0ef jal ra,80000058 + 80001ea8: 00017a17 auipc s4,0x17 + 80001eac: 4d8a0a13 addi s4,s4,1240 # 80019380 + 80001eb0: 000a3783 ld a5,0(s4) + 80001eb4: 00740413 addi s0,s0,7 + 80001eb8: ff847413 andi s0,s0,-8 + 80001ebc: 0087e863 bltu a5,s0,80001ecc + 80001ec0: 00041a63 bnez s0,80001ed4 + 80001ec4: 00048513 mv a0,s1 + 80001ec8: d69ff0ef jal ra,80001c30 + 80001ecc: 00000913 li s2,0 + 80001ed0: 0640006f j 80001f34 + 80001ed4: 02049463 bnez s1,80001efc + 80001ed8: 00040513 mv a0,s0 + 80001edc: 03013403 ld s0,48(sp) + 80001ee0: 03813083 ld ra,56(sp) + 80001ee4: 02813483 ld s1,40(sp) + 80001ee8: 02013903 ld s2,32(sp) + 80001eec: 01813983 ld s3,24(sp) + 80001ef0: 01013a03 ld s4,16(sp) + 80001ef4: 04010113 addi sp,sp,64 + 80001ef8: 9e9ff06f j 800018e0 + 80001efc: fff00593 li a1,-1 + 80001f00: 00020517 auipc a0,0x20 + 80001f04: d3050513 addi a0,a0,-720 # 80021c30 + 80001f08: 45d010ef jal ra,80003b64 + 80001f0c: 00017917 auipc s2,0x17 + 80001f10: 45c93903 ld s2,1116(s2) # 80019368 + 80001f14: 0124e863 bltu s1,s2,80001f24 + 80001f18: 00017797 auipc a5,0x17 + 80001f1c: 4487b783 ld a5,1096(a5) # 80019360 + 80001f20: 02f4ec63 bltu s1,a5,80001f58 + 80001f24: 00020517 auipc a0,0x20 + 80001f28: d0c50513 addi a0,a0,-756 # 80021c30 + 80001f2c: 5f9010ef jal ra,80003d24 + 80001f30: 00048913 mv s2,s1 + 80001f34: 03813083 ld ra,56(sp) + 80001f38: 03013403 ld s0,48(sp) + 80001f3c: 02813483 ld s1,40(sp) + 80001f40: 01813983 ld s3,24(sp) + 80001f44: 01013a03 ld s4,16(sp) + 80001f48: 00090513 mv a0,s2 + 80001f4c: 02013903 ld s2,32(sp) + 80001f50: 04010113 addi sp,sp,64 + 80001f54: 00008067 ret + 80001f58: fe84b683 ld a3,-24(s1) + 80001f5c: fe048793 addi a5,s1,-32 + 80001f60: 412787b3 sub a5,a5,s2 + 80001f64: fe068593 addi a1,a3,-32 + 80001f68: 40f589b3 sub s3,a1,a5 + 80001f6c: fb340ce3 beq s0,s3,80001f24 + 80001f70: 03840713 addi a4,s0,56 + 80001f74: 09377463 bgeu a4,s3,80001ffc + 80001f78: 00017617 auipc a2,0x17 + 80001f7c: 42060613 addi a2,a2,1056 # 80019398 + 80001f80: 00063703 ld a4,0(a2) + 80001f84: 00f40433 add s0,s0,a5 + 80001f88: 00e40733 add a4,s0,a4 + 80001f8c: 40b70733 sub a4,a4,a1 + 80001f90: 00e63023 sd a4,0(a2) + 80001f94: 02040413 addi s0,s0,32 + 80001f98: 00002737 lui a4,0x2 + 80001f9c: 00890533 add a0,s2,s0 + 80001fa0: ea07071b addiw a4,a4,-352 + 80001fa4: 00e52023 sw a4,0(a0) + 80001fa8: 00f53823 sd a5,16(a0) + 80001fac: 00d53423 sd a3,8(a0) + 80001fb0: 0000b597 auipc a1,0xb + 80001fb4: 62858593 addi a1,a1,1576 # 8000d5d8 <__FUNCTION__.2651+0x240> + 80001fb8: 00a13423 sd a0,8(sp) + 80001fbc: b64ff0ef jal ra,80001320 + 80001fc0: 00813503 ld a0,8(sp) + 80001fc4: 000a3783 ld a5,0(s4) + 80001fc8: fe84b423 sd s0,-24(s1) + 80001fcc: 00853703 ld a4,8(a0) + 80001fd0: 02078793 addi a5,a5,32 + 80001fd4: 00f70663 beq a4,a5,80001fe0 + 80001fd8: 00e90933 add s2,s2,a4 + 80001fdc: 00893823 sd s0,16(s2) + 80001fe0: 00017797 auipc a5,0x17 + 80001fe4: 39078793 addi a5,a5,912 # 80019370 + 80001fe8: 0007b703 ld a4,0(a5) + 80001fec: 00e57463 bgeu a0,a4,80001ff4 + 80001ff0: 00a7b023 sd a0,0(a5) + 80001ff4: d60ff0ef jal ra,80001554 + 80001ff8: f2dff06f j 80001f24 + 80001ffc: 00020517 auipc a0,0x20 + 80002000: c3450513 addi a0,a0,-972 # 80021c30 + 80002004: 521010ef jal ra,80003d24 + 80002008: 00040513 mv a0,s0 + 8000200c: 8d5ff0ef jal ra,800018e0 + 80002010: 00050913 mv s2,a0 + 80002014: f20500e3 beqz a0,80001f34 + 80002018: 00040613 mv a2,s0 + 8000201c: 0089f463 bgeu s3,s0,80002024 + 80002020: 00098613 mv a2,s3 + 80002024: 00048593 mv a1,s1 + 80002028: 00090513 mv a0,s2 + 8000202c: 658020ef jal ra,80004684 + 80002030: 00048513 mv a0,s1 + 80002034: bfdff0ef jal ra,80001c30 + 80002038: efdff06f j 80001f34 + +000000008000203c : + 8000203c: 0000f697 auipc a3,0xf + 80002040: 0bc68693 addi a3,a3,188 # 800110f8 + 80002044: 00000793 li a5,0 + 80002048: 00068713 mv a4,a3 + 8000204c: 00900613 li a2,9 + 80002050: 0006a583 lw a1,0(a3) + 80002054: 00a59863 bne a1,a0,80002064 + 80002058: 00579793 slli a5,a5,0x5 + 8000205c: 00f70533 add a0,a4,a5 + 80002060: 00008067 ret + 80002064: 0017879b addiw a5,a5,1 + 80002068: 02068693 addi a3,a3,32 + 8000206c: fec792e3 bne a5,a2,80002050 + 80002070: 00000513 li a0,0 + 80002074: 00008067 ret + +0000000080002078 : + 80002078: fb010113 addi sp,sp,-80 + 8000207c: 04813023 sd s0,64(sp) + 80002080: 00050413 mv s0,a0 + 80002084: 00058513 mv a0,a1 + 80002088: 02913c23 sd s1,56(sp) + 8000208c: 03213823 sd s2,48(sp) + 80002090: 03413023 sd s4,32(sp) + 80002094: 04113423 sd ra,72(sp) + 80002098: 03313423 sd s3,40(sp) + 8000209c: 01513c23 sd s5,24(sp) + 800020a0: 01613823 sd s6,16(sp) + 800020a4: 01713423 sd s7,8(sp) + 800020a8: 00058913 mv s2,a1 + 800020ac: 00060a13 mv s4,a2 + 800020b0: f8dff0ef jal ra,8000203c + 800020b4: 00050493 mv s1,a0 + 800020b8: 00051e63 bnez a0,800020d4 + 800020bc: 13800613 li a2,312 + 800020c0: 0000c597 auipc a1,0xc + 800020c4: 84058593 addi a1,a1,-1984 # 8000d900 <__FUNCTION__.2690> + 800020c8: 0000b517 auipc a0,0xb + 800020cc: 7c850513 addi a0,a0,1992 # 8000d890 <__fsym_list_mem_name+0x10> + 800020d0: 064030ef jal ra,80005134 + 800020d4: 270010ef jal ra,80003344 + 800020d8: 0084b983 ld s3,8(s1) + 800020dc: 00848a93 addi s5,s1,8 + 800020e0: 0000cb17 auipc s6,0xc + 800020e4: 820b0b13 addi s6,s6,-2016 # 8000d900 <__FUNCTION__.2690> + 800020e8: 0000bb97 auipc s7,0xb + 800020ec: 7c0b8b93 addi s7,s7,1984 # 8000d8a8 <__fsym_list_mem_name+0x28> + 800020f0: 07599e63 bne s3,s5,8000216c + 800020f4: 27c010ef jal ra,80003370 + 800020f8: f8096913 ori s2,s2,-128 + 800020fc: 01240a23 sb s2,20(s0) + 80002100: 01400613 li a2,20 + 80002104: 000a0593 mv a1,s4 + 80002108: 00040513 mv a0,s0 + 8000210c: 6ec020ef jal ra,800047f8 + 80002110: 00017797 auipc a5,0x17 + 80002114: 2907b783 ld a5,656(a5) # 800193a0 + 80002118: 00078663 beqz a5,80002124 + 8000211c: 00040513 mv a0,s0 + 80002120: 000780e7 jalr a5 + 80002124: f2dfd0ef jal ra,80000050 + 80002128: 0084b703 ld a4,8(s1) + 8000212c: 01840793 addi a5,s0,24 + 80002130: 04813083 ld ra,72(sp) + 80002134: 00f73423 sd a5,8(a4) # 2008 <__STACKSIZE__-0x1ff8> + 80002138: 00e43c23 sd a4,24(s0) + 8000213c: 00f4b423 sd a5,8(s1) + 80002140: 03343023 sd s3,32(s0) + 80002144: 04013403 ld s0,64(sp) + 80002148: 03813483 ld s1,56(sp) + 8000214c: 03013903 ld s2,48(sp) + 80002150: 02813983 ld s3,40(sp) + 80002154: 02013a03 ld s4,32(sp) + 80002158: 01813a83 ld s5,24(sp) + 8000215c: 01013b03 ld s6,16(sp) + 80002160: 00813b83 ld s7,8(sp) + 80002164: 05010113 addi sp,sp,80 + 80002168: ef1fd06f j 80000058 + 8000216c: fe898793 addi a5,s3,-24 + 80002170: 00f41a63 bne s0,a5,80002184 + 80002174: 14800613 li a2,328 + 80002178: 000b0593 mv a1,s6 + 8000217c: 000b8513 mv a0,s7 + 80002180: 7b5020ef jal ra,80005134 + 80002184: 0009b983 ld s3,0(s3) + 80002188: f69ff06f j 800020f0 + +000000008000218c : + 8000218c: ff010113 addi sp,sp,-16 + 80002190: 00813023 sd s0,0(sp) + 80002194: 00113423 sd ra,8(sp) + 80002198: 00050413 mv s0,a0 + 8000219c: 00051e63 bnez a0,800021b8 + 800021a0: 17500613 li a2,373 + 800021a4: 0000b597 auipc a1,0xb + 800021a8: 76c58593 addi a1,a1,1900 # 8000d910 <__FUNCTION__.2699> + 800021ac: 0000b517 auipc a0,0xb + 800021b0: 70c50513 addi a0,a0,1804 # 8000d8b8 <__fsym_list_mem_name+0x38> + 800021b4: 781020ef jal ra,80005134 + 800021b8: 00017797 auipc a5,0x17 + 800021bc: 1f07b783 ld a5,496(a5) # 800193a8 + 800021c0: 00078663 beqz a5,800021cc + 800021c4: 00040513 mv a0,s0 + 800021c8: 000780e7 jalr a5 + 800021cc: 00040a23 sb zero,20(s0) + 800021d0: e81fd0ef jal ra,80000050 + 800021d4: 01843683 ld a3,24(s0) + 800021d8: 02043703 ld a4,32(s0) + 800021dc: 01840793 addi a5,s0,24 + 800021e0: 00813083 ld ra,8(sp) + 800021e4: 00e6b423 sd a4,8(a3) + 800021e8: 00d73023 sd a3,0(a4) + 800021ec: 02f43023 sd a5,32(s0) + 800021f0: 00f43c23 sd a5,24(s0) + 800021f4: 00013403 ld s0,0(sp) + 800021f8: 01010113 addi sp,sp,16 + 800021fc: e5dfd06f j 80000058 + +0000000080002200 : + 80002200: fd010113 addi sp,sp,-48 + 80002204: 02813023 sd s0,32(sp) + 80002208: 01213823 sd s2,16(sp) + 8000220c: 01313423 sd s3,8(sp) + 80002210: 02113423 sd ra,40(sp) + 80002214: 00913c23 sd s1,24(sp) + 80002218: 00050913 mv s2,a0 + 8000221c: 00058993 mv s3,a1 + 80002220: e31fd0ef jal ra,80000050 + 80002224: 00050413 mv s0,a0 + 80002228: 788010ef jal ra,800039b0 + 8000222c: 02050863 beqz a0,8000225c + 80002230: 0000b597 auipc a1,0xb + 80002234: 6f858593 addi a1,a1,1784 # 8000d928 <__FUNCTION__.2708> + 80002238: 0000b517 auipc a0,0xb + 8000223c: 12850513 addi a0,a0,296 # 8000d360 <__FUNCTION__.2718+0x18> + 80002240: 569020ef jal ra,80004fa8 + 80002244: 19800613 li a2,408 + 80002248: 0000b597 auipc a1,0xb + 8000224c: 6e058593 addi a1,a1,1760 # 8000d928 <__FUNCTION__.2708> + 80002250: 0000b517 auipc a0,0xb + 80002254: 13850513 addi a0,a0,312 # 8000d388 <__FUNCTION__.2718+0x40> + 80002258: 6dd020ef jal ra,80005134 + 8000225c: 00040513 mv a0,s0 + 80002260: df9fd0ef jal ra,80000058 + 80002264: 00090513 mv a0,s2 + 80002268: dd5ff0ef jal ra,8000203c + 8000226c: 00050493 mv s1,a0 + 80002270: 00051e63 bnez a0,8000228c + 80002274: 19c00613 li a2,412 + 80002278: 0000b597 auipc a1,0xb + 8000227c: 6b058593 addi a1,a1,1712 # 8000d928 <__FUNCTION__.2708> + 80002280: 0000b517 auipc a0,0xb + 80002284: 61050513 addi a0,a0,1552 # 8000d890 <__fsym_list_mem_name+0x10> + 80002288: 6ad020ef jal ra,80005134 + 8000228c: 0184b503 ld a0,24(s1) + 80002290: e50ff0ef jal ra,800018e0 + 80002294: 00050413 mv s0,a0 + 80002298: 06050063 beqz a0,800022f8 + 8000229c: 0184b603 ld a2,24(s1) + 800022a0: 00000593 li a1,0 + 800022a4: 334020ef jal ra,800045d8 + 800022a8: 01400613 li a2,20 + 800022ac: 01240a23 sb s2,20(s0) + 800022b0: 00040aa3 sb zero,21(s0) + 800022b4: 00098593 mv a1,s3 + 800022b8: 00040513 mv a0,s0 + 800022bc: 53c020ef jal ra,800047f8 + 800022c0: 00017797 auipc a5,0x17 + 800022c4: 0e07b783 ld a5,224(a5) # 800193a0 + 800022c8: 00078663 beqz a5,800022d4 + 800022cc: 00040513 mv a0,s0 + 800022d0: 000780e7 jalr a5 + 800022d4: d7dfd0ef jal ra,80000050 + 800022d8: 0084b703 ld a4,8(s1) + 800022dc: 01840793 addi a5,s0,24 + 800022e0: 00848493 addi s1,s1,8 + 800022e4: 00f73423 sd a5,8(a4) + 800022e8: 00e43c23 sd a4,24(s0) + 800022ec: 00f4b023 sd a5,0(s1) + 800022f0: 02943023 sd s1,32(s0) + 800022f4: d65fd0ef jal ra,80000058 + 800022f8: 02813083 ld ra,40(sp) + 800022fc: 00040513 mv a0,s0 + 80002300: 02013403 ld s0,32(sp) + 80002304: 01813483 ld s1,24(sp) + 80002308: 01013903 ld s2,16(sp) + 8000230c: 00813983 ld s3,8(sp) + 80002310: 03010113 addi sp,sp,48 + 80002314: 00008067 ret + +0000000080002318 : + 80002318: ff010113 addi sp,sp,-16 + 8000231c: 00813023 sd s0,0(sp) + 80002320: 00113423 sd ra,8(sp) + 80002324: 00050413 mv s0,a0 + 80002328: 00051e63 bnez a0,80002344 + 8000232c: 1d600613 li a2,470 + 80002330: 0000b597 auipc a1,0xb + 80002334: 61058593 addi a1,a1,1552 # 8000d940 <__FUNCTION__.2713> + 80002338: 0000b517 auipc a0,0xb + 8000233c: 58050513 addi a0,a0,1408 # 8000d8b8 <__fsym_list_mem_name+0x38> + 80002340: 5f5020ef jal ra,80005134 + 80002344: 01440783 lb a5,20(s0) + 80002348: 0007de63 bgez a5,80002364 + 8000234c: 1d700613 li a2,471 + 80002350: 0000b597 auipc a1,0xb + 80002354: 5f058593 addi a1,a1,1520 # 8000d940 <__FUNCTION__.2713> + 80002358: 0000b517 auipc a0,0xb + 8000235c: 57850513 addi a0,a0,1400 # 8000d8d0 <__fsym_list_mem_name+0x50> + 80002360: 5d5020ef jal ra,80005134 + 80002364: 00017797 auipc a5,0x17 + 80002368: 0447b783 ld a5,68(a5) # 800193a8 + 8000236c: 00078663 beqz a5,80002378 + 80002370: 00040513 mv a0,s0 + 80002374: 000780e7 jalr a5 + 80002378: 00040a23 sb zero,20(s0) + 8000237c: cd5fd0ef jal ra,80000050 + 80002380: 01843683 ld a3,24(s0) + 80002384: 02043703 ld a4,32(s0) + 80002388: 01840793 addi a5,s0,24 + 8000238c: 00e6b423 sd a4,8(a3) + 80002390: 00d73023 sd a3,0(a4) + 80002394: 02f43023 sd a5,32(s0) + 80002398: 00f43c23 sd a5,24(s0) + 8000239c: cbdfd0ef jal ra,80000058 + 800023a0: 00040513 mv a0,s0 + 800023a4: 00013403 ld s0,0(sp) + 800023a8: 00813083 ld ra,8(sp) + 800023ac: 01010113 addi sp,sp,16 + 800023b0: 881ff06f j 80001c30 + +00000000800023b4 : + 800023b4: ff010113 addi sp,sp,-16 + 800023b8: 00813023 sd s0,0(sp) + 800023bc: 00113423 sd ra,8(sp) + 800023c0: 00050413 mv s0,a0 + 800023c4: 00051e63 bnez a0,800023e0 + 800023c8: 1f800613 li a2,504 + 800023cc: 0000b597 auipc a1,0xb + 800023d0: 58c58593 addi a1,a1,1420 # 8000d958 <__FUNCTION__.2717> + 800023d4: 0000b517 auipc a0,0xb + 800023d8: 4e450513 addi a0,a0,1252 # 8000d8b8 <__fsym_list_mem_name+0x38> + 800023dc: 559020ef jal ra,80005134 + 800023e0: 01440503 lb a0,20(s0) + 800023e4: 00813083 ld ra,8(sp) + 800023e8: 00013403 ld s0,0(sp) + 800023ec: 01f5551b srliw a0,a0,0x1f + 800023f0: 01010113 addi sp,sp,16 + 800023f4: 00008067 ret + +00000000800023f8 : + 800023f8: ff010113 addi sp,sp,-16 + 800023fc: 00813023 sd s0,0(sp) + 80002400: 00113423 sd ra,8(sp) + 80002404: 00050413 mv s0,a0 + 80002408: 00051e63 bnez a0,80002424 + 8000240c: 20b00613 li a2,523 + 80002410: 0000b597 auipc a1,0xb + 80002414: 56858593 addi a1,a1,1384 # 8000d978 <__FUNCTION__.2721> + 80002418: 0000b517 auipc a0,0xb + 8000241c: 4a050513 addi a0,a0,1184 # 8000d8b8 <__fsym_list_mem_name+0x38> + 80002420: 515020ef jal ra,80005134 + 80002424: 01444503 lbu a0,20(s0) + 80002428: 00813083 ld ra,8(sp) + 8000242c: 00013403 ld s0,0(sp) + 80002430: 07f57513 andi a0,a0,127 + 80002434: 01010113 addi sp,sp,16 + 80002438: 00008067 ret + +000000008000243c : + 8000243c: fd010113 addi sp,sp,-48 + 80002440: 01213823 sd s2,16(sp) + 80002444: 00050913 mv s2,a0 + 80002448: 00058513 mv a0,a1 + 8000244c: 02113423 sd ra,40(sp) + 80002450: 02813023 sd s0,32(sp) + 80002454: 00913c23 sd s1,24(sp) + 80002458: 01313423 sd s3,8(sp) + 8000245c: be1ff0ef jal ra,8000203c + 80002460: 06090263 beqz s2,800024c4 + 80002464: 00050413 mv s0,a0 + 80002468: 08050063 beqz a0,800024e8 + 8000246c: be5fd0ef jal ra,80000050 + 80002470: 00050493 mv s1,a0 + 80002474: 53c010ef jal ra,800039b0 + 80002478: 02050863 beqz a0,800024a8 + 8000247c: 0000b597 auipc a1,0xb + 80002480: 51458593 addi a1,a1,1300 # 8000d990 <__FUNCTION__.2730> + 80002484: 0000b517 auipc a0,0xb + 80002488: edc50513 addi a0,a0,-292 # 8000d360 <__FUNCTION__.2718+0x18> + 8000248c: 31d020ef jal ra,80004fa8 + 80002490: 22800613 li a2,552 + 80002494: 0000b597 auipc a1,0xb + 80002498: 4fc58593 addi a1,a1,1276 # 8000d990 <__FUNCTION__.2730> + 8000249c: 0000b517 auipc a0,0xb + 800024a0: eec50513 addi a0,a0,-276 # 8000d388 <__FUNCTION__.2718+0x40> + 800024a4: 491020ef jal ra,80005134 + 800024a8: 00048513 mv a0,s1 + 800024ac: badfd0ef jal ra,80000058 + 800024b0: 695000ef jal ra,80003344 + 800024b4: 00843483 ld s1,8(s0) + 800024b8: 00840993 addi s3,s0,8 + 800024bc: 01349863 bne s1,s3,800024cc + 800024c0: 6b1000ef jal ra,80003370 + 800024c4: 00000413 li s0,0 + 800024c8: 0200006f j 800024e8 + 800024cc: fe848413 addi s0,s1,-24 + 800024d0: 01400613 li a2,20 + 800024d4: 00090593 mv a1,s2 + 800024d8: 00040513 mv a0,s0 + 800024dc: 360020ef jal ra,8000483c + 800024e0: 02051463 bnez a0,80002508 + 800024e4: 68d000ef jal ra,80003370 + 800024e8: 02813083 ld ra,40(sp) + 800024ec: 00040513 mv a0,s0 + 800024f0: 02013403 ld s0,32(sp) + 800024f4: 01813483 ld s1,24(sp) + 800024f8: 01013903 ld s2,16(sp) + 800024fc: 00813983 ld s3,8(sp) + 80002500: 03010113 addi sp,sp,48 + 80002504: 00008067 ret + 80002508: 0004b483 ld s1,0(s1) + 8000250c: fb1ff06f j 800024bc + +0000000080002510 <_rt_thread_cleanup_execute>: + 80002510: fe010113 addi sp,sp,-32 + 80002514: 00813823 sd s0,16(sp) + 80002518: 00913423 sd s1,8(sp) + 8000251c: 00050413 mv s0,a0 + 80002520: 00113c23 sd ra,24(sp) + 80002524: b2dfd0ef jal ra,80000050 + 80002528: 0d843783 ld a5,216(s0) + 8000252c: 00050493 mv s1,a0 + 80002530: 00078663 beqz a5,8000253c <_rt_thread_cleanup_execute+0x2c> + 80002534: 00040513 mv a0,s0 + 80002538: 000780e7 jalr a5 + 8000253c: 01013403 ld s0,16(sp) + 80002540: 01813083 ld ra,24(sp) + 80002544: 00048513 mv a0,s1 + 80002548: 00813483 ld s1,8(sp) + 8000254c: 02010113 addi sp,sp,32 + 80002550: b09fd06f j 80000058 + +0000000080002554 <_rt_thread_exit>: + 80002554: fe010113 addi sp,sp,-32 + 80002558: 00113c23 sd ra,24(sp) + 8000255c: 00813823 sd s0,16(sp) + 80002560: 00913423 sd s1,8(sp) + 80002564: 00017417 auipc s0,0x17 + 80002568: e8443403 ld s0,-380(s0) # 800193e8 + 8000256c: ae5fd0ef jal ra,80000050 + 80002570: 00050493 mv s1,a0 + 80002574: 00040513 mv a0,s0 + 80002578: f99ff0ef jal ra,80002510 <_rt_thread_cleanup_execute> + 8000257c: 00040513 mv a0,s0 + 80002580: 355000ef jal ra,800030d4 + 80002584: 00400793 li a5,4 + 80002588: 06f40423 sb a5,104(s0) + 8000258c: 08840513 addi a0,s0,136 + 80002590: b60fe0ef jal ra,800008f0 + 80002594: 00040513 mv a0,s0 + 80002598: e1dff0ef jal ra,800023b4 + 8000259c: 00100793 li a5,1 + 800025a0: 02f51463 bne a0,a5,800025c8 <_rt_thread_exit+0x74> + 800025a4: 00040513 mv a0,s0 + 800025a8: be5ff0ef jal ra,8000218c + 800025ac: 419000ef jal ra,800031c4 + 800025b0: 01013403 ld s0,16(sp) + 800025b4: 01813083 ld ra,24(sp) + 800025b8: 00048513 mv a0,s1 + 800025bc: 00813483 ld s1,8(sp) + 800025c0: 02010113 addi sp,sp,32 + 800025c4: a95fd06f j 80000058 + 800025c8: 00040513 mv a0,s0 + 800025cc: b79fe0ef jal ra,80001144 + 800025d0: fddff06f j 800025ac <_rt_thread_exit+0x58> + +00000000800025d4 <_rt_thread_init.isra.0>: + 800025d4: fe010113 addi sp,sp,-32 + 800025d8: 00813823 sd s0,16(sp) + 800025dc: 00050413 mv s0,a0 + 800025e0: 00913423 sd s1,8(sp) + 800025e4: 04c43423 sd a2,72(s0) + 800025e8: 00078493 mv s1,a5 + 800025ec: 02071613 slli a2,a4,0x20 + 800025f0: 02840793 addi a5,s0,40 + 800025f4: 00068513 mv a0,a3 + 800025f8: 02f43823 sd a5,48(s0) + 800025fc: 02f43423 sd a5,40(s0) + 80002600: 04b43023 sd a1,64(s0) + 80002604: 04d43823 sd a3,80(s0) + 80002608: 04e42c23 sw a4,88(s0) + 8000260c: 02065613 srli a2,a2,0x20 + 80002610: 02300593 li a1,35 + 80002614: 00113c23 sd ra,24(sp) + 80002618: 01213023 sd s2,0(sp) + 8000261c: 00080913 mv s2,a6 + 80002620: 7b9010ef jal ra,800045d8 + 80002624: 05846783 lwu a5,88(s0) + 80002628: 05043603 ld a2,80(s0) + 8000262c: 04843583 ld a1,72(s0) + 80002630: 04043503 ld a0,64(s0) + 80002634: ff878793 addi a5,a5,-8 + 80002638: 00f60633 add a2,a2,a5 + 8000263c: 00000697 auipc a3,0x0 + 80002640: f1868693 addi a3,a3,-232 # 80002554 <_rt_thread_exit> + 80002644: 55d020ef jal ra,800053a0 + 80002648: 02a43c23 sd a0,56(s0) + 8000264c: 01f00793 li a5,31 + 80002650: 0097fe63 bgeu a5,s1,8000266c <_rt_thread_init.isra.0+0x98> + 80002654: 0ae00613 li a2,174 + 80002658: 0000b597 auipc a1,0xb + 8000265c: 4f058593 addi a1,a1,1264 # 8000db48 <__FUNCTION__.2648> + 80002660: 0000b517 auipc a0,0xb + 80002664: 34050513 addi a0,a0,832 # 8000d9a0 <__FUNCTION__.2730+0x10> + 80002668: 2cd020ef jal ra,80005134 + 8000266c: 02091813 slli a6,s2,0x20 + 80002670: 02085813 srli a6,a6,0x20 + 80002674: 00000793 li a5,0 + 80002678: 06940523 sb s1,106(s0) + 8000267c: 069404a3 sb s1,105(s0) + 80002680: 06042623 sw zero,108(s0) + 80002684: 07043c23 sd a6,120(s0) + 80002688: 09043023 sd a6,128(s0) + 8000268c: 06043023 sd zero,96(s0) + 80002690: 06040423 sb zero,104(s0) + 80002694: 0c043c23 sd zero,216(s0) + 80002698: 0e043023 sd zero,224(s0) + 8000269c: 00000713 li a4,0 + 800026a0: 00040693 mv a3,s0 + 800026a4: 00000617 auipc a2,0x0 + 800026a8: 04460613 addi a2,a2,68 # 800026e8 + 800026ac: 00040593 mv a1,s0 + 800026b0: 08840513 addi a0,s0,136 + 800026b4: 990fe0ef jal ra,80000844 + 800026b8: 00017797 auipc a5,0x17 + 800026bc: d107b783 ld a5,-752(a5) # 800193c8 + 800026c0: 00078663 beqz a5,800026cc <_rt_thread_init.isra.0+0xf8> + 800026c4: 00040513 mv a0,s0 + 800026c8: 000780e7 jalr a5 + 800026cc: 01813083 ld ra,24(sp) + 800026d0: 01013403 ld s0,16(sp) + 800026d4: 00813483 ld s1,8(sp) + 800026d8: 00013903 ld s2,0(sp) + 800026dc: 00000513 li a0,0 + 800026e0: 02010113 addi sp,sp,32 + 800026e4: 00008067 ret + +00000000800026e8 : + 800026e8: fe010113 addi sp,sp,-32 + 800026ec: 00813823 sd s0,16(sp) + 800026f0: 00113c23 sd ra,24(sp) + 800026f4: 00913423 sd s1,8(sp) + 800026f8: 00050413 mv s0,a0 + 800026fc: 00051e63 bnez a0,80002718 + 80002700: 37200613 li a2,882 + 80002704: 0000b597 auipc a1,0xb + 80002708: 50458593 addi a1,a1,1284 # 8000dc08 <__FUNCTION__.2747> + 8000270c: 0000b517 auipc a0,0xb + 80002710: 2bc50513 addi a0,a0,700 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002714: 221020ef jal ra,80005134 + 80002718: 06844783 lbu a5,104(s0) + 8000271c: 00200713 li a4,2 + 80002720: 0077f793 andi a5,a5,7 + 80002724: 00e78e63 beq a5,a4,80002740 + 80002728: 37300613 li a2,883 + 8000272c: 0000b597 auipc a1,0xb + 80002730: 4dc58593 addi a1,a1,1244 # 8000dc08 <__FUNCTION__.2747> + 80002734: 0000b517 auipc a0,0xb + 80002738: 2ac50513 addi a0,a0,684 # 8000d9e0 <__FUNCTION__.2730+0x50> + 8000273c: 1f9020ef jal ra,80005134 + 80002740: 00040513 mv a0,s0 + 80002744: cb5ff0ef jal ra,800023f8 + 80002748: 00100793 li a5,1 + 8000274c: 00f50e63 beq a0,a5,80002768 + 80002750: 37400613 li a2,884 + 80002754: 0000b597 auipc a1,0xb + 80002758: 4b458593 addi a1,a1,1204 # 8000dc08 <__FUNCTION__.2747> + 8000275c: 0000b517 auipc a0,0xb + 80002760: 2c450513 addi a0,a0,708 # 8000da20 <__FUNCTION__.2730+0x90> + 80002764: 1d1020ef jal ra,80005134 + 80002768: 8e9fd0ef jal ra,80000050 + 8000276c: 02843683 ld a3,40(s0) + 80002770: 03043703 ld a4,48(s0) + 80002774: ffe00793 li a5,-2 + 80002778: 06f43023 sd a5,96(s0) + 8000277c: 00e6b423 sd a4,8(a3) + 80002780: 02840793 addi a5,s0,40 + 80002784: 00d73023 sd a3,0(a4) + 80002788: 00050493 mv s1,a0 + 8000278c: 02f43823 sd a5,48(s0) + 80002790: 02f43423 sd a5,40(s0) + 80002794: 00040513 mv a0,s0 + 80002798: 091000ef jal ra,80003028 + 8000279c: 00048513 mv a0,s1 + 800027a0: 8b9fd0ef jal ra,80000058 + 800027a4: 01013403 ld s0,16(sp) + 800027a8: 01813083 ld ra,24(sp) + 800027ac: 00813483 ld s1,8(sp) + 800027b0: 02010113 addi sp,sp,32 + 800027b4: 2110006f j 800031c4 + +00000000800027b8 : + 800027b8: fb010113 addi sp,sp,-80 + 800027bc: 04813023 sd s0,64(sp) + 800027c0: 02913c23 sd s1,56(sp) + 800027c4: 03213823 sd s2,48(sp) + 800027c8: 03313423 sd s3,40(sp) + 800027cc: 03413023 sd s4,32(sp) + 800027d0: 01513c23 sd s5,24(sp) + 800027d4: 01613823 sd s6,16(sp) + 800027d8: 01713423 sd s7,8(sp) + 800027dc: 04113423 sd ra,72(sp) + 800027e0: 00050413 mv s0,a0 + 800027e4: 00058b93 mv s7,a1 + 800027e8: 00060913 mv s2,a2 + 800027ec: 00068993 mv s3,a3 + 800027f0: 00070493 mv s1,a4 + 800027f4: 00078a13 mv s4,a5 + 800027f8: 00080a93 mv s5,a6 + 800027fc: 00088b13 mv s6,a7 + 80002800: 00051e63 bnez a0,8000281c + 80002804: 10f00613 li a2,271 + 80002808: 0000b597 auipc a1,0xb + 8000280c: 35058593 addi a1,a1,848 # 8000db58 <__FUNCTION__.2660> + 80002810: 0000b517 auipc a0,0xb + 80002814: 1b850513 addi a0,a0,440 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002818: 11d020ef jal ra,80005134 + 8000281c: 00049e63 bnez s1,80002838 + 80002820: 11000613 li a2,272 + 80002824: 0000b597 auipc a1,0xb + 80002828: 33458593 addi a1,a1,820 # 8000db58 <__FUNCTION__.2660> + 8000282c: 0000b517 auipc a0,0xb + 80002830: 23c50513 addi a0,a0,572 # 8000da68 <__FUNCTION__.2730+0xd8> + 80002834: 101020ef jal ra,80005134 + 80002838: 000b8613 mv a2,s7 + 8000283c: 00040513 mv a0,s0 + 80002840: 00100593 li a1,1 + 80002844: 835ff0ef jal ra,80002078 + 80002848: 00040513 mv a0,s0 + 8000284c: 04013403 ld s0,64(sp) + 80002850: 04813083 ld ra,72(sp) + 80002854: 00813b83 ld s7,8(sp) + 80002858: 000b0813 mv a6,s6 + 8000285c: 000a8793 mv a5,s5 + 80002860: 01013b03 ld s6,16(sp) + 80002864: 01813a83 ld s5,24(sp) + 80002868: 000a0713 mv a4,s4 + 8000286c: 00048693 mv a3,s1 + 80002870: 02013a03 ld s4,32(sp) + 80002874: 03813483 ld s1,56(sp) + 80002878: 00098613 mv a2,s3 + 8000287c: 00090593 mv a1,s2 + 80002880: 02813983 ld s3,40(sp) + 80002884: 03013903 ld s2,48(sp) + 80002888: 05010113 addi sp,sp,80 + 8000288c: d49ff06f j 800025d4 <_rt_thread_init.isra.0> + +0000000080002890 : + 80002890: 00017517 auipc a0,0x17 + 80002894: b5853503 ld a0,-1192(a0) # 800193e8 + 80002898: 00008067 ret + +000000008000289c : + 8000289c: fe010113 addi sp,sp,-32 + 800028a0: 00813823 sd s0,16(sp) + 800028a4: 00113c23 sd ra,24(sp) + 800028a8: 00913423 sd s1,8(sp) + 800028ac: 00050413 mv s0,a0 + 800028b0: 00051e63 bnez a0,800028cc + 800028b4: 16e00613 li a2,366 + 800028b8: 0000b597 auipc a1,0xb + 800028bc: 2c858593 addi a1,a1,712 # 8000db80 <__FUNCTION__.2674> + 800028c0: 0000b517 auipc a0,0xb + 800028c4: 10850513 addi a0,a0,264 # 8000d9c8 <__FUNCTION__.2730+0x38> + 800028c8: 06d020ef jal ra,80005134 + 800028cc: 00040513 mv a0,s0 + 800028d0: b29ff0ef jal ra,800023f8 + 800028d4: 00100793 li a5,1 + 800028d8: 00f50e63 beq a0,a5,800028f4 + 800028dc: 16f00613 li a2,367 + 800028e0: 0000b597 auipc a1,0xb + 800028e4: 2a058593 addi a1,a1,672 # 8000db80 <__FUNCTION__.2674> + 800028e8: 0000b517 auipc a0,0xb + 800028ec: 13850513 addi a0,a0,312 # 8000da20 <__FUNCTION__.2730+0x90> + 800028f0: 045020ef jal ra,80005134 + 800028f4: 00040513 mv a0,s0 + 800028f8: abdff0ef jal ra,800023b4 + 800028fc: 00051e63 bnez a0,80002918 + 80002900: 17000613 li a2,368 + 80002904: 0000b597 auipc a1,0xb + 80002908: 27c58593 addi a1,a1,636 # 8000db80 <__FUNCTION__.2674> + 8000290c: 0000b517 auipc a0,0xb + 80002910: 17450513 addi a0,a0,372 # 8000da80 <__FUNCTION__.2730+0xf0> + 80002914: 021020ef jal ra,80005134 + 80002918: 06844783 lbu a5,104(s0) + 8000291c: 00400713 li a4,4 + 80002920: 0077f793 andi a5,a5,7 + 80002924: 04e78063 beq a5,a4,80002964 + 80002928: 00078663 beqz a5,80002934 + 8000292c: 00040513 mv a0,s0 + 80002930: 7a4000ef jal ra,800030d4 + 80002934: 00040513 mv a0,s0 + 80002938: bd9ff0ef jal ra,80002510 <_rt_thread_cleanup_execute> + 8000293c: 08840513 addi a0,s0,136 + 80002940: fb1fd0ef jal ra,800008f0 + 80002944: 00400793 li a5,4 + 80002948: 06f40423 sb a5,104(s0) + 8000294c: 00040513 mv a0,s0 + 80002950: a65ff0ef jal ra,800023b4 + 80002954: 00100793 li a5,1 + 80002958: 02f51263 bne a0,a5,8000297c + 8000295c: 00040513 mv a0,s0 + 80002960: 82dff0ef jal ra,8000218c + 80002964: 01813083 ld ra,24(sp) + 80002968: 01013403 ld s0,16(sp) + 8000296c: 00813483 ld s1,8(sp) + 80002970: 00000513 li a0,0 + 80002974: 02010113 addi sp,sp,32 + 80002978: 00008067 ret + 8000297c: ed4fd0ef jal ra,80000050 + 80002980: 00050493 mv s1,a0 + 80002984: 00040513 mv a0,s0 + 80002988: fbcfe0ef jal ra,80001144 + 8000298c: 00048513 mv a0,s1 + 80002990: ec8fd0ef jal ra,80000058 + 80002994: fd1ff06f j 80002964 + +0000000080002998 : + 80002998: fc010113 addi sp,sp,-64 + 8000299c: 03213023 sd s2,32(sp) + 800029a0: 00058913 mv s2,a1 + 800029a4: 00050593 mv a1,a0 + 800029a8: 00100513 li a0,1 + 800029ac: 02813823 sd s0,48(sp) + 800029b0: 02913423 sd s1,40(sp) + 800029b4: 01313c23 sd s3,24(sp) + 800029b8: 01413823 sd s4,16(sp) + 800029bc: 01513423 sd s5,8(sp) + 800029c0: 02113c23 sd ra,56(sp) + 800029c4: 00060993 mv s3,a2 + 800029c8: 00068493 mv s1,a3 + 800029cc: 00070a13 mv s4,a4 + 800029d0: 00078a93 mv s5,a5 + 800029d4: 82dff0ef jal ra,80002200 + 800029d8: 00050413 mv s0,a0 + 800029dc: 02050263 beqz a0,80002a00 + 800029e0: 02049513 slli a0,s1,0x20 + 800029e4: 02055513 srli a0,a0,0x20 + 800029e8: ef9fe0ef jal ra,800018e0 + 800029ec: 00050693 mv a3,a0 + 800029f0: 02051c63 bnez a0,80002a28 + 800029f4: 00040513 mv a0,s0 + 800029f8: 921ff0ef jal ra,80002318 + 800029fc: 00000413 li s0,0 + 80002a00: 03813083 ld ra,56(sp) + 80002a04: 00040513 mv a0,s0 + 80002a08: 03013403 ld s0,48(sp) + 80002a0c: 02813483 ld s1,40(sp) + 80002a10: 02013903 ld s2,32(sp) + 80002a14: 01813983 ld s3,24(sp) + 80002a18: 01013a03 ld s4,16(sp) + 80002a1c: 00813a83 ld s5,8(sp) + 80002a20: 04010113 addi sp,sp,64 + 80002a24: 00008067 ret + 80002a28: 000a8813 mv a6,s5 + 80002a2c: 000a0793 mv a5,s4 + 80002a30: 00048713 mv a4,s1 + 80002a34: 00098613 mv a2,s3 + 80002a38: 00090593 mv a1,s2 + 80002a3c: 00040513 mv a0,s0 + 80002a40: b95ff0ef jal ra,800025d4 <_rt_thread_init.isra.0> + 80002a44: fbdff06f j 80002a00 + +0000000080002a48 : + 80002a48: fe010113 addi sp,sp,-32 + 80002a4c: 00813823 sd s0,16(sp) + 80002a50: 00113c23 sd ra,24(sp) + 80002a54: 00913423 sd s1,8(sp) + 80002a58: 00050413 mv s0,a0 + 80002a5c: 00051e63 bnez a0,80002a78 + 80002a60: 1d500613 li a2,469 + 80002a64: 0000b597 auipc a1,0xb + 80002a68: 13458593 addi a1,a1,308 # 8000db98 <__FUNCTION__.2690> + 80002a6c: 0000b517 auipc a0,0xb + 80002a70: f5c50513 addi a0,a0,-164 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002a74: 6c0020ef jal ra,80005134 + 80002a78: 00040513 mv a0,s0 + 80002a7c: 97dff0ef jal ra,800023f8 + 80002a80: 00100793 li a5,1 + 80002a84: 00f50e63 beq a0,a5,80002aa0 + 80002a88: 1d600613 li a2,470 + 80002a8c: 0000b597 auipc a1,0xb + 80002a90: 10c58593 addi a1,a1,268 # 8000db98 <__FUNCTION__.2690> + 80002a94: 0000b517 auipc a0,0xb + 80002a98: f8c50513 addi a0,a0,-116 # 8000da20 <__FUNCTION__.2730+0x90> + 80002a9c: 698020ef jal ra,80005134 + 80002aa0: 00040513 mv a0,s0 + 80002aa4: 911ff0ef jal ra,800023b4 + 80002aa8: 00050e63 beqz a0,80002ac4 + 80002aac: 1d700613 li a2,471 + 80002ab0: 0000b597 auipc a1,0xb + 80002ab4: 0e858593 addi a1,a1,232 # 8000db98 <__FUNCTION__.2690> + 80002ab8: 0000b517 auipc a0,0xb + 80002abc: ff850513 addi a0,a0,-8 # 8000dab0 <__FUNCTION__.2730+0x120> + 80002ac0: 674020ef jal ra,80005134 + 80002ac4: 06844783 lbu a5,104(s0) + 80002ac8: 00400713 li a4,4 + 80002acc: 0077f793 andi a5,a5,7 + 80002ad0: 04e78063 beq a5,a4,80002b10 + 80002ad4: 00078663 beqz a5,80002ae0 + 80002ad8: 00040513 mv a0,s0 + 80002adc: 5f8000ef jal ra,800030d4 + 80002ae0: 00040513 mv a0,s0 + 80002ae4: a2dff0ef jal ra,80002510 <_rt_thread_cleanup_execute> + 80002ae8: 08840513 addi a0,s0,136 + 80002aec: e05fd0ef jal ra,800008f0 + 80002af0: d60fd0ef jal ra,80000050 + 80002af4: 00400793 li a5,4 + 80002af8: 00050493 mv s1,a0 + 80002afc: 06f40423 sb a5,104(s0) + 80002b00: 00040513 mv a0,s0 + 80002b04: e40fe0ef jal ra,80001144 + 80002b08: 00048513 mv a0,s1 + 80002b0c: d4cfd0ef jal ra,80000058 + 80002b10: 01813083 ld ra,24(sp) + 80002b14: 01013403 ld s0,16(sp) + 80002b18: 00813483 ld s1,8(sp) + 80002b1c: 00000513 li a0,0 + 80002b20: 02010113 addi sp,sp,32 + 80002b24: 00008067 ret + +0000000080002b28 : + 80002b28: fe010113 addi sp,sp,-32 + 80002b2c: 00813823 sd s0,16(sp) + 80002b30: 00113c23 sd ra,24(sp) + 80002b34: 00913423 sd s1,8(sp) + 80002b38: 01213023 sd s2,0(sp) + 80002b3c: 00050413 mv s0,a0 + 80002b40: 00051e63 bnez a0,80002b5c + 80002b44: 31400613 li a2,788 + 80002b48: 0000b597 auipc a1,0xb + 80002b4c: 09058593 addi a1,a1,144 # 8000dbd8 <__FUNCTION__.2736> + 80002b50: 0000b517 auipc a0,0xb + 80002b54: e7850513 addi a0,a0,-392 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002b58: 5dc020ef jal ra,80005134 + 80002b5c: 00040513 mv a0,s0 + 80002b60: 899ff0ef jal ra,800023f8 + 80002b64: 00100793 li a5,1 + 80002b68: 00f50e63 beq a0,a5,80002b84 + 80002b6c: 31500613 li a2,789 + 80002b70: 0000b597 auipc a1,0xb + 80002b74: 06858593 addi a1,a1,104 # 8000dbd8 <__FUNCTION__.2736> + 80002b78: 0000b517 auipc a0,0xb + 80002b7c: ea850513 addi a0,a0,-344 # 8000da20 <__FUNCTION__.2730+0x90> + 80002b80: 5b4020ef jal ra,80005134 + 80002b84: 06844783 lbu a5,104(s0) + 80002b88: 00100713 li a4,1 + 80002b8c: fff00513 li a0,-1 + 80002b90: 0077f913 andi s2,a5,7 + 80002b94: 0057f793 andi a5,a5,5 + 80002b98: 06e79e63 bne a5,a4,80002c14 + 80002b9c: cb4fd0ef jal ra,80000050 + 80002ba0: 00300793 li a5,3 + 80002ba4: 00050493 mv s1,a0 + 80002ba8: 02f91463 bne s2,a5,80002bd0 + 80002bac: 00017797 auipc a5,0x17 + 80002bb0: 83c7b783 ld a5,-1988(a5) # 800193e8 + 80002bb4: 00f40e63 beq s0,a5,80002bd0 + 80002bb8: 32600613 li a2,806 + 80002bbc: 0000b597 auipc a1,0xb + 80002bc0: 01c58593 addi a1,a1,28 # 8000dbd8 <__FUNCTION__.2736> + 80002bc4: 0000b517 auipc a0,0xb + 80002bc8: f2c50513 addi a0,a0,-212 # 8000daf0 <__FUNCTION__.2730+0x160> + 80002bcc: 568020ef jal ra,80005134 + 80002bd0: 00040513 mv a0,s0 + 80002bd4: 500000ef jal ra,800030d4 + 80002bd8: 06844783 lbu a5,104(s0) + 80002bdc: 08840513 addi a0,s0,136 + 80002be0: ff87f793 andi a5,a5,-8 + 80002be4: 0027e793 ori a5,a5,2 + 80002be8: 06f40423 sb a5,104(s0) + 80002bec: f5dfd0ef jal ra,80000b48 + 80002bf0: 00048513 mv a0,s1 + 80002bf4: c64fd0ef jal ra,80000058 + 80002bf8: 00016797 auipc a5,0x16 + 80002bfc: 7e07b783 ld a5,2016(a5) # 800193d8 + 80002c00: 00000513 li a0,0 + 80002c04: 00078863 beqz a5,80002c14 + 80002c08: 00040513 mv a0,s0 + 80002c0c: 000780e7 jalr a5 + 80002c10: 00000513 li a0,0 + 80002c14: 01813083 ld ra,24(sp) + 80002c18: 01013403 ld s0,16(sp) + 80002c1c: 00813483 ld s1,8(sp) + 80002c20: 00013903 ld s2,0(sp) + 80002c24: 02010113 addi sp,sp,32 + 80002c28: 00008067 ret + +0000000080002c2c : + 80002c2c: fd010113 addi sp,sp,-48 + 80002c30: 02813023 sd s0,32(sp) + 80002c34: 02113423 sd ra,40(sp) + 80002c38: 00913c23 sd s1,24(sp) + 80002c3c: 01213823 sd s2,16(sp) + 80002c40: 00a12623 sw a0,12(sp) + 80002c44: 00016417 auipc s0,0x16 + 80002c48: 7a443403 ld s0,1956(s0) # 800193e8 + 80002c4c: 00041e63 bnez s0,80002c68 + 80002c50: 21d00613 li a2,541 + 80002c54: 0000b597 auipc a1,0xb + 80002c58: f5c58593 addi a1,a1,-164 # 8000dbb0 <__FUNCTION__.2701> + 80002c5c: 0000b517 auipc a0,0xb + 80002c60: d6c50513 addi a0,a0,-660 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002c64: 4d0020ef jal ra,80005134 + 80002c68: 00040513 mv a0,s0 + 80002c6c: f8cff0ef jal ra,800023f8 + 80002c70: 00100793 li a5,1 + 80002c74: 00f50e63 beq a0,a5,80002c90 + 80002c78: 21e00613 li a2,542 + 80002c7c: 0000b597 auipc a1,0xb + 80002c80: f3458593 addi a1,a1,-204 # 8000dbb0 <__FUNCTION__.2701> + 80002c84: 0000b517 auipc a0,0xb + 80002c88: d9c50513 addi a0,a0,-612 # 8000da20 <__FUNCTION__.2730+0x90> + 80002c8c: 4a8020ef jal ra,80005134 + 80002c90: bc0fd0ef jal ra,80000050 + 80002c94: 00050913 mv s2,a0 + 80002c98: 00040513 mv a0,s0 + 80002c9c: e8dff0ef jal ra,80002b28 + 80002ca0: 08840493 addi s1,s0,136 + 80002ca4: 00c10613 addi a2,sp,12 + 80002ca8: 00000593 li a1,0 + 80002cac: 00048513 mv a0,s1 + 80002cb0: f51fd0ef jal ra,80000c00 + 80002cb4: 00048513 mv a0,s1 + 80002cb8: cf9fd0ef jal ra,800009b0 + 80002cbc: 00090513 mv a0,s2 + 80002cc0: b98fd0ef jal ra,80000058 + 80002cc4: 500000ef jal ra,800031c4 + 80002cc8: 06043703 ld a4,96(s0) + 80002ccc: ffe00793 li a5,-2 + 80002cd0: 00f71463 bne a4,a5,80002cd8 + 80002cd4: 06043023 sd zero,96(s0) + 80002cd8: 02813083 ld ra,40(sp) + 80002cdc: 02013403 ld s0,32(sp) + 80002ce0: 01813483 ld s1,24(sp) + 80002ce4: 01013903 ld s2,16(sp) + 80002ce8: 00000513 li a0,0 + 80002cec: 03010113 addi sp,sp,48 + 80002cf0: 00008067 ret + +0000000080002cf4 : + 80002cf4: f39ff06f j 80002c2c + +0000000080002cf8 : + 80002cf8: ff010113 addi sp,sp,-16 + 80002cfc: 00113423 sd ra,8(sp) + 80002d00: aa5fd0ef jal ra,800007a4 + 80002d04: 00813083 ld ra,8(sp) + 80002d08: 0005051b sext.w a0,a0 + 80002d0c: 01010113 addi sp,sp,16 + 80002d10: f1dff06f j 80002c2c + +0000000080002d14 : + 80002d14: fe010113 addi sp,sp,-32 + 80002d18: 00813823 sd s0,16(sp) + 80002d1c: 00113c23 sd ra,24(sp) + 80002d20: 00913423 sd s1,8(sp) + 80002d24: 00050413 mv s0,a0 + 80002d28: 00051e63 bnez a0,80002d44 + 80002d2c: 34400613 li a2,836 + 80002d30: 0000b597 auipc a1,0xb + 80002d34: ec058593 addi a1,a1,-320 # 8000dbf0 <__FUNCTION__.2741> + 80002d38: 0000b517 auipc a0,0xb + 80002d3c: c9050513 addi a0,a0,-880 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002d40: 3f4020ef jal ra,80005134 + 80002d44: 00040513 mv a0,s0 + 80002d48: eb0ff0ef jal ra,800023f8 + 80002d4c: 00100793 li a5,1 + 80002d50: 00f50e63 beq a0,a5,80002d6c + 80002d54: 34500613 li a2,837 + 80002d58: 0000b597 auipc a1,0xb + 80002d5c: e9858593 addi a1,a1,-360 # 8000dbf0 <__FUNCTION__.2741> + 80002d60: 0000b517 auipc a0,0xb + 80002d64: cc050513 addi a0,a0,-832 # 8000da20 <__FUNCTION__.2730+0x90> + 80002d68: 3cc020ef jal ra,80005134 + 80002d6c: 06844783 lbu a5,104(s0) + 80002d70: 00200713 li a4,2 + 80002d74: fff00513 li a0,-1 + 80002d78: 0077f793 andi a5,a5,7 + 80002d7c: 04e79e63 bne a5,a4,80002dd8 + 80002d80: ad0fd0ef jal ra,80000050 + 80002d84: 02843683 ld a3,40(s0) + 80002d88: 03043703 ld a4,48(s0) + 80002d8c: 02840793 addi a5,s0,40 + 80002d90: 00050493 mv s1,a0 + 80002d94: 00e6b423 sd a4,8(a3) + 80002d98: 00d73023 sd a3,0(a4) + 80002d9c: 02f43823 sd a5,48(s0) + 80002da0: 02f43423 sd a5,40(s0) + 80002da4: 08840513 addi a0,s0,136 + 80002da8: da1fd0ef jal ra,80000b48 + 80002dac: 00040513 mv a0,s0 + 80002db0: 278000ef jal ra,80003028 + 80002db4: 00048513 mv a0,s1 + 80002db8: aa0fd0ef jal ra,80000058 + 80002dbc: 00016797 auipc a5,0x16 + 80002dc0: 6147b783 ld a5,1556(a5) # 800193d0 + 80002dc4: 00000513 li a0,0 + 80002dc8: 00078863 beqz a5,80002dd8 + 80002dcc: 00040513 mv a0,s0 + 80002dd0: 000780e7 jalr a5 + 80002dd4: 00000513 li a0,0 + 80002dd8: 01813083 ld ra,24(sp) + 80002ddc: 01013403 ld s0,16(sp) + 80002de0: 00813483 ld s1,8(sp) + 80002de4: 02010113 addi sp,sp,32 + 80002de8: 00008067 ret + +0000000080002dec : + 80002dec: ff010113 addi sp,sp,-16 + 80002df0: 00813023 sd s0,0(sp) + 80002df4: 00113423 sd ra,8(sp) + 80002df8: 00050413 mv s0,a0 + 80002dfc: 00051e63 bnez a0,80002e18 + 80002e00: 14100613 li a2,321 + 80002e04: 0000b597 auipc a1,0xb + 80002e08: d6458593 addi a1,a1,-668 # 8000db68 <__FUNCTION__.2669> + 80002e0c: 0000b517 auipc a0,0xb + 80002e10: bbc50513 addi a0,a0,-1092 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002e14: 320020ef jal ra,80005134 + 80002e18: 06844783 lbu a5,104(s0) + 80002e1c: 0077f793 andi a5,a5,7 + 80002e20: 00078e63 beqz a5,80002e3c + 80002e24: 14200613 li a2,322 + 80002e28: 0000b597 auipc a1,0xb + 80002e2c: d4058593 addi a1,a1,-704 # 8000db68 <__FUNCTION__.2669> + 80002e30: 0000b517 auipc a0,0xb + 80002e34: ce050513 addi a0,a0,-800 # 8000db10 <__FUNCTION__.2730+0x180> + 80002e38: 2fc020ef jal ra,80005134 + 80002e3c: 00040513 mv a0,s0 + 80002e40: db8ff0ef jal ra,800023f8 + 80002e44: 00100793 li a5,1 + 80002e48: 00f50e63 beq a0,a5,80002e64 + 80002e4c: 14300613 li a2,323 + 80002e50: 0000b597 auipc a1,0xb + 80002e54: d1858593 addi a1,a1,-744 # 8000db68 <__FUNCTION__.2669> + 80002e58: 0000b517 auipc a0,0xb + 80002e5c: bc850513 addi a0,a0,-1080 # 8000da20 <__FUNCTION__.2730+0x90> + 80002e60: 2d4020ef jal ra,80005134 + 80002e64: 06a44703 lbu a4,106(s0) + 80002e68: 00100793 li a5,1 + 80002e6c: 00040513 mv a0,s0 + 80002e70: 00e797b3 sll a5,a5,a4 + 80002e74: 06f42623 sw a5,108(s0) + 80002e78: 00200793 li a5,2 + 80002e7c: 06f40423 sb a5,104(s0) + 80002e80: 06e404a3 sb a4,105(s0) + 80002e84: e91ff0ef jal ra,80002d14 + 80002e88: 00016797 auipc a5,0x16 + 80002e8c: 5607b783 ld a5,1376(a5) # 800193e8 + 80002e90: 00078463 beqz a5,80002e98 + 80002e94: 330000ef jal ra,800031c4 + 80002e98: 00813083 ld ra,8(sp) + 80002e9c: 00013403 ld s0,0(sp) + 80002ea0: 00000513 li a0,0 + 80002ea4: 01010113 addi sp,sp,16 + 80002ea8: 00008067 ret + +0000000080002eac : + 80002eac: fc010113 addi sp,sp,-64 + 80002eb0: 02813823 sd s0,48(sp) + 80002eb4: 02913423 sd s1,40(sp) + 80002eb8: 03213023 sd s2,32(sp) + 80002ebc: 02113c23 sd ra,56(sp) + 80002ec0: 01313c23 sd s3,24(sp) + 80002ec4: 00050413 mv s0,a0 + 80002ec8: 00058493 mv s1,a1 + 80002ecc: 00060913 mv s2,a2 + 80002ed0: 00051e63 bnez a0,80002eec + 80002ed4: 2a200613 li a2,674 + 80002ed8: 0000b597 auipc a1,0xb + 80002edc: ce858593 addi a1,a1,-792 # 8000dbc0 <__FUNCTION__.2724> + 80002ee0: 0000b517 auipc a0,0xb + 80002ee4: ae850513 addi a0,a0,-1304 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80002ee8: 24c020ef jal ra,80005134 + 80002eec: 00040513 mv a0,s0 + 80002ef0: d08ff0ef jal ra,800023f8 + 80002ef4: 00100793 li a5,1 + 80002ef8: 00f50e63 beq a0,a5,80002f14 + 80002efc: 2a300613 li a2,675 + 80002f00: 0000b597 auipc a1,0xb + 80002f04: cc058593 addi a1,a1,-832 # 8000dbc0 <__FUNCTION__.2724> + 80002f08: 0000b517 auipc a0,0xb + 80002f0c: b1850513 addi a0,a0,-1256 # 8000da20 <__FUNCTION__.2730+0x90> + 80002f10: 224020ef jal ra,80005134 + 80002f14: 00100993 li s3,1 + 80002f18: 0b348663 beq s1,s3,80002fc4 + 80002f1c: 00200793 li a5,2 + 80002f20: 02f48463 beq s1,a5,80002f48 + 80002f24: 08048063 beqz s1,80002fa4 + 80002f28: 00000513 li a0,0 + 80002f2c: 03813083 ld ra,56(sp) + 80002f30: 03013403 ld s0,48(sp) + 80002f34: 02813483 ld s1,40(sp) + 80002f38: 02013903 ld s2,32(sp) + 80002f3c: 01813983 ld s3,24(sp) + 80002f40: 04010113 addi sp,sp,64 + 80002f44: 00008067 ret + 80002f48: 908fd0ef jal ra,80000050 + 80002f4c: 06844783 lbu a5,104(s0) + 80002f50: 00050493 mv s1,a0 + 80002f54: 0077f793 andi a5,a5,7 + 80002f58: 03379a63 bne a5,s3,80002f8c + 80002f5c: 00040513 mv a0,s0 + 80002f60: 174000ef jal ra,800030d4 + 80002f64: 00094703 lbu a4,0(s2) + 80002f68: 00100793 li a5,1 + 80002f6c: 00040513 mv a0,s0 + 80002f70: 00e797bb sllw a5,a5,a4 + 80002f74: 06e404a3 sb a4,105(s0) + 80002f78: 06f42623 sw a5,108(s0) + 80002f7c: 0ac000ef jal ra,80003028 + 80002f80: 00048513 mv a0,s1 + 80002f84: 8d4fd0ef jal ra,80000058 + 80002f88: fa1ff06f j 80002f28 + 80002f8c: 00094703 lbu a4,0(s2) + 80002f90: 00100793 li a5,1 + 80002f94: 00e797bb sllw a5,a5,a4 + 80002f98: 06e404a3 sb a4,105(s0) + 80002f9c: 06f42623 sw a5,108(s0) + 80002fa0: fe1ff06f j 80002f80 + 80002fa4: 00040513 mv a0,s0 + 80002fa8: 03013403 ld s0,48(sp) + 80002fac: 03813083 ld ra,56(sp) + 80002fb0: 02813483 ld s1,40(sp) + 80002fb4: 02013903 ld s2,32(sp) + 80002fb8: 01813983 ld s3,24(sp) + 80002fbc: 04010113 addi sp,sp,64 + 80002fc0: e2dff06f j 80002dec + 80002fc4: 00040513 mv a0,s0 + 80002fc8: becff0ef jal ra,800023b4 + 80002fcc: 00951e63 bne a0,s1,80002fe8 + 80002fd0: 00040513 mv a0,s0 + 80002fd4: 8c9ff0ef jal ra,8000289c + 80002fd8: 00a13423 sd a0,8(sp) + 80002fdc: 1e8000ef jal ra,800031c4 + 80002fe0: 00813503 ld a0,8(sp) + 80002fe4: f49ff06f j 80002f2c + 80002fe8: 00040513 mv a0,s0 + 80002fec: a5dff0ef jal ra,80002a48 + 80002ff0: fe9ff06f j 80002fd8 + +0000000080002ff4 : + 80002ff4: 00016797 auipc a5,0x16 + 80002ff8: 40079223 sh zero,1028(a5) # 800193f8 + 80002ffc: 0001f797 auipc a5,0x1f + 80003000: c7478793 addi a5,a5,-908 # 80021c70 + 80003004: 0001f717 auipc a4,0x1f + 80003008: e6c70713 addi a4,a4,-404 # 80021e70 + 8000300c: 00f7b423 sd a5,8(a5) + 80003010: 00f7b023 sd a5,0(a5) + 80003014: 01078793 addi a5,a5,16 + 80003018: fee79ae3 bne a5,a4,8000300c + 8000301c: 00016797 auipc a5,0x16 + 80003020: 3e07a623 sw zero,1004(a5) # 80019408 + 80003024: 00008067 ret + +0000000080003028 : + 80003028: ff010113 addi sp,sp,-16 + 8000302c: 00813023 sd s0,0(sp) + 80003030: 00113423 sd ra,8(sp) + 80003034: 00050413 mv s0,a0 + 80003038: 00051e63 bnez a0,80003054 + 8000303c: 2c700613 li a2,711 + 80003040: 0000b597 auipc a1,0xb + 80003044: be058593 addi a1,a1,-1056 # 8000dc20 <__FUNCTION__.2663> + 80003048: 0000b517 auipc a0,0xb + 8000304c: 98050513 addi a0,a0,-1664 # 8000d9c8 <__FUNCTION__.2730+0x38> + 80003050: 0e4020ef jal ra,80005134 + 80003054: ffdfc0ef jal ra,80000050 + 80003058: 06844783 lbu a5,104(s0) + 8000305c: 00016717 auipc a4,0x16 + 80003060: 38c73703 ld a4,908(a4) # 800193e8 + 80003064: ff87f793 andi a5,a5,-8 + 80003068: 00871e63 bne a4,s0,80003084 + 8000306c: 0037e793 ori a5,a5,3 + 80003070: 06f40423 sb a5,104(s0) + 80003074: 00013403 ld s0,0(sp) + 80003078: 00813083 ld ra,8(sp) + 8000307c: 01010113 addi sp,sp,16 + 80003080: fd9fc06f j 80000058 + 80003084: 06944703 lbu a4,105(s0) + 80003088: 0017e793 ori a5,a5,1 + 8000308c: 06f40423 sb a5,104(s0) + 80003090: 00471693 slli a3,a4,0x4 + 80003094: 0001f797 auipc a5,0x1f + 80003098: bdc78793 addi a5,a5,-1060 # 80021c70 + 8000309c: 00d786b3 add a3,a5,a3 + 800030a0: 0086b583 ld a1,8(a3) + 800030a4: 02840613 addi a2,s0,40 + 800030a8: 00016717 auipc a4,0x16 + 800030ac: 36070713 addi a4,a4,864 # 80019408 + 800030b0: 00c5b023 sd a2,0(a1) + 800030b4: 02b43823 sd a1,48(s0) + 800030b8: 00c6b423 sd a2,8(a3) + 800030bc: 06c42783 lw a5,108(s0) + 800030c0: 02d43423 sd a3,40(s0) + 800030c4: 00072683 lw a3,0(a4) + 800030c8: 00d7e7b3 or a5,a5,a3 + 800030cc: 00f72023 sw a5,0(a4) + 800030d0: fa5ff06f j 80003074 + +00000000800030d4 : + 800030d4: ff010113 addi sp,sp,-16 + 800030d8: 00813023 sd s0,0(sp) + 800030dc: 00113423 sd ra,8(sp) + 800030e0: 00050413 mv s0,a0 + 800030e4: 00051e63 bnez a0,80003100 + 800030e8: 32800613 li a2,808 + 800030ec: 0000b597 auipc a1,0xb + 800030f0: b5458593 addi a1,a1,-1196 # 8000dc40 <__FUNCTION__.2669> + 800030f4: 0000b517 auipc a0,0xb + 800030f8: 8d450513 addi a0,a0,-1836 # 8000d9c8 <__FUNCTION__.2730+0x38> + 800030fc: 038020ef jal ra,80005134 + 80003100: f51fc0ef jal ra,80000050 + 80003104: 02843683 ld a3,40(s0) + 80003108: 03043703 ld a4,48(s0) + 8000310c: 02840793 addi a5,s0,40 + 80003110: 00e6b423 sd a4,8(a3) + 80003114: 00d73023 sd a3,0(a4) + 80003118: 06944703 lbu a4,105(s0) + 8000311c: 02f43423 sd a5,40(s0) + 80003120: 02f43823 sd a5,48(s0) + 80003124: 00471693 slli a3,a4,0x4 + 80003128: 0001f797 auipc a5,0x1f + 8000312c: b4878793 addi a5,a5,-1208 # 80021c70 + 80003130: 00d786b3 add a3,a5,a3 + 80003134: 0006b783 ld a5,0(a3) + 80003138: 02d79063 bne a5,a3,80003158 + 8000313c: 00016717 auipc a4,0x16 + 80003140: 2cc70713 addi a4,a4,716 # 80019408 + 80003144: 06c42783 lw a5,108(s0) + 80003148: 00072683 lw a3,0(a4) + 8000314c: fff7c793 not a5,a5 + 80003150: 00d7f7b3 and a5,a5,a3 + 80003154: 00f72023 sw a5,0(a4) + 80003158: 00013403 ld s0,0(sp) + 8000315c: 00813083 ld ra,8(sp) + 80003160: 01010113 addi sp,sp,16 + 80003164: ef5fc06f j 80000058 + +0000000080003168 : + 80003168: ff010113 addi sp,sp,-16 + 8000316c: 00016517 auipc a0,0x16 + 80003170: 29c52503 lw a0,668(a0) # 80019408 + 80003174: 00113423 sd ra,8(sp) + 80003178: 00813023 sd s0,0(sp) + 8000317c: 735010ef jal ra,800050b0 <__rt_ffs> + 80003180: fff5051b addiw a0,a0,-1 + 80003184: 0001f797 auipc a5,0x1f + 80003188: aec78793 addi a5,a5,-1300 # 80021c70 + 8000318c: 00451513 slli a0,a0,0x4 + 80003190: 00a78533 add a0,a5,a0 + 80003194: 00053403 ld s0,0(a0) + 80003198: fd840513 addi a0,s0,-40 + 8000319c: 00016797 auipc a5,0x16 + 800031a0: 24a7b623 sd a0,588(a5) # 800193e8 + 800031a4: f31ff0ef jal ra,800030d4 + 800031a8: 00300793 li a5,3 + 800031ac: 04f40023 sb a5,64(s0) + 800031b0: 01040513 addi a0,s0,16 + 800031b4: 00013403 ld s0,0(sp) + 800031b8: 00813083 ld ra,8(sp) + 800031bc: 01010113 addi sp,sp,16 + 800031c0: ea1fc06f j 80000060 + +00000000800031c4 : + 800031c4: fc010113 addi sp,sp,-64 + 800031c8: 01313c23 sd s3,24(sp) + 800031cc: 02113c23 sd ra,56(sp) + 800031d0: 02813823 sd s0,48(sp) + 800031d4: 02913423 sd s1,40(sp) + 800031d8: 03213023 sd s2,32(sp) + 800031dc: 01413823 sd s4,16(sp) + 800031e0: e71fc0ef jal ra,80000050 + 800031e4: 00016797 auipc a5,0x16 + 800031e8: 21479783 lh a5,532(a5) # 800193f8 + 800031ec: 00050993 mv s3,a0 + 800031f0: 0e079e63 bnez a5,800032ec + 800031f4: 00016517 auipc a0,0x16 + 800031f8: 21452503 lw a0,532(a0) # 80019408 + 800031fc: 0e050863 beqz a0,800032ec + 80003200: 6b1010ef jal ra,800050b0 <__rt_ffs> + 80003204: 00016917 auipc s2,0x16 + 80003208: 1e490913 addi s2,s2,484 # 800193e8 + 8000320c: 00093483 ld s1,0(s2) + 80003210: fff5079b addiw a5,a0,-1 + 80003214: 00479693 slli a3,a5,0x4 + 80003218: 0001f717 auipc a4,0x1f + 8000321c: a5870713 addi a4,a4,-1448 # 80021c70 + 80003220: 00d70733 add a4,a4,a3 + 80003224: 00073403 ld s0,0(a4) + 80003228: 0684c703 lbu a4,104(s1) + 8000322c: 00300693 li a3,3 + 80003230: fd840413 addi s0,s0,-40 + 80003234: 00777613 andi a2,a4,7 + 80003238: 00000a13 li s4,0 + 8000323c: 02d61663 bne a2,a3,80003268 + 80003240: 0694c683 lbu a3,105(s1) + 80003244: 0cf6e663 bltu a3,a5,80003310 + 80003248: 00100a13 li s4,1 + 8000324c: 00f69a63 bne a3,a5,80003260 + 80003250: 00877693 andi a3,a4,8 + 80003254: 00069663 bnez a3,80003260 + 80003258: 00048413 mv s0,s1 + 8000325c: 00000a13 li s4,0 + 80003260: ff777713 andi a4,a4,-9 + 80003264: 06e48423 sb a4,104(s1) + 80003268: 0a848e63 beq s1,s0,80003324 + 8000326c: 00016717 auipc a4,0x16 + 80003270: 16f70a23 sb a5,372(a4) # 800193e0 + 80003274: 00893023 sd s0,0(s2) + 80003278: 00016797 auipc a5,0x16 + 8000327c: 1787b783 ld a5,376(a5) # 800193f0 + 80003280: 00078863 beqz a5,80003290 + 80003284: 00040593 mv a1,s0 + 80003288: 00048513 mv a0,s1 + 8000328c: 000780e7 jalr a5 + 80003290: 000a0663 beqz s4,8000329c + 80003294: 00048513 mv a0,s1 + 80003298: d91ff0ef jal ra,80003028 + 8000329c: 00040513 mv a0,s0 + 800032a0: e35ff0ef jal ra,800030d4 + 800032a4: 06844783 lbu a5,104(s0) + 800032a8: 03848913 addi s2,s1,56 + 800032ac: 03840593 addi a1,s0,56 + 800032b0: ff87f793 andi a5,a5,-8 + 800032b4: 0037e793 ori a5,a5,3 + 800032b8: 06f40423 sb a5,104(s0) + 800032bc: 00016797 auipc a5,0x16 + 800032c0: 1647c783 lbu a5,356(a5) # 80019420 + 800032c4: 04079a63 bnez a5,80003318 + 800032c8: 00016797 auipc a5,0x16 + 800032cc: 1387b783 ld a5,312(a5) # 80019400 + 800032d0: 00078a63 beqz a5,800032e4 + 800032d4: 00048513 mv a0,s1 + 800032d8: 00b13423 sd a1,8(sp) + 800032dc: 000780e7 jalr a5 + 800032e0: 00813583 ld a1,8(sp) + 800032e4: 00090513 mv a0,s2 + 800032e8: d89fc0ef jal ra,80000070 + 800032ec: 03013403 ld s0,48(sp) + 800032f0: 03813083 ld ra,56(sp) + 800032f4: 02813483 ld s1,40(sp) + 800032f8: 02013903 ld s2,32(sp) + 800032fc: 01013a03 ld s4,16(sp) + 80003300: 00098513 mv a0,s3 + 80003304: 01813983 ld s3,24(sp) + 80003308: 04010113 addi sp,sp,64 + 8000330c: d4dfc06f j 80000058 + 80003310: 00048413 mv s0,s1 + 80003314: f4dff06f j 80003260 + 80003318: 00090513 mv a0,s2 + 8000331c: 0dc020ef jal ra,800053f8 + 80003320: fcdff06f j 800032ec + 80003324: 00048513 mv a0,s1 + 80003328: dadff0ef jal ra,800030d4 + 8000332c: 00093703 ld a4,0(s2) + 80003330: 06874783 lbu a5,104(a4) + 80003334: ff87f793 andi a5,a5,-8 + 80003338: 0037e793 ori a5,a5,3 + 8000333c: 06f70423 sb a5,104(a4) + 80003340: fadff06f j 800032ec + +0000000080003344 : + 80003344: ff010113 addi sp,sp,-16 + 80003348: 00113423 sd ra,8(sp) + 8000334c: d05fc0ef jal ra,80000050 + 80003350: 00016717 auipc a4,0x16 + 80003354: 0a870713 addi a4,a4,168 # 800193f8 + 80003358: 00075783 lhu a5,0(a4) + 8000335c: 00813083 ld ra,8(sp) + 80003360: 0017879b addiw a5,a5,1 + 80003364: 00f71023 sh a5,0(a4) + 80003368: 01010113 addi sp,sp,16 + 8000336c: cedfc06f j 80000058 + +0000000080003370 : + 80003370: ff010113 addi sp,sp,-16 + 80003374: 00113423 sd ra,8(sp) + 80003378: cd9fc0ef jal ra,80000050 + 8000337c: 00016717 auipc a4,0x16 + 80003380: 07c70713 addi a4,a4,124 # 800193f8 + 80003384: 00075783 lhu a5,0(a4) + 80003388: fff7879b addiw a5,a5,-1 + 8000338c: 0107979b slliw a5,a5,0x10 + 80003390: 4107d79b sraiw a5,a5,0x10 + 80003394: 00f71023 sh a5,0(a4) + 80003398: 02f04463 bgtz a5,800033c0 + 8000339c: 00016797 auipc a5,0x16 + 800033a0: 04079e23 sh zero,92(a5) # 800193f8 + 800033a4: cb5fc0ef jal ra,80000058 + 800033a8: 00016797 auipc a5,0x16 + 800033ac: 0407b783 ld a5,64(a5) # 800193e8 + 800033b0: 00078e63 beqz a5,800033cc + 800033b4: 00813083 ld ra,8(sp) + 800033b8: 01010113 addi sp,sp,16 + 800033bc: e09ff06f j 800031c4 + 800033c0: 00813083 ld ra,8(sp) + 800033c4: 01010113 addi sp,sp,16 + 800033c8: c91fc06f j 80000058 + 800033cc: 00813083 ld ra,8(sp) + 800033d0: 01010113 addi sp,sp,16 + 800033d4: 00008067 ret + +00000000800033d8 : + 800033d8: 00900593 li a1,9 + 800033dc: 860ff06f j 8000243c + +00000000800033e0 : + 800033e0: 02051463 bnez a0,80003408 + 800033e4: fff00513 li a0,-1 + 800033e8: 00008067 ret + 800033ec: fff00513 li a0,-1 + 800033f0: 01813083 ld ra,24(sp) + 800033f4: 01013403 ld s0,16(sp) + 800033f8: 00813483 ld s1,8(sp) + 800033fc: 00013903 ld s2,0(sp) + 80003400: 02010113 addi sp,sp,32 + 80003404: 00008067 ret + 80003408: fe010113 addi sp,sp,-32 + 8000340c: 00813823 sd s0,16(sp) + 80003410: 00050413 mv s0,a0 + 80003414: 00058513 mv a0,a1 + 80003418: 00913423 sd s1,8(sp) + 8000341c: 01213023 sd s2,0(sp) + 80003420: 00113c23 sd ra,24(sp) + 80003424: 00058493 mv s1,a1 + 80003428: 00060913 mv s2,a2 + 8000342c: fadff0ef jal ra,800033d8 + 80003430: fa051ee3 bnez a0,800033ec + 80003434: 00040513 mv a0,s0 + 80003438: 00048613 mv a2,s1 + 8000343c: 00900593 li a1,9 + 80003440: c39fe0ef jal ra,80002078 + 80003444: 00000513 li a0,0 + 80003448: 03241623 sh s2,44(s0) + 8000344c: 02040823 sb zero,48(s0) + 80003450: 02041723 sh zero,46(s0) + 80003454: f9dff06f j 800033f0 + +0000000080003458 : + 80003458: fe010113 addi sp,sp,-32 + 8000345c: 00813823 sd s0,16(sp) + 80003460: 01213023 sd s2,0(sp) + 80003464: 00113c23 sd ra,24(sp) + 80003468: 00913423 sd s1,8(sp) + 8000346c: 00050413 mv s0,a0 + 80003470: 00058913 mv s2,a1 + 80003474: 00051e63 bnez a0,80003490 + 80003478: 0c900613 li a2,201 + 8000347c: 0000b597 auipc a1,0xb + 80003480: 8ac58593 addi a1,a1,-1876 # 8000dd28 <__FUNCTION__.2568> + 80003484: 0000a517 auipc a0,0xa + 80003488: 7dc50513 addi a0,a0,2012 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000348c: 4a9010ef jal ra,80005134 + 80003490: 00040513 mv a0,s0 + 80003494: f65fe0ef jal ra,800023f8 + 80003498: 00900793 li a5,9 + 8000349c: 00f50e63 beq a0,a5,800034b8 + 800034a0: 0ca00613 li a2,202 + 800034a4: 0000b597 auipc a1,0xb + 800034a8: 88458593 addi a1,a1,-1916 # 8000dd28 <__FUNCTION__.2568> + 800034ac: 0000a517 auipc a0,0xa + 800034b0: 7c450513 addi a0,a0,1988 # 8000dc70 <__FUNCTION__.2669+0x30> + 800034b4: 481010ef jal ra,80005134 + 800034b8: 02c45783 lhu a5,44(s0) + 800034bc: 0107f793 andi a5,a5,16 + 800034c0: 04079c63 bnez a5,80003518 + 800034c4: 04843783 ld a5,72(s0) + 800034c8: 04078263 beqz a5,8000350c + 800034cc: 00040513 mv a0,s0 + 800034d0: 000780e7 jalr a5 + 800034d4: 00050493 mv s1,a0 + 800034d8: 02050a63 beqz a0,8000350c + 800034dc: 00050613 mv a2,a0 + 800034e0: 00040593 mv a1,s0 + 800034e4: 0000a517 auipc a0,0xa + 800034e8: 7f450513 addi a0,a0,2036 # 8000dcd8 <__FUNCTION__.2669+0x98> + 800034ec: 2bd010ef jal ra,80004fa8 + 800034f0: 01813083 ld ra,24(sp) + 800034f4: 01013403 ld s0,16(sp) + 800034f8: 00013903 ld s2,0(sp) + 800034fc: 00048513 mv a0,s1 + 80003500: 00813483 ld s1,8(sp) + 80003504: 02010113 addi sp,sp,32 + 80003508: 00008067 ret + 8000350c: 02c45783 lhu a5,44(s0) + 80003510: 0107e793 ori a5,a5,16 + 80003514: 02f41623 sh a5,44(s0) + 80003518: 02c45783 lhu a5,44(s0) + 8000351c: 0087f793 andi a5,a5,8 + 80003520: 00078a63 beqz a5,80003534 + 80003524: 02e45783 lhu a5,46(s0) + 80003528: ff900493 li s1,-7 + 8000352c: 0087f793 andi a5,a5,8 + 80003530: fc0790e3 bnez a5,800034f0 + 80003534: 05043783 ld a5,80(s0) + 80003538: 04078a63 beqz a5,8000358c + 8000353c: 00090593 mv a1,s2 + 80003540: 00040513 mv a0,s0 + 80003544: 000780e7 jalr a5 + 80003548: 00050493 mv s1,a0 + 8000354c: 04051c63 bnez a0,800035a4 + 80003550: 02e45783 lhu a5,46(s0) + 80003554: 0087e793 ori a5,a5,8 + 80003558: 02f41723 sh a5,46(s0) + 8000355c: 03044783 lbu a5,48(s0) + 80003560: 0017879b addiw a5,a5,1 + 80003564: 0ff7f793 andi a5,a5,255 + 80003568: 02f40823 sb a5,48(s0) + 8000356c: f80792e3 bnez a5,800034f0 + 80003570: 0f800613 li a2,248 + 80003574: 0000a597 auipc a1,0xa + 80003578: 7b458593 addi a1,a1,1972 # 8000dd28 <__FUNCTION__.2568> + 8000357c: 0000a517 auipc a0,0xa + 80003580: 79450513 addi a0,a0,1940 # 8000dd10 <__FUNCTION__.2669+0xd0> + 80003584: 3b1010ef jal ra,80005134 + 80003588: f69ff06f j 800034f0 + 8000358c: 000015b7 lui a1,0x1 + 80003590: f0f58593 addi a1,a1,-241 # f0f <__STACKSIZE__-0x30f1> + 80003594: 00b97933 and s2,s2,a1 + 80003598: 03241723 sh s2,46(s0) + 8000359c: 00000493 li s1,0 + 800035a0: fb1ff06f j 80003550 + 800035a4: ffa00793 li a5,-6 + 800035a8: f4f514e3 bne a0,a5,800034f0 + 800035ac: fa5ff06f j 80003550 + +00000000800035b0 : + 800035b0: ff010113 addi sp,sp,-16 + 800035b4: 00813023 sd s0,0(sp) + 800035b8: 00113423 sd ra,8(sp) + 800035bc: 00050413 mv s0,a0 + 800035c0: 00051e63 bnez a0,800035dc + 800035c4: 10a00613 li a2,266 + 800035c8: 0000a597 auipc a1,0xa + 800035cc: 77058593 addi a1,a1,1904 # 8000dd38 <__FUNCTION__.2573> + 800035d0: 0000a517 auipc a0,0xa + 800035d4: 69050513 addi a0,a0,1680 # 8000dc60 <__FUNCTION__.2669+0x20> + 800035d8: 35d010ef jal ra,80005134 + 800035dc: 00040513 mv a0,s0 + 800035e0: e19fe0ef jal ra,800023f8 + 800035e4: 00900793 li a5,9 + 800035e8: 00f50e63 beq a0,a5,80003604 + 800035ec: 10b00613 li a2,267 + 800035f0: 0000a597 auipc a1,0xa + 800035f4: 74858593 addi a1,a1,1864 # 8000dd38 <__FUNCTION__.2573> + 800035f8: 0000a517 auipc a0,0xa + 800035fc: 67850513 addi a0,a0,1656 # 8000dc70 <__FUNCTION__.2669+0x30> + 80003600: 335010ef jal ra,80005134 + 80003604: 03044783 lbu a5,48(s0) + 80003608: fff00513 li a0,-1 + 8000360c: 04078063 beqz a5,8000364c + 80003610: fff7879b addiw a5,a5,-1 + 80003614: 0ff7f793 andi a5,a5,255 + 80003618: 02f40823 sb a5,48(s0) + 8000361c: 00000513 li a0,0 + 80003620: 02079663 bnez a5,8000364c + 80003624: 05843783 ld a5,88(s0) + 80003628: 00079863 bnez a5,80003638 + 8000362c: 00000513 li a0,0 + 80003630: 02041723 sh zero,46(s0) + 80003634: 0180006f j 8000364c + 80003638: 00040513 mv a0,s0 + 8000363c: 000780e7 jalr a5 + 80003640: fe0506e3 beqz a0,8000362c + 80003644: ffa00793 li a5,-6 + 80003648: fef504e3 beq a0,a5,80003630 + 8000364c: 00813083 ld ra,8(sp) + 80003650: 00013403 ld s0,0(sp) + 80003654: 01010113 addi sp,sp,16 + 80003658: 00008067 ret + +000000008000365c : + 8000365c: fd010113 addi sp,sp,-48 + 80003660: 02813023 sd s0,32(sp) + 80003664: 00913c23 sd s1,24(sp) + 80003668: 01213823 sd s2,16(sp) + 8000366c: 01313423 sd s3,8(sp) + 80003670: 02113423 sd ra,40(sp) + 80003674: 00050413 mv s0,a0 + 80003678: 00058493 mv s1,a1 + 8000367c: 00060913 mv s2,a2 + 80003680: 00068993 mv s3,a3 + 80003684: 00051e63 bnez a0,800036a0 + 80003688: 13400613 li a2,308 + 8000368c: 0000a597 auipc a1,0xa + 80003690: 6bc58593 addi a1,a1,1724 # 8000dd48 <__FUNCTION__.2580> + 80003694: 0000a517 auipc a0,0xa + 80003698: 5cc50513 addi a0,a0,1484 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000369c: 299010ef jal ra,80005134 + 800036a0: 00040513 mv a0,s0 + 800036a4: d55fe0ef jal ra,800023f8 + 800036a8: 00900793 li a5,9 + 800036ac: 00f50e63 beq a0,a5,800036c8 + 800036b0: 13500613 li a2,309 + 800036b4: 0000a597 auipc a1,0xa + 800036b8: 69458593 addi a1,a1,1684 # 8000dd48 <__FUNCTION__.2580> + 800036bc: 0000a517 auipc a0,0xa + 800036c0: 5b450513 addi a0,a0,1460 # 8000dc70 <__FUNCTION__.2669+0x30> + 800036c4: 271010ef jal ra,80005134 + 800036c8: 03044783 lbu a5,48(s0) + 800036cc: fff00513 li a0,-1 + 800036d0: 02078e63 beqz a5,8000370c + 800036d4: 06043303 ld t1,96(s0) + 800036d8: 02030863 beqz t1,80003708 + 800036dc: 00040513 mv a0,s0 + 800036e0: 02013403 ld s0,32(sp) + 800036e4: 02813083 ld ra,40(sp) + 800036e8: 00098693 mv a3,s3 + 800036ec: 00090613 mv a2,s2 + 800036f0: 00813983 ld s3,8(sp) + 800036f4: 01013903 ld s2,16(sp) + 800036f8: 00048593 mv a1,s1 + 800036fc: 01813483 ld s1,24(sp) + 80003700: 03010113 addi sp,sp,48 + 80003704: 00030067 jr t1 + 80003708: ffa00513 li a0,-6 + 8000370c: 68d000ef jal ra,80004598 + 80003710: 02813083 ld ra,40(sp) + 80003714: 02013403 ld s0,32(sp) + 80003718: 01813483 ld s1,24(sp) + 8000371c: 01013903 ld s2,16(sp) + 80003720: 00813983 ld s3,8(sp) + 80003724: 00000513 li a0,0 + 80003728: 03010113 addi sp,sp,48 + 8000372c: 00008067 ret + +0000000080003730 : + 80003730: fd010113 addi sp,sp,-48 + 80003734: 02813023 sd s0,32(sp) + 80003738: 00913c23 sd s1,24(sp) + 8000373c: 01213823 sd s2,16(sp) + 80003740: 01313423 sd s3,8(sp) + 80003744: 02113423 sd ra,40(sp) + 80003748: 00050413 mv s0,a0 + 8000374c: 00058493 mv s1,a1 + 80003750: 00060913 mv s2,a2 + 80003754: 00068993 mv s3,a3 + 80003758: 00051e63 bnez a0,80003774 + 8000375c: 15b00613 li a2,347 + 80003760: 0000a597 auipc a1,0xa + 80003764: 5f858593 addi a1,a1,1528 # 8000dd58 <__FUNCTION__.2587> + 80003768: 0000a517 auipc a0,0xa + 8000376c: 4f850513 addi a0,a0,1272 # 8000dc60 <__FUNCTION__.2669+0x20> + 80003770: 1c5010ef jal ra,80005134 + 80003774: 00040513 mv a0,s0 + 80003778: c81fe0ef jal ra,800023f8 + 8000377c: 00900793 li a5,9 + 80003780: 00f50e63 beq a0,a5,8000379c + 80003784: 15c00613 li a2,348 + 80003788: 0000a597 auipc a1,0xa + 8000378c: 5d058593 addi a1,a1,1488 # 8000dd58 <__FUNCTION__.2587> + 80003790: 0000a517 auipc a0,0xa + 80003794: 4e050513 addi a0,a0,1248 # 8000dc70 <__FUNCTION__.2669+0x30> + 80003798: 19d010ef jal ra,80005134 + 8000379c: 03044783 lbu a5,48(s0) + 800037a0: fff00513 li a0,-1 + 800037a4: 02078e63 beqz a5,800037e0 + 800037a8: 06843303 ld t1,104(s0) + 800037ac: 02030863 beqz t1,800037dc + 800037b0: 00040513 mv a0,s0 + 800037b4: 02013403 ld s0,32(sp) + 800037b8: 02813083 ld ra,40(sp) + 800037bc: 00098693 mv a3,s3 + 800037c0: 00090613 mv a2,s2 + 800037c4: 00813983 ld s3,8(sp) + 800037c8: 01013903 ld s2,16(sp) + 800037cc: 00048593 mv a1,s1 + 800037d0: 01813483 ld s1,24(sp) + 800037d4: 03010113 addi sp,sp,48 + 800037d8: 00030067 jr t1 + 800037dc: ffa00513 li a0,-6 + 800037e0: 5b9000ef jal ra,80004598 + 800037e4: 02813083 ld ra,40(sp) + 800037e8: 02013403 ld s0,32(sp) + 800037ec: 01813483 ld s1,24(sp) + 800037f0: 01013903 ld s2,16(sp) + 800037f4: 00813983 ld s3,8(sp) + 800037f8: 00000513 li a0,0 + 800037fc: 03010113 addi sp,sp,48 + 80003800: 00008067 ret + +0000000080003804 : + 80003804: fe010113 addi sp,sp,-32 + 80003808: 00813823 sd s0,16(sp) + 8000380c: 00913423 sd s1,8(sp) + 80003810: 01213023 sd s2,0(sp) + 80003814: 00113c23 sd ra,24(sp) + 80003818: 00050413 mv s0,a0 + 8000381c: 00058493 mv s1,a1 + 80003820: 00060913 mv s2,a2 + 80003824: 00051e63 bnez a0,80003840 + 80003828: 17c00613 li a2,380 + 8000382c: 0000a597 auipc a1,0xa + 80003830: 53c58593 addi a1,a1,1340 # 8000dd68 <__FUNCTION__.2593> + 80003834: 0000a517 auipc a0,0xa + 80003838: 42c50513 addi a0,a0,1068 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000383c: 0f9010ef jal ra,80005134 + 80003840: 00040513 mv a0,s0 + 80003844: bb5fe0ef jal ra,800023f8 + 80003848: 00900793 li a5,9 + 8000384c: 00f50e63 beq a0,a5,80003868 + 80003850: 17d00613 li a2,381 + 80003854: 0000a597 auipc a1,0xa + 80003858: 51458593 addi a1,a1,1300 # 8000dd68 <__FUNCTION__.2593> + 8000385c: 0000a517 auipc a0,0xa + 80003860: 41450513 addi a0,a0,1044 # 8000dc70 <__FUNCTION__.2669+0x30> + 80003864: 0d1010ef jal ra,80005134 + 80003868: 07043303 ld t1,112(s0) + 8000386c: 02030463 beqz t1,80003894 + 80003870: 00040513 mv a0,s0 + 80003874: 01013403 ld s0,16(sp) + 80003878: 01813083 ld ra,24(sp) + 8000387c: 00090613 mv a2,s2 + 80003880: 00048593 mv a1,s1 + 80003884: 00013903 ld s2,0(sp) + 80003888: 00813483 ld s1,8(sp) + 8000388c: 02010113 addi sp,sp,32 + 80003890: 00030067 jr t1 + 80003894: 01813083 ld ra,24(sp) + 80003898: 01013403 ld s0,16(sp) + 8000389c: 00813483 ld s1,8(sp) + 800038a0: 00013903 ld s2,0(sp) + 800038a4: ffa00513 li a0,-6 + 800038a8: 02010113 addi sp,sp,32 + 800038ac: 00008067 ret + +00000000800038b0 : + 800038b0: fe010113 addi sp,sp,-32 + 800038b4: 00813823 sd s0,16(sp) + 800038b8: 00913423 sd s1,8(sp) + 800038bc: 00113c23 sd ra,24(sp) + 800038c0: 00050413 mv s0,a0 + 800038c4: 00058493 mv s1,a1 + 800038c8: 00051e63 bnez a0,800038e4 + 800038cc: 19600613 li a2,406 + 800038d0: 0000a597 auipc a1,0xa + 800038d4: 4b058593 addi a1,a1,1200 # 8000dd80 <__FUNCTION__.2600> + 800038d8: 0000a517 auipc a0,0xa + 800038dc: 38850513 addi a0,a0,904 # 8000dc60 <__FUNCTION__.2669+0x20> + 800038e0: 055010ef jal ra,80005134 + 800038e4: 00040513 mv a0,s0 + 800038e8: b11fe0ef jal ra,800023f8 + 800038ec: 00900793 li a5,9 + 800038f0: 00f50e63 beq a0,a5,8000390c + 800038f4: 19700613 li a2,407 + 800038f8: 0000a597 auipc a1,0xa + 800038fc: 48858593 addi a1,a1,1160 # 8000dd80 <__FUNCTION__.2600> + 80003900: 0000a517 auipc a0,0xa + 80003904: 37050513 addi a0,a0,880 # 8000dc70 <__FUNCTION__.2669+0x30> + 80003908: 02d010ef jal ra,80005134 + 8000390c: 02943c23 sd s1,56(s0) + 80003910: 01813083 ld ra,24(sp) + 80003914: 01013403 ld s0,16(sp) + 80003918: 00813483 ld s1,8(sp) + 8000391c: 00000513 li a0,0 + 80003920: 02010113 addi sp,sp,32 + 80003924: 00008067 ret + +0000000080003928 : + 80003928: fe010113 addi sp,sp,-32 + 8000392c: 00113c23 sd ra,24(sp) + 80003930: f20fc0ef jal ra,80000050 + 80003934: 00016797 auipc a5,0x16 + 80003938: aec7c783 lbu a5,-1300(a5) # 80019420 + 8000393c: 0017879b addiw a5,a5,1 + 80003940: 00016717 auipc a4,0x16 + 80003944: aef70023 sb a5,-1312(a4) # 80019420 + 80003948: 00016797 auipc a5,0x16 + 8000394c: ac87b783 ld a5,-1336(a5) # 80019410 + 80003950: 00078863 beqz a5,80003960 + 80003954: 00a13423 sd a0,8(sp) + 80003958: 000780e7 jalr a5 + 8000395c: 00813503 ld a0,8(sp) + 80003960: 01813083 ld ra,24(sp) + 80003964: 02010113 addi sp,sp,32 + 80003968: ef0fc06f j 80000058 + +000000008000396c : + 8000396c: fe010113 addi sp,sp,-32 + 80003970: 00113c23 sd ra,24(sp) + 80003974: edcfc0ef jal ra,80000050 + 80003978: 00016797 auipc a5,0x16 + 8000397c: aa07b783 ld a5,-1376(a5) # 80019418 + 80003980: 00078863 beqz a5,80003990 + 80003984: 00a13423 sd a0,8(sp) + 80003988: 000780e7 jalr a5 + 8000398c: 00813503 ld a0,8(sp) + 80003990: 00016797 auipc a5,0x16 + 80003994: a907c783 lbu a5,-1392(a5) # 80019420 + 80003998: 01813083 ld ra,24(sp) + 8000399c: fff7879b addiw a5,a5,-1 + 800039a0: 00016717 auipc a4,0x16 + 800039a4: a8f70023 sb a5,-1408(a4) # 80019420 + 800039a8: 02010113 addi sp,sp,32 + 800039ac: eacfc06f j 80000058 + +00000000800039b0 : + 800039b0: ff010113 addi sp,sp,-16 + 800039b4: 00113423 sd ra,8(sp) + 800039b8: 00813023 sd s0,0(sp) + 800039bc: e94fc0ef jal ra,80000050 + 800039c0: 00016417 auipc s0,0x16 + 800039c4: a6044403 lbu s0,-1440(s0) # 80019420 + 800039c8: e90fc0ef jal ra,80000058 + 800039cc: 00813083 ld ra,8(sp) + 800039d0: 00040513 mv a0,s0 + 800039d4: 00013403 ld s0,0(sp) + 800039d8: 01010113 addi sp,sp,16 + 800039dc: 00008067 ret + +00000000800039e0 : + 800039e0: ff010113 addi sp,sp,-16 + 800039e4: fd850513 addi a0,a0,-40 + 800039e8: 00113423 sd ra,8(sp) + 800039ec: b28ff0ef jal ra,80002d14 + 800039f0: 00813083 ld ra,8(sp) + 800039f4: 00000513 li a0,0 + 800039f8: 01010113 addi sp,sp,16 + 800039fc: 00008067 ret + +0000000080003a00 : + 80003a00: fe010113 addi sp,sp,-32 + 80003a04: 00913423 sd s1,8(sp) + 80003a08: 01213023 sd s2,0(sp) + 80003a0c: 00050493 mv s1,a0 + 80003a10: 00060913 mv s2,a2 + 80003a14: 00058513 mv a0,a1 + 80003a18: 00813823 sd s0,16(sp) + 80003a1c: 00113c23 sd ra,24(sp) + 80003a20: 00058413 mv s0,a1 + 80003a24: 904ff0ef jal ra,80002b28 + 80003a28: 02090463 beqz s2,80003a50 + 80003a2c: 00100793 li a5,1 + 80003a30: 04f90a63 beq s2,a5,80003a84 + 80003a34: 07f00613 li a2,127 + 80003a38: 0000a597 auipc a1,0xa + 80003a3c: 4d858593 addi a1,a1,1240 # 8000df10 <__FUNCTION__.2633> + 80003a40: 0000a517 auipc a0,0xa + 80003a44: 94850513 addi a0,a0,-1720 # 8000d388 <__FUNCTION__.2718+0x40> + 80003a48: 6ec010ef jal ra,80005134 + 80003a4c: 01c0006f j 80003a68 + 80003a50: 0084b703 ld a4,8(s1) + 80003a54: 02840793 addi a5,s0,40 + 80003a58: 00f73023 sd a5,0(a4) + 80003a5c: 02e43823 sd a4,48(s0) + 80003a60: 00f4b423 sd a5,8(s1) + 80003a64: 02943423 sd s1,40(s0) + 80003a68: 01813083 ld ra,24(sp) + 80003a6c: 01013403 ld s0,16(sp) + 80003a70: 00813483 ld s1,8(sp) + 80003a74: 00013903 ld s2,0(sp) + 80003a78: 00000513 li a0,0 + 80003a7c: 02010113 addi sp,sp,32 + 80003a80: 00008067 ret + 80003a84: 0004b783 ld a5,0(s1) + 80003a88: 00978863 beq a5,s1,80003a98 + 80003a8c: 06944683 lbu a3,105(s0) + 80003a90: 0417c703 lbu a4,65(a5) + 80003a94: 02e6f063 bgeu a3,a4,80003ab4 + 80003a98: 0087b683 ld a3,8(a5) + 80003a9c: 02840713 addi a4,s0,40 + 80003aa0: 00e6b023 sd a4,0(a3) + 80003aa4: 02d43823 sd a3,48(s0) + 80003aa8: 00e7b423 sd a4,8(a5) + 80003aac: 02f43423 sd a5,40(s0) + 80003ab0: fb9ff06f j 80003a68 + 80003ab4: 0007b783 ld a5,0(a5) + 80003ab8: fd1ff06f j 80003a88 + +0000000080003abc : + 80003abc: fd010113 addi sp,sp,-48 + 80003ac0: 02813023 sd s0,32(sp) + 80003ac4: 00913c23 sd s1,24(sp) + 80003ac8: 01213823 sd s2,16(sp) + 80003acc: 01313423 sd s3,8(sp) + 80003ad0: 02113423 sd ra,40(sp) + 80003ad4: 00050413 mv s0,a0 + 80003ad8: 00058993 mv s3,a1 + 80003adc: 00060493 mv s1,a2 + 80003ae0: 00068913 mv s2,a3 + 80003ae4: 00051e63 bnez a0,80003b00 + 80003ae8: 0d500613 li a2,213 + 80003aec: 0000a597 auipc a1,0xa + 80003af0: 43c58593 addi a1,a1,1084 # 8000df28 <__FUNCTION__.2652> + 80003af4: 0000a517 auipc a0,0xa + 80003af8: 2ac50513 addi a0,a0,684 # 8000dda0 <__FUNCTION__.2600+0x20> + 80003afc: 638010ef jal ra,80005134 + 80003b00: 000107b7 lui a5,0x10 + 80003b04: 00f4ee63 bltu s1,a5,80003b20 + 80003b08: 0d600613 li a2,214 + 80003b0c: 0000a597 auipc a1,0xa + 80003b10: 41c58593 addi a1,a1,1052 # 8000df28 <__FUNCTION__.2652> + 80003b14: 0000a517 auipc a0,0xa + 80003b18: 29c50513 addi a0,a0,668 # 8000ddb0 <__FUNCTION__.2600+0x30> + 80003b1c: 618010ef jal ra,80005134 + 80003b20: 00040513 mv a0,s0 + 80003b24: 00098613 mv a2,s3 + 80003b28: 00200593 li a1,2 + 80003b2c: d4cfe0ef jal ra,80002078 + 80003b30: 02840793 addi a5,s0,40 + 80003b34: 02941c23 sh s1,56(s0) + 80003b38: 01240aa3 sb s2,21(s0) + 80003b3c: 02813083 ld ra,40(sp) + 80003b40: 02f43823 sd a5,48(s0) + 80003b44: 02f43423 sd a5,40(s0) + 80003b48: 02013403 ld s0,32(sp) + 80003b4c: 01813483 ld s1,24(sp) + 80003b50: 01013903 ld s2,16(sp) + 80003b54: 00813983 ld s3,8(sp) + 80003b58: 00000513 li a0,0 + 80003b5c: 03010113 addi sp,sp,48 + 80003b60: 00008067 ret + +0000000080003b64 : + 80003b64: fc010113 addi sp,sp,-64 + 80003b68: 02813823 sd s0,48(sp) + 80003b6c: 02113c23 sd ra,56(sp) + 80003b70: 02913423 sd s1,40(sp) + 80003b74: 03213023 sd s2,32(sp) + 80003b78: 01313c23 sd s3,24(sp) + 80003b7c: 00b12623 sw a1,12(sp) + 80003b80: 00050413 mv s0,a0 + 80003b84: 00051e63 bnez a0,80003ba0 + 80003b88: 15300613 li a2,339 + 80003b8c: 0000a597 auipc a1,0xa + 80003b90: 3ac58593 addi a1,a1,940 # 8000df38 <__FUNCTION__.2676> + 80003b94: 0000a517 auipc a0,0xa + 80003b98: 20c50513 addi a0,a0,524 # 8000dda0 <__FUNCTION__.2600+0x20> + 80003b9c: 598010ef jal ra,80005134 + 80003ba0: 00040513 mv a0,s0 + 80003ba4: 855fe0ef jal ra,800023f8 + 80003ba8: 00200793 li a5,2 + 80003bac: 00f50e63 beq a0,a5,80003bc8 + 80003bb0: 15400613 li a2,340 + 80003bb4: 0000a597 auipc a1,0xa + 80003bb8: 38458593 addi a1,a1,900 # 8000df38 <__FUNCTION__.2676> + 80003bbc: 0000a517 auipc a0,0xa + 80003bc0: 20c50513 addi a0,a0,524 # 8000ddc8 <__FUNCTION__.2600+0x48> + 80003bc4: 570010ef jal ra,80005134 + 80003bc8: 00015797 auipc a5,0x15 + 80003bcc: 7f87b783 ld a5,2040(a5) # 800193c0 + 80003bd0: 00078663 beqz a5,80003bdc + 80003bd4: 00040513 mv a0,s0 + 80003bd8: 000780e7 jalr a5 + 80003bdc: c74fc0ef jal ra,80000050 + 80003be0: 03845783 lhu a5,56(s0) + 80003be4: 00050993 mv s3,a0 + 80003be8: 02078863 beqz a5,80003c18 + 80003bec: fff7879b addiw a5,a5,-1 + 80003bf0: 02f41c23 sh a5,56(s0) + 80003bf4: c64fc0ef jal ra,80000058 + 80003bf8: 00015797 auipc a5,0x15 + 80003bfc: 7c07b783 ld a5,1984(a5) # 800193b8 + 80003c00: 00000513 li a0,0 + 80003c04: 02078263 beqz a5,80003c28 + 80003c08: 00040513 mv a0,s0 + 80003c0c: 000780e7 jalr a5 + 80003c10: 00000513 li a0,0 + 80003c14: 0140006f j 80003c28 + 80003c18: 00c12783 lw a5,12(sp) + 80003c1c: 02079463 bnez a5,80003c44 + 80003c20: c38fc0ef jal ra,80000058 + 80003c24: ffe00513 li a0,-2 + 80003c28: 03813083 ld ra,56(sp) + 80003c2c: 03013403 ld s0,48(sp) + 80003c30: 02813483 ld s1,40(sp) + 80003c34: 02013903 ld s2,32(sp) + 80003c38: 01813983 ld s3,24(sp) + 80003c3c: 04010113 addi sp,sp,64 + 80003c40: 00008067 ret + 80003c44: c0cfc0ef jal ra,80000050 + 80003c48: 00050493 mv s1,a0 + 80003c4c: c45fe0ef jal ra,80002890 + 80003c50: 02051863 bnez a0,80003c80 + 80003c54: 0000a597 auipc a1,0xa + 80003c58: 2e458593 addi a1,a1,740 # 8000df38 <__FUNCTION__.2676> + 80003c5c: 0000a517 auipc a0,0xa + 80003c60: 1e450513 addi a0,a0,484 # 8000de40 <__FUNCTION__.2600+0xc0> + 80003c64: 344010ef jal ra,80004fa8 + 80003c68: 17400613 li a2,372 + 80003c6c: 0000a597 auipc a1,0xa + 80003c70: 2cc58593 addi a1,a1,716 # 8000df38 <__FUNCTION__.2676> + 80003c74: 00009517 auipc a0,0x9 + 80003c78: 71450513 addi a0,a0,1812 # 8000d388 <__FUNCTION__.2718+0x40> + 80003c7c: 4b8010ef jal ra,80005134 + 80003c80: bd0fc0ef jal ra,80000050 + 80003c84: 00050913 mv s2,a0 + 80003c88: d29ff0ef jal ra,800039b0 + 80003c8c: 02050863 beqz a0,80003cbc + 80003c90: 0000a597 auipc a1,0xa + 80003c94: 2a858593 addi a1,a1,680 # 8000df38 <__FUNCTION__.2676> + 80003c98: 00009517 auipc a0,0x9 + 80003c9c: 6c850513 addi a0,a0,1736 # 8000d360 <__FUNCTION__.2718+0x18> + 80003ca0: 308010ef jal ra,80004fa8 + 80003ca4: 17400613 li a2,372 + 80003ca8: 0000a597 auipc a1,0xa + 80003cac: 29058593 addi a1,a1,656 # 8000df38 <__FUNCTION__.2676> + 80003cb0: 00009517 auipc a0,0x9 + 80003cb4: 6d850513 addi a0,a0,1752 # 8000d388 <__FUNCTION__.2718+0x40> + 80003cb8: 47c010ef jal ra,80005134 + 80003cbc: 00090513 mv a0,s2 + 80003cc0: b98fc0ef jal ra,80000058 + 80003cc4: 00048513 mv a0,s1 + 80003cc8: b90fc0ef jal ra,80000058 + 80003ccc: bc5fe0ef jal ra,80002890 + 80003cd0: 06053023 sd zero,96(a0) + 80003cd4: 01544603 lbu a2,21(s0) + 80003cd8: 00050593 mv a1,a0 + 80003cdc: 00050493 mv s1,a0 + 80003ce0: 02840513 addi a0,s0,40 + 80003ce4: d1dff0ef jal ra,80003a00 + 80003ce8: 00c12783 lw a5,12(sp) + 80003cec: 02f05063 blez a5,80003d0c + 80003cf0: 08848913 addi s2,s1,136 + 80003cf4: 00c10613 addi a2,sp,12 + 80003cf8: 00000593 li a1,0 + 80003cfc: 00090513 mv a0,s2 + 80003d00: f01fc0ef jal ra,80000c00 + 80003d04: 00090513 mv a0,s2 + 80003d08: ca9fc0ef jal ra,800009b0 + 80003d0c: 00098513 mv a0,s3 + 80003d10: b48fc0ef jal ra,80000058 + 80003d14: cb0ff0ef jal ra,800031c4 + 80003d18: 0604b503 ld a0,96(s1) + 80003d1c: ec050ee3 beqz a0,80003bf8 + 80003d20: f09ff06f j 80003c28 + +0000000080003d24 : + 80003d24: fd010113 addi sp,sp,-48 + 80003d28: 02813023 sd s0,32(sp) + 80003d2c: 02113423 sd ra,40(sp) + 80003d30: 00913c23 sd s1,24(sp) + 80003d34: 00050413 mv s0,a0 + 80003d38: 00051e63 bnez a0,80003d54 + 80003d3c: 1c000613 li a2,448 + 80003d40: 0000a597 auipc a1,0xa + 80003d44: 20858593 addi a1,a1,520 # 8000df48 <__FUNCTION__.2687> + 80003d48: 0000a517 auipc a0,0xa + 80003d4c: 05850513 addi a0,a0,88 # 8000dda0 <__FUNCTION__.2600+0x20> + 80003d50: 3e4010ef jal ra,80005134 + 80003d54: 00040513 mv a0,s0 + 80003d58: ea0fe0ef jal ra,800023f8 + 80003d5c: 00200793 li a5,2 + 80003d60: 00f50e63 beq a0,a5,80003d7c + 80003d64: 1c100613 li a2,449 + 80003d68: 0000a597 auipc a1,0xa + 80003d6c: 1e058593 addi a1,a1,480 # 8000df48 <__FUNCTION__.2687> + 80003d70: 0000a517 auipc a0,0xa + 80003d74: 05850513 addi a0,a0,88 # 8000ddc8 <__FUNCTION__.2600+0x48> + 80003d78: 3bc010ef jal ra,80005134 + 80003d7c: 00015797 auipc a5,0x15 + 80003d80: 6347b783 ld a5,1588(a5) # 800193b0 + 80003d84: 00078663 beqz a5,80003d90 + 80003d88: 00040513 mv a0,s0 + 80003d8c: 000780e7 jalr a5 + 80003d90: ac0fc0ef jal ra,80000050 + 80003d94: 02843783 ld a5,40(s0) + 80003d98: 02840713 addi a4,s0,40 + 80003d9c: 00050493 mv s1,a0 + 80003da0: 02e78863 beq a5,a4,80003dd0 + 80003da4: 00078513 mv a0,a5 + 80003da8: c39ff0ef jal ra,800039e0 + 80003dac: 00100413 li s0,1 + 80003db0: 00048513 mv a0,s1 + 80003db4: aa4fc0ef jal ra,80000058 + 80003db8: 00000513 li a0,0 + 80003dbc: 02040e63 beqz s0,80003df8 + 80003dc0: 00a13423 sd a0,8(sp) + 80003dc4: c00ff0ef jal ra,800031c4 + 80003dc8: 00813503 ld a0,8(sp) + 80003dcc: 02c0006f j 80003df8 + 80003dd0: 03845783 lhu a5,56(s0) + 80003dd4: 00010737 lui a4,0x10 + 80003dd8: fff70713 addi a4,a4,-1 # ffff <__STACKSIZE__+0xbfff> + 80003ddc: 00e78a63 beq a5,a4,80003df0 + 80003de0: 0017879b addiw a5,a5,1 + 80003de4: 02f41c23 sh a5,56(s0) + 80003de8: 00000413 li s0,0 + 80003dec: fc5ff06f j 80003db0 + 80003df0: a68fc0ef jal ra,80000058 + 80003df4: ffd00513 li a0,-3 + 80003df8: 02813083 ld ra,40(sp) + 80003dfc: 02013403 ld s0,32(sp) + 80003e00: 01813483 ld s1,24(sp) + 80003e04: 03010113 addi sp,sp,48 + 80003e08: 00008067 ret + +0000000080003e0c : + 80003e0c: fe010113 addi sp,sp,-32 + 80003e10: 00813823 sd s0,16(sp) + 80003e14: 00913423 sd s1,8(sp) + 80003e18: 00113c23 sd ra,24(sp) + 80003e1c: 00050413 mv s0,a0 + 80003e20: 00058493 mv s1,a1 + 80003e24: 00051e63 bnez a0,80003e40 + 80003e28: 22a00613 li a2,554 + 80003e2c: 0000a597 auipc a1,0xa + 80003e30: 12c58593 addi a1,a1,300 # 8000df58 <__FUNCTION__.2701> + 80003e34: 0000a517 auipc a0,0xa + 80003e38: 04450513 addi a0,a0,68 # 8000de78 <__FUNCTION__.2600+0xf8> + 80003e3c: 2f8010ef jal ra,80005134 + 80003e40: 00040513 mv a0,s0 + 80003e44: 00048613 mv a2,s1 + 80003e48: 00300593 li a1,3 + 80003e4c: a2cfe0ef jal ra,80002078 + 80003e50: 02840793 addi a5,s0,40 + 80003e54: 02f43823 sd a5,48(s0) + 80003e58: 02f43423 sd a5,40(s0) + 80003e5c: 00ff07b7 lui a5,0xff0 + 80003e60: 0017879b addiw a5,a5,1 + 80003e64: 02f42c23 sw a5,56(s0) + 80003e68: 00100793 li a5,1 + 80003e6c: 01813083 ld ra,24(sp) + 80003e70: 04043023 sd zero,64(s0) + 80003e74: 00f40aa3 sb a5,21(s0) + 80003e78: 01013403 ld s0,16(sp) + 80003e7c: 00813483 ld s1,8(sp) + 80003e80: 00000513 li a0,0 + 80003e84: 02010113 addi sp,sp,32 + 80003e88: 00008067 ret + +0000000080003e8c : + 80003e8c: fc010113 addi sp,sp,-64 + 80003e90: 02813823 sd s0,48(sp) + 80003e94: 02913423 sd s1,40(sp) + 80003e98: 02113c23 sd ra,56(sp) + 80003e9c: 03213023 sd s2,32(sp) + 80003ea0: 01313c23 sd s3,24(sp) + 80003ea4: 00050413 mv s0,a0 + 80003ea8: 00b12623 sw a1,12(sp) + 80003eac: 9a4fc0ef jal ra,80000050 + 80003eb0: 00050493 mv s1,a0 + 80003eb4: 9ddfe0ef jal ra,80002890 + 80003eb8: 02051863 bnez a0,80003ee8 + 80003ebc: 0000a597 auipc a1,0xa + 80003ec0: 0ac58593 addi a1,a1,172 # 8000df68 <__FUNCTION__.2725> + 80003ec4: 0000a517 auipc a0,0xa + 80003ec8: f7c50513 addi a0,a0,-132 # 8000de40 <__FUNCTION__.2600+0xc0> + 80003ecc: 0dc010ef jal ra,80004fa8 + 80003ed0: 2aa00613 li a2,682 + 80003ed4: 0000a597 auipc a1,0xa + 80003ed8: 09458593 addi a1,a1,148 # 8000df68 <__FUNCTION__.2725> + 80003edc: 00009517 auipc a0,0x9 + 80003ee0: 4ac50513 addi a0,a0,1196 # 8000d388 <__FUNCTION__.2718+0x40> + 80003ee4: 250010ef jal ra,80005134 + 80003ee8: 968fc0ef jal ra,80000050 + 80003eec: 00050913 mv s2,a0 + 80003ef0: ac1ff0ef jal ra,800039b0 + 80003ef4: 02050863 beqz a0,80003f24 + 80003ef8: 0000a597 auipc a1,0xa + 80003efc: 07058593 addi a1,a1,112 # 8000df68 <__FUNCTION__.2725> + 80003f00: 00009517 auipc a0,0x9 + 80003f04: 46050513 addi a0,a0,1120 # 8000d360 <__FUNCTION__.2718+0x18> + 80003f08: 0a0010ef jal ra,80004fa8 + 80003f0c: 2aa00613 li a2,682 + 80003f10: 0000a597 auipc a1,0xa + 80003f14: 05858593 addi a1,a1,88 # 8000df68 <__FUNCTION__.2725> + 80003f18: 00009517 auipc a0,0x9 + 80003f1c: 47050513 addi a0,a0,1136 # 8000d388 <__FUNCTION__.2718+0x40> + 80003f20: 214010ef jal ra,80005134 + 80003f24: 00090513 mv a0,s2 + 80003f28: 930fc0ef jal ra,80000058 + 80003f2c: 00048513 mv a0,s1 + 80003f30: 928fc0ef jal ra,80000058 + 80003f34: 00041e63 bnez s0,80003f50 + 80003f38: 2ad00613 li a2,685 + 80003f3c: 0000a597 auipc a1,0xa + 80003f40: 02c58593 addi a1,a1,44 # 8000df68 <__FUNCTION__.2725> + 80003f44: 0000a517 auipc a0,0xa + 80003f48: f3450513 addi a0,a0,-204 # 8000de78 <__FUNCTION__.2600+0xf8> + 80003f4c: 1e8010ef jal ra,80005134 + 80003f50: 00040513 mv a0,s0 + 80003f54: ca4fe0ef jal ra,800023f8 + 80003f58: 00300793 li a5,3 + 80003f5c: 00f50e63 beq a0,a5,80003f78 + 80003f60: 2ae00613 li a2,686 + 80003f64: 0000a597 auipc a1,0xa + 80003f68: 00458593 addi a1,a1,4 # 8000df68 <__FUNCTION__.2725> + 80003f6c: 0000a517 auipc a0,0xa + 80003f70: f2450513 addi a0,a0,-220 # 8000de90 <__FUNCTION__.2600+0x110> + 80003f74: 1c0010ef jal ra,80005134 + 80003f78: 919fe0ef jal ra,80002890 + 80003f7c: 00050493 mv s1,a0 + 80003f80: 8d0fc0ef jal ra,80000050 + 80003f84: 00015797 auipc a5,0x15 + 80003f88: 43c7b783 ld a5,1084(a5) # 800193c0 + 80003f8c: 00050913 mv s2,a0 + 80003f90: 00078663 beqz a5,80003f9c + 80003f94: 00040513 mv a0,s0 + 80003f98: 000780e7 jalr a5 + 80003f9c: 04043503 ld a0,64(s0) + 80003fa0: 0604b023 sd zero,96(s1) + 80003fa4: 04951063 bne a0,s1,80003fe4 + 80003fa8: 03b44783 lbu a5,59(s0) + 80003fac: 0ff00713 li a4,255 + 80003fb0: 04e78a63 beq a5,a4,80004004 + 80003fb4: 0017879b addiw a5,a5,1 + 80003fb8: 02f40da3 sb a5,59(s0) + 80003fbc: 00090513 mv a0,s2 + 80003fc0: 898fc0ef jal ra,80000058 + 80003fc4: 00015797 auipc a5,0x15 + 80003fc8: 3f47b783 ld a5,1012(a5) # 800193b8 + 80003fcc: 00000513 li a0,0 + 80003fd0: 04078063 beqz a5,80004010 + 80003fd4: 00040513 mv a0,s0 + 80003fd8: 000780e7 jalr a5 + 80003fdc: 00000513 li a0,0 + 80003fe0: 0300006f j 80004010 + 80003fe4: 03845783 lhu a5,56(s0) + 80003fe8: 04078263 beqz a5,8000402c + 80003fec: fff7879b addiw a5,a5,-1 + 80003ff0: 02f41c23 sh a5,56(s0) + 80003ff4: 04943023 sd s1,64(s0) + 80003ff8: 0694c783 lbu a5,105(s1) + 80003ffc: 02f40d23 sb a5,58(s0) + 80004000: fa9ff06f j 80003fa8 + 80004004: 00090513 mv a0,s2 + 80004008: 850fc0ef jal ra,80000058 + 8000400c: ffd00513 li a0,-3 + 80004010: 03813083 ld ra,56(sp) + 80004014: 03013403 ld s0,48(sp) + 80004018: 02813483 ld s1,40(sp) + 8000401c: 02013903 ld s2,32(sp) + 80004020: 01813983 ld s3,24(sp) + 80004024: 04010113 addi sp,sp,64 + 80004028: 00008067 ret + 8000402c: 00c12783 lw a5,12(sp) + 80004030: 00079e63 bnez a5,8000404c + 80004034: ffe00793 li a5,-2 + 80004038: 00090513 mv a0,s2 + 8000403c: 06f4b023 sd a5,96(s1) + 80004040: 818fc0ef jal ra,80000058 + 80004044: ffe00513 li a0,-2 + 80004048: fc9ff06f j 80004010 + 8000404c: 0694c703 lbu a4,105(s1) + 80004050: 06954783 lbu a5,105(a0) + 80004054: 00f77863 bgeu a4,a5,80004064 + 80004058: 06948613 addi a2,s1,105 + 8000405c: 00200593 li a1,2 + 80004060: e4dfe0ef jal ra,80002eac + 80004064: 01544603 lbu a2,21(s0) + 80004068: 00048593 mv a1,s1 + 8000406c: 02840513 addi a0,s0,40 + 80004070: 991ff0ef jal ra,80003a00 + 80004074: 00c12783 lw a5,12(sp) + 80004078: 02f05063 blez a5,80004098 + 8000407c: 08848993 addi s3,s1,136 + 80004080: 00c10613 addi a2,sp,12 + 80004084: 00000593 li a1,0 + 80004088: 00098513 mv a0,s3 + 8000408c: b75fc0ef jal ra,80000c00 + 80004090: 00098513 mv a0,s3 + 80004094: 91dfc0ef jal ra,800009b0 + 80004098: 00090513 mv a0,s2 + 8000409c: fbdfb0ef jal ra,80000058 + 800040a0: 924ff0ef jal ra,800031c4 + 800040a4: 0604b503 ld a0,96(s1) + 800040a8: f60514e3 bnez a0,80004010 + 800040ac: fa5fb0ef jal ra,80000050 + 800040b0: 00050913 mv s2,a0 + 800040b4: f09ff06f j 80003fbc + +00000000800040b8 : + 800040b8: fd010113 addi sp,sp,-48 + 800040bc: 02813023 sd s0,32(sp) + 800040c0: 02113423 sd ra,40(sp) + 800040c4: 00913c23 sd s1,24(sp) + 800040c8: 01213823 sd s2,16(sp) + 800040cc: 00050413 mv s0,a0 + 800040d0: 00051e63 bnez a0,800040ec + 800040d4: 35400613 li a2,852 + 800040d8: 0000a597 auipc a1,0xa + 800040dc: ea058593 addi a1,a1,-352 # 8000df78 <__FUNCTION__.2736> + 800040e0: 0000a517 auipc a0,0xa + 800040e4: d9850513 addi a0,a0,-616 # 8000de78 <__FUNCTION__.2600+0xf8> + 800040e8: 04c010ef jal ra,80005134 + 800040ec: 00040513 mv a0,s0 + 800040f0: b08fe0ef jal ra,800023f8 + 800040f4: 00300793 li a5,3 + 800040f8: 00f50e63 beq a0,a5,80004114 + 800040fc: 35500613 li a2,853 + 80004100: 0000a597 auipc a1,0xa + 80004104: e7858593 addi a1,a1,-392 # 8000df78 <__FUNCTION__.2736> + 80004108: 0000a517 auipc a0,0xa + 8000410c: d8850513 addi a0,a0,-632 # 8000de90 <__FUNCTION__.2600+0x110> + 80004110: 024010ef jal ra,80005134 + 80004114: f3dfb0ef jal ra,80000050 + 80004118: 00050493 mv s1,a0 + 8000411c: f74fe0ef jal ra,80002890 + 80004120: 02051863 bnez a0,80004150 + 80004124: 0000a597 auipc a1,0xa + 80004128: e5458593 addi a1,a1,-428 # 8000df78 <__FUNCTION__.2736> + 8000412c: 0000a517 auipc a0,0xa + 80004130: d1450513 addi a0,a0,-748 # 8000de40 <__FUNCTION__.2600+0xc0> + 80004134: 675000ef jal ra,80004fa8 + 80004138: 35a00613 li a2,858 + 8000413c: 0000a597 auipc a1,0xa + 80004140: e3c58593 addi a1,a1,-452 # 8000df78 <__FUNCTION__.2736> + 80004144: 00009517 auipc a0,0x9 + 80004148: 24450513 addi a0,a0,580 # 8000d388 <__FUNCTION__.2718+0x40> + 8000414c: 7e9000ef jal ra,80005134 + 80004150: f01fb0ef jal ra,80000050 + 80004154: 00050913 mv s2,a0 + 80004158: 859ff0ef jal ra,800039b0 + 8000415c: 02050863 beqz a0,8000418c + 80004160: 0000a597 auipc a1,0xa + 80004164: e1858593 addi a1,a1,-488 # 8000df78 <__FUNCTION__.2736> + 80004168: 00009517 auipc a0,0x9 + 8000416c: 1f850513 addi a0,a0,504 # 8000d360 <__FUNCTION__.2718+0x18> + 80004170: 639000ef jal ra,80004fa8 + 80004174: 35a00613 li a2,858 + 80004178: 0000a597 auipc a1,0xa + 8000417c: e0058593 addi a1,a1,-512 # 8000df78 <__FUNCTION__.2736> + 80004180: 00009517 auipc a0,0x9 + 80004184: 20850513 addi a0,a0,520 # 8000d388 <__FUNCTION__.2718+0x40> + 80004188: 7ad000ef jal ra,80005134 + 8000418c: 00090513 mv a0,s2 + 80004190: ec9fb0ef jal ra,80000058 + 80004194: 00048513 mv a0,s1 + 80004198: ec1fb0ef jal ra,80000058 + 8000419c: ef4fe0ef jal ra,80002890 + 800041a0: 00050913 mv s2,a0 + 800041a4: eadfb0ef jal ra,80000050 + 800041a8: 00015797 auipc a5,0x15 + 800041ac: 2087b783 ld a5,520(a5) # 800193b0 + 800041b0: 00050493 mv s1,a0 + 800041b4: 00078663 beqz a5,800041c0 + 800041b8: 00040513 mv a0,s0 + 800041bc: 000780e7 jalr a5 + 800041c0: 04043783 ld a5,64(s0) + 800041c4: 03278863 beq a5,s2,800041f4 + 800041c8: fff00793 li a5,-1 + 800041cc: 00048513 mv a0,s1 + 800041d0: 06f93023 sd a5,96(s2) + 800041d4: e85fb0ef jal ra,80000058 + 800041d8: fff00513 li a0,-1 + 800041dc: 02813083 ld ra,40(sp) + 800041e0: 02013403 ld s0,32(sp) + 800041e4: 01813483 ld s1,24(sp) + 800041e8: 01013903 ld s2,16(sp) + 800041ec: 03010113 addi sp,sp,48 + 800041f0: 00008067 ret + 800041f4: 03b44783 lbu a5,59(s0) + 800041f8: fff7879b addiw a5,a5,-1 + 800041fc: 0ff7f793 andi a5,a5,255 + 80004200: 02f40da3 sb a5,59(s0) + 80004204: 08079e63 bnez a5,800042a0 + 80004208: 03a44703 lbu a4,58(s0) + 8000420c: 06994783 lbu a5,105(s2) + 80004210: 00f70a63 beq a4,a5,80004224 + 80004214: 03a40613 addi a2,s0,58 + 80004218: 00200593 li a1,2 + 8000421c: 00090513 mv a0,s2 + 80004220: c8dfe0ef jal ra,80002eac + 80004224: 02843503 ld a0,40(s0) + 80004228: 02840793 addi a5,s0,40 + 8000422c: 04f50863 beq a0,a5,8000427c + 80004230: fd850793 addi a5,a0,-40 + 80004234: 04f43023 sd a5,64(s0) + 80004238: 04154783 lbu a5,65(a0) + 8000423c: 0ff00713 li a4,255 + 80004240: 02f40d23 sb a5,58(s0) + 80004244: 03b44783 lbu a5,59(s0) + 80004248: 06e78063 beq a5,a4,800042a8 + 8000424c: 0017879b addiw a5,a5,1 + 80004250: 02f40da3 sb a5,59(s0) + 80004254: f8cff0ef jal ra,800039e0 + 80004258: 00100413 li s0,1 + 8000425c: 00048513 mv a0,s1 + 80004260: df9fb0ef jal ra,80000058 + 80004264: 00000513 li a0,0 + 80004268: f6040ae3 beqz s0,800041dc + 8000426c: 00a13423 sd a0,8(sp) + 80004270: f55fe0ef jal ra,800031c4 + 80004274: 00813503 ld a0,8(sp) + 80004278: f65ff06f j 800041dc + 8000427c: 03845783 lhu a5,56(s0) + 80004280: 00010737 lui a4,0x10 + 80004284: fff70713 addi a4,a4,-1 # ffff <__STACKSIZE__+0xbfff> + 80004288: 02e78063 beq a5,a4,800042a8 + 8000428c: 0017879b addiw a5,a5,1 + 80004290: 02f41c23 sh a5,56(s0) + 80004294: fff00793 li a5,-1 + 80004298: 04043023 sd zero,64(s0) + 8000429c: 02f40d23 sb a5,58(s0) + 800042a0: 00000413 li s0,0 + 800042a4: fb9ff06f j 8000425c + 800042a8: 00048513 mv a0,s1 + 800042ac: dadfb0ef jal ra,80000058 + 800042b0: ffd00513 li a0,-3 + 800042b4: f29ff06f j 800041dc + +00000000800042b8 : + 800042b8: f7010113 addi sp,sp,-144 + 800042bc: 08813023 sd s0,128(sp) + 800042c0: 06913c23 sd s1,120(sp) + 800042c4: 07313423 sd s3,104(sp) + 800042c8: 05513c23 sd s5,88(sp) + 800042cc: 05713423 sd s7,72(sp) + 800042d0: 05813023 sd s8,64(sp) + 800042d4: 03b13423 sd s11,40(sp) + 800042d8: 00078c13 mv s8,a5 + 800042dc: 08113423 sd ra,136(sp) + 800042e0: 07213823 sd s2,112(sp) + 800042e4: 07413023 sd s4,96(sp) + 800042e8: 05613823 sd s6,80(sp) + 800042ec: 03913c23 sd s9,56(sp) + 800042f0: 03a13823 sd s10,48(sp) + 800042f4: 04087793 andi a5,a6,64 + 800042f8: 00070b93 mv s7,a4 + 800042fc: 00050413 mv s0,a0 + 80004300: 00058a93 mv s5,a1 + 80004304: 00060493 mv s1,a2 + 80004308: 00068d93 mv s11,a3 + 8000430c: 00080993 mv s3,a6 + 80004310: 0000a717 auipc a4,0xa + 80004314: ec870713 addi a4,a4,-312 # 8000e1d8 + 80004318: 00078663 beqz a5,80004324 + 8000431c: 0000a717 auipc a4,0xa + 80004320: ea470713 addi a4,a4,-348 # 8000e1c0 + 80004324: 0109f793 andi a5,s3,16 + 80004328: 0007881b sext.w a6,a5 + 8000432c: 08078063 beqz a5,800043ac + 80004330: ffe9f993 andi s3,s3,-2 + 80004334: 02000c93 li s9,32 + 80004338: 0029f793 andi a5,s3,2 + 8000433c: 12078863 beqz a5,8000446c + 80004340: 0804d063 bgez s1,800043c0 + 80004344: 409004b3 neg s1,s1 + 80004348: 02d00a13 li s4,45 + 8000434c: 01010d13 addi s10,sp,16 + 80004350: 00000913 li s2,0 + 80004354: 00a00693 li a3,10 + 80004358: 10dd9e63 bne s11,a3,80004474 + 8000435c: 00a00593 li a1,10 + 80004360: 00048513 mv a0,s1 + 80004364: 00e13423 sd a4,8(sp) + 80004368: 01013023 sd a6,0(sp) + 8000436c: ec9fb0ef jal ra,80000234 <__umoddi3> + 80004370: 00050b1b sext.w s6,a0 + 80004374: 00a00593 li a1,10 + 80004378: 00048513 mv a0,s1 + 8000437c: e71fb0ef jal ra,800001ec <__udivdi3> + 80004380: 00013803 ld a6,0(sp) + 80004384: 00813703 ld a4,8(sp) + 80004388: 00050493 mv s1,a0 + 8000438c: 00a00693 li a3,10 + 80004390: 01670b33 add s6,a4,s6 + 80004394: 000b4783 lbu a5,0(s6) + 80004398: 0019091b addiw s2,s2,1 + 8000439c: 001d0d13 addi s10,s10,1 + 800043a0: fefd0fa3 sb a5,-1(s10) + 800043a4: fa049ae3 bnez s1,80004358 + 800043a8: 0400006f j 800043e8 + 800043ac: 0019f793 andi a5,s3,1 + 800043b0: 02000c93 li s9,32 + 800043b4: f80782e3 beqz a5,80004338 + 800043b8: 03000c93 li s9,48 + 800043bc: f7dff06f j 80004338 + 800043c0: 0049f793 andi a5,s3,4 + 800043c4: 02b00a13 li s4,43 + 800043c8: 00079863 bnez a5,800043d8 + 800043cc: 0089fa13 andi s4,s3,8 + 800043d0: 01403a33 snez s4,s4 + 800043d4: 005a1a13 slli s4,s4,0x5 + 800043d8: f6049ae3 bnez s1,8000434c + 800043dc: 03000793 li a5,48 + 800043e0: 00f10823 sb a5,16(sp) + 800043e4: 00100913 li s2,1 + 800043e8: 00090713 mv a4,s2 + 800043ec: 01895463 bge s2,s8,800043f4 + 800043f0: 000c0713 mv a4,s8 + 800043f4: 0119f993 andi s3,s3,17 + 800043f8: 0007079b sext.w a5,a4 + 800043fc: 40eb86bb subw a3,s7,a4 + 80004400: 04099063 bnez s3,80004440 + 80004404: 000a0663 beqz s4,80004410 + 80004408: 00d05463 blez a3,80004410 + 8000440c: fff6869b addiw a3,a3,-1 + 80004410: 00040713 mv a4,s0 + 80004414: 008685bb addw a1,a3,s0 + 80004418: 02000513 li a0,32 + 8000441c: 40e5863b subw a2,a1,a4 + 80004420: 06c04063 bgtz a2,80004480 + 80004424: 00068713 mv a4,a3 + 80004428: 0006d463 bgez a3,80004430 + 8000442c: 00000713 li a4,0 + 80004430: 0007061b sext.w a2,a4 + 80004434: fff6869b addiw a3,a3,-1 + 80004438: 00c40433 add s0,s0,a2 + 8000443c: 40e686bb subw a3,a3,a4 + 80004440: 000a0a63 beqz s4,80004454 + 80004444: 01547463 bgeu s0,s5,8000444c + 80004448: 01440023 sb s4,0(s0) + 8000444c: fff6869b addiw a3,a3,-1 + 80004450: 00140413 addi s0,s0,1 + 80004454: 06080863 beqz a6,800044c4 + 80004458: 00040713 mv a4,s0 + 8000445c: 0007851b sext.w a0,a5 + 80004460: 00f405bb addw a1,s0,a5 + 80004464: 03000813 li a6,48 + 80004468: 0740006f j 800044dc + 8000446c: 00000a13 li s4,0 + 80004470: f69ff06f j 800043d8 + 80004474: 00f4fb13 andi s6,s1,15 + 80004478: 0044d493 srli s1,s1,0x4 + 8000447c: f15ff06f j 80004390 + 80004480: 01577463 bgeu a4,s5,80004488 + 80004484: 00a70023 sb a0,0(a4) + 80004488: 00170713 addi a4,a4,1 + 8000448c: f91ff06f j 8000441c + 80004490: 01577463 bgeu a4,s5,80004498 + 80004494: 01970023 sb s9,0(a4) + 80004498: 00170713 addi a4,a4,1 + 8000449c: 40e5863b subw a2,a1,a4 + 800044a0: fec048e3 bgtz a2,80004490 + 800044a4: 00068713 mv a4,a3 + 800044a8: 0006d463 bgez a3,800044b0 + 800044ac: 00000713 li a4,0 + 800044b0: 0007061b sext.w a2,a4 + 800044b4: fff6869b addiw a3,a3,-1 + 800044b8: 00c40433 add s0,s0,a2 + 800044bc: 40e686bb subw a3,a3,a4 + 800044c0: f99ff06f j 80004458 + 800044c4: 00040713 mv a4,s0 + 800044c8: 00d405bb addw a1,s0,a3 + 800044cc: fd1ff06f j 8000449c + 800044d0: 01577463 bgeu a4,s5,800044d8 + 800044d4: 01070023 sb a6,0(a4) + 800044d8: 00170713 addi a4,a4,1 + 800044dc: 40e5863b subw a2,a1,a4 + 800044e0: fec948e3 blt s2,a2,800044d0 + 800044e4: 00000713 li a4,0 + 800044e8: 0127c863 blt a5,s2,800044f8 + 800044ec: 4125053b subw a0,a0,s2 + 800044f0: 02051713 slli a4,a0,0x20 + 800044f4: 02075713 srli a4,a4,0x20 + 800044f8: 00e40433 add s0,s0,a4 + 800044fc: 0009079b sext.w a5,s2 + 80004500: 00f05463 blez a5,80004508 + 80004504: 000c1a63 bnez s8,80004518 + 80004508: 00040793 mv a5,s0 + 8000450c: 0086863b addw a2,a3,s0 + 80004510: 02000593 li a1,32 + 80004514: 0300006f j 80004544 + 80004518: 01547a63 bgeu s0,s5,8000452c + 8000451c: 01010793 addi a5,sp,16 + 80004520: 012787b3 add a5,a5,s2 + 80004524: fff7c783 lbu a5,-1(a5) + 80004528: 00f40023 sb a5,0(s0) + 8000452c: 00140413 addi s0,s0,1 + 80004530: fff90913 addi s2,s2,-1 + 80004534: fc9ff06f j 800044fc + 80004538: 0157f463 bgeu a5,s5,80004540 + 8000453c: 00b78023 sb a1,0(a5) + 80004540: 00178793 addi a5,a5,1 + 80004544: 40f6073b subw a4,a2,a5 + 80004548: fee048e3 bgtz a4,80004538 + 8000454c: 0006851b sext.w a0,a3 + 80004550: 0006d463 bgez a3,80004558 + 80004554: 00000513 li a0,0 + 80004558: 08813083 ld ra,136(sp) + 8000455c: 00a40533 add a0,s0,a0 + 80004560: 08013403 ld s0,128(sp) + 80004564: 07813483 ld s1,120(sp) + 80004568: 07013903 ld s2,112(sp) + 8000456c: 06813983 ld s3,104(sp) + 80004570: 06013a03 ld s4,96(sp) + 80004574: 05813a83 ld s5,88(sp) + 80004578: 05013b03 ld s6,80(sp) + 8000457c: 04813b83 ld s7,72(sp) + 80004580: 04013c03 ld s8,64(sp) + 80004584: 03813c83 ld s9,56(sp) + 80004588: 03013d03 ld s10,48(sp) + 8000458c: 02813d83 ld s11,40(sp) + 80004590: 09010113 addi sp,sp,144 + 80004594: 00008067 ret + +0000000080004598 : + 80004598: ff010113 addi sp,sp,-16 + 8000459c: 00813023 sd s0,0(sp) + 800045a0: 00113423 sd ra,8(sp) + 800045a4: 00050413 mv s0,a0 + 800045a8: c08ff0ef jal ra,800039b0 + 800045ac: 00050e63 beqz a0,800045c8 + 800045b0: 00015797 auipc a5,0x15 + 800045b4: e687aa23 sw s0,-396(a5) # 80019424 <__rt_errno> + 800045b8: 00813083 ld ra,8(sp) + 800045bc: 00013403 ld s0,0(sp) + 800045c0: 01010113 addi sp,sp,16 + 800045c4: 00008067 ret + 800045c8: ac8fe0ef jal ra,80002890 + 800045cc: fe0502e3 beqz a0,800045b0 + 800045d0: 06853023 sd s0,96(a0) + 800045d4: fe5ff06f j 800045b8 + +00000000800045d8 : + 800045d8: 00700713 li a4,7 + 800045dc: 00050793 mv a5,a0 + 800045e0: 00c77663 bgeu a4,a2,800045ec + 800045e4: 00757713 andi a4,a0,7 + 800045e8: 00070863 beqz a4,800045f8 + 800045ec: 00c78633 add a2,a5,a2 + 800045f0: 08c79463 bne a5,a2,80004678 + 800045f4: 00008067 ret + 800045f8: 00800793 li a5,8 + 800045fc: 0ff5f693 andi a3,a1,255 + 80004600: 00871713 slli a4,a4,0x8 + 80004604: fff7879b addiw a5,a5,-1 + 80004608: 00e6e733 or a4,a3,a4 + 8000460c: fe079ae3 bnez a5,80004600 + 80004610: 00050793 mv a5,a0 + 80004614: 00c508b3 add a7,a0,a2 + 80004618: 01f00693 li a3,31 + 8000461c: 40f88833 sub a6,a7,a5 + 80004620: 0306ea63 bltu a3,a6,80004654 + 80004624: 01f67693 andi a3,a2,31 + 80004628: fe067613 andi a2,a2,-32 + 8000462c: 00c507b3 add a5,a0,a2 + 80004630: 00078613 mv a2,a5 + 80004634: 00d78333 add t1,a5,a3 + 80004638: 00700813 li a6,7 + 8000463c: 40c308b3 sub a7,t1,a2 + 80004640: 03186663 bltu a6,a7,8000466c + 80004644: 0076f613 andi a2,a3,7 + 80004648: 0186f693 andi a3,a3,24 + 8000464c: 00d787b3 add a5,a5,a3 + 80004650: f9dff06f j 800045ec + 80004654: 00e7b023 sd a4,0(a5) + 80004658: 00e7b423 sd a4,8(a5) + 8000465c: 00e7b823 sd a4,16(a5) + 80004660: 00e7bc23 sd a4,24(a5) + 80004664: 02078793 addi a5,a5,32 + 80004668: fb5ff06f j 8000461c + 8000466c: 00860613 addi a2,a2,8 + 80004670: fee63c23 sd a4,-8(a2) + 80004674: fc9ff06f j 8000463c + 80004678: 00178793 addi a5,a5,1 + 8000467c: feb78fa3 sb a1,-1(a5) + 80004680: f71ff06f j 800045f0 + +0000000080004684 : + 80004684: 0006079b sext.w a5,a2 + 80004688: 01f00693 li a3,31 + 8000468c: 00050713 mv a4,a0 + 80004690: 00f6fa63 bgeu a3,a5,800046a4 + 80004694: 00a5e733 or a4,a1,a0 + 80004698: 00777713 andi a4,a4,7 + 8000469c: 08070463 beqz a4,80004724 + 800046a0: 00050713 mv a4,a0 + 800046a4: 00000693 li a3,0 + 800046a8: 0006861b sext.w a2,a3 + 800046ac: 0af61263 bne a2,a5,80004750 + 800046b0: 00008067 ret + 800046b4: 0006b303 ld t1,0(a3) + 800046b8: 02068693 addi a3,a3,32 + 800046bc: 02080813 addi a6,a6,32 + 800046c0: fe683023 sd t1,-32(a6) + 800046c4: fe86b303 ld t1,-24(a3) + 800046c8: fe683423 sd t1,-24(a6) + 800046cc: ff06b303 ld t1,-16(a3) + 800046d0: fe683823 sd t1,-16(a6) + 800046d4: ff86b303 ld t1,-8(a3) + 800046d8: fe683c23 sd t1,-8(a6) + 800046dc: 40de033b subw t1,t3,a3 + 800046e0: fc68eae3 bltu a7,t1,800046b4 + 800046e4: 0057d69b srliw a3,a5,0x5 + 800046e8: fe07f793 andi a5,a5,-32 + 800046ec: 00569693 slli a3,a3,0x5 + 800046f0: 40f607bb subw a5,a2,a5 + 800046f4: 00d50833 add a6,a0,a3 + 800046f8: 00d585b3 add a1,a1,a3 + 800046fc: 0007889b sext.w a7,a5 + 80004700: 00700693 li a3,7 + 80004704: 40e8863b subw a2,a7,a4 + 80004708: 02c6c863 blt a3,a2,80004738 + 8000470c: 0037d69b srliw a3,a5,0x3 + 80004710: 00369693 slli a3,a3,0x3 + 80004714: 00d80733 add a4,a6,a3 + 80004718: 00d585b3 add a1,a1,a3 + 8000471c: 0077f793 andi a5,a5,7 + 80004720: f85ff06f j 800046a4 + 80004724: 00058693 mv a3,a1 + 80004728: 00050813 mv a6,a0 + 8000472c: 00f58e3b addw t3,a1,a5 + 80004730: 01f00893 li a7,31 + 80004734: fa9ff06f j 800046dc + 80004738: 00e58633 add a2,a1,a4 + 8000473c: 00063303 ld t1,0(a2) + 80004740: 00e80633 add a2,a6,a4 + 80004744: 00870713 addi a4,a4,8 + 80004748: 00663023 sd t1,0(a2) + 8000474c: fb9ff06f j 80004704 + 80004750: 00d58633 add a2,a1,a3 + 80004754: 00064803 lbu a6,0(a2) + 80004758: 00d70633 add a2,a4,a3 + 8000475c: 00168693 addi a3,a3,1 + 80004760: 01060023 sb a6,0(a2) + 80004764: f45ff06f j 800046a8 + +0000000080004768 : + 80004768: 04a5fa63 bgeu a1,a0,800047bc + 8000476c: 00c586b3 add a3,a1,a2 + 80004770: 04d57663 bgeu a0,a3,800047bc + 80004774: fff64593 not a1,a2 + 80004778: 00000793 li a5,0 + 8000477c: fff78793 addi a5,a5,-1 + 80004780: 00f59463 bne a1,a5,80004788 + 80004784: 00008067 ret + 80004788: 00f68733 add a4,a3,a5 + 8000478c: 00074803 lbu a6,0(a4) + 80004790: 00f60733 add a4,a2,a5 + 80004794: 00e50733 add a4,a0,a4 + 80004798: 01070023 sb a6,0(a4) + 8000479c: fe1ff06f j 8000477c + 800047a0: 00f58733 add a4,a1,a5 + 800047a4: 00074683 lbu a3,0(a4) + 800047a8: 00f50733 add a4,a0,a5 + 800047ac: 00178793 addi a5,a5,1 + 800047b0: 00d70023 sb a3,0(a4) + 800047b4: fef616e3 bne a2,a5,800047a0 + 800047b8: 00008067 ret + 800047bc: 00000793 li a5,0 + 800047c0: ff5ff06f j 800047b4 + +00000000800047c4 : + 800047c4: 00050693 mv a3,a0 + 800047c8: 00000713 li a4,0 + 800047cc: 00e61663 bne a2,a4,800047d8 + 800047d0: 00000513 li a0,0 + 800047d4: 0200006f j 800047f4 + 800047d8: 00e687b3 add a5,a3,a4 + 800047dc: 00e58533 add a0,a1,a4 + 800047e0: 0007c783 lbu a5,0(a5) + 800047e4: 00054503 lbu a0,0(a0) + 800047e8: 00170713 addi a4,a4,1 + 800047ec: 40a7853b subw a0,a5,a0 + 800047f0: fc050ee3 beqz a0,800047cc + 800047f4: 00008067 ret + +00000000800047f8 : + 800047f8: 04060063 beqz a2,80004838 + 800047fc: 00050793 mv a5,a0 + 80004800: 0005c683 lbu a3,0(a1) + 80004804: 00158593 addi a1,a1,1 + 80004808: 00178793 addi a5,a5,1 + 8000480c: fed78fa3 sb a3,-1(a5) + 80004810: 00060713 mv a4,a2 + 80004814: fff60613 addi a2,a2,-1 + 80004818: 00069e63 bnez a3,80004834 + 8000481c: 00e78733 add a4,a5,a4 + 80004820: 00178793 addi a5,a5,1 + 80004824: 00e79463 bne a5,a4,8000482c + 80004828: 00008067 ret + 8000482c: fe078fa3 sb zero,-1(a5) + 80004830: ff1ff06f j 80004820 + 80004834: fc0616e3 bnez a2,80004800 + 80004838: 00008067 ret + +000000008000483c : + 8000483c: 00050693 mv a3,a0 + 80004840: 00000713 li a4,0 + 80004844: 00e61663 bne a2,a4,80004850 + 80004848: 00000513 li a0,0 + 8000484c: 02c0006f j 80004878 + 80004850: 00e687b3 add a5,a3,a4 + 80004854: 0007c803 lbu a6,0(a5) + 80004858: 00e587b3 add a5,a1,a4 + 8000485c: 0007c783 lbu a5,0(a5) + 80004860: 40f807bb subw a5,a6,a5 + 80004864: 0187951b slliw a0,a5,0x18 + 80004868: 4185551b sraiw a0,a0,0x18 + 8000486c: 00051663 bnez a0,80004878 + 80004870: 00170713 addi a4,a4,1 + 80004874: fc0818e3 bnez a6,80004844 + 80004878: 00008067 ret + +000000008000487c : + 8000487c: 00054783 lbu a5,0(a0) + 80004880: 0005c703 lbu a4,0(a1) + 80004884: 00078463 beqz a5,8000488c + 80004888: 00e78663 beq a5,a4,80004894 + 8000488c: 40e7853b subw a0,a5,a4 + 80004890: 00008067 ret + 80004894: 00150513 addi a0,a0,1 + 80004898: 00158593 addi a1,a1,1 + 8000489c: fe1ff06f j 8000487c + +00000000800048a0 : + 800048a0: 00050793 mv a5,a0 + 800048a4: 0007c703 lbu a4,0(a5) + 800048a8: 00071663 bnez a4,800048b4 + 800048ac: 40a78533 sub a0,a5,a0 + 800048b0: 00008067 ret + 800048b4: 00178793 addi a5,a5,1 + 800048b8: fedff06f j 800048a4 + +00000000800048bc : + 800048bc: fd010113 addi sp,sp,-48 + 800048c0: 02813023 sd s0,32(sp) + 800048c4: 00050413 mv s0,a0 + 800048c8: 00058513 mv a0,a1 + 800048cc: 00913c23 sd s1,24(sp) + 800048d0: 01213823 sd s2,16(sp) + 800048d4: 02113423 sd ra,40(sp) + 800048d8: 01313423 sd s3,8(sp) + 800048dc: 00058913 mv s2,a1 + 800048e0: fc1ff0ef jal ra,800048a0 + 800048e4: 0005049b sext.w s1,a0 + 800048e8: 00048e63 beqz s1,80004904 + 800048ec: 00040513 mv a0,s0 + 800048f0: fb1ff0ef jal ra,800048a0 + 800048f4: 008509bb addw s3,a0,s0 + 800048f8: 408987bb subw a5,s3,s0 + 800048fc: 0297d463 bge a5,s1,80004924 + 80004900: 00000413 li s0,0 + 80004904: 02813083 ld ra,40(sp) + 80004908: 00040513 mv a0,s0 + 8000490c: 02013403 ld s0,32(sp) + 80004910: 01813483 ld s1,24(sp) + 80004914: 01013903 ld s2,16(sp) + 80004918: 00813983 ld s3,8(sp) + 8000491c: 03010113 addi sp,sp,48 + 80004920: 00008067 ret + 80004924: 00048613 mv a2,s1 + 80004928: 00090593 mv a1,s2 + 8000492c: 00040513 mv a0,s0 + 80004930: e95ff0ef jal ra,800047c4 + 80004934: fc0508e3 beqz a0,80004904 + 80004938: 00140413 addi s0,s0,1 + 8000493c: fbdff06f j 800048f8 + +0000000080004940 : + 80004940: fe010113 addi sp,sp,-32 + 80004944: 00113c23 sd ra,24(sp) + 80004948: 00813823 sd s0,16(sp) + 8000494c: 00a13423 sd a0,8(sp) + 80004950: f51ff0ef jal ra,800048a0 + 80004954: 00150613 addi a2,a0,1 + 80004958: 00060513 mv a0,a2 + 8000495c: 00c13023 sd a2,0(sp) + 80004960: f81fc0ef jal ra,800018e0 + 80004964: 00050413 mv s0,a0 + 80004968: 00050863 beqz a0,80004978 + 8000496c: 00013603 ld a2,0(sp) + 80004970: 00813583 ld a1,8(sp) + 80004974: d11ff0ef jal ra,80004684 + 80004978: 01813083 ld ra,24(sp) + 8000497c: 00040513 mv a0,s0 + 80004980: 01013403 ld s0,16(sp) + 80004984: 02010113 addi sp,sp,32 + 80004988: 00008067 ret + +000000008000498c : + 8000498c: fa010113 addi sp,sp,-96 + 80004990: 04813823 sd s0,80(sp) + 80004994: 03613023 sd s6,32(sp) + 80004998: 01713c23 sd s7,24(sp) + 8000499c: 01813823 sd s8,16(sp) + 800049a0: 04113c23 sd ra,88(sp) + 800049a4: 04913423 sd s1,72(sp) + 800049a8: 05213023 sd s2,64(sp) + 800049ac: 03313c23 sd s3,56(sp) + 800049b0: 03413823 sd s4,48(sp) + 800049b4: 03513423 sd s5,40(sp) + 800049b8: 01913423 sd s9,8(sp) + 800049bc: 00b50b33 add s6,a0,a1 + 800049c0: 00050b93 mv s7,a0 + 800049c4: 00068413 mv s0,a3 + 800049c8: 00058c13 mv s8,a1 + 800049cc: 00ab7663 bgeu s6,a0,800049d8 + 800049d0: fff54c13 not s8,a0 + 800049d4: fff00b13 li s6,-1 + 800049d8: 000104b7 lui s1,0x10 + 800049dc: 000b8513 mv a0,s7 + 800049e0: 02b00913 li s2,43 + 800049e4: 02000993 li s3,32 + 800049e8: 02300a13 li s4,35 + 800049ec: fff48493 addi s1,s1,-1 # ffff <__STACKSIZE__+0xbfff> + 800049f0: 0240006f j 80004a14 + 800049f4: 02500713 li a4,37 + 800049f8: 06e78463 beq a5,a4,80004a60 + 800049fc: 01657463 bgeu a0,s6,80004a04 + 80004a00: 00f50023 sb a5,0(a0) + 80004a04: 00150713 addi a4,a0,1 + 80004a08: 00060a93 mv s5,a2 + 80004a0c: 001a8613 addi a2,s5,1 + 80004a10: 00070513 mv a0,a4 + 80004a14: 00064783 lbu a5,0(a2) + 80004a18: fc079ee3 bnez a5,800049f4 + 80004a1c: 000c0663 beqz s8,80004a28 + 80004a20: 49657263 bgeu a0,s6,80004ea4 + 80004a24: 00050023 sb zero,0(a0) + 80004a28: 05813083 ld ra,88(sp) + 80004a2c: 05013403 ld s0,80(sp) + 80004a30: 04813483 ld s1,72(sp) + 80004a34: 04013903 ld s2,64(sp) + 80004a38: 03813983 ld s3,56(sp) + 80004a3c: 03013a03 ld s4,48(sp) + 80004a40: 02813a83 ld s5,40(sp) + 80004a44: 02013b03 ld s6,32(sp) + 80004a48: 01013c03 ld s8,16(sp) + 80004a4c: 00813c83 ld s9,8(sp) + 80004a50: 4175053b subw a0,a0,s7 + 80004a54: 01813b83 ld s7,24(sp) + 80004a58: 06010113 addi sp,sp,96 + 80004a5c: 00008067 ret + 80004a60: 00000813 li a6,0 + 80004a64: 02d00713 li a4,45 + 80004a68: 03000693 li a3,48 + 80004a6c: 0100006f j 80004a7c + 80004a70: 03279063 bne a5,s2,80004a90 + 80004a74: 00486813 ori a6,a6,4 + 80004a78: 000a8613 mv a2,s5 + 80004a7c: 00164783 lbu a5,1(a2) + 80004a80: 00160a93 addi s5,a2,1 + 80004a84: fee796e3 bne a5,a4,80004a70 + 80004a88: 01086813 ori a6,a6,16 + 80004a8c: fedff06f j 80004a78 + 80004a90: 01379663 bne a5,s3,80004a9c + 80004a94: 00886813 ori a6,a6,8 + 80004a98: fe1ff06f j 80004a78 + 80004a9c: 01479663 bne a5,s4,80004aa8 + 80004aa0: 02086813 ori a6,a6,32 + 80004aa4: fd5ff06f j 80004a78 + 80004aa8: 00d79663 bne a5,a3,80004ab4 + 80004aac: 00186813 ori a6,a6,1 + 80004ab0: fc9ff06f j 80004a78 + 80004ab4: fd07871b addiw a4,a5,-48 + 80004ab8: 00900693 li a3,9 + 80004abc: 06e6e263 bltu a3,a4,80004b20 + 80004ac0: 00000713 li a4,0 + 80004ac4: 00900613 li a2,9 + 80004ac8: 01c0006f j 80004ae4 + 80004acc: 0027179b slliw a5,a4,0x2 + 80004ad0: 00e7873b addw a4,a5,a4 + 80004ad4: 0017171b slliw a4,a4,0x1 + 80004ad8: 00d7073b addw a4,a4,a3 + 80004adc: 001a8a93 addi s5,s5,1 + 80004ae0: fd07071b addiw a4,a4,-48 + 80004ae4: 000ac683 lbu a3,0(s5) + 80004ae8: fd06879b addiw a5,a3,-48 + 80004aec: fef670e3 bgeu a2,a5,80004acc + 80004af0: 000ac603 lbu a2,0(s5) + 80004af4: 02e00693 li a3,46 + 80004af8: fff00793 li a5,-1 + 80004afc: 08d61063 bne a2,a3,80004b7c + 80004b00: 001ac783 lbu a5,1(s5) + 80004b04: 00900613 li a2,9 + 80004b08: 001a8693 addi a3,s5,1 + 80004b0c: fd07859b addiw a1,a5,-48 + 80004b10: 0cb66863 bltu a2,a1,80004be0 + 80004b14: 00000613 li a2,0 + 80004b18: 00900893 li a7,9 + 80004b1c: 0440006f j 80004b60 + 80004b20: 02a00693 li a3,42 + 80004b24: fff00713 li a4,-1 + 80004b28: fcd794e3 bne a5,a3,80004af0 + 80004b2c: 00042703 lw a4,0(s0) + 80004b30: 00260a93 addi s5,a2,2 + 80004b34: 00840413 addi s0,s0,8 + 80004b38: fa075ce3 bgez a4,80004af0 + 80004b3c: 40e0073b negw a4,a4 + 80004b40: 01086813 ori a6,a6,16 + 80004b44: fadff06f j 80004af0 + 80004b48: 0026179b slliw a5,a2,0x2 + 80004b4c: 00c787bb addw a5,a5,a2 + 80004b50: 0017979b slliw a5,a5,0x1 + 80004b54: 00b787bb addw a5,a5,a1 + 80004b58: 00168693 addi a3,a3,1 + 80004b5c: fd07861b addiw a2,a5,-48 + 80004b60: 0006c583 lbu a1,0(a3) + 80004b64: fd05879b addiw a5,a1,-48 + 80004b68: fef8f0e3 bgeu a7,a5,80004b48 + 80004b6c: 0006079b sext.w a5,a2 + 80004b70: 00065463 bgez a2,80004b78 + 80004b74: 00000793 li a5,0 + 80004b78: 00068a93 mv s5,a3 + 80004b7c: 000ac583 lbu a1,0(s5) + 80004b80: 06800693 li a3,104 + 80004b84: 0fb5f613 andi a2,a1,251 + 80004b88: 06d61e63 bne a2,a3,80004c04 + 80004b8c: 001a8a93 addi s5,s5,1 + 80004b90: 000ac683 lbu a3,0(s5) + 80004b94: 07800613 li a2,120 + 80004b98: 02d66063 bltu a2,a3,80004bb8 + 80004b9c: 06200613 li a2,98 + 80004ba0: 06d66663 bltu a2,a3,80004c0c + 80004ba4: 02500613 li a2,37 + 80004ba8: 28c68463 beq a3,a2,80004e30 + 80004bac: 05800613 li a2,88 + 80004bb0: 04086813 ori a6,a6,64 + 80004bb4: 28c68663 beq a3,a2,80004e40 + 80004bb8: 01657663 bgeu a0,s6,80004bc4 + 80004bbc: 02500793 li a5,37 + 80004bc0: 00f50023 sb a5,0(a0) + 80004bc4: 000ac783 lbu a5,0(s5) + 80004bc8: 00150713 addi a4,a0,1 + 80004bcc: 2a078e63 beqz a5,80004e88 + 80004bd0: 01677463 bgeu a4,s6,80004bd8 + 80004bd4: 00f500a3 sb a5,1(a0) + 80004bd8: 00250713 addi a4,a0,2 + 80004bdc: e31ff06f j 80004a0c + 80004be0: 02a00613 li a2,42 + 80004be4: 00c79a63 bne a5,a2,80004bf8 + 80004be8: 00042603 lw a2,0(s0) + 80004bec: 002a8693 addi a3,s5,2 + 80004bf0: 00840413 addi s0,s0,8 + 80004bf4: f79ff06f j 80004b6c + 80004bf8: 00068a93 mv s5,a3 + 80004bfc: 00000793 li a5,0 + 80004c00: f7dff06f j 80004b7c + 80004c04: 00000593 li a1,0 + 80004c08: f89ff06f j 80004b90 + 80004c0c: f9d6869b addiw a3,a3,-99 + 80004c10: 0ff6f693 andi a3,a3,255 + 80004c14: 01500613 li a2,21 + 80004c18: fad660e3 bltu a2,a3,80004bb8 + 80004c1c: 00009617 auipc a2,0x9 + 80004c20: 37c60613 addi a2,a2,892 # 8000df98 <__FUNCTION__.2736+0x20> + 80004c24: 00269693 slli a3,a3,0x2 + 80004c28: 00c686b3 add a3,a3,a2 + 80004c2c: 0006a683 lw a3,0(a3) + 80004c30: 00c686b3 add a3,a3,a2 + 80004c34: 00068067 jr a3 + 80004c38: 01087813 andi a6,a6,16 + 80004c3c: 04081a63 bnez a6,80004c90 + 80004c40: 00070693 mv a3,a4 + 80004c44: 00050793 mv a5,a0 + 80004c48: 02000613 li a2,32 + 80004c4c: 0100006f j 80004c5c + 80004c50: 0167f463 bgeu a5,s6,80004c58 + 80004c54: 00c78023 sb a2,0(a5) + 80004c58: 00178793 addi a5,a5,1 + 80004c5c: fff6869b addiw a3,a3,-1 + 80004c60: fed048e3 bgtz a3,80004c50 + 80004c64: fff7079b addiw a5,a4,-1 + 80004c68: 00000693 li a3,0 + 80004c6c: 00e05663 blez a4,80004c78 + 80004c70: 02079693 slli a3,a5,0x20 + 80004c74: 0206d693 srli a3,a3,0x20 + 80004c78: 00d50533 add a0,a0,a3 + 80004c7c: 00070693 mv a3,a4 + 80004c80: 00e04463 bgtz a4,80004c88 + 80004c84: 00100693 li a3,1 + 80004c88: 40d7873b subw a4,a5,a3 + 80004c8c: 0017071b addiw a4,a4,1 + 80004c90: 00840613 addi a2,s0,8 + 80004c94: 01657663 bgeu a0,s6,80004ca0 + 80004c98: 00042783 lw a5,0(s0) + 80004c9c: 00f50023 sb a5,0(a0) + 80004ca0: 00150513 addi a0,a0,1 + 80004ca4: 00070693 mv a3,a4 + 80004ca8: 00050793 mv a5,a0 + 80004cac: 02000593 li a1,32 + 80004cb0: fff6869b addiw a3,a3,-1 + 80004cb4: 02d04263 bgtz a3,80004cd8 + 80004cb8: 00000793 li a5,0 + 80004cbc: 00e05863 blez a4,80004ccc + 80004cc0: fff7071b addiw a4,a4,-1 + 80004cc4: 02071793 slli a5,a4,0x20 + 80004cc8: 0207d793 srli a5,a5,0x20 + 80004ccc: 00f50733 add a4,a0,a5 + 80004cd0: 00060413 mv s0,a2 + 80004cd4: d39ff06f j 80004a0c + 80004cd8: 0167f463 bgeu a5,s6,80004ce0 + 80004cdc: 00b78023 sb a1,0(a5) + 80004ce0: 00178793 addi a5,a5,1 + 80004ce4: fcdff06f j 80004cb0 + 80004ce8: 00043603 ld a2,0(s0) + 80004cec: 00840893 addi a7,s0,8 + 80004cf0: 00061663 bnez a2,80004cfc + 80004cf4: 00009617 auipc a2,0x9 + 80004cf8: 29c60613 addi a2,a2,668 # 8000df90 <__FUNCTION__.2736+0x18> + 80004cfc: 00000593 li a1,0 + 80004d00: 0005869b sext.w a3,a1 + 80004d04: 00e68a63 beq a3,a4,80004d18 + 80004d08: 00158593 addi a1,a1,1 + 80004d0c: 00b60333 add t1,a2,a1 + 80004d10: fff34303 lbu t1,-1(t1) + 80004d14: fe0316e3 bnez t1,80004d00 + 80004d18: 00f05a63 blez a5,80004d2c + 80004d1c: 00068593 mv a1,a3 + 80004d20: 00d7d463 bge a5,a3,80004d28 + 80004d24: 00078593 mv a1,a5 + 80004d28: 0005869b sext.w a3,a1 + 80004d2c: 01087813 andi a6,a6,16 + 80004d30: 04081c63 bnez a6,80004d88 + 80004d34: 00050793 mv a5,a0 + 80004d38: 0007059b sext.w a1,a4 + 80004d3c: 00e5033b addw t1,a0,a4 + 80004d40: 02000e13 li t3,32 + 80004d44: 0100006f j 80004d54 + 80004d48: 0167f463 bgeu a5,s6,80004d50 + 80004d4c: 01c78023 sb t3,0(a5) + 80004d50: 00178793 addi a5,a5,1 + 80004d54: 40f3083b subw a6,t1,a5 + 80004d58: ff06c8e3 blt a3,a6,80004d48 + 80004d5c: 40d587bb subw a5,a1,a3 + 80004d60: 00000593 li a1,0 + 80004d64: 00d74663 blt a4,a3,80004d70 + 80004d68: 02079593 slli a1,a5,0x20 + 80004d6c: 0205d593 srli a1,a1,0x20 + 80004d70: 00b50533 add a0,a0,a1 + 80004d74: fff7081b addiw a6,a4,-1 + 80004d78: 00000593 li a1,0 + 80004d7c: 00d74463 blt a4,a3,80004d84 + 80004d80: 40f005bb negw a1,a5 + 80004d84: 0105873b addw a4,a1,a6 + 80004d88: 00000793 li a5,0 + 80004d8c: 0007859b sext.w a1,a5 + 80004d90: 04d5c263 blt a1,a3,80004dd4 + 80004d94: 00d50533 add a0,a0,a3 + 80004d98: 0006859b sext.w a1,a3 + 80004d9c: 00050793 mv a5,a0 + 80004da0: 0007061b sext.w a2,a4 + 80004da4: 00e5033b addw t1,a0,a4 + 80004da8: 02000e13 li t3,32 + 80004dac: 40f3083b subw a6,t1,a5 + 80004db0: 0506c063 blt a3,a6,80004df0 + 80004db4: 00000793 li a5,0 + 80004db8: 00d74863 blt a4,a3,80004dc8 + 80004dbc: 40b6063b subw a2,a2,a1 + 80004dc0: 02061793 slli a5,a2,0x20 + 80004dc4: 0207d793 srli a5,a5,0x20 + 80004dc8: 00f50733 add a4,a0,a5 + 80004dcc: 00088413 mv s0,a7 + 80004dd0: c3dff06f j 80004a0c + 80004dd4: 00f505b3 add a1,a0,a5 + 80004dd8: 0165f863 bgeu a1,s6,80004de8 + 80004ddc: 00f60833 add a6,a2,a5 + 80004de0: 00084803 lbu a6,0(a6) + 80004de4: 01058023 sb a6,0(a1) + 80004de8: 00178793 addi a5,a5,1 + 80004dec: fa1ff06f j 80004d8c + 80004df0: 0167f463 bgeu a5,s6,80004df8 + 80004df4: 01c78023 sb t3,0(a5) + 80004df8: 00178793 addi a5,a5,1 + 80004dfc: fb1ff06f j 80004dac + 80004e00: fff00693 li a3,-1 + 80004e04: 00d71663 bne a4,a3,80004e10 + 80004e08: 00186813 ori a6,a6,1 + 80004e0c: 01000713 li a4,16 + 80004e10: 00043603 ld a2,0(s0) + 80004e14: 01000693 li a3,16 + 80004e18: 000b0593 mv a1,s6 + 80004e1c: 00840c93 addi s9,s0,8 + 80004e20: c98ff0ef jal ra,800042b8 + 80004e24: 00050713 mv a4,a0 + 80004e28: 000c8413 mv s0,s9 + 80004e2c: be1ff06f j 80004a0c + 80004e30: 01657463 bgeu a0,s6,80004e38 + 80004e34: 00d50023 sb a3,0(a0) + 80004e38: 00150713 addi a4,a0,1 + 80004e3c: bd1ff06f j 80004a0c + 80004e40: 01000693 li a3,16 + 80004e44: 06800893 li a7,104 + 80004e48: 00042603 lw a2,0(s0) + 80004e4c: 00840413 addi s0,s0,8 + 80004e50: 01159a63 bne a1,a7,80004e64 + 80004e54: 00287893 andi a7,a6,2 + 80004e58: 0006059b sext.w a1,a2 + 80004e5c: 02089e63 bnez a7,80004e98 + 80004e60: 0095f633 and a2,a1,s1 + 80004e64: 02061613 slli a2,a2,0x20 + 80004e68: 02065613 srli a2,a2,0x20 + 80004e6c: 000b0593 mv a1,s6 + 80004e70: c48ff0ef jal ra,800042b8 + 80004e74: 00050713 mv a4,a0 + 80004e78: b95ff06f j 80004a0c + 80004e7c: 00286813 ori a6,a6,2 + 80004e80: 00a00693 li a3,10 + 80004e84: fc1ff06f j 80004e44 + 80004e88: fffa8a93 addi s5,s5,-1 + 80004e8c: b81ff06f j 80004a0c + 80004e90: 00800693 li a3,8 + 80004e94: fb1ff06f j 80004e44 + 80004e98: 0106161b slliw a2,a2,0x10 + 80004e9c: 4106561b sraiw a2,a2,0x10 + 80004ea0: fc5ff06f j 80004e64 + 80004ea4: fe0b0fa3 sb zero,-1(s6) + 80004ea8: b81ff06f j 80004a28 + +0000000080004eac : + 80004eac: fb010113 addi sp,sp,-80 + 80004eb0: 02d13423 sd a3,40(sp) + 80004eb4: 02810693 addi a3,sp,40 + 80004eb8: 00113c23 sd ra,24(sp) + 80004ebc: 02e13823 sd a4,48(sp) + 80004ec0: 02f13c23 sd a5,56(sp) + 80004ec4: 05013023 sd a6,64(sp) + 80004ec8: 05113423 sd a7,72(sp) + 80004ecc: 00d13423 sd a3,8(sp) + 80004ed0: abdff0ef jal ra,8000498c + 80004ed4: 01813083 ld ra,24(sp) + 80004ed8: 05010113 addi sp,sp,80 + 80004edc: 00008067 ret + +0000000080004ee0 : + 80004ee0: 00060693 mv a3,a2 + 80004ee4: 00058613 mv a2,a1 + 80004ee8: fff00593 li a1,-1 + 80004eec: aa1ff06f j 8000498c + +0000000080004ef0 : + 80004ef0: fb010113 addi sp,sp,-80 + 80004ef4: 02c13023 sd a2,32(sp) + 80004ef8: 02010613 addi a2,sp,32 + 80004efc: 00113c23 sd ra,24(sp) + 80004f00: 02d13423 sd a3,40(sp) + 80004f04: 02e13823 sd a4,48(sp) + 80004f08: 02f13c23 sd a5,56(sp) + 80004f0c: 05013023 sd a6,64(sp) + 80004f10: 05113423 sd a7,72(sp) + 80004f14: 00c13423 sd a2,8(sp) + 80004f18: fc9ff0ef jal ra,80004ee0 + 80004f1c: 01813083 ld ra,24(sp) + 80004f20: 05010113 addi sp,sp,80 + 80004f24: 00008067 ret + +0000000080004f28 : + 80004f28: 00014517 auipc a0,0x14 + 80004f2c: 50053503 ld a0,1280(a0) # 80019428 <_console_device> + 80004f30: 00008067 ret + +0000000080004f34 : + 80004f34: fe010113 addi sp,sp,-32 + 80004f38: 00913423 sd s1,8(sp) + 80004f3c: 00014497 auipc s1,0x14 + 80004f40: 4ec48493 addi s1,s1,1260 # 80019428 <_console_device> + 80004f44: 01213023 sd s2,0(sp) + 80004f48: 0004b903 ld s2,0(s1) + 80004f4c: 00113c23 sd ra,24(sp) + 80004f50: 00813823 sd s0,16(sp) + 80004f54: c84fe0ef jal ra,800033d8 + 80004f58: 04a90263 beq s2,a0,80004f9c + 80004f5c: 00050413 mv s0,a0 + 80004f60: 02050063 beqz a0,80004f80 + 80004f64: 0004b503 ld a0,0(s1) + 80004f68: 00050463 beqz a0,80004f70 + 80004f6c: e44fe0ef jal ra,800035b0 + 80004f70: 04300593 li a1,67 + 80004f74: 00040513 mv a0,s0 + 80004f78: ce0fe0ef jal ra,80003458 + 80004f7c: 0084b023 sd s0,0(s1) + 80004f80: 01813083 ld ra,24(sp) + 80004f84: 01013403 ld s0,16(sp) + 80004f88: 00813483 ld s1,8(sp) + 80004f8c: 00090513 mv a0,s2 + 80004f90: 00013903 ld s2,0(sp) + 80004f94: 02010113 addi sp,sp,32 + 80004f98: 00008067 ret + 80004f9c: 00000913 li s2,0 + 80004fa0: fe1ff06f j 80004f80 + +0000000080004fa4 : + 80004fa4: 00008067 ret + +0000000080004fa8 : + 80004fa8: f9010113 addi sp,sp,-112 + 80004fac: 02b13c23 sd a1,56(sp) + 80004fb0: 04c13023 sd a2,64(sp) + 80004fb4: 04d13423 sd a3,72(sp) + 80004fb8: 00050613 mv a2,a0 + 80004fbc: 03810693 addi a3,sp,56 + 80004fc0: 0ff00593 li a1,255 + 80004fc4: 0001d517 auipc a0,0x1d + 80004fc8: eac50513 addi a0,a0,-340 # 80021e70 + 80004fcc: 04f13c23 sd a5,88(sp) + 80004fd0: 00d13423 sd a3,8(sp) + 80004fd4: 02113423 sd ra,40(sp) + 80004fd8: 02813023 sd s0,32(sp) + 80004fdc: 00913c23 sd s1,24(sp) + 80004fe0: 04e13823 sd a4,80(sp) + 80004fe4: 07013023 sd a6,96(sp) + 80004fe8: 07113423 sd a7,104(sp) + 80004fec: 9a1ff0ef jal ra,8000498c + 80004ff0: 00014797 auipc a5,0x14 + 80004ff4: 43878793 addi a5,a5,1080 # 80019428 <_console_device> + 80004ff8: 00050693 mv a3,a0 + 80004ffc: 0007b503 ld a0,0(a5) + 80005000: 02051263 bnez a0,80005024 + 80005004: 0001d517 auipc a0,0x1d + 80005008: e6c50513 addi a0,a0,-404 # 80021e70 + 8000500c: f99ff0ef jal ra,80004fa4 + 80005010: 02813083 ld ra,40(sp) + 80005014: 02013403 ld s0,32(sp) + 80005018: 01813483 ld s1,24(sp) + 8000501c: 07010113 addi sp,sp,112 + 80005020: 00008067 ret + 80005024: 02e55483 lhu s1,46(a0) + 80005028: 00078413 mv s0,a5 + 8000502c: 0404e793 ori a5,s1,64 + 80005030: 02f51723 sh a5,46(a0) + 80005034: 0ff00793 li a5,255 + 80005038: 00d7f463 bgeu a5,a3,80005040 + 8000503c: 0ff00693 li a3,255 + 80005040: 0001d617 auipc a2,0x1d + 80005044: e3060613 addi a2,a2,-464 # 80021e70 + 80005048: 00000593 li a1,0 + 8000504c: ee4fe0ef jal ra,80003730 + 80005050: 00043783 ld a5,0(s0) + 80005054: 02979723 sh s1,46(a5) + 80005058: fb9ff06f j 80005010 + +000000008000505c : + 8000505c: ff010113 addi sp,sp,-16 + 80005060: 00009517 auipc a0,0x9 + 80005064: f9050513 addi a0,a0,-112 # 8000dff0 <__FUNCTION__.2736+0x78> + 80005068: 00113423 sd ra,8(sp) + 8000506c: f3dff0ef jal ra,80004fa8 + 80005070: 00009517 auipc a0,0x9 + 80005074: f9050513 addi a0,a0,-112 # 8000e000 <__FUNCTION__.2736+0x88> + 80005078: f31ff0ef jal ra,80004fa8 + 8000507c: 00009517 auipc a0,0x9 + 80005080: fbc50513 addi a0,a0,-68 # 8000e038 <__FUNCTION__.2736+0xc0> + 80005084: 00009717 auipc a4,0x9 + 80005088: fa470713 addi a4,a4,-92 # 8000e028 <__FUNCTION__.2736+0xb0> + 8000508c: 00400693 li a3,4 + 80005090: 00000613 li a2,0 + 80005094: 00400593 li a1,4 + 80005098: f11ff0ef jal ra,80004fa8 + 8000509c: 00813083 ld ra,8(sp) + 800050a0: 00009517 auipc a0,0x9 + 800050a4: fb850513 addi a0,a0,-72 # 8000e058 <__FUNCTION__.2736+0xe0> + 800050a8: 01010113 addi sp,sp,16 + 800050ac: efdff06f j 80004fa8 + +00000000800050b0 <__rt_ffs>: + 800050b0: 08050063 beqz a0,80005130 <__rt_ffs+0x80> + 800050b4: 0ff57713 andi a4,a0,255 + 800050b8: 00009697 auipc a3,0x9 + 800050bc: 00868693 addi a3,a3,8 # 8000e0c0 <__lowest_bit_bitmap> + 800050c0: 00070a63 beqz a4,800050d4 <__rt_ffs+0x24> + 800050c4: 00e686b3 add a3,a3,a4 + 800050c8: 0006c503 lbu a0,0(a3) + 800050cc: 0015051b addiw a0,a0,1 + 800050d0: 00008067 ret + 800050d4: 000107b7 lui a5,0x10 + 800050d8: f0078793 addi a5,a5,-256 # ff00 <__STACKSIZE__+0xbf00> + 800050dc: 00f577b3 and a5,a0,a5 + 800050e0: 00078e63 beqz a5,800050fc <__rt_ffs+0x4c> + 800050e4: 4085551b sraiw a0,a0,0x8 + 800050e8: 0ff57713 andi a4,a0,255 + 800050ec: 00e68733 add a4,a3,a4 + 800050f0: 00074503 lbu a0,0(a4) + 800050f4: 0095051b addiw a0,a0,9 + 800050f8: 00008067 ret + 800050fc: 00ff07b7 lui a5,0xff0 + 80005100: 00f577b3 and a5,a0,a5 + 80005104: 00078e63 beqz a5,80005120 <__rt_ffs+0x70> + 80005108: 4105551b sraiw a0,a0,0x10 + 8000510c: 0ff57793 andi a5,a0,255 + 80005110: 00f687b3 add a5,a3,a5 + 80005114: 0007c503 lbu a0,0(a5) # ff0000 <__STACKSIZE__+0xfec000> + 80005118: 0115051b addiw a0,a0,17 + 8000511c: 00008067 ret + 80005120: 0185551b srliw a0,a0,0x18 + 80005124: 00a68533 add a0,a3,a0 + 80005128: 00054503 lbu a0,0(a0) + 8000512c: 0195051b addiw a0,a0,25 + 80005130: 00008067 ret + +0000000080005134 : + 80005134: fe010113 addi sp,sp,-32 + 80005138: 00113c23 sd ra,24(sp) + 8000513c: 000107a3 sb zero,15(sp) + 80005140: 00014317 auipc t1,0x14 + 80005144: 2f033303 ld t1,752(t1) # 80019430 + 80005148: 02031a63 bnez t1,8000517c + 8000514c: 00060693 mv a3,a2 + 80005150: 00058613 mv a2,a1 + 80005154: 00050593 mv a1,a0 + 80005158: 00009517 auipc a0,0x9 + 8000515c: f3050513 addi a0,a0,-208 # 8000e088 <__FUNCTION__.2736+0x110> + 80005160: e49ff0ef jal ra,80004fa8 + 80005164: 00f14783 lbu a5,15(sp) + 80005168: 0ff7f793 andi a5,a5,255 + 8000516c: fe078ce3 beqz a5,80005164 + 80005170: 01813083 ld ra,24(sp) + 80005174: 02010113 addi sp,sp,32 + 80005178: 00008067 ret + 8000517c: 01813083 ld ra,24(sp) + 80005180: 02010113 addi sp,sp,32 + 80005184: 00030067 jr t1 + +0000000080005188 : + 80005188: 00000513 li a0,0 + 8000518c: 00008067 ret + +0000000080005190 : + 80005190: 00000513 li a0,0 + 80005194: 00008067 ret + +0000000080005198 : + 80005198: 00000513 li a0,0 + 8000519c: 00008067 ret + +00000000800051a0 : + 800051a0: 00000513 li a0,0 + 800051a4: 00008067 ret + +00000000800051a8 : + 800051a8: fe010113 addi sp,sp,-32 + 800051ac: 00813823 sd s0,16(sp) + 800051b0: 00913423 sd s1,8(sp) + 800051b4: 00113c23 sd ra,24(sp) + 800051b8: 0000c417 auipc s0,0xc + 800051bc: ee840413 addi s0,s0,-280 # 800110a0 <__rt_init_rti_board_start> + 800051c0: 0000c497 auipc s1,0xc + 800051c4: ee848493 addi s1,s1,-280 # 800110a8 <__rt_init_rti_board_end> + 800051c8: 00946c63 bltu s0,s1,800051e0 + 800051cc: 01813083 ld ra,24(sp) + 800051d0: 01013403 ld s0,16(sp) + 800051d4: 00813483 ld s1,8(sp) + 800051d8: 02010113 addi sp,sp,32 + 800051dc: 00008067 ret + 800051e0: 00043783 ld a5,0(s0) + 800051e4: 00840413 addi s0,s0,8 + 800051e8: 000780e7 jalr a5 + 800051ec: fddff06f j 800051c8 + +00000000800051f0 : + 800051f0: fe010113 addi sp,sp,-32 + 800051f4: 00813823 sd s0,16(sp) + 800051f8: 00913423 sd s1,8(sp) + 800051fc: 00113c23 sd ra,24(sp) + 80005200: 0000c417 auipc s0,0xc + 80005204: ea840413 addi s0,s0,-344 # 800110a8 <__rt_init_rti_board_end> + 80005208: 0000c497 auipc s1,0xc + 8000520c: ec048493 addi s1,s1,-320 # 800110c8 <__rt_init_rti_end> + 80005210: 00946c63 bltu s0,s1,80005228 + 80005214: 01813083 ld ra,24(sp) + 80005218: 01013403 ld s0,16(sp) + 8000521c: 00813483 ld s1,8(sp) + 80005220: 02010113 addi sp,sp,32 + 80005224: 00008067 ret + 80005228: 00043783 ld a5,0(s0) + 8000522c: 00840413 addi s0,s0,8 + 80005230: 000780e7 jalr a5 + 80005234: fddff06f j 80005210 + +0000000080005238 : + 80005238: ff010113 addi sp,sp,-16 + 8000523c: 00113423 sd ra,8(sp) + 80005240: fb1ff0ef jal ra,800051f0 + 80005244: 00813083 ld ra,8(sp) + 80005248: 01010113 addi sp,sp,16 + 8000524c: cb0fb06f j 800006fc
+ +0000000080005250 : + 80005250: ff010113 addi sp,sp,-16 + 80005254: 01400793 li a5,20 + 80005258: 00a00713 li a4,10 + 8000525c: 000046b7 lui a3,0x4 + 80005260: 00000613 li a2,0 + 80005264: 00000597 auipc a1,0x0 + 80005268: fd458593 addi a1,a1,-44 # 80005238 + 8000526c: 00009517 auipc a0,0x9 + 80005270: f8450513 addi a0,a0,-124 # 8000e1f0 + 80005274: 00813023 sd s0,0(sp) + 80005278: 00113423 sd ra,8(sp) + 8000527c: f1cfd0ef jal ra,80002998 + 80005280: 00050413 mv s0,a0 + 80005284: 00051e63 bnez a0,800052a0 + 80005288: 0cb00613 li a2,203 + 8000528c: 00009597 auipc a1,0x9 + 80005290: f7c58593 addi a1,a1,-132 # 8000e208 <__FUNCTION__.2659> + 80005294: 00009517 auipc a0,0x9 + 80005298: f6450513 addi a0,a0,-156 # 8000e1f8 + 8000529c: e99ff0ef jal ra,80005134 + 800052a0: 00040513 mv a0,s0 + 800052a4: 00013403 ld s0,0(sp) + 800052a8: 00813083 ld ra,8(sp) + 800052ac: 01010113 addi sp,sp,16 + 800052b0: b3dfd06f j 80002dec + +00000000800052b4 : + 800052b4: ff010113 addi sp,sp,-16 + 800052b8: 00113423 sd ra,8(sp) + 800052bc: d95fa0ef jal ra,80000050 + 800052c0: 990fb0ef jal ra,80000450 + 800052c4: d99ff0ef jal ra,8000505c + 800052c8: d95fb0ef jal ra,8000105c + 800052cc: d29fd0ef jal ra,80002ff4 + 800052d0: f81ff0ef jal ra,80005250 + 800052d4: d9dfb0ef jal ra,80001070 + 800052d8: fddfb0ef jal ra,800012b4 + 800052dc: e8dfd0ef jal ra,80003168 + 800052e0: 00813083 ld ra,8(sp) + 800052e4: 00000513 li a0,0 + 800052e8: 01010113 addi sp,sp,16 + 800052ec: 00008067 ret + +00000000800052f0 : + 800052f0: ff010113 addi sp,sp,-16 + 800052f4: 00113423 sd ra,8(sp) + 800052f8: fbdff0ef jal ra,800052b4 + 800052fc: 00813083 ld ra,8(sp) + 80005300: 00000513 li a0,0 + 80005304: 01010113 addi sp,sp,16 + 80005308: 00008067 ret + +000000008000530c : + 8000530c: ff010113 addi sp,sp,-16 + 80005310: 00113423 sd ra,8(sp) + 80005314: c18fb0ef jal ra,8000072c + 80005318: f14027f3 csrr a5,mhartid + 8000531c: 0027979b slliw a5,a5,0x2 + 80005320: 02079793 slli a5,a5,0x20 + 80005324: 02004737 lui a4,0x2004 + 80005328: 0207d793 srli a5,a5,0x20 + 8000532c: 00f707b3 add a5,a4,a5 + 80005330: 0200c737 lui a4,0x200c + 80005334: ff873703 ld a4,-8(a4) # 200bff8 <__STACKSIZE__+0x2007ff8> + 80005338: 000316b7 lui a3,0x31 + 8000533c: 00813083 ld ra,8(sp) + 80005340: d4068693 addi a3,a3,-704 # 30d40 <__STACKSIZE__+0x2cd40> + 80005344: 00d70733 add a4,a4,a3 + 80005348: 00e7b023 sd a4,0(a5) + 8000534c: 00000513 li a0,0 + 80005350: 01010113 addi sp,sp,16 + 80005354: 00008067 ret + +0000000080005358 : + 80005358: 08000713 li a4,128 + 8000535c: 304737f3 csrrc a5,mie,a4 + 80005360: 344737f3 csrrc a5,mip,a4 + 80005364: f14027f3 csrr a5,mhartid + 80005368: 0027979b slliw a5,a5,0x2 + 8000536c: 02079793 slli a5,a5,0x20 + 80005370: 020046b7 lui a3,0x2004 + 80005374: 0207d793 srli a5,a5,0x20 + 80005378: 00f687b3 add a5,a3,a5 + 8000537c: 0200c6b7 lui a3,0x200c + 80005380: ff86b683 ld a3,-8(a3) # 200bff8 <__STACKSIZE__+0x2007ff8> + 80005384: 00031637 lui a2,0x31 + 80005388: d4060613 addi a2,a2,-704 # 30d40 <__STACKSIZE__+0x2cd40> + 8000538c: 00c686b3 add a3,a3,a2 + 80005390: 00d7b023 sd a3,0(a5) + 80005394: 30472773 csrrs a4,mie,a4 + 80005398: 00000513 li a0,0 + 8000539c: 00008067 ret + +00000000800053a0 : + 800053a0: 00860613 addi a2,a2,8 + 800053a4: ff867613 andi a2,a2,-8 + 800053a8: 37ab77b7 lui a5,0x37ab7 + 800053ac: 00050813 mv a6,a0 + 800053b0: 00279793 slli a5,a5,0x2 + 800053b4: ef860513 addi a0,a2,-264 + 800053b8: 00050713 mv a4,a0 + 800053bc: eef78793 addi a5,a5,-273 # 37ab6eef <__STACKSIZE__+0x37ab2eef> + 800053c0: 00f73023 sd a5,0(a4) + 800053c4: 00870713 addi a4,a4,8 + 800053c8: fee61ce3 bne a2,a4,800053c0 + 800053cc: 00018793 mv a5,gp + 800053d0: f0f63823 sd a5,-240(a2) + 800053d4: 10850793 addi a5,a0,264 + 800053d8: fef63c23 sd a5,-8(a2) + 800053dc: 000087b7 lui a5,0x8 + 800053e0: 88078793 addi a5,a5,-1920 # 7880 <__STACKSIZE__+0x3880> + 800053e4: f0d63023 sd a3,-256(a2) + 800053e8: f4b63423 sd a1,-184(a2) + 800053ec: ef063c23 sd a6,-264(a2) + 800053f0: f0f63423 sd a5,-248(a2) + 800053f4: 00008067 ret + +00000000800053f8 : + 800053f8: 00014797 auipc a5,0x14 + 800053fc: 05078793 addi a5,a5,80 # 80019448 + 80005400: 0007b703 ld a4,0(a5) + 80005404: 00071663 bnez a4,80005410 + 80005408: 00014717 auipc a4,0x14 + 8000540c: 02a73823 sd a0,48(a4) # 80019438 + 80005410: 00014717 auipc a4,0x14 + 80005414: 02b73823 sd a1,48(a4) # 80019440 + 80005418: 00100713 li a4,1 + 8000541c: 00e7b023 sd a4,0(a5) + 80005420: 00008067 ret + +0000000080005424 : + 80005424: f0010113 addi sp,sp,-256 + 80005428: 00113423 sd ra,8(sp) + 8000542c: 300020f3 csrr ra,mstatus + 80005430: 00113823 sd ra,16(sp) + 80005434: 341020f3 csrr ra,mepc + 80005438: 00113023 sd ra,0(sp) + 8000543c: 02413023 sd tp,32(sp) + 80005440: 02513423 sd t0,40(sp) + 80005444: 02613823 sd t1,48(sp) + 80005448: 02713c23 sd t2,56(sp) + 8000544c: 04813023 sd s0,64(sp) + 80005450: 04913423 sd s1,72(sp) + 80005454: 04a13823 sd a0,80(sp) + 80005458: 04b13c23 sd a1,88(sp) + 8000545c: 06c13023 sd a2,96(sp) + 80005460: 06d13423 sd a3,104(sp) + 80005464: 06e13823 sd a4,112(sp) + 80005468: 06f13c23 sd a5,120(sp) + 8000546c: 09013023 sd a6,128(sp) + 80005470: 09113423 sd a7,136(sp) + 80005474: 09213823 sd s2,144(sp) + 80005478: 09313c23 sd s3,152(sp) + 8000547c: 0b413023 sd s4,160(sp) + 80005480: 0b513423 sd s5,168(sp) + 80005484: 0b613823 sd s6,176(sp) + 80005488: 0b713c23 sd s7,184(sp) + 8000548c: 0d813023 sd s8,192(sp) + 80005490: 0d913423 sd s9,200(sp) + 80005494: 0da13823 sd s10,208(sp) + 80005498: 0db13c23 sd s11,216(sp) + 8000549c: 0fc13023 sd t3,224(sp) + 800054a0: 0fd13423 sd t4,232(sp) + 800054a4: 0fe13823 sd t5,240(sp) + 800054a8: 0ff13c23 sd t6,248(sp) + 800054ac: 00010413 mv s0,sp + 800054b0: f14022f3 csrr t0,mhartid + 800054b4: 82818113 addi sp,gp,-2008 # 80011340 <__stack_start__> + 800054b8: 00128313 addi t1,t0,1 + 800054bc: 000043b7 lui t2,0x4 + 800054c0: 00000e13 li t3,0 + +00000000800054c4 : + 800054c4: 007e0e33 add t3,t3,t2 + 800054c8: fff30313 addi t1,t1,-1 + 800054cc: fe031ce3 bnez t1,800054c4 + 800054d0: 000e0313 mv t1,t3 + 800054d4: 00610133 add sp,sp,t1 + 800054d8: c50fe0ef jal ra,80003928 + 800054dc: 34202573 csrr a0,mcause + 800054e0: 341025f3 csrr a1,mepc + 800054e4: 00040613 mv a2,s0 + 800054e8: 358000ef jal ra,80005840 + 800054ec: c80fe0ef jal ra,8000396c + 800054f0: 00040113 mv sp,s0 + 800054f4: 00014417 auipc s0,0x14 + 800054f8: f5440413 addi s0,s0,-172 # 80019448 + 800054fc: 00042903 lw s2,0(s0) + 80005500: 02090463 beqz s2,80005528 + 80005504: 00042023 sw zero,0(s0) + 80005508: 00014417 auipc s0,0x14 + 8000550c: f3040413 addi s0,s0,-208 # 80019438 + 80005510: 00043483 ld s1,0(s0) + 80005514: 0024b023 sd sp,0(s1) + 80005518: 00014417 auipc s0,0x14 + 8000551c: f2840413 addi s0,s0,-216 # 80019440 + 80005520: 00043483 ld s1,0(s0) + 80005524: 0004b103 ld sp,0(s1) + +0000000080005528 : + 80005528: be5fa06f j 8000010c + +000000008000552c : + 8000552c: ff010113 addi sp,sp,-16 + 80005530: 00050593 mv a1,a0 + 80005534: 00009517 auipc a0,0x9 + 80005538: cec50513 addi a0,a0,-788 # 8000e220 <__FUNCTION__.2659+0x18> + 8000553c: 00113423 sd ra,8(sp) + 80005540: a69ff0ef jal ra,80004fa8 + 80005544: 00813083 ld ra,8(sp) + 80005548: 00000513 li a0,0 + 8000554c: 01010113 addi sp,sp,16 + 80005550: 00008067 ret + +0000000080005554 : + 80005554: 0001d797 auipc a5,0x1d + 80005558: a1c78793 addi a5,a5,-1508 # 80021f70 + 8000555c: 0001d717 auipc a4,0x1d + 80005560: 21470713 addi a4,a4,532 # 80022770 + 80005564: 00000697 auipc a3,0x0 + 80005568: fc868693 addi a3,a3,-56 # 8000552c + 8000556c: 00d7b023 sd a3,0(a5) + 80005570: 0007b423 sd zero,8(a5) + 80005574: 01078793 addi a5,a5,16 + 80005578: fee79ae3 bne a5,a4,8000556c + 8000557c: 00008067 ret + +0000000080005580 : + 80005580: ff010113 addi sp,sp,-16 + 80005584: 00813023 sd s0,0(sp) + 80005588: 00050413 mv s0,a0 + 8000558c: 00009517 auipc a0,0x9 + 80005590: e5450513 addi a0,a0,-428 # 8000e3e0 <__FUNCTION__.2659+0x1d8> + 80005594: 00113423 sd ra,8(sp) + 80005598: a11ff0ef jal ra,80004fa8 + 8000559c: 00009517 auipc a0,0x9 + 800055a0: e7450513 addi a0,a0,-396 # 8000e410 <__FUNCTION__.2659+0x208> + 800055a4: a05ff0ef jal ra,80004fa8 + 800055a8: 10043603 ld a2,256(s0) + 800055ac: 00843583 ld a1,8(s0) + 800055b0: 00009517 auipc a0,0x9 + 800055b4: e7850513 addi a0,a0,-392 # 8000e428 <__FUNCTION__.2659+0x220> + 800055b8: 9f1ff0ef jal ra,80004fa8 + 800055bc: 02043603 ld a2,32(s0) + 800055c0: 01843583 ld a1,24(s0) + 800055c4: 00009517 auipc a0,0x9 + 800055c8: e8450513 addi a0,a0,-380 # 8000e448 <__FUNCTION__.2659+0x240> + 800055cc: 9ddff0ef jal ra,80004fa8 + 800055d0: 00009517 auipc a0,0x9 + 800055d4: e9850513 addi a0,a0,-360 # 8000e468 <__FUNCTION__.2659+0x260> + 800055d8: 9d1ff0ef jal ra,80004fa8 + 800055dc: 03043603 ld a2,48(s0) + 800055e0: 02843583 ld a1,40(s0) + 800055e4: 00009517 auipc a0,0x9 + 800055e8: e9c50513 addi a0,a0,-356 # 8000e480 <__FUNCTION__.2659+0x278> + 800055ec: 9bdff0ef jal ra,80004fa8 + 800055f0: 03843583 ld a1,56(s0) + 800055f4: 00009517 auipc a0,0x9 + 800055f8: eac50513 addi a0,a0,-340 # 8000e4a0 <__FUNCTION__.2659+0x298> + 800055fc: 9adff0ef jal ra,80004fa8 + 80005600: 0e843603 ld a2,232(s0) + 80005604: 0e043583 ld a1,224(s0) + 80005608: 00009517 auipc a0,0x9 + 8000560c: ea850513 addi a0,a0,-344 # 8000e4b0 <__FUNCTION__.2659+0x2a8> + 80005610: 999ff0ef jal ra,80004fa8 + 80005614: 0f843603 ld a2,248(s0) + 80005618: 0f043583 ld a1,240(s0) + 8000561c: 00009517 auipc a0,0x9 + 80005620: eb450513 addi a0,a0,-332 # 8000e4d0 <__FUNCTION__.2659+0x2c8> + 80005624: 985ff0ef jal ra,80004fa8 + 80005628: 00009517 auipc a0,0x9 + 8000562c: ec850513 addi a0,a0,-312 # 8000e4f0 <__FUNCTION__.2659+0x2e8> + 80005630: 979ff0ef jal ra,80004fa8 + 80005634: 04843603 ld a2,72(s0) + 80005638: 04043583 ld a1,64(s0) + 8000563c: 00009517 auipc a0,0x9 + 80005640: ecc50513 addi a0,a0,-308 # 8000e508 <__FUNCTION__.2659+0x300> + 80005644: 965ff0ef jal ra,80004fa8 + 80005648: 09843603 ld a2,152(s0) + 8000564c: 09043583 ld a1,144(s0) + 80005650: 00009517 auipc a0,0x9 + 80005654: ee050513 addi a0,a0,-288 # 8000e530 <__FUNCTION__.2659+0x328> + 80005658: 951ff0ef jal ra,80004fa8 + 8000565c: 0a843603 ld a2,168(s0) + 80005660: 0a043583 ld a1,160(s0) + 80005664: 00009517 auipc a0,0x9 + 80005668: eec50513 addi a0,a0,-276 # 8000e550 <__FUNCTION__.2659+0x348> + 8000566c: 93dff0ef jal ra,80004fa8 + 80005670: 0b843603 ld a2,184(s0) + 80005674: 0b043583 ld a1,176(s0) + 80005678: 00009517 auipc a0,0x9 + 8000567c: ef850513 addi a0,a0,-264 # 8000e570 <__FUNCTION__.2659+0x368> + 80005680: 929ff0ef jal ra,80004fa8 + 80005684: 0c843603 ld a2,200(s0) + 80005688: 0c043583 ld a1,192(s0) + 8000568c: 00009517 auipc a0,0x9 + 80005690: f0450513 addi a0,a0,-252 # 8000e590 <__FUNCTION__.2659+0x388> + 80005694: 915ff0ef jal ra,80004fa8 + 80005698: 0d843603 ld a2,216(s0) + 8000569c: 0d043583 ld a1,208(s0) + 800056a0: 00009517 auipc a0,0x9 + 800056a4: f1050513 addi a0,a0,-240 # 8000e5b0 <__FUNCTION__.2659+0x3a8> + 800056a8: 901ff0ef jal ra,80004fa8 + 800056ac: 00009517 auipc a0,0x9 + 800056b0: f2c50513 addi a0,a0,-212 # 8000e5d8 <__FUNCTION__.2659+0x3d0> + 800056b4: 8f5ff0ef jal ra,80004fa8 + 800056b8: 05843603 ld a2,88(s0) + 800056bc: 05043583 ld a1,80(s0) + 800056c0: 00009517 auipc a0,0x9 + 800056c4: f3850513 addi a0,a0,-200 # 8000e5f8 <__FUNCTION__.2659+0x3f0> + 800056c8: 8e1ff0ef jal ra,80004fa8 + 800056cc: 06843603 ld a2,104(s0) + 800056d0: 06043583 ld a1,96(s0) + 800056d4: 00009517 auipc a0,0x9 + 800056d8: f4450513 addi a0,a0,-188 # 8000e618 <__FUNCTION__.2659+0x410> + 800056dc: 8cdff0ef jal ra,80004fa8 + 800056e0: 07843603 ld a2,120(s0) + 800056e4: 07043583 ld a1,112(s0) + 800056e8: 00009517 auipc a0,0x9 + 800056ec: f5050513 addi a0,a0,-176 # 8000e638 <__FUNCTION__.2659+0x430> + 800056f0: 8b9ff0ef jal ra,80004fa8 + 800056f4: 08843603 ld a2,136(s0) + 800056f8: 08043583 ld a1,128(s0) + 800056fc: 00009517 auipc a0,0x9 + 80005700: f5c50513 addi a0,a0,-164 # 8000e658 <__FUNCTION__.2659+0x450> + 80005704: 8a5ff0ef jal ra,80004fa8 + 80005708: 01043583 ld a1,16(s0) + 8000570c: 00009517 auipc a0,0x9 + 80005710: f6c50513 addi a0,a0,-148 # 8000e678 <__FUNCTION__.2659+0x470> + 80005714: 895ff0ef jal ra,80004fa8 + 80005718: 01043783 ld a5,16(s0) + 8000571c: 00009597 auipc a1,0x9 + 80005720: b4c58593 addi a1,a1,-1204 # 8000e268 <__FUNCTION__.2659+0x60> + 80005724: 0027f793 andi a5,a5,2 + 80005728: 00078663 beqz a5,80005734 + 8000572c: 00009597 auipc a1,0x9 + 80005730: b1c58593 addi a1,a1,-1252 # 8000e248 <__FUNCTION__.2659+0x40> + 80005734: 00009517 auipc a0,0x9 + 80005738: f5450513 addi a0,a0,-172 # 8000e688 <__FUNCTION__.2659+0x480> + 8000573c: 86dff0ef jal ra,80004fa8 + 80005740: 01043783 ld a5,16(s0) + 80005744: 00009597 auipc a1,0x9 + 80005748: b4458593 addi a1,a1,-1212 # 8000e288 <__FUNCTION__.2659+0x80> + 8000574c: 0207f793 andi a5,a5,32 + 80005750: 00079663 bnez a5,8000575c + 80005754: 00009597 auipc a1,0x9 + 80005758: b5c58593 addi a1,a1,-1188 # 8000e2b0 <__FUNCTION__.2659+0xa8> + 8000575c: 00009517 auipc a0,0x9 + 80005760: f2c50513 addi a0,a0,-212 # 8000e688 <__FUNCTION__.2659+0x480> + 80005764: 845ff0ef jal ra,80004fa8 + 80005768: 01043783 ld a5,16(s0) + 8000576c: 00009597 auipc a1,0x9 + 80005770: b6c58593 addi a1,a1,-1172 # 8000e2d8 <__FUNCTION__.2659+0xd0> + 80005774: 1007f793 andi a5,a5,256 + 80005778: 00079663 bnez a5,80005784 + 8000577c: 00009597 auipc a1,0x9 + 80005780: b8458593 addi a1,a1,-1148 # 8000e300 <__FUNCTION__.2659+0xf8> + 80005784: 00009517 auipc a0,0x9 + 80005788: f0450513 addi a0,a0,-252 # 8000e688 <__FUNCTION__.2659+0x480> + 8000578c: 81dff0ef jal ra,80004fa8 + 80005790: 01043783 ld a5,16(s0) + 80005794: 00009597 auipc a1,0x9 + 80005798: b8c58593 addi a1,a1,-1140 # 8000e320 <__FUNCTION__.2659+0x118> + 8000579c: 02d79713 slli a4,a5,0x2d + 800057a0: 00074663 bltz a4,800057ac + 800057a4: 00009597 auipc a1,0x9 + 800057a8: b9c58593 addi a1,a1,-1124 # 8000e340 <__FUNCTION__.2659+0x138> + 800057ac: 00009517 auipc a0,0x9 + 800057b0: edc50513 addi a0,a0,-292 # 8000e688 <__FUNCTION__.2659+0x480> + 800057b4: ff4ff0ef jal ra,80004fa8 + 800057b8: 01043783 ld a5,16(s0) + 800057bc: 00009597 auipc a1,0x9 + 800057c0: ba458593 addi a1,a1,-1116 # 8000e360 <__FUNCTION__.2659+0x158> + 800057c4: 02c79713 slli a4,a5,0x2c + 800057c8: 00074663 bltz a4,800057d4 + 800057cc: 00009597 auipc a1,0x9 + 800057d0: bbc58593 addi a1,a1,-1092 # 8000e388 <__FUNCTION__.2659+0x180> + 800057d4: 00009517 auipc a0,0x9 + 800057d8: eb450513 addi a0,a0,-332 # 8000e688 <__FUNCTION__.2659+0x480> + 800057dc: fccff0ef jal ra,80004fa8 + 800057e0: 18002473 csrr s0,satp + 800057e4: 00009517 auipc a0,0x9 + 800057e8: eac50513 addi a0,a0,-340 # 8000e690 <__FUNCTION__.2659+0x488> + 800057ec: 00040593 mv a1,s0 + 800057f0: fb8ff0ef jal ra,80004fa8 + 800057f4: 03c45413 srli s0,s0,0x3c + 800057f8: 00900793 li a5,9 + 800057fc: 00009597 auipc a1,0x9 + 80005800: bb458593 addi a1,a1,-1100 # 8000e3b0 <__FUNCTION__.2659+0x1a8> + 80005804: 0087ec63 bltu a5,s0,8000581c + 80005808: 00009597 auipc a1,0x9 + 8000580c: 16858593 addi a1,a1,360 # 8000e970 + 80005810: 00341413 slli s0,s0,0x3 + 80005814: 00858433 add s0,a1,s0 + 80005818: 00043583 ld a1,0(s0) + 8000581c: 00009517 auipc a0,0x9 + 80005820: e8450513 addi a0,a0,-380 # 8000e6a0 <__FUNCTION__.2659+0x498> + 80005824: f84ff0ef jal ra,80004fa8 + 80005828: 00013403 ld s0,0(sp) + 8000582c: 00813083 ld ra,8(sp) + 80005830: 00009517 auipc a0,0x9 + 80005834: e8050513 addi a0,a0,-384 # 8000e6b0 <__FUNCTION__.2659+0x4a8> + 80005838: 01010113 addi sp,sp,16 + 8000583c: f6cff06f j 80004fa8 + +0000000080005840 : + 80005840: fd010113 addi sp,sp,-48 + 80005844: 02813023 sd s0,32(sp) + 80005848: 02113423 sd ra,40(sp) + 8000584c: 00913c23 sd s1,24(sp) + 80005850: 01213823 sd s2,16(sp) + 80005854: 01313423 sd s3,8(sp) + 80005858: 01413023 sd s4,0(sp) + 8000585c: 0005041b sext.w s0,a0 + 80005860: 08055063 bgez a0,800058e0 + 80005864: 00900793 li a5,9 + 80005868: 04f40463 beq s0,a5,800058b0 + 8000586c: 0087cc63 blt a5,s0,80005884 + 80005870: ffd47413 andi s0,s0,-3 + 80005874: 00500793 li a5,5 + 80005878: 00f41a63 bne s0,a5,8000588c + 8000587c: a91ff0ef jal ra,8000530c + 80005880: 00c0006f j 8000588c + 80005884: 00b00793 li a5,11 + 80005888: 02f40463 beq s0,a5,800058b0 + 8000588c: 02013403 ld s0,32(sp) + 80005890: 02813083 ld ra,40(sp) + 80005894: 01813483 ld s1,24(sp) + 80005898: 01013903 ld s2,16(sp) + 8000589c: 00813983 ld s3,8(sp) + 800058a0: 00013a03 ld s4,0(sp) + 800058a4: 00000513 li a0,0 + 800058a8: 03010113 addi sp,sp,48 + 800058ac: facfa06f j 80000058 + 800058b0: c15fa0ef jal ra,800004c4 + 800058b4: 00050413 mv s0,a0 + 800058b8: c25fa0ef jal ra,800004dc + 800058bc: 00441713 slli a4,s0,0x4 + 800058c0: 0001c797 auipc a5,0x1c + 800058c4: 6b078793 addi a5,a5,1712 # 80021f70 + 800058c8: 00e787b3 add a5,a5,a4 + 800058cc: 0007b703 ld a4,0(a5) + 800058d0: 0087b583 ld a1,8(a5) + 800058d4: 00040513 mv a0,s0 + 800058d8: 000700e7 jalr a4 + 800058dc: fb1ff06f j 8000588c + 800058e0: 00050493 mv s1,a0 + 800058e4: 00058a13 mv s4,a1 + 800058e8: 00060913 mv s2,a2 + 800058ec: 00068993 mv s3,a3 + 800058f0: f60fa0ef jal ra,80000050 + 800058f4: 00048593 mv a1,s1 + 800058f8: 00090693 mv a3,s2 + 800058fc: 000a0613 mv a2,s4 + 80005900: 00009517 auipc a0,0x9 + 80005904: de050513 addi a0,a0,-544 # 8000e6e0 <__FUNCTION__.2659+0x4d8> + 80005908: ea0ff0ef jal ra,80004fa8 + 8000590c: f85fc0ef jal ra,80002890 + 80005910: 00050493 mv s1,a0 + 80005914: 00009517 auipc a0,0x9 + 80005918: df450513 addi a0,a0,-524 # 8000e708 <__FUNCTION__.2659+0x500> + 8000591c: e8cff0ef jal ra,80004fa8 + 80005920: 00b00793 li a5,11 + 80005924: 0e87e863 bltu a5,s0,80005a14 + 80005928: 00009717 auipc a4,0x9 + 8000592c: f9070713 addi a4,a4,-112 # 8000e8b8 <__FUNCTION__.2659+0x6b0> + 80005930: 00241413 slli s0,s0,0x2 + 80005934: 00e40433 add s0,s0,a4 + 80005938: 00042783 lw a5,0(s0) + 8000593c: 00e787b3 add a5,a5,a4 + 80005940: 00078067 jr a5 + 80005944: 00009517 auipc a0,0x9 + 80005948: dd450513 addi a0,a0,-556 # 8000e718 <__FUNCTION__.2659+0x510> + 8000594c: e5cff0ef jal ra,80004fa8 + 80005950: 00009517 auipc a0,0x9 + 80005954: 08050513 addi a0,a0,128 # 8000e9d0 + 80005958: e50ff0ef jal ra,80004fa8 + 8000595c: 00098513 mv a0,s3 + 80005960: c21ff0ef jal ra,80005580 + 80005964: 00090593 mv a1,s2 + 80005968: 00009517 auipc a0,0x9 + 8000596c: f2050513 addi a0,a0,-224 # 8000e888 <__FUNCTION__.2659+0x680> + 80005970: e38ff0ef jal ra,80004fa8 + 80005974: 00048613 mv a2,s1 + 80005978: 01400593 li a1,20 + 8000597c: 00009517 auipc a0,0x9 + 80005980: f2450513 addi a0,a0,-220 # 8000e8a0 <__FUNCTION__.2659+0x698> + 80005984: e24ff0ef jal ra,80004fa8 + 80005988: 360000ef jal ra,80005ce8 + 8000598c: 0000006f j 8000598c + 80005990: 00009517 auipc a0,0x9 + 80005994: da850513 addi a0,a0,-600 # 8000e738 <__FUNCTION__.2659+0x530> + 80005998: fb5ff06f j 8000594c + 8000599c: 00009517 auipc a0,0x9 + 800059a0: dbc50513 addi a0,a0,-580 # 8000e758 <__FUNCTION__.2659+0x550> + 800059a4: fa9ff06f j 8000594c + 800059a8: 00009517 auipc a0,0x9 + 800059ac: dc850513 addi a0,a0,-568 # 8000e770 <__FUNCTION__.2659+0x568> + 800059b0: f9dff06f j 8000594c + 800059b4: 00009517 auipc a0,0x9 + 800059b8: dcc50513 addi a0,a0,-564 # 8000e780 <__FUNCTION__.2659+0x578> + 800059bc: f91ff06f j 8000594c + 800059c0: 00009517 auipc a0,0x9 + 800059c4: dd850513 addi a0,a0,-552 # 8000e798 <__FUNCTION__.2659+0x590> + 800059c8: f85ff06f j 8000594c + 800059cc: 00009517 auipc a0,0x9 + 800059d0: de450513 addi a0,a0,-540 # 8000e7b0 <__FUNCTION__.2659+0x5a8> + 800059d4: f79ff06f j 8000594c + 800059d8: 00009517 auipc a0,0x9 + 800059dc: df850513 addi a0,a0,-520 # 8000e7d0 <__FUNCTION__.2659+0x5c8> + 800059e0: f6dff06f j 8000594c + 800059e4: 00009517 auipc a0,0x9 + 800059e8: e0450513 addi a0,a0,-508 # 8000e7e8 <__FUNCTION__.2659+0x5e0> + 800059ec: f61ff06f j 8000594c + 800059f0: 00009517 auipc a0,0x9 + 800059f4: e1850513 addi a0,a0,-488 # 8000e808 <__FUNCTION__.2659+0x600> + 800059f8: f55ff06f j 8000594c + 800059fc: 00009517 auipc a0,0x9 + 80005a00: e2c50513 addi a0,a0,-468 # 8000e828 <__FUNCTION__.2659+0x620> + 80005a04: f49ff06f j 8000594c + 80005a08: 00009517 auipc a0,0x9 + 80005a0c: e4050513 addi a0,a0,-448 # 8000e848 <__FUNCTION__.2659+0x640> + 80005a10: f3dff06f j 8000594c + 80005a14: 00040593 mv a1,s0 + 80005a18: 00009517 auipc a0,0x9 + 80005a1c: e5050513 addi a0,a0,-432 # 8000e868 <__FUNCTION__.2659+0x660> + 80005a20: d88ff0ef jal ra,80004fa8 + 80005a24: f2dff06f j 80005950 + +0000000080005a28 : + 80005a28: 00050793 mv a5,a0 + 80005a2c: 00050713 mv a4,a0 + 80005a30: 00000513 li a0,0 + 80005a34: 00073703 ld a4,0(a4) + 80005a38: 00f71463 bne a4,a5,80005a40 + 80005a3c: 00008067 ret + 80005a40: 0015051b addiw a0,a0,1 + 80005a44: ff1ff06f j 80005a34 + +0000000080005a48 : + 80005a48: ff010113 addi sp,sp,-16 + 80005a4c: 00009517 auipc a0,0x9 + 80005a50: f7450513 addi a0,a0,-140 # 8000e9c0 + 80005a54: 00113423 sd ra,8(sp) + 80005a58: d50ff0ef jal ra,80004fa8 + 80005a5c: 00813083 ld ra,8(sp) + 80005a60: 00000513 li a0,0 + 80005a64: 01010113 addi sp,sp,16 + 80005a68: 00008067 ret + +0000000080005a6c : + 80005a6c: ff010113 addi sp,sp,-16 + 80005a70: 00009517 auipc a0,0x9 + 80005a74: f6850513 addi a0,a0,-152 # 8000e9d8 + 80005a78: 00113423 sd ra,8(sp) + 80005a7c: d2cff0ef jal ra,80004fa8 + 80005a80: 00813083 ld ra,8(sp) + 80005a84: 00000513 li a0,0 + 80005a88: 01010113 addi sp,sp,16 + 80005a8c: 00008067 ret + +0000000080005a90 : + 80005a90: fd010113 addi sp,sp,-48 + 80005a94: 00913c23 sd s1,24(sp) + 80005a98: 00053483 ld s1,0(a0) + 80005a9c: 02813023 sd s0,32(sp) + 80005aa0: 01213823 sd s2,16(sp) + 80005aa4: 01313423 sd s3,8(sp) + 80005aa8: 02113423 sd ra,40(sp) + 80005aac: 00050413 mv s0,a0 + 80005ab0: 00009917 auipc s2,0x9 + 80005ab4: f3090913 addi s2,s2,-208 # 8000e9e0 + 80005ab8: 00009997 auipc s3,0x9 + 80005abc: f3098993 addi s3,s3,-208 # 8000e9e8 + 80005ac0: 02849063 bne s1,s0,80005ae0 + 80005ac4: 02813083 ld ra,40(sp) + 80005ac8: 02013403 ld s0,32(sp) + 80005acc: 01813483 ld s1,24(sp) + 80005ad0: 01013903 ld s2,16(sp) + 80005ad4: 00813983 ld s3,8(sp) + 80005ad8: 03010113 addi sp,sp,48 + 80005adc: 00008067 ret + 80005ae0: fd848593 addi a1,s1,-40 + 80005ae4: 00090513 mv a0,s2 + 80005ae8: cc0ff0ef jal ra,80004fa8 + 80005aec: 0004b783 ld a5,0(s1) + 80005af0: 00878663 beq a5,s0,80005afc + 80005af4: 00098513 mv a0,s3 + 80005af8: cb0ff0ef jal ra,80004fa8 + 80005afc: 0004b483 ld s1,0(s1) + 80005b00: fc1ff06f j 80005ac0 + +0000000080005b04 : + 80005b04: ff010113 addi sp,sp,-16 + 80005b08: 00113423 sd ra,8(sp) + 80005b0c: d50ff0ef jal ra,8000505c + 80005b10: 00813083 ld ra,8(sp) + 80005b14: 00000513 li a0,0 + 80005b18: 01010113 addi sp,sp,16 + 80005b1c: 00008067 ret + +0000000080005b20 : + 80005b20: fd010113 addi sp,sp,-48 + 80005b24: 00009517 auipc a0,0x9 + 80005b28: ecc50513 addi a0,a0,-308 # 8000e9f0 + 80005b2c: 02813023 sd s0,32(sp) + 80005b30: 01213823 sd s2,16(sp) + 80005b34: 01313423 sd s3,8(sp) + 80005b38: 01413023 sd s4,0(sp) + 80005b3c: 02113423 sd ra,40(sp) + 80005b40: 00913c23 sd s1,24(sp) + 80005b44: 00014917 auipc s2,0x14 + 80005b48: 91490913 addi s2,s2,-1772 # 80019458 <_syscall_table_end> + 80005b4c: c5cff0ef jal ra,80004fa8 + 80005b50: 00009997 auipc s3,0x9 + 80005b54: eb898993 addi s3,s3,-328 # 8000ea08 + 80005b58: 00014417 auipc s0,0x14 + 80005b5c: 8f843403 ld s0,-1800(s0) # 80019450 <_syscall_table_begin> + 80005b60: 00009a17 auipc s4,0x9 + 80005b64: eb0a0a13 addi s4,s4,-336 # 8000ea10 + 80005b68: 00093783 ld a5,0(s2) + 80005b6c: 02f46463 bltu s0,a5,80005b94 + 80005b70: 02813083 ld ra,40(sp) + 80005b74: 02013403 ld s0,32(sp) + 80005b78: 01813483 ld s1,24(sp) + 80005b7c: 01013903 ld s2,16(sp) + 80005b80: 00813983 ld s3,8(sp) + 80005b84: 00013a03 ld s4,0(sp) + 80005b88: 00000513 li a0,0 + 80005b8c: 03010113 addi sp,sp,48 + 80005b90: 00008067 ret + 80005b94: 00043483 ld s1,0(s0) + 80005b98: 00200613 li a2,2 + 80005b9c: 00098593 mv a1,s3 + 80005ba0: 00048513 mv a0,s1 + 80005ba4: ac9fa0ef jal ra,8000066c + 80005ba8: 00050a63 beqz a0,80005bbc + 80005bac: 00843603 ld a2,8(s0) + 80005bb0: 00048593 mv a1,s1 + 80005bb4: 000a0513 mv a0,s4 + 80005bb8: bf0ff0ef jal ra,80004fa8 + 80005bbc: 01840413 addi s0,s0,24 + 80005bc0: fa9ff06f j 80005b68 + +0000000080005bc4 : + 80005bc4: 0145a783 lw a5,20(a1) + 80005bc8: 0005ac23 sw zero,24(a1) + 80005bcc: 0c078863 beqz a5,80005c9c + 80005bd0: 0105c783 lbu a5,16(a1) + 80005bd4: fd010113 addi sp,sp,-48 + 80005bd8: 02813023 sd s0,32(sp) + 80005bdc: 00913c23 sd s1,24(sp) + 80005be0: 02113423 sd ra,40(sp) + 80005be4: 01213823 sd s2,16(sp) + 80005be8: 01313423 sd s3,8(sp) + 80005bec: 00050413 mv s0,a0 + 80005bf0: 00058493 mv s1,a1 + 80005bf4: 00000513 li a0,0 + 80005bf8: 02078e63 beqz a5,80005c34 + 80005bfc: 0005b983 ld s3,0(a1) + 80005c00: 00000913 li s2,0 + 80005c04: 00041663 bnez s0,80005c10 + 80005c08: 00098413 mv s0,s3 + 80005c0c: 00100913 li s2,1 + 80005c10: c40fa0ef jal ra,80000050 + 80005c14: 00050713 mv a4,a0 + 80005c18: 02091c63 bnez s2,80005c50 + 80005c1c: ffc44783 lbu a5,-4(s0) + 80005c20: 0104c683 lbu a3,16(s1) + 80005c24: 07f7f793 andi a5,a5,127 + 80005c28: 02f68463 beq a3,a5,80005c50 + 80005c2c: c2cfa0ef jal ra,80000058 + 80005c30: 00000513 li a0,0 + 80005c34: 02813083 ld ra,40(sp) + 80005c38: 02013403 ld s0,32(sp) + 80005c3c: 01813483 ld s1,24(sp) + 80005c40: 01013903 ld s2,16(sp) + 80005c44: 00813983 ld s3,8(sp) + 80005c48: 03010113 addi sp,sp,48 + 80005c4c: 00008067 ret + 80005c50: 0084b603 ld a2,8(s1) + 80005c54: 00000793 li a5,0 + 80005c58: 00043403 ld s0,0(s0) + 80005c5c: 0007891b sext.w s2,a5 + 80005c60: 02898a63 beq s3,s0,80005c94 + 80005c64: 00379693 slli a3,a5,0x3 + 80005c68: 0144a903 lw s2,20(s1) + 80005c6c: 00d606b3 add a3,a2,a3 + 80005c70: 00178793 addi a5,a5,1 + 80005c74: 0086b023 sd s0,0(a3) + 80005c78: 0007869b sext.w a3,a5 + 80005c7c: fcd91ee3 bne s2,a3,80005c58 + 80005c80: 00070513 mv a0,a4 + 80005c84: bd4fa0ef jal ra,80000058 + 80005c88: 00040513 mv a0,s0 + 80005c8c: 0124ac23 sw s2,24(s1) + 80005c90: fa5ff06f j 80005c34 + 80005c94: 00000413 li s0,0 + 80005c98: fe9ff06f j 80005c80 + 80005c9c: 00000513 li a0,0 + 80005ca0: 00008067 ret + +0000000080005ca4 : + 80005ca4: fe010113 addi sp,sp,-32 + 80005ca8: 00813823 sd s0,16(sp) + 80005cac: 00913423 sd s1,8(sp) + 80005cb0: 00113c23 sd ra,24(sp) + 80005cb4: 01500413 li s0,21 + 80005cb8: 00009497 auipc s1,0x9 + 80005cbc: d6848493 addi s1,s1,-664 # 8000ea20 + 80005cc0: fff4041b addiw s0,s0,-1 + 80005cc4: 00041c63 bnez s0,80005cdc + 80005cc8: 01813083 ld ra,24(sp) + 80005ccc: 01013403 ld s0,16(sp) + 80005cd0: 00813483 ld s1,8(sp) + 80005cd4: 02010113 addi sp,sp,32 + 80005cd8: 00008067 ret + 80005cdc: 00048513 mv a0,s1 + 80005ce0: ac8ff0ef jal ra,80004fa8 + 80005ce4: fddff06f j 80005cc0 + +0000000080005ce8 : + 80005ce8: e2010113 addi sp,sp,-480 + 80005cec: 00100513 li a0,1 + 80005cf0: 1c113c23 sd ra,472(sp) + 80005cf4: 1c913423 sd s1,456(sp) + 80005cf8: 1b413823 sd s4,432(sp) + 80005cfc: 1b513423 sd s5,424(sp) + 80005d00: 1b613023 sd s6,416(sp) + 80005d04: 19713c23 sd s7,408(sp) + 80005d08: 1c813823 sd s0,464(sp) + 80005d0c: 1d213023 sd s2,448(sp) + 80005d10: 1b313c23 sd s3,440(sp) + 80005d14: 19813823 sd s8,400(sp) + 80005d18: 19913423 sd s9,392(sp) + 80005d1c: 19a13023 sd s10,384(sp) + 80005d20: 17b13c23 sd s11,376(sp) + 80005d24: b18fc0ef jal ra,8000203c + 80005d28: 00850513 addi a0,a0,8 + 80005d2c: 00100793 li a5,1 + 80005d30: 00009617 auipc a2,0x9 + 80005d34: cf860613 addi a2,a2,-776 # 8000ea28 + 80005d38: 01400593 li a1,20 + 80005d3c: 02a13423 sd a0,40(sp) + 80005d40: 02f10c23 sb a5,56(sp) + 80005d44: 00009517 auipc a0,0x9 + 80005d48: cec50513 addi a0,a0,-788 # 8000ea30 + 80005d4c: 00800793 li a5,8 + 80005d50: 04810a13 addi s4,sp,72 + 80005d54: 02f12e23 sw a5,60(sp) + 80005d58: 03413823 sd s4,48(sp) + 80005d5c: 04012023 sw zero,64(sp) + 80005d60: a48ff0ef jal ra,80004fa8 + 80005d64: f41ff0ef jal ra,80005ca4 + 80005d68: 00009517 auipc a0,0x9 + 80005d6c: d1050513 addi a0,a0,-752 # 8000ea78 + 80005d70: a38ff0ef jal ra,80004fa8 + 80005d74: 00000493 li s1,0 + 80005d78: 00009a97 auipc s5,0x9 + 80005d7c: d40a8a93 addi s5,s5,-704 # 8000eab8 + 80005d80: 00100b13 li s6,1 + 80005d84: 00009b97 auipc s7,0x9 + 80005d88: d94b8b93 addi s7,s7,-620 # 8000eb18 + 80005d8c: 00048513 mv a0,s1 + 80005d90: 02810593 addi a1,sp,40 + 80005d94: e31ff0ef jal ra,80005bc4 + 80005d98: 00050493 mv s1,a0 + 80005d9c: 000a0993 mv s3,s4 + 80005da0: 00000913 li s2,0 + 80005da4: 02300c13 li s8,35 + 80005da8: 00200c93 li s9,2 + 80005dac: 00400d13 li s10,4 + 80005db0: 00300d93 li s11,3 + 80005db4: 04012783 lw a5,64(sp) + 80005db8: 04f94463 blt s2,a5,80005e00 + 80005dbc: fc0498e3 bnez s1,80005d8c + 80005dc0: 1d813083 ld ra,472(sp) + 80005dc4: 1d013403 ld s0,464(sp) + 80005dc8: 1c813483 ld s1,456(sp) + 80005dcc: 1c013903 ld s2,448(sp) + 80005dd0: 1b813983 ld s3,440(sp) + 80005dd4: 1b013a03 ld s4,432(sp) + 80005dd8: 1a813a83 ld s5,424(sp) + 80005ddc: 1a013b03 ld s6,416(sp) + 80005de0: 19813b83 ld s7,408(sp) + 80005de4: 19013c03 ld s8,400(sp) + 80005de8: 18813c83 ld s9,392(sp) + 80005dec: 18013d03 ld s10,384(sp) + 80005df0: 17813d83 ld s11,376(sp) + 80005df4: 00000513 li a0,0 + 80005df8: 1e010113 addi sp,sp,480 + 80005dfc: 00008067 ret + 80005e00: 0009b403 ld s0,0(s3) + 80005e04: a4cfa0ef jal ra,80000050 + 80005e08: 03814683 lbu a3,56(sp) + 80005e0c: ffc44703 lbu a4,-4(s0) + 80005e10: 07f77713 andi a4,a4,127 + 80005e14: 00e68a63 beq a3,a4,80005e28 + 80005e18: a40fa0ef jal ra,80000058 + 80005e1c: 0019091b addiw s2,s2,1 + 80005e20: 00898993 addi s3,s3,8 + 80005e24: f91ff06f j 80005db4 + 80005e28: fe840693 addi a3,s0,-24 + 80005e2c: 00068593 mv a1,a3 + 80005e30: 0e800613 li a2,232 + 80005e34: 00a13423 sd a0,8(sp) + 80005e38: 08810513 addi a0,sp,136 + 80005e3c: 00d13023 sd a3,0(sp) + 80005e40: 835fa0ef jal ra,80000674 + 80005e44: 00813783 ld a5,8(sp) + 80005e48: 00078513 mv a0,a5 + 80005e4c: a0cfa0ef jal ra,80000058 + 80005e50: 05144703 lbu a4,81(s0) + 80005e54: 00013683 ld a3,0(sp) + 80005e58: 000a8513 mv a0,s5 + 80005e5c: 01400613 li a2,20 + 80005e60: 01400593 li a1,20 + 80005e64: 944ff0ef jal ra,80004fa8 + 80005e68: 05044783 lbu a5,80(s0) + 80005e6c: 00009517 auipc a0,0x9 + 80005e70: c5c50513 addi a0,a0,-932 # 8000eac8 + 80005e74: 0077f793 andi a5,a5,7 + 80005e78: 03678a63 beq a5,s6,80005eac + 80005e7c: 00009517 auipc a0,0x9 + 80005e80: c5c50513 addi a0,a0,-932 # 8000ead8 + 80005e84: 03978463 beq a5,s9,80005eac + 80005e88: 00009517 auipc a0,0x9 + 80005e8c: c6050513 addi a0,a0,-928 # 8000eae8 + 80005e90: 00078e63 beqz a5,80005eac + 80005e94: 00009517 auipc a0,0x9 + 80005e98: c6450513 addi a0,a0,-924 # 8000eaf8 + 80005e9c: 01a78863 beq a5,s10,80005eac + 80005ea0: 01b79863 bne a5,s11,80005eb0 + 80005ea4: 00009517 auipc a0,0x9 + 80005ea8: c6450513 addi a0,a0,-924 # 8000eb08 + 80005eac: 8fcff0ef jal ra,80004fa8 + 80005eb0: 03843803 ld a6,56(s0) + 80005eb4: 00080513 mv a0,a6 + 80005eb8: 00054783 lbu a5,0(a0) + 80005ebc: 07878863 beq a5,s8,80005f2c + 80005ec0: 04042603 lw a2,64(s0) + 80005ec4: 04843783 ld a5,72(s0) + 80005ec8: 06843703 ld a4,104(s0) + 80005ecc: 02061593 slli a1,a2,0x20 + 80005ed0: 0205d593 srli a1,a1,0x20 + 80005ed4: 00b80833 add a6,a6,a1 + 80005ed8: 40a80533 sub a0,a6,a0 + 80005edc: 00151693 slli a3,a0,0x1 + 80005ee0: 00a686b3 add a3,a3,a0 + 80005ee4: 00369693 slli a3,a3,0x3 + 80005ee8: 00a68533 add a0,a3,a0 + 80005eec: 00251513 slli a0,a0,0x2 + 80005ef0: 00c13c23 sd a2,24(sp) + 80005ef4: 00f13823 sd a5,16(sp) + 80005ef8: 00e13423 sd a4,8(sp) + 80005efc: 01013023 sd a6,0(sp) + 80005f00: aecfa0ef jal ra,800001ec <__udivdi3> + 80005f04: 02043583 ld a1,32(s0) + 80005f08: 00013803 ld a6,0(sp) + 80005f0c: 01013783 ld a5,16(sp) + 80005f10: 00813703 ld a4,8(sp) + 80005f14: 01813603 ld a2,24(sp) + 80005f18: 00050693 mv a3,a0 + 80005f1c: 40b805b3 sub a1,a6,a1 + 80005f20: 000b8513 mv a0,s7 + 80005f24: 884ff0ef jal ra,80004fa8 + 80005f28: ef5ff06f j 80005e1c + 80005f2c: 00150513 addi a0,a0,1 + 80005f30: f89ff06f j 80005eb8 + +0000000080005f34 : + 80005f34: f5010113 addi sp,sp,-176 + 80005f38: 00900513 li a0,9 + 80005f3c: 0a113423 sd ra,168(sp) + 80005f40: 0a813023 sd s0,160(sp) + 80005f44: 09313423 sd s3,136(sp) + 80005f48: 09413023 sd s4,128(sp) + 80005f4c: 07513c23 sd s5,120(sp) + 80005f50: 07613823 sd s6,112(sp) + 80005f54: 07713423 sd s7,104(sp) + 80005f58: 08913c23 sd s1,152(sp) + 80005f5c: 09213823 sd s2,144(sp) + 80005f60: 07813023 sd s8,96(sp) + 80005f64: 8d8fc0ef jal ra,8000203c + 80005f68: 00850513 addi a0,a0,8 + 80005f6c: 00900793 li a5,9 + 80005f70: 00009617 auipc a2,0x9 + 80005f74: bd860613 addi a2,a2,-1064 # 8000eb48 + 80005f78: 01400593 li a1,20 + 80005f7c: 00a13023 sd a0,0(sp) + 80005f80: 00f10823 sb a5,16(sp) + 80005f84: 00009517 auipc a0,0x9 + 80005f88: bcc50513 addi a0,a0,-1076 # 8000eb50 + 80005f8c: 00800793 li a5,8 + 80005f90: 02010993 addi s3,sp,32 + 80005f94: 00f12a23 sw a5,20(sp) + 80005f98: 01313423 sd s3,8(sp) + 80005f9c: 00012c23 sw zero,24(sp) + 80005fa0: 808ff0ef jal ra,80004fa8 + 80005fa4: d01ff0ef jal ra,80005ca4 + 80005fa8: 00009517 auipc a0,0x9 + 80005fac: bd050513 addi a0,a0,-1072 # 8000eb78 + 80005fb0: ff9fe0ef jal ra,80004fa8 + 80005fb4: 00000413 li s0,0 + 80005fb8: 01600a13 li s4,22 + 80005fbc: 00009a97 auipc s5,0x9 + 80005fc0: b84a8a93 addi s5,s5,-1148 # 8000eb40 + 80005fc4: 00009b17 auipc s6,0x9 + 80005fc8: bdcb0b13 addi s6,s6,-1060 # 8000eba0 + 80005fcc: 00009b97 auipc s7,0x9 + 80005fd0: 0d4b8b93 addi s7,s7,212 # 8000f0a0 + 80005fd4: 00040513 mv a0,s0 + 80005fd8: 00010593 mv a1,sp + 80005fdc: be9ff0ef jal ra,80005bc4 + 80005fe0: 00050413 mv s0,a0 + 80005fe4: 00098913 mv s2,s3 + 80005fe8: 00000493 li s1,0 + 80005fec: 01812783 lw a5,24(sp) + 80005ff0: 02f4ce63 blt s1,a5,8000602c + 80005ff4: fe0410e3 bnez s0,80005fd4 + 80005ff8: 0a813083 ld ra,168(sp) + 80005ffc: 0a013403 ld s0,160(sp) + 80006000: 09813483 ld s1,152(sp) + 80006004: 09013903 ld s2,144(sp) + 80006008: 08813983 ld s3,136(sp) + 8000600c: 08013a03 ld s4,128(sp) + 80006010: 07813a83 ld s5,120(sp) + 80006014: 07013b03 ld s6,112(sp) + 80006018: 06813b83 ld s7,104(sp) + 8000601c: 06013c03 ld s8,96(sp) + 80006020: 00000513 li a0,0 + 80006024: 0b010113 addi sp,sp,176 + 80006028: 00008067 ret + 8000602c: 00093c03 ld s8,0(s2) + 80006030: 820fa0ef jal ra,80000050 + 80006034: 01014703 lbu a4,16(sp) + 80006038: ffcc4783 lbu a5,-4(s8) + 8000603c: 07f7f793 andi a5,a5,127 + 80006040: 00f70a63 beq a4,a5,80006054 + 80006044: 814fa0ef jal ra,80000058 + 80006048: 0014849b addiw s1,s1,1 + 8000604c: 00890913 addi s2,s2,8 + 80006050: f9dff06f j 80005fec + 80006054: 804fa0ef jal ra,80000058 + 80006058: 010c2783 lw a5,16(s8) + 8000605c: fe8c0693 addi a3,s8,-24 + 80006060: 000a8713 mv a4,s5 + 80006064: 00fa6a63 bltu s4,a5,80006078 + 80006068: 02079793 slli a5,a5,0x20 + 8000606c: 01d7d793 srli a5,a5,0x1d + 80006070: 00fb87b3 add a5,s7,a5 + 80006074: 0007b703 ld a4,0(a5) + 80006078: 018c4783 lbu a5,24(s8) + 8000607c: 01400613 li a2,20 + 80006080: 01400593 li a1,20 + 80006084: 000b0513 mv a0,s6 + 80006088: f21fe0ef jal ra,80004fa8 + 8000608c: fbdff06f j 80006048 + +0000000080006090 : + 80006090: f5010113 addi sp,sp,-176 + 80006094: 00300513 li a0,3 + 80006098: 0a113423 sd ra,168(sp) + 8000609c: 0a813023 sd s0,160(sp) + 800060a0: 09413023 sd s4,128(sp) + 800060a4: 07513c23 sd s5,120(sp) + 800060a8: 08913c23 sd s1,152(sp) + 800060ac: 09213823 sd s2,144(sp) + 800060b0: 09313423 sd s3,136(sp) + 800060b4: f89fb0ef jal ra,8000203c + 800060b8: 00850513 addi a0,a0,8 + 800060bc: 00300793 li a5,3 + 800060c0: 00009617 auipc a2,0x9 + 800060c4: af860613 addi a2,a2,-1288 # 8000ebb8 + 800060c8: 01400593 li a1,20 + 800060cc: 00a13823 sd a0,16(sp) + 800060d0: 02f10023 sb a5,32(sp) + 800060d4: 00009517 auipc a0,0x9 + 800060d8: aec50513 addi a0,a0,-1300 # 8000ebc0 + 800060dc: 00800793 li a5,8 + 800060e0: 03010a13 addi s4,sp,48 + 800060e4: 02f12223 sw a5,36(sp) + 800060e8: 01413c23 sd s4,24(sp) + 800060ec: 02012423 sw zero,40(sp) + 800060f0: eb9fe0ef jal ra,80004fa8 + 800060f4: bb1ff0ef jal ra,80005ca4 + 800060f8: 00009517 auipc a0,0x9 + 800060fc: af050513 addi a0,a0,-1296 # 8000ebe8 + 80006100: ea9fe0ef jal ra,80004fa8 + 80006104: 00000413 li s0,0 + 80006108: 00009a97 auipc s5,0x9 + 8000610c: b00a8a93 addi s5,s5,-1280 # 8000ec08 + 80006110: 00040513 mv a0,s0 + 80006114: 01010593 addi a1,sp,16 + 80006118: aadff0ef jal ra,80005bc4 + 8000611c: 00050413 mv s0,a0 + 80006120: 000a0993 mv s3,s4 + 80006124: 00000913 li s2,0 + 80006128: 02812783 lw a5,40(sp) + 8000612c: 02f94863 blt s2,a5,8000615c + 80006130: fe0410e3 bnez s0,80006110 + 80006134: 0a813083 ld ra,168(sp) + 80006138: 0a013403 ld s0,160(sp) + 8000613c: 09813483 ld s1,152(sp) + 80006140: 09013903 ld s2,144(sp) + 80006144: 08813983 ld s3,136(sp) + 80006148: 08013a03 ld s4,128(sp) + 8000614c: 07813a83 ld s5,120(sp) + 80006150: 00000513 li a0,0 + 80006154: 0b010113 addi sp,sp,176 + 80006158: 00008067 ret + 8000615c: 0009b483 ld s1,0(s3) + 80006160: ef1f90ef jal ra,80000050 + 80006164: 02014703 lbu a4,32(sp) + 80006168: ffc4c783 lbu a5,-4(s1) + 8000616c: 07f7f793 andi a5,a5,127 + 80006170: 00f70a63 beq a4,a5,80006184 + 80006174: ee5f90ef jal ra,80000058 + 80006178: 0019091b addiw s2,s2,1 + 8000617c: 00898993 addi s3,s3,8 + 80006180: fa9ff06f j 80006128 + 80006184: ed5f90ef jal ra,80000058 + 80006188: fe848693 addi a3,s1,-24 + 8000618c: 01048513 addi a0,s1,16 + 80006190: 00d13423 sd a3,8(sp) + 80006194: 895ff0ef jal ra,80005a28 + 80006198: 0234c803 lbu a6,35(s1) + 8000619c: 0284b783 ld a5,40(s1) + 800061a0: 00813683 ld a3,8(sp) + 800061a4: 0005089b sext.w a7,a0 + 800061a8: 01400713 li a4,20 + 800061ac: 01400613 li a2,20 + 800061b0: 01400593 li a1,20 + 800061b4: 000a8513 mv a0,s5 + 800061b8: df1fe0ef jal ra,80004fa8 + 800061bc: fbdff06f j 80006178 + +00000000800061c0 : + 800061c0: f5010113 addi sp,sp,-176 + 800061c4: 00a00513 li a0,10 + 800061c8: 0a113423 sd ra,168(sp) + 800061cc: 0a813023 sd s0,160(sp) + 800061d0: 09413023 sd s4,128(sp) + 800061d4: 07513c23 sd s5,120(sp) + 800061d8: 07613823 sd s6,112(sp) + 800061dc: 07713423 sd s7,104(sp) + 800061e0: 08913c23 sd s1,152(sp) + 800061e4: 09213823 sd s2,144(sp) + 800061e8: 09313423 sd s3,136(sp) + 800061ec: e51fb0ef jal ra,8000203c + 800061f0: 00850513 addi a0,a0,8 + 800061f4: 00a00793 li a5,10 + 800061f8: 00007617 auipc a2,0x7 + 800061fc: 10860613 addi a2,a2,264 # 8000d300 <_uart_ops+0xf8> + 80006200: 01400593 li a1,20 + 80006204: 00a13023 sd a0,0(sp) + 80006208: 00f10823 sb a5,16(sp) + 8000620c: 00009517 auipc a0,0x9 + 80006210: a1450513 addi a0,a0,-1516 # 8000ec20 + 80006214: 00800793 li a5,8 + 80006218: 02010a13 addi s4,sp,32 + 8000621c: 00f12a23 sw a5,20(sp) + 80006220: 01413423 sd s4,8(sp) + 80006224: 00012c23 sw zero,24(sp) + 80006228: d81fe0ef jal ra,80004fa8 + 8000622c: a79ff0ef jal ra,80005ca4 + 80006230: 00009517 auipc a0,0x9 + 80006234: a1850513 addi a0,a0,-1512 # 8000ec48 + 80006238: d71fe0ef jal ra,80004fa8 + 8000623c: 00000413 li s0,0 + 80006240: 00009a97 auipc s5,0x9 + 80006244: a30a8a93 addi s5,s5,-1488 # 8000ec70 + 80006248: 00009b17 auipc s6,0x9 + 8000624c: a50b0b13 addi s6,s6,-1456 # 8000ec98 + 80006250: 00009b97 auipc s7,0x9 + 80006254: a38b8b93 addi s7,s7,-1480 # 8000ec88 + 80006258: 00040513 mv a0,s0 + 8000625c: 00010593 mv a1,sp + 80006260: 965ff0ef jal ra,80005bc4 + 80006264: 00050413 mv s0,a0 + 80006268: 000a0993 mv s3,s4 + 8000626c: 00000913 li s2,0 + 80006270: 01812783 lw a5,24(sp) + 80006274: 04f94663 blt s2,a5,800062c0 + 80006278: fe0410e3 bnez s0,80006258 + 8000627c: ca4fa0ef jal ra,80000720 + 80006280: 0005059b sext.w a1,a0 + 80006284: 00009517 auipc a0,0x9 + 80006288: a2450513 addi a0,a0,-1500 # 8000eca8 + 8000628c: d1dfe0ef jal ra,80004fa8 + 80006290: 0a813083 ld ra,168(sp) + 80006294: 0a013403 ld s0,160(sp) + 80006298: 09813483 ld s1,152(sp) + 8000629c: 09013903 ld s2,144(sp) + 800062a0: 08813983 ld s3,136(sp) + 800062a4: 08013a03 ld s4,128(sp) + 800062a8: 07813a83 ld s5,120(sp) + 800062ac: 07013b03 ld s6,112(sp) + 800062b0: 06813b83 ld s7,104(sp) + 800062b4: 00000513 li a0,0 + 800062b8: 0b010113 addi sp,sp,176 + 800062bc: 00008067 ret + 800062c0: 0009b483 ld s1,0(s3) + 800062c4: d8df90ef jal ra,80000050 + 800062c8: 01014703 lbu a4,16(sp) + 800062cc: ffc4c783 lbu a5,-4(s1) + 800062d0: 07f7f793 andi a5,a5,127 + 800062d4: 00f70a63 beq a4,a5,800062e8 + 800062d8: d81f90ef jal ra,80000058 + 800062dc: 0019091b addiw s2,s2,1 + 800062e0: 00898993 addi s3,s3,8 + 800062e4: f8dff06f j 80006270 + 800062e8: d71f90ef jal ra,80000058 + 800062ec: 0344a783 lw a5,52(s1) + 800062f0: 0304a703 lw a4,48(s1) + 800062f4: 000a8513 mv a0,s5 + 800062f8: fe848693 addi a3,s1,-24 + 800062fc: 01400613 li a2,20 + 80006300: 01400593 li a1,20 + 80006304: ca5fe0ef jal ra,80004fa8 + 80006308: ffd4c783 lbu a5,-3(s1) + 8000630c: 000b8513 mv a0,s7 + 80006310: 0017f793 andi a5,a5,1 + 80006314: 00079463 bnez a5,8000631c + 80006318: 000b0513 mv a0,s6 + 8000631c: c8dfe0ef jal ra,80004fa8 + 80006320: fbdff06f j 800062dc + +0000000080006324 : + 80006324: f4010113 addi sp,sp,-192 + 80006328: 00400513 li a0,4 + 8000632c: 0a113c23 sd ra,184(sp) + 80006330: 0a913423 sd s1,168(sp) + 80006334: 09513423 sd s5,136(sp) + 80006338: 09613023 sd s6,128(sp) + 8000633c: 07713c23 sd s7,120(sp) + 80006340: 07813823 sd s8,112(sp) + 80006344: 0a813823 sd s0,176(sp) + 80006348: 0b213023 sd s2,160(sp) + 8000634c: 09313c23 sd s3,152(sp) + 80006350: 09413823 sd s4,144(sp) + 80006354: ce9fb0ef jal ra,8000203c + 80006358: 00850513 addi a0,a0,8 + 8000635c: 00400793 li a5,4 + 80006360: 00009617 auipc a2,0x9 + 80006364: 96060613 addi a2,a2,-1696 # 8000ecc0 + 80006368: 01400593 li a1,20 + 8000636c: 00a13823 sd a0,16(sp) + 80006370: 02f10023 sb a5,32(sp) + 80006374: 00009517 auipc a0,0x9 + 80006378: 95450513 addi a0,a0,-1708 # 8000ecc8 + 8000637c: 00800793 li a5,8 + 80006380: 03010a93 addi s5,sp,48 + 80006384: 02f12223 sw a5,36(sp) + 80006388: 01513c23 sd s5,24(sp) + 8000638c: 02012423 sw zero,40(sp) + 80006390: c19fe0ef jal ra,80004fa8 + 80006394: 911ff0ef jal ra,80005ca4 + 80006398: 00009517 auipc a0,0x9 + 8000639c: 95850513 addi a0,a0,-1704 # 8000ecf0 + 800063a0: c09fe0ef jal ra,80004fa8 + 800063a4: 00000493 li s1,0 + 800063a8: 00009b17 auipc s6,0x9 + 800063ac: 980b0b13 addi s6,s6,-1664 # 8000ed28 + 800063b0: 00009b97 auipc s7,0x9 + 800063b4: 960b8b93 addi s7,s7,-1696 # 8000ed10 + 800063b8: 00008c17 auipc s8,0x8 + 800063bc: 618c0c13 addi s8,s8,1560 # 8000e9d0 + 800063c0: 00048513 mv a0,s1 + 800063c4: 01010593 addi a1,sp,16 + 800063c8: ffcff0ef jal ra,80005bc4 + 800063cc: 00050493 mv s1,a0 + 800063d0: 000a8993 mv s3,s5 + 800063d4: 00000913 li s2,0 + 800063d8: 02812783 lw a5,40(sp) + 800063dc: 02f94e63 blt s2,a5,80006418 + 800063e0: fe0490e3 bnez s1,800063c0 + 800063e4: 0b813083 ld ra,184(sp) + 800063e8: 0b013403 ld s0,176(sp) + 800063ec: 0a813483 ld s1,168(sp) + 800063f0: 0a013903 ld s2,160(sp) + 800063f4: 09813983 ld s3,152(sp) + 800063f8: 09013a03 ld s4,144(sp) + 800063fc: 08813a83 ld s5,136(sp) + 80006400: 08013b03 ld s6,128(sp) + 80006404: 07813b83 ld s7,120(sp) + 80006408: 07013c03 ld s8,112(sp) + 8000640c: 00000513 li a0,0 + 80006410: 0c010113 addi sp,sp,192 + 80006414: 00008067 ret + 80006418: 0009b403 ld s0,0(s3) + 8000641c: c35f90ef jal ra,80000050 + 80006420: 02014703 lbu a4,32(sp) + 80006424: ffc44783 lbu a5,-4(s0) + 80006428: 07f7f793 andi a5,a5,127 + 8000642c: 00f70a63 beq a4,a5,80006440 + 80006430: c29f90ef jal ra,80000058 + 80006434: 0019091b addiw s2,s2,1 + 80006438: 00898993 addi s3,s3,8 + 8000643c: f9dff06f j 800063d8 + 80006440: c19f90ef jal ra,80000058 + 80006444: 01043783 ld a5,16(s0) + 80006448: 01040a13 addi s4,s0,16 + 8000644c: fe840693 addi a3,s0,-24 + 80006450: 04fa0063 beq s4,a5,80006490 + 80006454: 000a0513 mv a0,s4 + 80006458: 00d13423 sd a3,8(sp) + 8000645c: dccff0ef jal ra,80005a28 + 80006460: 02042703 lw a4,32(s0) + 80006464: 00813683 ld a3,8(sp) + 80006468: 0005079b sext.w a5,a0 + 8000646c: 01400613 li a2,20 + 80006470: 01400593 li a1,20 + 80006474: 000b8513 mv a0,s7 + 80006478: b31fe0ef jal ra,80004fa8 + 8000647c: 000a0513 mv a0,s4 + 80006480: e10ff0ef jal ra,80005a90 + 80006484: 000c0513 mv a0,s8 + 80006488: b21fe0ef jal ra,80004fa8 + 8000648c: fa9ff06f j 80006434 + 80006490: 02042703 lw a4,32(s0) + 80006494: 01400613 li a2,20 + 80006498: 01400593 li a1,20 + 8000649c: 000b0513 mv a0,s6 + 800064a0: b09fe0ef jal ra,80004fa8 + 800064a4: f91ff06f j 80006434 + +00000000800064a8 : + 800064a8: f4010113 addi sp,sp,-192 + 800064ac: 00600513 li a0,6 + 800064b0: 0a113c23 sd ra,184(sp) + 800064b4: 0a913423 sd s1,168(sp) + 800064b8: 09513423 sd s5,136(sp) + 800064bc: 09613023 sd s6,128(sp) + 800064c0: 07713c23 sd s7,120(sp) + 800064c4: 07813823 sd s8,112(sp) + 800064c8: 0a813823 sd s0,176(sp) + 800064cc: 0b213023 sd s2,160(sp) + 800064d0: 09313c23 sd s3,152(sp) + 800064d4: 09413823 sd s4,144(sp) + 800064d8: b65fb0ef jal ra,8000203c + 800064dc: 00850513 addi a0,a0,8 + 800064e0: 00600793 li a5,6 + 800064e4: 00009617 auipc a2,0x9 + 800064e8: 85c60613 addi a2,a2,-1956 # 8000ed40 + 800064ec: 01400593 li a1,20 + 800064f0: 00a13823 sd a0,16(sp) + 800064f4: 02f10023 sb a5,32(sp) + 800064f8: 00009517 auipc a0,0x9 + 800064fc: 85850513 addi a0,a0,-1960 # 8000ed50 + 80006500: 00800793 li a5,8 + 80006504: 03010a93 addi s5,sp,48 + 80006508: 02f12223 sw a5,36(sp) + 8000650c: 01513c23 sd s5,24(sp) + 80006510: 02012423 sw zero,40(sp) + 80006514: a95fe0ef jal ra,80004fa8 + 80006518: f8cff0ef jal ra,80005ca4 + 8000651c: 00009517 auipc a0,0x9 + 80006520: 85450513 addi a0,a0,-1964 # 8000ed70 + 80006524: a85fe0ef jal ra,80004fa8 + 80006528: 00000493 li s1,0 + 8000652c: 00009b17 auipc s6,0x9 + 80006530: 874b0b13 addi s6,s6,-1932 # 8000eda0 + 80006534: 00009b97 auipc s7,0x9 + 80006538: 854b8b93 addi s7,s7,-1964 # 8000ed88 + 8000653c: 00008c17 auipc s8,0x8 + 80006540: 494c0c13 addi s8,s8,1172 # 8000e9d0 + 80006544: 00048513 mv a0,s1 + 80006548: 01010593 addi a1,sp,16 + 8000654c: e78ff0ef jal ra,80005bc4 + 80006550: 00050493 mv s1,a0 + 80006554: 000a8a13 mv s4,s5 + 80006558: 00000993 li s3,0 + 8000655c: 02812783 lw a5,40(sp) + 80006560: 02f9ce63 blt s3,a5,8000659c + 80006564: fe0490e3 bnez s1,80006544 + 80006568: 0b813083 ld ra,184(sp) + 8000656c: 0b013403 ld s0,176(sp) + 80006570: 0a813483 ld s1,168(sp) + 80006574: 0a013903 ld s2,160(sp) + 80006578: 09813983 ld s3,152(sp) + 8000657c: 09013a03 ld s4,144(sp) + 80006580: 08813a83 ld s5,136(sp) + 80006584: 08013b03 ld s6,128(sp) + 80006588: 07813b83 ld s7,120(sp) + 8000658c: 07013c03 ld s8,112(sp) + 80006590: 00000513 li a0,0 + 80006594: 0c010113 addi sp,sp,192 + 80006598: 00008067 ret + 8000659c: 000a3403 ld s0,0(s4) + 800065a0: ab1f90ef jal ra,80000050 + 800065a4: 02014703 lbu a4,32(sp) + 800065a8: ffc44783 lbu a5,-4(s0) + 800065ac: 07f7f793 andi a5,a5,127 + 800065b0: 00f70a63 beq a4,a5,800065c4 + 800065b4: aa5f90ef jal ra,80000058 + 800065b8: 0019899b addiw s3,s3,1 + 800065bc: 008a0a13 addi s4,s4,8 + 800065c0: f9dff06f j 8000655c + 800065c4: a95f90ef jal ra,80000058 + 800065c8: 01043783 ld a5,16(s0) + 800065cc: fe840693 addi a3,s0,-24 + 800065d0: 01040913 addi s2,s0,16 + 800065d4: 00d13423 sd a3,8(sp) + 800065d8: 00090513 mv a0,s2 + 800065dc: 02f90c63 beq s2,a5,80006614 + 800065e0: c48ff0ef jal ra,80005a28 + 800065e4: 02c45703 lhu a4,44(s0) + 800065e8: 00813683 ld a3,8(sp) + 800065ec: 0005079b sext.w a5,a0 + 800065f0: 01400613 li a2,20 + 800065f4: 01400593 li a1,20 + 800065f8: 000b8513 mv a0,s7 + 800065fc: 9adfe0ef jal ra,80004fa8 + 80006600: 00090513 mv a0,s2 + 80006604: c8cff0ef jal ra,80005a90 + 80006608: 000c0513 mv a0,s8 + 8000660c: 99dfe0ef jal ra,80004fa8 + 80006610: fa9ff06f j 800065b8 + 80006614: c14ff0ef jal ra,80005a28 + 80006618: 02c45703 lhu a4,44(s0) + 8000661c: 00813683 ld a3,8(sp) + 80006620: 0005079b sext.w a5,a0 + 80006624: 01400613 li a2,20 + 80006628: 01400593 li a1,20 + 8000662c: 000b0513 mv a0,s6 + 80006630: 979fe0ef jal ra,80004fa8 + 80006634: f85ff06f j 800065b8 + +0000000080006638 : + 80006638: f4010113 addi sp,sp,-192 + 8000663c: 00200513 li a0,2 + 80006640: 0a113c23 sd ra,184(sp) + 80006644: 0a913423 sd s1,168(sp) + 80006648: 09513423 sd s5,136(sp) + 8000664c: 09613023 sd s6,128(sp) + 80006650: 07713c23 sd s7,120(sp) + 80006654: 07813823 sd s8,112(sp) + 80006658: 0a813823 sd s0,176(sp) + 8000665c: 0b213023 sd s2,160(sp) + 80006660: 09313c23 sd s3,152(sp) + 80006664: 09413823 sd s4,144(sp) + 80006668: 9d5fb0ef jal ra,8000203c + 8000666c: 00850513 addi a0,a0,8 + 80006670: 00200793 li a5,2 + 80006674: 00008617 auipc a2,0x8 + 80006678: 74460613 addi a2,a2,1860 # 8000edb8 + 8000667c: 01400593 li a1,20 + 80006680: 00a13823 sd a0,16(sp) + 80006684: 02f10023 sb a5,32(sp) + 80006688: 00008517 auipc a0,0x8 + 8000668c: 74050513 addi a0,a0,1856 # 8000edc8 + 80006690: 00800793 li a5,8 + 80006694: 03010a93 addi s5,sp,48 + 80006698: 02f12223 sw a5,36(sp) + 8000669c: 01513c23 sd s5,24(sp) + 800066a0: 02012423 sw zero,40(sp) + 800066a4: 905fe0ef jal ra,80004fa8 + 800066a8: dfcff0ef jal ra,80005ca4 + 800066ac: 00008517 auipc a0,0x8 + 800066b0: 73c50513 addi a0,a0,1852 # 8000ede8 + 800066b4: 8f5fe0ef jal ra,80004fa8 + 800066b8: 00000493 li s1,0 + 800066bc: 00008b17 auipc s6,0x8 + 800066c0: 754b0b13 addi s6,s6,1876 # 8000ee10 + 800066c4: 00008b97 auipc s7,0x8 + 800066c8: 73cb8b93 addi s7,s7,1852 # 8000ee00 + 800066cc: 00008c17 auipc s8,0x8 + 800066d0: 304c0c13 addi s8,s8,772 # 8000e9d0 + 800066d4: 00048513 mv a0,s1 + 800066d8: 01010593 addi a1,sp,16 + 800066dc: ce8ff0ef jal ra,80005bc4 + 800066e0: 00050493 mv s1,a0 + 800066e4: 000a8a13 mv s4,s5 + 800066e8: 00000993 li s3,0 + 800066ec: 02812783 lw a5,40(sp) + 800066f0: 02f9ce63 blt s3,a5,8000672c + 800066f4: fe0490e3 bnez s1,800066d4 + 800066f8: 0b813083 ld ra,184(sp) + 800066fc: 0b013403 ld s0,176(sp) + 80006700: 0a813483 ld s1,168(sp) + 80006704: 0a013903 ld s2,160(sp) + 80006708: 09813983 ld s3,152(sp) + 8000670c: 09013a03 ld s4,144(sp) + 80006710: 08813a83 ld s5,136(sp) + 80006714: 08013b03 ld s6,128(sp) + 80006718: 07813b83 ld s7,120(sp) + 8000671c: 07013c03 ld s8,112(sp) + 80006720: 00000513 li a0,0 + 80006724: 0c010113 addi sp,sp,192 + 80006728: 00008067 ret + 8000672c: 000a3403 ld s0,0(s4) + 80006730: 921f90ef jal ra,80000050 + 80006734: 02014703 lbu a4,32(sp) + 80006738: ffc44783 lbu a5,-4(s0) + 8000673c: 07f7f793 andi a5,a5,127 + 80006740: 00f70a63 beq a4,a5,80006754 + 80006744: 915f90ef jal ra,80000058 + 80006748: 0019899b addiw s3,s3,1 + 8000674c: 008a0a13 addi s4,s4,8 + 80006750: f9dff06f j 800066ec + 80006754: 905f90ef jal ra,80000058 + 80006758: 01043783 ld a5,16(s0) + 8000675c: fe840693 addi a3,s0,-24 + 80006760: 01040913 addi s2,s0,16 + 80006764: 00d13423 sd a3,8(sp) + 80006768: 00090513 mv a0,s2 + 8000676c: 02f90c63 beq s2,a5,800067a4 + 80006770: ab8ff0ef jal ra,80005a28 + 80006774: 02045703 lhu a4,32(s0) + 80006778: 00813683 ld a3,8(sp) + 8000677c: 0005079b sext.w a5,a0 + 80006780: 01400613 li a2,20 + 80006784: 01400593 li a1,20 + 80006788: 000b8513 mv a0,s7 + 8000678c: 81dfe0ef jal ra,80004fa8 + 80006790: 00090513 mv a0,s2 + 80006794: afcff0ef jal ra,80005a90 + 80006798: 000c0513 mv a0,s8 + 8000679c: 80dfe0ef jal ra,80004fa8 + 800067a0: fa9ff06f j 80006748 + 800067a4: a84ff0ef jal ra,80005a28 + 800067a8: 02045703 lhu a4,32(s0) + 800067ac: 00813683 ld a3,8(sp) + 800067b0: 0005079b sext.w a5,a0 + 800067b4: 01400613 li a2,20 + 800067b8: 01400593 li a1,20 + 800067bc: 000b0513 mv a0,s6 + 800067c0: fe8fe0ef jal ra,80004fa8 + 800067c4: f85ff06f j 80006748 + +00000000800067c8 : + 800067c8: f4010113 addi sp,sp,-192 + 800067cc: 00500513 li a0,5 + 800067d0: 0a113c23 sd ra,184(sp) + 800067d4: 0a913423 sd s1,168(sp) + 800067d8: 09513423 sd s5,136(sp) + 800067dc: 09613023 sd s6,128(sp) + 800067e0: 07713c23 sd s7,120(sp) + 800067e4: 07813823 sd s8,112(sp) + 800067e8: 0a813823 sd s0,176(sp) + 800067ec: 0b213023 sd s2,160(sp) + 800067f0: 09313c23 sd s3,152(sp) + 800067f4: 09413823 sd s4,144(sp) + 800067f8: 845fb0ef jal ra,8000203c + 800067fc: 00850513 addi a0,a0,8 + 80006800: 00500793 li a5,5 + 80006804: 00008617 auipc a2,0x8 + 80006808: 61c60613 addi a2,a2,1564 # 8000ee20 + 8000680c: 01400593 li a1,20 + 80006810: 00a13823 sd a0,16(sp) + 80006814: 02f10023 sb a5,32(sp) + 80006818: 00008517 auipc a0,0x8 + 8000681c: 61050513 addi a0,a0,1552 # 8000ee28 + 80006820: 00800793 li a5,8 + 80006824: 03010a93 addi s5,sp,48 + 80006828: 02f12223 sw a5,36(sp) + 8000682c: 01513c23 sd s5,24(sp) + 80006830: 02012423 sw zero,40(sp) + 80006834: f74fe0ef jal ra,80004fa8 + 80006838: c6cff0ef jal ra,80005ca4 + 8000683c: 00008517 auipc a0,0x8 + 80006840: 61450513 addi a0,a0,1556 # 8000ee50 + 80006844: f64fe0ef jal ra,80004fa8 + 80006848: 00000493 li s1,0 + 8000684c: 00008b17 auipc s6,0x8 + 80006850: 63cb0b13 addi s6,s6,1596 # 8000ee88 + 80006854: 00008b97 auipc s7,0x8 + 80006858: 61cb8b93 addi s7,s7,1564 # 8000ee70 + 8000685c: 00008c17 auipc s8,0x8 + 80006860: 174c0c13 addi s8,s8,372 # 8000e9d0 + 80006864: 00048513 mv a0,s1 + 80006868: 01010593 addi a1,sp,16 + 8000686c: b58ff0ef jal ra,80005bc4 + 80006870: 00050493 mv s1,a0 + 80006874: 000a8a13 mv s4,s5 + 80006878: 00000993 li s3,0 + 8000687c: 02812783 lw a5,40(sp) + 80006880: 02f9ce63 blt s3,a5,800068bc + 80006884: fe0490e3 bnez s1,80006864 + 80006888: 0b813083 ld ra,184(sp) + 8000688c: 0b013403 ld s0,176(sp) + 80006890: 0a813483 ld s1,168(sp) + 80006894: 0a013903 ld s2,160(sp) + 80006898: 09813983 ld s3,152(sp) + 8000689c: 09013a03 ld s4,144(sp) + 800068a0: 08813a83 ld s5,136(sp) + 800068a4: 08013b03 ld s6,128(sp) + 800068a8: 07813b83 ld s7,120(sp) + 800068ac: 07013c03 ld s8,112(sp) + 800068b0: 00000513 li a0,0 + 800068b4: 0c010113 addi sp,sp,192 + 800068b8: 00008067 ret + 800068bc: 000a3403 ld s0,0(s4) + 800068c0: f90f90ef jal ra,80000050 + 800068c4: 02014703 lbu a4,32(sp) + 800068c8: ffc44783 lbu a5,-4(s0) + 800068cc: 07f7f793 andi a5,a5,127 + 800068d0: 00f70a63 beq a4,a5,800068e4 + 800068d4: f84f90ef jal ra,80000058 + 800068d8: 0019899b addiw s3,s3,1 + 800068dc: 008a0a13 addi s4,s4,8 + 800068e0: f9dff06f j 8000687c + 800068e4: f74f90ef jal ra,80000058 + 800068e8: 01043783 ld a5,16(s0) + 800068ec: fe840693 addi a3,s0,-24 + 800068f0: 01040913 addi s2,s0,16 + 800068f4: 00d13423 sd a3,8(sp) + 800068f8: 00090513 mv a0,s2 + 800068fc: 02f90e63 beq s2,a5,80006938 + 80006900: 928ff0ef jal ra,80005a28 + 80006904: 02845783 lhu a5,40(s0) + 80006908: 02a45703 lhu a4,42(s0) + 8000690c: 00813683 ld a3,8(sp) + 80006910: 0005081b sext.w a6,a0 + 80006914: 01400613 li a2,20 + 80006918: 01400593 li a1,20 + 8000691c: 000b8513 mv a0,s7 + 80006920: e88fe0ef jal ra,80004fa8 + 80006924: 00090513 mv a0,s2 + 80006928: 968ff0ef jal ra,80005a90 + 8000692c: 000c0513 mv a0,s8 + 80006930: e78fe0ef jal ra,80004fa8 + 80006934: fa5ff06f j 800068d8 + 80006938: 8f0ff0ef jal ra,80005a28 + 8000693c: 02845783 lhu a5,40(s0) + 80006940: 02a45703 lhu a4,42(s0) + 80006944: 00813683 ld a3,8(sp) + 80006948: 0005081b sext.w a6,a0 + 8000694c: 01400613 li a2,20 + 80006950: 01400593 li a1,20 + 80006954: 000b0513 mv a0,s6 + 80006958: e50fe0ef jal ra,80004fa8 + 8000695c: f7dff06f j 800068d8 + +0000000080006960 : + 80006960: f5010113 addi sp,sp,-176 + 80006964: 00800513 li a0,8 + 80006968: 0a113423 sd ra,168(sp) + 8000696c: 08913c23 sd s1,152(sp) + 80006970: 07513c23 sd s5,120(sp) + 80006974: 07613823 sd s6,112(sp) + 80006978: 07713423 sd s7,104(sp) + 8000697c: 07813023 sd s8,96(sp) + 80006980: 0a813023 sd s0,160(sp) + 80006984: 09213823 sd s2,144(sp) + 80006988: 09313423 sd s3,136(sp) + 8000698c: 09413023 sd s4,128(sp) + 80006990: eacfb0ef jal ra,8000203c + 80006994: 00850513 addi a0,a0,8 + 80006998: 00800793 li a5,8 + 8000699c: 00008617 auipc a2,0x8 + 800069a0: 50460613 addi a2,a2,1284 # 8000eea0 + 800069a4: 01400593 li a1,20 + 800069a8: 00a13023 sd a0,0(sp) + 800069ac: 00f10823 sb a5,16(sp) + 800069b0: 00008517 auipc a0,0x8 + 800069b4: 4f850513 addi a0,a0,1272 # 8000eea8 + 800069b8: 00800793 li a5,8 + 800069bc: 02010a93 addi s5,sp,32 + 800069c0: 00f12a23 sw a5,20(sp) + 800069c4: 01513423 sd s5,8(sp) + 800069c8: 00012c23 sw zero,24(sp) + 800069cc: ddcfe0ef jal ra,80004fa8 + 800069d0: ad4ff0ef jal ra,80005ca4 + 800069d4: 00008517 auipc a0,0x8 + 800069d8: 4fc50513 addi a0,a0,1276 # 8000eed0 + 800069dc: dccfe0ef jal ra,80004fa8 + 800069e0: 00000493 li s1,0 + 800069e4: 00008b17 auipc s6,0x8 + 800069e8: 534b0b13 addi s6,s6,1332 # 8000ef18 + 800069ec: 00008b97 auipc s7,0x8 + 800069f0: 50cb8b93 addi s7,s7,1292 # 8000eef8 + 800069f4: 00008c17 auipc s8,0x8 + 800069f8: fdcc0c13 addi s8,s8,-36 # 8000e9d0 + 800069fc: 00048513 mv a0,s1 + 80006a00: 00010593 mv a1,sp + 80006a04: 9c0ff0ef jal ra,80005bc4 + 80006a08: 00050493 mv s1,a0 + 80006a0c: 000a8a13 mv s4,s5 + 80006a10: 00000993 li s3,0 + 80006a14: 01812783 lw a5,24(sp) + 80006a18: 02f9ce63 blt s3,a5,80006a54 + 80006a1c: fe0490e3 bnez s1,800069fc + 80006a20: 0a813083 ld ra,168(sp) + 80006a24: 0a013403 ld s0,160(sp) + 80006a28: 09813483 ld s1,152(sp) + 80006a2c: 09013903 ld s2,144(sp) + 80006a30: 08813983 ld s3,136(sp) + 80006a34: 08013a03 ld s4,128(sp) + 80006a38: 07813a83 ld s5,120(sp) + 80006a3c: 07013b03 ld s6,112(sp) + 80006a40: 06813b83 ld s7,104(sp) + 80006a44: 06013c03 ld s8,96(sp) + 80006a48: 00000513 li a0,0 + 80006a4c: 0b010113 addi sp,sp,176 + 80006a50: 00008067 ret + 80006a54: 000a3403 ld s0,0(s4) + 80006a58: df8f90ef jal ra,80000050 + 80006a5c: 01014703 lbu a4,16(sp) + 80006a60: ffc44783 lbu a5,-4(s0) + 80006a64: 07f7f793 andi a5,a5,127 + 80006a68: 00f70a63 beq a4,a5,80006a7c + 80006a6c: decf90ef jal ra,80000058 + 80006a70: 0019899b addiw s3,s3,1 + 80006a74: 008a0a13 addi s4,s4,8 + 80006a78: f9dff06f j 80006a14 + 80006a7c: ddcf90ef jal ra,80000058 + 80006a80: 04043903 ld s2,64(s0) + 80006a84: 00000893 li a7,0 + 80006a88: fe840693 addi a3,s0,-24 + 80006a8c: 04040793 addi a5,s0,64 + 80006a90: 02f91c63 bne s2,a5,80006ac8 + 80006a94: 02043703 ld a4,32(s0) + 80006a98: 03043783 ld a5,48(s0) + 80006a9c: 03843803 ld a6,56(s0) + 80006aa0: 02088a63 beqz a7,80006ad4 + 80006aa4: 01400613 li a2,20 + 80006aa8: 01400593 li a1,20 + 80006aac: 000b8513 mv a0,s7 + 80006ab0: cf8fe0ef jal ra,80004fa8 + 80006ab4: 00090513 mv a0,s2 + 80006ab8: fd9fe0ef jal ra,80005a90 + 80006abc: 000c0513 mv a0,s8 + 80006ac0: ce8fe0ef jal ra,80004fa8 + 80006ac4: fadff06f j 80006a70 + 80006ac8: 00093903 ld s2,0(s2) + 80006acc: 0018889b addiw a7,a7,1 + 80006ad0: fc1ff06f j 80006a90 + 80006ad4: 00000893 li a7,0 + 80006ad8: 01400613 li a2,20 + 80006adc: 01400593 li a1,20 + 80006ae0: 000b0513 mv a0,s6 + 80006ae4: cc4fe0ef jal ra,80004fa8 + 80006ae8: f89ff06f j 80006a70 + +0000000080006aec : + 80006aec: fd010113 addi sp,sp,-48 + 80006af0: 00009517 auipc a0,0x9 + 80006af4: a9850513 addi a0,a0,-1384 # 8000f588 <__fsym_hello_name+0x8> + 80006af8: 02813023 sd s0,32(sp) + 80006afc: 01213823 sd s2,16(sp) + 80006b00: 01313423 sd s3,8(sp) + 80006b04: 01413023 sd s4,0(sp) + 80006b08: 02113423 sd ra,40(sp) + 80006b0c: 00913c23 sd s1,24(sp) + 80006b10: 00013917 auipc s2,0x13 + 80006b14: 94890913 addi s2,s2,-1720 # 80019458 <_syscall_table_end> + 80006b18: c90fe0ef jal ra,80004fa8 + 80006b1c: 00009997 auipc s3,0x9 + 80006b20: a8c98993 addi s3,s3,-1396 # 8000f5a8 <__fsym_hello_name+0x28> + 80006b24: 00013417 auipc s0,0x13 + 80006b28: 92c43403 ld s0,-1748(s0) # 80019450 <_syscall_table_begin> + 80006b2c: 00009a17 auipc s4,0x9 + 80006b30: a84a0a13 addi s4,s4,-1404 # 8000f5b0 <__fsym_hello_name+0x30> + 80006b34: 00093783 ld a5,0(s2) + 80006b38: 02f46a63 bltu s0,a5,80006b6c + 80006b3c: 00008517 auipc a0,0x8 + 80006b40: e9450513 addi a0,a0,-364 # 8000e9d0 + 80006b44: c64fe0ef jal ra,80004fa8 + 80006b48: 02813083 ld ra,40(sp) + 80006b4c: 02013403 ld s0,32(sp) + 80006b50: 01813483 ld s1,24(sp) + 80006b54: 01013903 ld s2,16(sp) + 80006b58: 00813983 ld s3,8(sp) + 80006b5c: 00013a03 ld s4,0(sp) + 80006b60: 00000513 li a0,0 + 80006b64: 03010113 addi sp,sp,48 + 80006b68: 00008067 ret + 80006b6c: 00043483 ld s1,0(s0) + 80006b70: 00600613 li a2,6 + 80006b74: 00098593 mv a1,s3 + 80006b78: 00048513 mv a0,s1 + 80006b7c: af1f90ef jal ra,8000066c + 80006b80: 00051a63 bnez a0,80006b94 + 80006b84: 00843603 ld a2,8(s0) + 80006b88: 00648593 addi a1,s1,6 + 80006b8c: 000a0513 mv a0,s4 + 80006b90: c18fe0ef jal ra,80004fa8 + 80006b94: 01840413 addi s0,s0,24 + 80006b98: f9dff06f j 80006b34 + +0000000080006b9c : + 80006b9c: ff010113 addi sp,sp,-16 + 80006ba0: 00113423 sd ra,8(sp) + 80006ba4: 944ff0ef jal ra,80005ce8 + 80006ba8: 00813083 ld ra,8(sp) + 80006bac: 00000513 li a0,0 + 80006bb0: 01010113 addi sp,sp,16 + 80006bb4: 00008067 ret + +0000000080006bb8 : + 80006bb8: ff010113 addi sp,sp,-16 + 80006bbc: 00113423 sd ra,8(sp) + 80006bc0: fb0fa0ef jal ra,80001370 + 80006bc4: 00813083 ld ra,8(sp) + 80006bc8: 00000513 li a0,0 + 80006bcc: 01010113 addi sp,sp,16 + 80006bd0: 00008067 ret + +0000000080006bd4 : + 80006bd4: 00100513 li a0,1 + 80006bd8: 00008067 ret + +0000000080006bdc : + 80006bdc: f6010113 addi sp,sp,-160 + 80006be0: 08813823 sd s0,144(sp) + 80006be4: 08913423 sd s1,136(sp) + 80006be8: 08113c23 sd ra,152(sp) + 80006bec: 09213023 sd s2,128(sp) + 80006bf0: 07313c23 sd s3,120(sp) + 80006bf4: 07413823 sd s4,112(sp) + 80006bf8: 07513423 sd s5,104(sp) + 80006bfc: 07613023 sd s6,96(sp) + 80006c00: 05713c23 sd s7,88(sp) + 80006c04: 00050493 mv s1,a0 + 80006c08: 00058413 mv s0,a1 + 80006c0c: 02000693 li a3,32 + 80006c10: 00900713 li a4,9 + 80006c14: 04041063 bnez s0,80006c54 + 80006c18: 00000513 li a0,0 + 80006c1c: 09813083 ld ra,152(sp) + 80006c20: 09013403 ld s0,144(sp) + 80006c24: 08813483 ld s1,136(sp) + 80006c28: 08013903 ld s2,128(sp) + 80006c2c: 07813983 ld s3,120(sp) + 80006c30: 07013a03 ld s4,112(sp) + 80006c34: 06813a83 ld s5,104(sp) + 80006c38: 06013b03 ld s6,96(sp) + 80006c3c: 05813b83 ld s7,88(sp) + 80006c40: 0a010113 addi sp,sp,160 + 80006c44: 00008067 ret + 80006c48: 00148493 addi s1,s1,1 + 80006c4c: fff40413 addi s0,s0,-1 + 80006c50: fc5ff06f j 80006c14 + 80006c54: 0004c783 lbu a5,0(s1) + 80006c58: fed788e3 beq a5,a3,80006c48 + 80006c5c: fee786e3 beq a5,a4,80006c48 + 80006c60: 00000793 li a5,0 + 80006c64: 02000693 li a3,32 + 80006c68: 00900613 li a2,9 + 80006c6c: 00f48733 add a4,s1,a5 + 80006c70: 00074703 lbu a4,0(a4) + 80006c74: 0cd70663 beq a4,a3,80006d40 + 80006c78: 0cc70463 beq a4,a2,80006d40 + 80006c7c: 0af41e63 bne s0,a5,80006d38 + 80006c80: 00078b1b sext.w s6,a5 + 80006c84: 00012997 auipc s3,0x12 + 80006c88: 7cc9b983 ld s3,1996(s3) # 80019450 <_syscall_table_begin> + 80006c8c: 00012a97 auipc s5,0x12 + 80006c90: 7ccaba83 ld s5,1996(s5) # 80019458 <_syscall_table_end> + 80006c94: 00009b97 auipc s7,0x9 + 80006c98: 914b8b93 addi s7,s7,-1772 # 8000f5a8 <__fsym_hello_name+0x28> + 80006c9c: 0067891b addiw s2,a5,6 + 80006ca0: 0b59f263 bgeu s3,s5,80006d44 + 80006ca4: 0009ba03 ld s4,0(s3) + 80006ca8: 00600613 li a2,6 + 80006cac: 000b8593 mv a1,s7 + 80006cb0: 000a0513 mv a0,s4 + 80006cb4: 9b9f90ef jal ra,8000066c + 80006cb8: 0c051463 bnez a0,80006d80 + 80006cbc: 000b0613 mv a2,s6 + 80006cc0: 00048593 mv a1,s1 + 80006cc4: 006a0513 addi a0,s4,6 + 80006cc8: 9a5f90ef jal ra,8000066c + 80006ccc: 0a051a63 bnez a0,80006d80 + 80006cd0: 012a0a33 add s4,s4,s2 + 80006cd4: 000a4783 lbu a5,0(s4) + 80006cd8: 0a079463 bnez a5,80006d80 + 80006cdc: 0109b983 ld s3,16(s3) + 80006ce0: 06098263 beqz s3,80006d44 + 80006ce4: 05000613 li a2,80 + 80006ce8: 00000593 li a1,0 + 80006cec: 00010513 mv a0,sp + 80006cf0: 981f90ef jal ra,80000670 + 80006cf4: 00010913 mv s2,sp + 80006cf8: 00010613 mv a2,sp + 80006cfc: 00048793 mv a5,s1 + 80006d00: 00000713 li a4,0 + 80006d04: 00000693 li a3,0 + 80006d08: 02000813 li a6,32 + 80006d0c: 00900893 li a7,9 + 80006d10: 00a00313 li t1,10 + 80006d14: 02200593 li a1,34 + 80006d18: 05c00e13 li t3,92 + 80006d1c: 0007c503 lbu a0,0(a5) + 80006d20: 01050463 beq a0,a6,80006d28 + 80006d24: 07151a63 bne a0,a7,80006d98 + 80006d28: 06e41063 bne s0,a4,80006d88 + 80006d2c: 00a00793 li a5,10 + 80006d30: 06f68663 beq a3,a5,80006d9c + 80006d34: 0d40006f j 80006e08 + 80006d38: 00178793 addi a5,a5,1 + 80006d3c: f31ff06f j 80006c6c + 80006d40: f40790e3 bnez a5,80006c80 + 80006d44: 0004059b sext.w a1,s0 + 80006d48: 00048513 mv a0,s1 + 80006d4c: 174010ef jal ra,80007ec0 + 80006d50: 00048793 mv a5,s1 + 80006d54: ec0502e3 beqz a0,80006c18 + 80006d58: 0007c703 lbu a4,0(a5) + 80006d5c: 0df77713 andi a4,a4,223 + 80006d60: 10071c63 bnez a4,80006e78 + 80006d64: 00009517 auipc a0,0x9 + 80006d68: 88450513 addi a0,a0,-1916 # 8000f5e8 <__fsym_hello_name+0x68> + 80006d6c: 00078023 sb zero,0(a5) + 80006d70: 00048593 mv a1,s1 + 80006d74: a34fe0ef jal ra,80004fa8 + 80006d78: fff00513 li a0,-1 + 80006d7c: ea1ff06f j 80006c1c + 80006d80: 01898993 addi s3,s3,24 + 80006d84: f1dff06f j 80006ca0 + 80006d88: 00078023 sb zero,0(a5) + 80006d8c: 00170713 addi a4,a4,1 + 80006d90: 00178793 addi a5,a5,1 + 80006d94: f89ff06f j 80006d1c + 80006d98: 04669663 bne a3,t1,80006de4 + 80006d9c: 00009517 auipc a0,0x9 + 80006da0: 82450513 addi a0,a0,-2012 # 8000f5c0 <__fsym_hello_name+0x40> + 80006da4: a04fe0ef jal ra,80004fa8 + 80006da8: 05010413 addi s0,sp,80 + 80006dac: 00009497 auipc s1,0x9 + 80006db0: 83448493 addi s1,s1,-1996 # 8000f5e0 <__fsym_hello_name+0x60> + 80006db4: 00093583 ld a1,0(s2) + 80006db8: 00048513 mv a0,s1 + 80006dbc: 00890913 addi s2,s2,8 + 80006dc0: 9e8fe0ef jal ra,80004fa8 + 80006dc4: fe8918e3 bne s2,s0,80006db4 + 80006dc8: 00008517 auipc a0,0x8 + 80006dcc: c0850513 addi a0,a0,-1016 # 8000e9d0 + 80006dd0: 9d8fe0ef jal ra,80004fa8 + 80006dd4: 00a00513 li a0,10 + 80006dd8: 00010593 mv a1,sp + 80006ddc: 000980e7 jalr s3 + 80006de0: e3dff06f j 80006c1c + 80006de4: 02877263 bgeu a4,s0,80006e08 + 80006de8: 00168693 addi a3,a3,1 + 80006dec: 06b51263 bne a0,a1,80006e50 + 80006df0: 00178793 addi a5,a5,1 + 80006df4: 00170713 addi a4,a4,1 + 80006df8: 00f63023 sd a5,0(a2) + 80006dfc: 0007c503 lbu a0,0(a5) + 80006e00: 02b50a63 beq a0,a1,80006e34 + 80006e04: 00876863 bltu a4,s0,80006e14 + 80006e08: 0006851b sext.w a0,a3 + 80006e0c: fc0696e3 bnez a3,80006dd8 + 80006e10: f35ff06f j 80006d44 + 80006e14: 0017ce83 lbu t4,1(a5) + 80006e18: 01c51863 bne a0,t3,80006e28 + 80006e1c: 00be9663 bne t4,a1,80006e28 + 80006e20: 00178793 addi a5,a5,1 + 80006e24: 00170713 addi a4,a4,1 + 80006e28: 00178793 addi a5,a5,1 + 80006e2c: 00170713 addi a4,a4,1 + 80006e30: fcdff06f j 80006dfc + 80006e34: fc877ae3 bgeu a4,s0,80006e08 + 80006e38: 00078023 sb zero,0(a5) + 80006e3c: 00170713 addi a4,a4,1 + 80006e40: 00178793 addi a5,a5,1 + 80006e44: 00860613 addi a2,a2,8 + 80006e48: ec876ae3 bltu a4,s0,80006d1c + 80006e4c: fbdff06f j 80006e08 + 80006e50: 00f63023 sd a5,0(a2) + 80006e54: 0007c503 lbu a0,0(a5) + 80006e58: 01050c63 beq a0,a6,80006e70 + 80006e5c: 01150a63 beq a0,a7,80006e70 + 80006e60: fae404e3 beq s0,a4,80006e08 + 80006e64: 00178793 addi a5,a5,1 + 80006e68: 00170713 addi a4,a4,1 + 80006e6c: fe9ff06f j 80006e54 + 80006e70: fc876ae3 bltu a4,s0,80006e44 + 80006e74: f95ff06f j 80006e08 + 80006e78: 00178793 addi a5,a5,1 + 80006e7c: eddff06f j 80006d58 + +0000000080006e80 : + 80006e80: 26050863 beqz a0,800070f0 + 80006e84: fc010113 addi sp,sp,-64 + 80006e88: 03213023 sd s2,32(sp) + 80006e8c: 00050913 mv s2,a0 + 80006e90: 10000513 li a0,256 + 80006e94: 02813823 sd s0,48(sp) + 80006e98: 02113c23 sd ra,56(sp) + 80006e9c: 02913423 sd s1,40(sp) + 80006ea0: 01313c23 sd s3,24(sp) + 80006ea4: 01413823 sd s4,16(sp) + 80006ea8: 01513423 sd s5,8(sp) + 80006eac: a35fa0ef jal ra,800018e0 + 80006eb0: 00050413 mv s0,a0 + 80006eb4: 20050c63 beqz a0,800070cc + 80006eb8: 00094783 lbu a5,0(s2) + 80006ebc: 02f00493 li s1,47 + 80006ec0: 04978863 beq a5,s1,80006f10 + 80006ec4: 10000593 li a1,256 + 80006ec8: 2c0020ef jal ra,80009188 + 80006ecc: 00040513 mv a0,s0 + 80006ed0: 9d1fd0ef jal ra,800048a0 + 80006ed4: 00a40533 add a0,s0,a0 + 80006ed8: fff54783 lbu a5,-1(a0) + 80006edc: 00978a63 beq a5,s1,80006ef0 + 80006ee0: 00008597 auipc a1,0x8 + 80006ee4: b0858593 addi a1,a1,-1272 # 8000e9e8 + 80006ee8: 00040513 mv a0,s0 + 80006eec: f44f90ef jal ra,80000630 + 80006ef0: 00090793 mv a5,s2 + 80006ef4: 00000993 li s3,0 + 80006ef8: 02f00693 li a3,47 + 80006efc: 0007c703 lbu a4,0(a5) + 80006f00: 00d71c63 bne a4,a3,80006f18 + 80006f04: 00178993 addi s3,a5,1 + 80006f08: 00178793 addi a5,a5,1 + 80006f0c: ff1ff06f j 80006efc + 80006f10: 00050023 sb zero,0(a0) + 80006f14: fddff06f j 80006ef0 + 80006f18: fe0718e3 bnez a4,80006f08 + 80006f1c: 00099463 bnez s3,80006f24 + 80006f20: 00090993 mv s3,s2 + 80006f24: 00040513 mv a0,s0 + 80006f28: 0080006f j 80006f30 + 80006f2c: 00150513 addi a0,a0,1 + 80006f30: 00054783 lbu a5,0(a0) + 80006f34: fe079ce3 bnez a5,80006f2c + 80006f38: 00050793 mv a5,a0 + 80006f3c: 00090493 mv s1,s2 + 80006f40: 05349463 bne s1,s3,80006f88 + 80006f44: 41248933 sub s2,s1,s2 + 80006f48: 01250933 add s2,a0,s2 + 80006f4c: 00090023 sb zero,0(s2) + 80006f50: 00040513 mv a0,s0 + 80006f54: 70d010ef jal ra,80008e60 + 80006f58: 00050a13 mv s4,a0 + 80006f5c: 04051063 bnez a0,80006f9c + 80006f60: 00040513 mv a0,s0 + 80006f64: 03013403 ld s0,48(sp) + 80006f68: 03813083 ld ra,56(sp) + 80006f6c: 02813483 ld s1,40(sp) + 80006f70: 02013903 ld s2,32(sp) + 80006f74: 01813983 ld s3,24(sp) + 80006f78: 01013a03 ld s4,16(sp) + 80006f7c: 00813a83 ld s5,8(sp) + 80006f80: 04010113 addi sp,sp,64 + 80006f84: cadfa06f j 80001c30 + 80006f88: 0004c703 lbu a4,0(s1) + 80006f8c: 00148493 addi s1,s1,1 + 80006f90: 00178793 addi a5,a5,1 + 80006f94: fee78fa3 sb a4,-1(a5) + 80006f98: fa9ff06f j 80006f40 + 80006f9c: 0004c783 lbu a5,0(s1) + 80006fa0: 02079463 bnez a5,80006fc8 + 80006fa4: 00008497 auipc s1,0x8 + 80006fa8: 61448493 addi s1,s1,1556 # 8000f5b8 <__fsym_hello_name+0x38> + 80006fac: 000a0513 mv a0,s4 + 80006fb0: 775010ef jal ra,80008f24 + 80006fb4: 10050663 beqz a0,800070c0 + 80006fb8: 00450593 addi a1,a0,4 + 80006fbc: 00048513 mv a0,s1 + 80006fc0: fe9fd0ef jal ra,80004fa8 + 80006fc4: fe9ff06f j 80006fac + 80006fc8: 00000493 li s1,0 + 80006fcc: 000a0513 mv a0,s4 + 80006fd0: 755010ef jal ra,80008f24 + 80006fd4: 06050c63 beqz a0,8000704c + 80006fd8: 00450913 addi s2,a0,4 + 80006fdc: 00098513 mv a0,s3 + 80006fe0: 8c1fd0ef jal ra,800048a0 + 80006fe4: 00050613 mv a2,a0 + 80006fe8: 00090593 mv a1,s2 + 80006fec: 00098513 mv a0,s3 + 80006ff0: e7cf90ef jal ra,8000066c + 80006ff4: fc051ce3 bnez a0,80006fcc + 80006ff8: 00049e63 bnez s1,80007014 + 80006ffc: 00090513 mv a0,s2 + 80007000: 8a1fd0ef jal ra,800048a0 + 80007004: 00050493 mv s1,a0 + 80007008: 00090593 mv a1,s2 + 8000700c: 00040513 mv a0,s0 + 80007010: df8f90ef jal ra,80000608 + 80007014: 00090593 mv a1,s2 + 80007018: 00040793 mv a5,s0 + 8000701c: 0005c683 lbu a3,0(a1) + 80007020: 00068863 beqz a3,80007030 + 80007024: 0007c703 lbu a4,0(a5) + 80007028: 00070463 beqz a4,80007030 + 8000702c: 00e68a63 beq a3,a4,80007040 + 80007030: 412585bb subw a1,a1,s2 + 80007034: f895fce3 bgeu a1,s1,80006fcc + 80007038: 00058493 mv s1,a1 + 8000703c: f91ff06f j 80006fcc + 80007040: 00158593 addi a1,a1,1 + 80007044: 00178793 addi a5,a5,1 + 80007048: fd5ff06f j 8000701c + 8000704c: 06048a63 beqz s1,800070c0 + 80007050: 00040513 mv a0,s0 + 80007054: 84dfd0ef jal ra,800048a0 + 80007058: 04a4f863 bgeu s1,a0,800070a8 + 8000705c: 000a0513 mv a0,s4 + 80007060: 78d010ef jal ra,80008fec + 80007064: 00008a97 auipc s5,0x8 + 80007068: 554a8a93 addi s5,s5,1364 # 8000f5b8 <__fsym_hello_name+0x38> + 8000706c: 000a0513 mv a0,s4 + 80007070: 6b5010ef jal ra,80008f24 + 80007074: 02050a63 beqz a0,800070a8 + 80007078: 00450913 addi s2,a0,4 + 8000707c: 00098513 mv a0,s3 + 80007080: 821fd0ef jal ra,800048a0 + 80007084: 00050613 mv a2,a0 + 80007088: 00090593 mv a1,s2 + 8000708c: 00098513 mv a0,s3 + 80007090: ddcf90ef jal ra,8000066c + 80007094: fc051ce3 bnez a0,8000706c + 80007098: 00090593 mv a1,s2 + 8000709c: 000a8513 mv a0,s5 + 800070a0: f09fd0ef jal ra,80004fa8 + 800070a4: fc9ff06f j 8000706c + 800070a8: 00048613 mv a2,s1 + 800070ac: 00040593 mv a1,s0 + 800070b0: 00098513 mv a0,s3 + 800070b4: 009984b3 add s1,s3,s1 + 800070b8: dbcf90ef jal ra,80000674 + 800070bc: 00048023 sb zero,0(s1) + 800070c0: 000a0513 mv a0,s4 + 800070c4: 791010ef jal ra,80009054 + 800070c8: e99ff06f j 80006f60 + 800070cc: 03813083 ld ra,56(sp) + 800070d0: 03013403 ld s0,48(sp) + 800070d4: 02813483 ld s1,40(sp) + 800070d8: 02013903 ld s2,32(sp) + 800070dc: 01813983 ld s3,24(sp) + 800070e0: 01013a03 ld s4,16(sp) + 800070e4: 00813a83 ld s5,8(sp) + 800070e8: 04010113 addi sp,sp,64 + 800070ec: 00008067 ret + 800070f0: 00008067 ret + +00000000800070f4 : + 800070f4: 00054783 lbu a5,0(a0) + 800070f8: 00079863 bnez a5,80007108 + 800070fc: 00000593 li a1,0 + 80007100: 00000513 li a0,0 + 80007104: 9e9ff06f j 80006aec + 80007108: fb010113 addi sp,sp,-80 + 8000710c: 04813023 sd s0,64(sp) + 80007110: 04113423 sd ra,72(sp) + 80007114: 00050413 mv s0,a0 + 80007118: 02913c23 sd s1,56(sp) + 8000711c: 03213823 sd s2,48(sp) + 80007120: 03313423 sd s3,40(sp) + 80007124: 03413023 sd s4,32(sp) + 80007128: 01513c23 sd s5,24(sp) + 8000712c: 01613823 sd s6,16(sp) + 80007130: 01713423 sd s7,8(sp) + 80007134: f6cfd0ef jal ra,800048a0 + 80007138: 00a40533 add a0,s0,a0 + 8000713c: 02000793 li a5,32 + 80007140: 00850a63 beq a0,s0,80007154 + 80007144: 00054703 lbu a4,0(a0) + 80007148: 06f71c63 bne a4,a5,800071c0 + 8000714c: 00150513 addi a0,a0,1 + 80007150: d31ff0ef jal ra,80006e80 + 80007154: 00012997 auipc s3,0x12 + 80007158: 2fc9b983 ld s3,764(s3) # 80019450 <_syscall_table_begin> + 8000715c: 00000b93 li s7,0 + 80007160: 00000493 li s1,0 + 80007164: 00012a17 auipc s4,0x12 + 80007168: 2f4a0a13 addi s4,s4,756 # 80019458 <_syscall_table_end> + 8000716c: 00008a97 auipc s5,0x8 + 80007170: 43ca8a93 addi s5,s5,1084 # 8000f5a8 <__fsym_hello_name+0x28> + 80007174: 00008b17 auipc s6,0x8 + 80007178: 444b0b13 addi s6,s6,1092 # 8000f5b8 <__fsym_hello_name+0x38> + 8000717c: 000a3783 ld a5,0(s4) + 80007180: 04f9e463 bltu s3,a5,800071c8 + 80007184: 0e0b8263 beqz s7,80007268 + 80007188: 00040513 mv a0,s0 + 8000718c: 04013403 ld s0,64(sp) + 80007190: 04813083 ld ra,72(sp) + 80007194: 03013903 ld s2,48(sp) + 80007198: 02813983 ld s3,40(sp) + 8000719c: 02013a03 ld s4,32(sp) + 800071a0: 01813a83 ld s5,24(sp) + 800071a4: 01013b03 ld s6,16(sp) + 800071a8: 00048613 mv a2,s1 + 800071ac: 000b8593 mv a1,s7 + 800071b0: 03813483 ld s1,56(sp) + 800071b4: 00813b83 ld s7,8(sp) + 800071b8: 05010113 addi sp,sp,80 + 800071bc: e3cfd06f j 800047f8 + 800071c0: fff50513 addi a0,a0,-1 + 800071c4: f7dff06f j 80007140 + 800071c8: 0009b903 ld s2,0(s3) + 800071cc: 00600613 li a2,6 + 800071d0: 000a8593 mv a1,s5 + 800071d4: 00090513 mv a0,s2 + 800071d8: c94f90ef jal ra,8000066c + 800071dc: 06051663 bnez a0,80007248 + 800071e0: 00040513 mv a0,s0 + 800071e4: c20f90ef jal ra,80000604 + 800071e8: 00690913 addi s2,s2,6 + 800071ec: 00050613 mv a2,a0 + 800071f0: 00090593 mv a1,s2 + 800071f4: 00040513 mv a0,s0 + 800071f8: c74f90ef jal ra,8000066c + 800071fc: 04051663 bnez a0,80007248 + 80007200: 00049a63 bnez s1,80007214 + 80007204: 00090513 mv a0,s2 + 80007208: bfcf90ef jal ra,80000604 + 8000720c: 0005049b sext.w s1,a0 + 80007210: 00090b93 mv s7,s2 + 80007214: 000b8793 mv a5,s7 + 80007218: 00090713 mv a4,s2 + 8000721c: 0007c603 lbu a2,0(a5) + 80007220: 02061863 bnez a2,80007250 + 80007224: 417787b3 sub a5,a5,s7 + 80007228: 00078713 mv a4,a5 + 8000722c: 0007879b sext.w a5,a5 + 80007230: 00f4d463 bge s1,a5,80007238 + 80007234: 00048713 mv a4,s1 + 80007238: 00090593 mv a1,s2 + 8000723c: 000b0513 mv a0,s6 + 80007240: 0007049b sext.w s1,a4 + 80007244: d65fd0ef jal ra,80004fa8 + 80007248: 01898993 addi s3,s3,24 + 8000724c: f31ff06f j 8000717c + 80007250: 00074683 lbu a3,0(a4) + 80007254: fc0688e3 beqz a3,80007224 + 80007258: fcd616e3 bne a2,a3,80007224 + 8000725c: 00178793 addi a5,a5,1 + 80007260: 00170713 addi a4,a4,1 + 80007264: fb9ff06f j 8000721c + 80007268: 04813083 ld ra,72(sp) + 8000726c: 04013403 ld s0,64(sp) + 80007270: 03813483 ld s1,56(sp) + 80007274: 03013903 ld s2,48(sp) + 80007278: 02813983 ld s3,40(sp) + 8000727c: 02013a03 ld s4,32(sp) + 80007280: 01813a83 ld s5,24(sp) + 80007284: 01013b03 ld s6,16(sp) + 80007288: 00813b83 ld s7,8(sp) + 8000728c: 05010113 addi sp,sp,80 + 80007290: 00008067 ret + +0000000080007294 : + 80007294: ff010113 addi sp,sp,-16 + 80007298: 00113423 sd ra,8(sp) + 8000729c: 00100793 li a5,1 + 800072a0: 02f51063 bne a0,a5,800072c0 + 800072a4: 0000a517 auipc a0,0xa + 800072a8: f7450513 addi a0,a0,-140 # 80011218 + 800072ac: 725020ef jal ra,8000a1d0 + 800072b0: 00813083 ld ra,8(sp) + 800072b4: 00000513 li a0,0 + 800072b8: 01010113 addi sp,sp,16 + 800072bc: 00008067 ret + 800072c0: 0085b503 ld a0,8(a1) + 800072c4: fe9ff06f j 800072ac + +00000000800072c8 : + 800072c8: ff010113 addi sp,sp,-16 + 800072cc: 00008517 auipc a0,0x8 + 800072d0: 2ec50513 addi a0,a0,748 # 8000f5b8 <__fsym_hello_name+0x38> + 800072d4: 0000a597 auipc a1,0xa + 800072d8: f4458593 addi a1,a1,-188 # 80011218 + 800072dc: 00113423 sd ra,8(sp) + 800072e0: cc9fd0ef jal ra,80004fa8 + 800072e4: 00813083 ld ra,8(sp) + 800072e8: 00000513 li a0,0 + 800072ec: 01010113 addi sp,sp,16 + 800072f0: 00008067 ret + +00000000800072f4 : + 800072f4: 24050063 beqz a0,80007534 + 800072f8: f8010113 addi sp,sp,-128 + 800072fc: 06913423 sd s1,104(sp) + 80007300: 00050493 mv s1,a0 + 80007304: 10000513 li a0,256 + 80007308: 06813823 sd s0,112(sp) + 8000730c: 07213023 sd s2,96(sp) + 80007310: 05313c23 sd s3,88(sp) + 80007314: 06113c23 sd ra,120(sp) + 80007318: 05413823 sd s4,80(sp) + 8000731c: 05513423 sd s5,72(sp) + 80007320: 05613023 sd s6,64(sp) + 80007324: 03713c23 sd s7,56(sp) + 80007328: 03813823 sd s8,48(sp) + 8000732c: 03913423 sd s9,40(sp) + 80007330: 03a13023 sd s10,32(sp) + 80007334: 01b13c23 sd s11,24(sp) + 80007338: 00058913 mv s2,a1 + 8000733c: 00060993 mv s3,a2 + 80007340: da0fa0ef jal ra,800018e0 + 80007344: 00050413 mv s0,a0 + 80007348: 1a050863 beqz a0,800074f8 + 8000734c: 00048513 mv a0,s1 + 80007350: 311010ef jal ra,80008e60 + 80007354: 00050a13 mv s4,a0 + 80007358: 0a050463 beqz a0,80007400 + 8000735c: 00008b17 auipc s6,0x8 + 80007360: 34cb0b13 addi s6,s6,844 # 8000f6a8 <__fsym___cmd_help_name+0x28> + 80007364: 00008b97 auipc s7,0x8 + 80007368: 34cb8b93 addi s7,s7,844 # 8000f6b0 <__fsym___cmd_help_name+0x30> + 8000736c: 00100c13 li s8,1 + 80007370: 00200c93 li s9,2 + 80007374: 00008d17 auipc s10,0x8 + 80007378: 344d0d13 addi s10,s10,836 # 8000f6b8 <__fsym___cmd_help_name+0x38> + 8000737c: 00008d97 auipc s11,0x8 + 80007380: 314d8d93 addi s11,s11,788 # 8000f690 <__fsym___cmd_help_name+0x10> + 80007384: 000a0513 mv a0,s4 + 80007388: 39d010ef jal ra,80008f24 + 8000738c: 00050a93 mv s5,a0 + 80007390: 0e050663 beqz a0,8000747c + 80007394: 00450693 addi a3,a0,4 + 80007398: 00068593 mv a1,a3 + 8000739c: 00009517 auipc a0,0x9 + 800073a0: ff450513 addi a0,a0,-12 # 80010390 <__fsym_ls_name+0x90> + 800073a4: 00d13423 sd a3,8(sp) + 800073a8: cd4fd0ef jal ra,8000487c + 800073ac: 00813683 ld a3,8(sp) + 800073b0: fc050ae3 beqz a0,80007384 + 800073b4: 00068593 mv a1,a3 + 800073b8: 000b0513 mv a0,s6 + 800073bc: cc0fd0ef jal ra,8000487c + 800073c0: 00813683 ld a3,8(sp) + 800073c4: fc0500e3 beqz a0,80007384 + 800073c8: 00048613 mv a2,s1 + 800073cc: 000b8593 mv a1,s7 + 800073d0: 00040513 mv a0,s0 + 800073d4: b1dfd0ef jal ra,80004ef0 + 800073d8: 000ac783 lbu a5,0(s5) + 800073dc: 09879463 bne a5,s8,80007464 + 800073e0: 00040513 mv a0,s0 + 800073e4: 225010ef jal ra,80008e08 + 800073e8: 06050663 beqz a0,80007454 + 800073ec: f8091ce3 bnez s2,80007384 + 800073f0: 00040593 mv a1,s0 + 800073f4: 000d8513 mv a0,s11 + 800073f8: bb1fd0ef jal ra,80004fa8 + 800073fc: f89ff06f j 80007384 + 80007400: 00091a63 bnez s2,80007414 + 80007404: 00048593 mv a1,s1 + 80007408: 00008517 auipc a0,0x8 + 8000740c: 28850513 addi a0,a0,648 # 8000f690 <__fsym___cmd_help_name+0x10> + 80007410: b99fd0ef jal ra,80004fa8 + 80007414: 00040513 mv a0,s0 + 80007418: 07013403 ld s0,112(sp) + 8000741c: 07813083 ld ra,120(sp) + 80007420: 06813483 ld s1,104(sp) + 80007424: 06013903 ld s2,96(sp) + 80007428: 05813983 ld s3,88(sp) + 8000742c: 05013a03 ld s4,80(sp) + 80007430: 04813a83 ld s5,72(sp) + 80007434: 04013b03 ld s6,64(sp) + 80007438: 03813b83 ld s7,56(sp) + 8000743c: 03013c03 ld s8,48(sp) + 80007440: 02813c83 ld s9,40(sp) + 80007444: 02013d03 ld s10,32(sp) + 80007448: 01813d83 ld s11,24(sp) + 8000744c: 08010113 addi sp,sp,128 + 80007450: fe0fa06f j 80001c30 + 80007454: f20988e3 beqz s3,80007384 + 80007458: 00040593 mv a1,s0 + 8000745c: 000d0513 mv a0,s10 + 80007460: f99ff06f j 800073f8 + 80007464: f39790e3 bne a5,s9,80007384 + 80007468: 00098613 mv a2,s3 + 8000746c: 00090593 mv a1,s2 + 80007470: 00040513 mv a0,s0 + 80007474: e81ff0ef jal ra,800072f4 + 80007478: f0dff06f j 80007384 + 8000747c: 000a0513 mv a0,s4 + 80007480: 3d5010ef jal ra,80009054 + 80007484: 00040513 mv a0,s0 + 80007488: fa8fa0ef jal ra,80001c30 + 8000748c: 00048513 mv a0,s1 + 80007490: 179010ef jal ra,80008e08 + 80007494: 04050863 beqz a0,800074e4 + 80007498: 06091063 bnez s2,800074f8 + 8000749c: 00048593 mv a1,s1 + 800074a0: 00008517 auipc a0,0x8 + 800074a4: 1f050513 addi a0,a0,496 # 8000f690 <__fsym___cmd_help_name+0x10> + 800074a8: 07013403 ld s0,112(sp) + 800074ac: 07813083 ld ra,120(sp) + 800074b0: 06813483 ld s1,104(sp) + 800074b4: 06013903 ld s2,96(sp) + 800074b8: 05813983 ld s3,88(sp) + 800074bc: 05013a03 ld s4,80(sp) + 800074c0: 04813a83 ld s5,72(sp) + 800074c4: 04013b03 ld s6,64(sp) + 800074c8: 03813b83 ld s7,56(sp) + 800074cc: 03013c03 ld s8,48(sp) + 800074d0: 02813c83 ld s9,40(sp) + 800074d4: 02013d03 ld s10,32(sp) + 800074d8: 01813d83 ld s11,24(sp) + 800074dc: 08010113 addi sp,sp,128 + 800074e0: ac9fd06f j 80004fa8 + 800074e4: 00098a63 beqz s3,800074f8 + 800074e8: 00048593 mv a1,s1 + 800074ec: 00008517 auipc a0,0x8 + 800074f0: 1dc50513 addi a0,a0,476 # 8000f6c8 <__fsym___cmd_help_name+0x48> + 800074f4: fb5ff06f j 800074a8 + 800074f8: 07813083 ld ra,120(sp) + 800074fc: 07013403 ld s0,112(sp) + 80007500: 06813483 ld s1,104(sp) + 80007504: 06013903 ld s2,96(sp) + 80007508: 05813983 ld s3,88(sp) + 8000750c: 05013a03 ld s4,80(sp) + 80007510: 04813a83 ld s5,72(sp) + 80007514: 04013b03 ld s6,64(sp) + 80007518: 03813b83 ld s7,56(sp) + 8000751c: 03013c03 ld s8,48(sp) + 80007520: 02813c83 ld s9,40(sp) + 80007524: 02013d03 ld s10,32(sp) + 80007528: 01813d83 ld s11,24(sp) + 8000752c: 08010113 addi sp,sp,128 + 80007530: 00008067 ret + 80007534: 00008067 ret + +0000000080007538 : + 80007538: f1010113 addi sp,sp,-240 + 8000753c: 0e113423 sd ra,232(sp) + 80007540: 0e813023 sd s0,224(sp) + 80007544: 0c913c23 sd s1,216(sp) + 80007548: 0d213823 sd s2,208(sp) + 8000754c: 0d313423 sd s3,200(sp) + 80007550: 0d413023 sd s4,192(sp) + 80007554: 0b513c23 sd s5,184(sp) + 80007558: 0b613823 sd s6,176(sp) + 8000755c: 0b713423 sd s7,168(sp) + 80007560: 0b813023 sd s8,160(sp) + 80007564: 09913c23 sd s9,152(sp) + 80007568: 09a13823 sd s10,144(sp) + 8000756c: 09b13423 sd s11,136(sp) + 80007570: 00100793 li a5,1 + 80007574: 04f51e63 bne a0,a5,800075d0 + 80007578: 00008517 auipc a0,0x8 + 8000757c: 16850513 addi a0,a0,360 # 8000f6e0 <__fsym___cmd_help_name+0x60> + 80007580: a29fd0ef jal ra,80004fa8 + 80007584: 00008517 auipc a0,0x8 + 80007588: 17c50513 addi a0,a0,380 # 8000f700 <__fsym___cmd_help_name+0x80> + 8000758c: a1dfd0ef jal ra,80004fa8 + 80007590: 0e813083 ld ra,232(sp) + 80007594: 0e013403 ld s0,224(sp) + 80007598: 0d813483 ld s1,216(sp) + 8000759c: 0d013903 ld s2,208(sp) + 800075a0: 0c813983 ld s3,200(sp) + 800075a4: 0c013a03 ld s4,192(sp) + 800075a8: 0b813a83 ld s5,184(sp) + 800075ac: 0b013b03 ld s6,176(sp) + 800075b0: 0a813b83 ld s7,168(sp) + 800075b4: 0a013c03 ld s8,160(sp) + 800075b8: 09813c83 ld s9,152(sp) + 800075bc: 09013d03 ld s10,144(sp) + 800075c0: 08813d83 ld s11,136(sp) + 800075c4: 00000513 li a0,0 + 800075c8: 0f010113 addi sp,sp,240 + 800075cc: 00008067 ret + 800075d0: 0085b783 ld a5,8(a1) + 800075d4: 02d00713 li a4,45 + 800075d8: 00050493 mv s1,a0 + 800075dc: 0007c683 lbu a3,0(a5) + 800075e0: 00058413 mv s0,a1 + 800075e4: 00000993 li s3,0 + 800075e8: 00000a93 li s5,0 + 800075ec: 00000913 li s2,0 + 800075f0: 02e69263 bne a3,a4,80007614 + 800075f4: 07200713 li a4,114 + 800075f8: 07600693 li a3,118 + 800075fc: 02d00613 li a2,45 + 80007600: 06600513 li a0,102 + 80007604: 0007c583 lbu a1,0(a5) + 80007608: 06059c63 bnez a1,80007680 + 8000760c: fff4849b addiw s1,s1,-1 + 80007610: 00840413 addi s0,s0,8 + 80007614: 00840413 addi s0,s0,8 + 80007618: 00100a13 li s4,1 + 8000761c: 00008b17 auipc s6,0x8 + 80007620: 144b0b13 addi s6,s6,324 # 8000f760 <__fsym___cmd_help_name+0xe0> + 80007624: 00004bb7 lui s7,0x4 + 80007628: 00008c37 lui s8,0x8 + 8000762c: 00008c97 auipc s9,0x8 + 80007630: 08cc8c93 addi s9,s9,140 # 8000f6b8 <__fsym___cmd_help_name+0x38> + 80007634: 00008d17 auipc s10,0x8 + 80007638: 05cd0d13 addi s10,s10,92 # 8000f690 <__fsym___cmd_help_name+0x10> + 8000763c: 00008d97 auipc s11,0x8 + 80007640: 0fcd8d93 addi s11,s11,252 # 8000f738 <__fsym___cmd_help_name+0xb8> + 80007644: f49a56e3 bge s4,s1,80007590 + 80007648: 00043503 ld a0,0(s0) + 8000764c: 00010593 mv a1,sp + 80007650: 7e4010ef jal ra,80008e34 + 80007654: 0a051e63 bnez a0,80007710 + 80007658: 01012783 lw a5,16(sp) + 8000765c: 0177f733 and a4,a5,s7 + 80007660: 0007071b sext.w a4,a4 + 80007664: 06070a63 beqz a4,800076d8 + 80007668: 00043503 ld a0,0(s0) + 8000766c: 040a9a63 bnez s5,800076c0 + 80007670: 00050593 mv a1,a0 + 80007674: 000d8513 mv a0,s11 + 80007678: 931fd0ef jal ra,80004fa8 + 8000767c: 0500006f j 800076cc + 80007680: 02e58663 beq a1,a4,800076ac + 80007684: 00b76e63 bltu a4,a1,800076a0 + 80007688: 02c58463 beq a1,a2,800076b0 + 8000768c: 02a58663 beq a1,a0,800076b8 + 80007690: 00008517 auipc a0,0x8 + 80007694: 09050513 addi a0,a0,144 # 8000f720 <__fsym___cmd_help_name+0xa0> + 80007698: 911fd0ef jal ra,80004fa8 + 8000769c: ef5ff06f j 80007590 + 800076a0: fed598e3 bne a1,a3,80007690 + 800076a4: 00100993 li s3,1 + 800076a8: 0080006f j 800076b0 + 800076ac: 00100a93 li s5,1 + 800076b0: 00178793 addi a5,a5,1 + 800076b4: f51ff06f j 80007604 + 800076b8: 00100913 li s2,1 + 800076bc: ff5ff06f j 800076b0 + 800076c0: 00098613 mv a2,s3 + 800076c4: 00090593 mv a1,s2 + 800076c8: c2dff0ef jal ra,800072f4 + 800076cc: 001a0a1b addiw s4,s4,1 + 800076d0: 00840413 addi s0,s0,8 + 800076d4: f71ff06f j 80007644 + 800076d8: 0187f7b3 and a5,a5,s8 + 800076dc: 0007879b sext.w a5,a5 + 800076e0: fe0786e3 beqz a5,800076cc + 800076e4: 00043503 ld a0,0(s0) + 800076e8: 720010ef jal ra,80008e08 + 800076ec: 00050a63 beqz a0,80007700 + 800076f0: fc091ee3 bnez s2,800076cc + 800076f4: 00043583 ld a1,0(s0) + 800076f8: 000d0513 mv a0,s10 + 800076fc: f7dff06f j 80007678 + 80007700: fc0986e3 beqz s3,800076cc + 80007704: 00043583 ld a1,0(s0) + 80007708: 000c8513 mv a0,s9 + 8000770c: f6dff06f j 80007678 + 80007710: fa091ee3 bnez s2,800076cc + 80007714: 00043583 ld a1,0(s0) + 80007718: 000b0513 mv a0,s6 + 8000771c: f5dff06f j 80007678 + +0000000080007720 : + 80007720: ff010113 addi sp,sp,-16 + 80007724: 00813023 sd s0,0(sp) + 80007728: 00113423 sd ra,8(sp) + 8000772c: 00200793 li a5,2 + 80007730: 00058413 mv s0,a1 + 80007734: 02f51663 bne a0,a5,80007760 + 80007738: 0085b583 ld a1,8(a1) + 8000773c: 00008517 auipc a0,0x8 + 80007740: 05450513 addi a0,a0,84 # 8000f790 <__fsym___cmd_help_name+0x110> + 80007744: 5f4030ef jal ra,8000ad38 + 80007748: 00050593 mv a1,a0 + 8000774c: 04050463 beqz a0,80007794 + 80007750: 00008517 auipc a0,0x8 + 80007754: 07050513 addi a0,a0,112 # 8000f7c0 <__fsym___cmd_help_name+0x140> + 80007758: 851fd0ef jal ra,80004fa8 + 8000775c: 0380006f j 80007794 + 80007760: 00400793 li a5,4 + 80007764: 02f51263 bne a0,a5,80007788 + 80007768: 00843503 ld a0,8(s0) + 8000776c: 00008597 auipc a1,0x8 + 80007770: 02c58593 addi a1,a1,44 # 8000f798 <__fsym___cmd_help_name+0x118> + 80007774: ef5f80ef jal ra,80000668 + 80007778: 00051e63 bnez a0,80007794 + 8000777c: 01843583 ld a1,24(s0) + 80007780: 01043503 ld a0,16(s0) + 80007784: fc1ff06f j 80007744 + 80007788: 00008517 auipc a0,0x8 + 8000778c: 01850513 addi a0,a0,24 # 8000f7a0 <__fsym___cmd_help_name+0x120> + 80007790: 819fd0ef jal ra,80004fa8 + 80007794: 00813083 ld ra,8(sp) + 80007798: 00013403 ld s0,0(sp) + 8000779c: 00000513 li a0,0 + 800077a0: 01010113 addi sp,sp,16 + 800077a4: 00008067 ret + +00000000800077a8 : + 800077a8: ff010113 addi sp,sp,-16 + 800077ac: 00813023 sd s0,0(sp) + 800077b0: 00113423 sd ra,8(sp) + 800077b4: 00200793 li a5,2 + 800077b8: 0085b403 ld s0,8(a1) + 800077bc: 02f50263 beq a0,a5,800077e0 + 800077c0: 00008517 auipc a0,0x8 + 800077c4: 01850513 addi a0,a0,24 # 8000f7d8 <__fsym___cmd_help_name+0x158> + 800077c8: fe0fd0ef jal ra,80004fa8 + 800077cc: fff00513 li a0,-1 + 800077d0: 00813083 ld ra,8(sp) + 800077d4: 00013403 ld s0,0(sp) + 800077d8: 01010113 addi sp,sp,16 + 800077dc: 00008067 ret + 800077e0: 00008517 auipc a0,0x8 + 800077e4: 01850513 addi a0,a0,24 # 8000f7f8 <__fsym___cmd_help_name+0x178> + 800077e8: 00040593 mv a1,s0 + 800077ec: fbcfd0ef jal ra,80004fa8 + 800077f0: 00040513 mv a0,s0 + 800077f4: 454030ef jal ra,8000ac48 + 800077f8: 00055863 bgez a0,80007808 + 800077fc: 00008517 auipc a0,0x8 + 80007800: 00c50513 addi a0,a0,12 # 8000f808 <__fsym___cmd_help_name+0x188> + 80007804: fc5ff06f j 800077c8 + 80007808: 00008517 auipc a0,0x8 + 8000780c: 01050513 addi a0,a0,16 # 8000f818 <__fsym___cmd_help_name+0x198> + 80007810: f98fd0ef jal ra,80004fa8 + 80007814: 00000513 li a0,0 + 80007818: fb9ff06f j 800077d0 + +000000008000781c : + 8000781c: fc010113 addi sp,sp,-64 + 80007820: 02113c23 sd ra,56(sp) + 80007824: 02813823 sd s0,48(sp) + 80007828: 02913423 sd s1,40(sp) + 8000782c: 03213023 sd s2,32(sp) + 80007830: 01313c23 sd s3,24(sp) + 80007834: 01413823 sd s4,16(sp) + 80007838: 000107a3 sb zero,15(sp) + 8000783c: 00100713 li a4,1 + 80007840: 02a74a63 blt a4,a0,80007874 + 80007844: 00008517 auipc a0,0x8 + 80007848: fe450513 addi a0,a0,-28 # 8000f828 <__fsym___cmd_help_name+0x1a8> + 8000784c: f5cfd0ef jal ra,80004fa8 + 80007850: fff00513 li a0,-1 + 80007854: 03813083 ld ra,56(sp) + 80007858: 03013403 ld s0,48(sp) + 8000785c: 02813483 ld s1,40(sp) + 80007860: 02013903 ld s2,32(sp) + 80007864: 01813983 ld s3,24(sp) + 80007868: 01013a03 ld s4,16(sp) + 8000786c: 04010113 addi sp,sp,64 + 80007870: 00008067 ret + 80007874: 00050793 mv a5,a0 + 80007878: 00200713 li a4,2 + 8000787c: 0085b503 ld a0,8(a1) + 80007880: 00058413 mv s0,a1 + 80007884: 06e78663 beq a5,a4,800078f0 + 80007888: 00008597 auipc a1,0x8 + 8000788c: fc858593 addi a1,a1,-56 # 8000f850 <__fsym___cmd_help_name+0x1d0> + 80007890: fedfc0ef jal ra,8000487c + 80007894: fa0518e3 bnez a0,80007844 + 80007898: 01043503 ld a0,16(s0) + 8000789c: 02b00793 li a5,43 + 800078a0: 00054703 lbu a4,0(a0) + 800078a4: 02f70c63 beq a4,a5,800078dc + 800078a8: e05f80ef jal ra,800006ac + 800078ac: 0005091b sext.w s2,a0 + 800078b0: 00000993 li s3,0 + 800078b4: 01843503 ld a0,24(s0) + 800078b8: 00000593 li a1,0 + 800078bc: 270010ef jal ra,80008b2c + 800078c0: 00050413 mv s0,a0 + 800078c4: 00000493 li s1,0 + 800078c8: 00a00a13 li s4,10 + 800078cc: 02055e63 bgez a0,80007908 + 800078d0: 00008517 auipc a0,0x8 + 800078d4: f8850513 addi a0,a0,-120 # 8000f858 <__fsym___cmd_help_name+0x1d8> + 800078d8: f75ff06f j 8000784c + 800078dc: 00150513 addi a0,a0,1 + 800078e0: dcdf80ef jal ra,800006ac + 800078e4: 0005099b sext.w s3,a0 + 800078e8: 00000913 li s2,0 + 800078ec: fc9ff06f j 800078b4 + 800078f0: 00000993 li s3,0 + 800078f4: 00a00913 li s2,10 + 800078f8: fc1ff06f j 800078b8 + 800078fc: 00f14783 lbu a5,15(sp) + 80007900: 01479463 bne a5,s4,80007908 + 80007904: 0014849b addiw s1,s1,1 + 80007908: 00100613 li a2,1 + 8000790c: 00f10593 addi a1,sp,15 + 80007910: 00040513 mv a0,s0 + 80007914: 32c010ef jal ra,80008c40 + 80007918: fea042e3 bgtz a0,800078fc + 8000791c: 00048593 mv a1,s1 + 80007920: 00008517 auipc a0,0x8 + 80007924: f5050513 addi a0,a0,-176 # 8000f870 <__fsym___cmd_help_name+0x1f0> + 80007928: e80fd0ef jal ra,80004fa8 + 8000792c: 00098663 beqz s3,80007938 + 80007930: 0099f663 bgeu s3,s1,8000793c + 80007934: 4134893b subw s2,s1,s3 + 80007938: 0124fe63 bgeu s1,s2,80007954 + 8000793c: 00008517 auipc a0,0x8 + 80007940: f5450513 addi a0,a0,-172 # 8000f890 <__fsym___cmd_help_name+0x210> + 80007944: e64fd0ef jal ra,80004fa8 + 80007948: 00040513 mv a0,s0 + 8000794c: 28c010ef jal ra,80008bd8 + 80007950: f01ff06f j 80007850 + 80007954: 00090593 mv a1,s2 + 80007958: 00008517 auipc a0,0x8 + 8000795c: f7850513 addi a0,a0,-136 # 8000f8d0 <__fsym___cmd_help_name+0x250> + 80007960: e48fd0ef jal ra,80004fa8 + 80007964: 00000613 li a2,0 + 80007968: 00000593 li a1,0 + 8000796c: 00040513 mv a0,s0 + 80007970: 412484bb subw s1,s1,s2 + 80007974: 3b4010ef jal ra,80008d28 + 80007978: 00000913 li s2,0 + 8000797c: 00a00993 li s3,10 + 80007980: 00006a17 auipc s4,0x6 + 80007984: b30a0a13 addi s4,s4,-1232 # 8000d4b0 <__FUNCTION__.2651+0x118> + 80007988: 00100613 li a2,1 + 8000798c: 00f10593 addi a1,sp,15 + 80007990: 00040513 mv a0,s0 + 80007994: 2ac010ef jal ra,80008c40 + 80007998: 02a04063 bgtz a0,800079b8 + 8000799c: 00007517 auipc a0,0x7 + 800079a0: 03450513 addi a0,a0,52 # 8000e9d0 + 800079a4: e04fd0ef jal ra,80004fa8 + 800079a8: 00040513 mv a0,s0 + 800079ac: 22c010ef jal ra,80008bd8 + 800079b0: 00000513 li a0,0 + 800079b4: ea1ff06f j 80007854 + 800079b8: 00f14583 lbu a1,15(sp) + 800079bc: 01359463 bne a1,s3,800079c4 + 800079c0: 0019091b addiw s2,s2,1 + 800079c4: fd24f2e3 bgeu s1,s2,80007988 + 800079c8: 000a0513 mv a0,s4 + 800079cc: ddcfd0ef jal ra,80004fa8 + 800079d0: fb9ff06f j 80007988 + +00000000800079d4 : + 800079d4: ff010113 addi sp,sp,-16 + 800079d8: 00113423 sd ra,8(sp) + 800079dc: 00300713 li a4,3 + 800079e0: 02e50663 beq a0,a4,80007a0c + 800079e4: 00008517 auipc a0,0x8 + 800079e8: f0c50513 addi a0,a0,-244 # 8000f8f0 <__fsym___cmd_help_name+0x270> + 800079ec: dbcfd0ef jal ra,80004fa8 + 800079f0: 00008517 auipc a0,0x8 + 800079f4: f1850513 addi a0,a0,-232 # 8000f908 <__fsym___cmd_help_name+0x288> + 800079f8: db0fd0ef jal ra,80004fa8 + 800079fc: 00813083 ld ra,8(sp) + 80007a00: 00000513 li a0,0 + 80007a04: 01010113 addi sp,sp,16 + 80007a08: 00008067 ret + 80007a0c: 00058793 mv a5,a1 + 80007a10: 0087b503 ld a0,8(a5) + 80007a14: 0105b583 ld a1,16(a1) + 80007a18: 325020ef jal ra,8000a53c + 80007a1c: fe1ff06f j 800079fc + +0000000080007a20 : + 80007a20: fe010113 addi sp,sp,-32 + 80007a24: 00113c23 sd ra,24(sp) + 80007a28: 00813823 sd s0,16(sp) + 80007a2c: 00913423 sd s1,8(sp) + 80007a30: 01213023 sd s2,0(sp) + 80007a34: 00300793 li a5,3 + 80007a38: 02f50e63 beq a0,a5,80007a74 + 80007a3c: 00008517 auipc a0,0x8 + 80007a40: ee450513 addi a0,a0,-284 # 8000f920 <__fsym___cmd_help_name+0x2a0> + 80007a44: d64fd0ef jal ra,80004fa8 + 80007a48: 00008517 auipc a0,0x8 + 80007a4c: ef050513 addi a0,a0,-272 # 8000f938 <__fsym___cmd_help_name+0x2b8> + 80007a50: d58fd0ef jal ra,80004fa8 + 80007a54: 00000913 li s2,0 + 80007a58: 01813083 ld ra,24(sp) + 80007a5c: 01013403 ld s0,16(sp) + 80007a60: 00813483 ld s1,8(sp) + 80007a64: 00090513 mv a0,s2 + 80007a68: 00013903 ld s2,0(sp) + 80007a6c: 02010113 addi sp,sp,32 + 80007a70: 00008067 ret + 80007a74: 0105b603 ld a2,16(a1) + 80007a78: 00058413 mv s0,a1 + 80007a7c: 0085b583 ld a1,8(a1) + 80007a80: 00008517 auipc a0,0x8 + 80007a84: ef050513 addi a0,a0,-272 # 8000f970 <__fsym___cmd_help_name+0x2f0> + 80007a88: d20fd0ef jal ra,80004fa8 + 80007a8c: 01043503 ld a0,16(s0) + 80007a90: 00000613 li a2,0 + 80007a94: 000105b7 lui a1,0x10 + 80007a98: 094010ef jal ra,80008b2c + 80007a9c: 08054c63 bltz a0,80007b34 + 80007aa0: 138010ef jal ra,80008bd8 + 80007aa4: 10000513 li a0,256 + 80007aa8: e39f90ef jal ra,800018e0 + 80007aac: 00050493 mv s1,a0 + 80007ab0: 00051c63 bnez a0,80007ac8 + 80007ab4: 00008517 auipc a0,0x8 + 80007ab8: ecc50513 addi a0,a0,-308 # 8000f980 <__fsym___cmd_help_name+0x300> + 80007abc: cecfd0ef jal ra,80004fa8 + 80007ac0: ffb00913 li s2,-5 + 80007ac4: f95ff06f j 80007a58 + 80007ac8: 00843903 ld s2,8(s0) + 80007acc: 00090513 mv a0,s2 + 80007ad0: dd1fc0ef jal ra,800048a0 + 80007ad4: 00843783 ld a5,8(s0) + 80007ad8: 00a90733 add a4,s2,a0 + 80007adc: 02f00693 li a3,47 + 80007ae0: 00f70663 beq a4,a5,80007aec + 80007ae4: 00074603 lbu a2,0(a4) + 80007ae8: 04d61263 bne a2,a3,80007b2c + 80007aec: 01043683 ld a3,16(s0) + 80007af0: 00008617 auipc a2,0x8 + 80007af4: bc060613 addi a2,a2,-1088 # 8000f6b0 <__fsym___cmd_help_name+0x30> + 80007af8: 0ff00593 li a1,255 + 80007afc: 00048513 mv a0,s1 + 80007b00: bacfd0ef jal ra,80004eac + 80007b04: 00843503 ld a0,8(s0) + 80007b08: 00048593 mv a1,s1 + 80007b0c: 00000913 li s2,0 + 80007b10: 2cc010ef jal ra,80008ddc + 80007b14: f40482e3 beqz s1,80007a58 + 80007b18: 01043783 ld a5,16(s0) + 80007b1c: f2f48ee3 beq s1,a5,80007a58 + 80007b20: 00048513 mv a0,s1 + 80007b24: 90cfa0ef jal ra,80001c30 + 80007b28: f31ff06f j 80007a58 + 80007b2c: fff70713 addi a4,a4,-1 + 80007b30: fb1ff06f j 80007ae0 + 80007b34: 01043503 ld a0,16(s0) + 80007b38: 00000613 li a2,0 + 80007b3c: 00000593 li a1,0 + 80007b40: 7ed000ef jal ra,80008b2c + 80007b44: 00054863 bltz a0,80007b54 + 80007b48: 090010ef jal ra,80008bd8 + 80007b4c: 01043503 ld a0,16(s0) + 80007b50: 2b8010ef jal ra,80008e08 + 80007b54: 01043483 ld s1,16(s0) + 80007b58: fadff06f j 80007b04 + +0000000080007b5c : + 80007b5c: fe010113 addi sp,sp,-32 + 80007b60: 00113c23 sd ra,24(sp) + 80007b64: 00813823 sd s0,16(sp) + 80007b68: 00913423 sd s1,8(sp) + 80007b6c: 01213023 sd s2,0(sp) + 80007b70: 00100793 li a5,1 + 80007b74: 04f51c63 bne a0,a5,80007bcc + 80007b78: 00008517 auipc a0,0x8 + 80007b7c: e1850513 addi a0,a0,-488 # 8000f990 <__fsym___cmd_help_name+0x310> + 80007b80: c28fd0ef jal ra,80004fa8 + 80007b84: 00008517 auipc a0,0x8 + 80007b88: e2450513 addi a0,a0,-476 # 8000f9a8 <__fsym___cmd_help_name+0x328> + 80007b8c: c1cfd0ef jal ra,80004fa8 + 80007b90: 01813083 ld ra,24(sp) + 80007b94: 01013403 ld s0,16(sp) + 80007b98: 00813483 ld s1,8(sp) + 80007b9c: 00013903 ld s2,0(sp) + 80007ba0: 00000513 li a0,0 + 80007ba4: 02010113 addi sp,sp,32 + 80007ba8: 00008067 ret + 80007bac: 00349793 slli a5,s1,0x3 + 80007bb0: 00f907b3 add a5,s2,a5 + 80007bb4: 0007b503 ld a0,0(a5) + 80007bb8: 12c020ef jal ra,80009ce4 + 80007bbc: 00148493 addi s1,s1,1 + 80007bc0: 0004879b sext.w a5,s1 + 80007bc4: fe87c4e3 blt a5,s0,80007bac + 80007bc8: fc9ff06f j 80007b90 + 80007bcc: 00050413 mv s0,a0 + 80007bd0: 00058913 mv s2,a1 + 80007bd4: 00000493 li s1,0 + 80007bd8: fe5ff06f j 80007bbc + +0000000080007bdc : + 80007bdc: ff010113 addi sp,sp,-16 + 80007be0: 00113423 sd ra,8(sp) + 80007be4: 00813023 sd s0,0(sp) + 80007be8: 00100793 li a5,1 + 80007bec: 02f51663 bne a0,a5,80007c18 + 80007bf0: 00009597 auipc a1,0x9 + 80007bf4: 62858593 addi a1,a1,1576 # 80011218 + 80007bf8: 00008517 auipc a0,0x8 + 80007bfc: 9c050513 addi a0,a0,-1600 # 8000f5b8 <__fsym_hello_name+0x38> + 80007c00: ba8fd0ef jal ra,80004fa8 + 80007c04: 00813083 ld ra,8(sp) + 80007c08: 00013403 ld s0,0(sp) + 80007c0c: 00000513 li a0,0 + 80007c10: 01010113 addi sp,sp,16 + 80007c14: 00008067 ret + 80007c18: 00200793 li a5,2 + 80007c1c: fef514e3 bne a0,a5,80007c04 + 80007c20: 0085b503 ld a0,8(a1) + 80007c24: 00058413 mv s0,a1 + 80007c28: 4a8010ef jal ra,800090d0 + 80007c2c: fc050ce3 beqz a0,80007c04 + 80007c30: 00843583 ld a1,8(s0) + 80007c34: 00008517 auipc a0,0x8 + 80007c38: d8c50513 addi a0,a0,-628 # 8000f9c0 <__fsym___cmd_help_name+0x340> + 80007c3c: fc5ff06f j 80007c00 + +0000000080007c40 : + 80007c40: ff010113 addi sp,sp,-16 + 80007c44: 00113423 sd ra,8(sp) + 80007c48: 00100713 li a4,1 + 80007c4c: 02e51663 bne a0,a4,80007c78 + 80007c50: 00008517 auipc a0,0x8 + 80007c54: d8850513 addi a0,a0,-632 # 8000f9d8 <__fsym___cmd_help_name+0x358> + 80007c58: b50fd0ef jal ra,80004fa8 + 80007c5c: 00008517 auipc a0,0x8 + 80007c60: da450513 addi a0,a0,-604 # 8000fa00 <__fsym___cmd_help_name+0x380> + 80007c64: b44fd0ef jal ra,80004fa8 + 80007c68: 00813083 ld ra,8(sp) + 80007c6c: 00000513 li a0,0 + 80007c70: 01010113 addi sp,sp,16 + 80007c74: 00008067 ret + 80007c78: 00058793 mv a5,a1 + 80007c7c: 0087b503 ld a0,8(a5) + 80007c80: 00000593 li a1,0 + 80007c84: 609000ef jal ra,80008a8c + 80007c88: fe1ff06f j 80007c68 + +0000000080007c8c : + 80007c8c: fd010113 addi sp,sp,-48 + 80007c90: 02113423 sd ra,40(sp) + 80007c94: 02813023 sd s0,32(sp) + 80007c98: 00913c23 sd s1,24(sp) + 80007c9c: 01213823 sd s2,16(sp) + 80007ca0: 00100793 li a5,1 + 80007ca4: 06f51c63 bne a0,a5,80007d1c + 80007ca8: 00008517 auipc a0,0x8 + 80007cac: d9050513 addi a0,a0,-624 # 8000fa38 <__fsym___cmd_help_name+0x3b8> + 80007cb0: af8fd0ef jal ra,80004fa8 + 80007cb4: 00008517 auipc a0,0x8 + 80007cb8: da450513 addi a0,a0,-604 # 8000fa58 <__fsym___cmd_help_name+0x3d8> + 80007cbc: aecfd0ef jal ra,80004fa8 + 80007cc0: 0001b417 auipc s0,0x1b + 80007cc4: bd840413 addi s0,s0,-1064 # 80022898 + 80007cc8: 00008917 auipc s2,0x8 + 80007ccc: db090913 addi s2,s2,-592 # 8000fa78 <__fsym___cmd_help_name+0x3f8> + 80007cd0: 0001b497 auipc s1,0x1b + 80007cd4: c0848493 addi s1,s1,-1016 # 800228d8 + 80007cd8: 00843683 ld a3,8(s0) + 80007cdc: 00068c63 beqz a3,80007cf4 + 80007ce0: 01043783 ld a5,16(s0) + 80007ce4: 00043603 ld a2,0(s0) + 80007ce8: 00090513 mv a0,s2 + 80007cec: 0007b583 ld a1,0(a5) + 80007cf0: ab8fd0ef jal ra,80004fa8 + 80007cf4: 02040413 addi s0,s0,32 + 80007cf8: fe9410e3 bne s0,s1,80007cd8 + 80007cfc: 00000413 li s0,0 + 80007d00: 02813083 ld ra,40(sp) + 80007d04: 00040513 mv a0,s0 + 80007d08: 02013403 ld s0,32(sp) + 80007d0c: 01813483 ld s1,24(sp) + 80007d10: 01013903 ld s2,16(sp) + 80007d14: 03010113 addi sp,sp,48 + 80007d18: 00008067 ret + 80007d1c: 00400793 li a5,4 + 80007d20: 06f51663 bne a0,a5,80007d8c + 80007d24: 0085b403 ld s0,8(a1) + 80007d28: 0105b483 ld s1,16(a1) + 80007d2c: 0185b603 ld a2,24(a1) + 80007d30: 00008517 auipc a0,0x8 + 80007d34: d6050513 addi a0,a0,-672 # 8000fa90 <__fsym___cmd_help_name+0x410> + 80007d38: 00040593 mv a1,s0 + 80007d3c: 00048693 mv a3,s1 + 80007d40: 00c13423 sd a2,8(sp) + 80007d44: a64fd0ef jal ra,80004fa8 + 80007d48: 00813603 ld a2,8(sp) + 80007d4c: 00040513 mv a0,s0 + 80007d50: 00000713 li a4,0 + 80007d54: 00000693 li a3,0 + 80007d58: 00048593 mv a1,s1 + 80007d5c: 46d020ef jal ra,8000a9c8 + 80007d60: 00050413 mv s0,a0 + 80007d64: 00051a63 bnez a0,80007d78 + 80007d68: 00008517 auipc a0,0x8 + 80007d6c: ab050513 addi a0,a0,-1360 # 8000f818 <__fsym___cmd_help_name+0x198> + 80007d70: a38fd0ef jal ra,80004fa8 + 80007d74: f8dff06f j 80007d00 + 80007d78: 00008517 auipc a0,0x8 + 80007d7c: a9050513 addi a0,a0,-1392 # 8000f808 <__fsym___cmd_help_name+0x188> + 80007d80: a28fd0ef jal ra,80004fa8 + 80007d84: fff00413 li s0,-1 + 80007d88: f79ff06f j 80007d00 + 80007d8c: 00008517 auipc a0,0x8 + 80007d90: d2c50513 addi a0,a0,-724 # 8000fab8 <__fsym___cmd_help_name+0x438> + 80007d94: fedff06f j 80007d80 + +0000000080007d98 : + 80007d98: ff010113 addi sp,sp,-16 + 80007d9c: 00113423 sd ra,8(sp) + 80007da0: 00813023 sd s0,0(sp) + 80007da4: 00200793 li a5,2 + 80007da8: 00f50a63 beq a0,a5,80007dbc + 80007dac: 00007517 auipc a0,0x7 + 80007db0: c3c50513 addi a0,a0,-964 # 8000e9e8 + 80007db4: 124030ef jal ra,8000aed8 + 80007db8: 03c0006f j 80007df4 + 80007dbc: 0085b403 ld s0,8(a1) + 80007dc0: 00008597 auipc a1,0x8 + 80007dc4: d2858593 addi a1,a1,-728 # 8000fae8 <__fsym___cmd_help_name+0x468> + 80007dc8: 00040513 mv a0,s0 + 80007dcc: 89df80ef jal ra,80000668 + 80007dd0: 00050c63 beqz a0,80007de8 + 80007dd4: 00008597 auipc a1,0x8 + 80007dd8: d1c58593 addi a1,a1,-740 # 8000faf0 <__fsym___cmd_help_name+0x470> + 80007ddc: 00040513 mv a0,s0 + 80007de0: 889f80ef jal ra,80000668 + 80007de4: 02051263 bnez a0,80007e08 + 80007de8: 00008517 auipc a0,0x8 + 80007dec: d1050513 addi a0,a0,-752 # 8000faf8 <__fsym___cmd_help_name+0x478> + 80007df0: 9b8fd0ef jal ra,80004fa8 + 80007df4: 00813083 ld ra,8(sp) + 80007df8: 00013403 ld s0,0(sp) + 80007dfc: 00000513 li a0,0 + 80007e00: 01010113 addi sp,sp,16 + 80007e04: 00008067 ret + 80007e08: 00040513 mv a0,s0 + 80007e0c: fa9ff06f j 80007db4 + +0000000080007e10 : + 80007e10: fd010113 addi sp,sp,-48 + 80007e14: 02813023 sd s0,32(sp) + 80007e18: 02113423 sd ra,40(sp) + 80007e1c: 00913c23 sd s1,24(sp) + 80007e20: 00200793 li a5,2 + 80007e24: 00058413 mv s0,a1 + 80007e28: 00f51c63 bne a0,a5,80007e40 + 80007e2c: 0085b583 ld a1,8(a1) + 80007e30: 00007517 auipc a0,0x7 + 80007e34: 78850513 addi a0,a0,1928 # 8000f5b8 <__fsym_hello_name+0x38> + 80007e38: 970fd0ef jal ra,80004fa8 + 80007e3c: 04c0006f j 80007e88 + 80007e40: 00300793 li a5,3 + 80007e44: 06f51663 bne a0,a5,80007eb0 + 80007e48: 01043503 ld a0,16(s0) + 80007e4c: 00000613 li a2,0 + 80007e50: 44200593 li a1,1090 + 80007e54: 4d9000ef jal ra,80008b2c + 80007e58: 00050493 mv s1,a0 + 80007e5c: 04054263 bltz a0,80007ea0 + 80007e60: 00843583 ld a1,8(s0) + 80007e64: 00058513 mv a0,a1 + 80007e68: 00b13423 sd a1,8(sp) + 80007e6c: f98f80ef jal ra,80000604 + 80007e70: 00813583 ld a1,8(sp) + 80007e74: 00050613 mv a2,a0 + 80007e78: 00048513 mv a0,s1 + 80007e7c: 639000ef jal ra,80008cb4 + 80007e80: 00048513 mv a0,s1 + 80007e84: 555000ef jal ra,80008bd8 + 80007e88: 02813083 ld ra,40(sp) + 80007e8c: 02013403 ld s0,32(sp) + 80007e90: 01813483 ld s1,24(sp) + 80007e94: 00000513 li a0,0 + 80007e98: 03010113 addi sp,sp,48 + 80007e9c: 00008067 ret + 80007ea0: 01043583 ld a1,16(s0) + 80007ea4: 00008517 auipc a0,0x8 + 80007ea8: c6450513 addi a0,a0,-924 # 8000fb08 <__fsym___cmd_help_name+0x488> + 80007eac: f8dff06f j 80007e38 + 80007eb0: 00008517 auipc a0,0x8 + 80007eb4: c7050513 addi a0,a0,-912 # 8000fb20 <__fsym___cmd_help_name+0x4a0> + 80007eb8: 8f0fd0ef jal ra,80004fa8 + 80007ebc: fcdff06f j 80007e88 + +0000000080007ec0 : + 80007ec0: 22058263 beqz a1,800080e4 + 80007ec4: fa010113 addi sp,sp,-96 + 80007ec8: 04813823 sd s0,80(sp) + 80007ecc: 05213023 sd s2,64(sp) + 80007ed0: 04113c23 sd ra,88(sp) + 80007ed4: 04913423 sd s1,72(sp) + 80007ed8: 03313c23 sd s3,56(sp) + 80007edc: 03413823 sd s4,48(sp) + 80007ee0: 03513423 sd s5,40(sp) + 80007ee4: 03613023 sd s6,32(sp) + 80007ee8: 01713c23 sd s7,24(sp) + 80007eec: 00050913 mv s2,a0 + 80007ef0: 00000413 li s0,0 + 80007ef4: 02000713 li a4,32 + 80007ef8: 00900693 li a3,9 + 80007efc: 008907b3 add a5,s2,s0 + 80007f00: 0007c783 lbu a5,0(a5) + 80007f04: 0004099b sext.w s3,s0 + 80007f08: 00e78663 beq a5,a4,80007f14 + 80007f0c: 00d78463 beq a5,a3,80007f14 + 80007f10: 12b9c863 blt s3,a1,80008040 + 80007f14: 0209851b addiw a0,s3,32 + 80007f18: 9c9f90ef jal ra,800018e0 + 80007f1c: 00050493 mv s1,a0 + 80007f20: ffb00793 li a5,-5 + 80007f24: 12050863 beqz a0,80008054 + 80007f28: 00040613 mv a2,s0 + 80007f2c: 00090593 mv a1,s2 + 80007f30: f44f80ef jal ra,80000674 + 80007f34: 00848433 add s0,s1,s0 + 80007f38: 00040023 sb zero,0(s0) + 80007f3c: 00008597 auipc a1,0x8 + 80007f40: c0c58593 addi a1,a1,-1012 # 8000fb48 <__fsym___cmd_help_name+0x4c8> + 80007f44: 00048513 mv a0,s1 + 80007f48: f34f80ef jal ra,8000067c + 80007f4c: 00051e63 bnez a0,80007f68 + 80007f50: 00008597 auipc a1,0x8 + 80007f54: c0058593 addi a1,a1,-1024 # 8000fb50 <__fsym___cmd_help_name+0x4d0> + 80007f58: 00048513 mv a0,s1 + 80007f5c: f20f80ef jal ra,8000067c + 80007f60: fff00413 li s0,-1 + 80007f64: 04050663 beqz a0,80007fb0 + 80007f68: 00000613 li a2,0 + 80007f6c: 00000593 li a1,0 + 80007f70: 00048513 mv a0,s1 + 80007f74: 3b9000ef jal ra,80008b2c + 80007f78: 00050413 mv s0,a0 + 80007f7c: 02055a63 bgez a0,80007fb0 + 80007f80: 00090713 mv a4,s2 + 80007f84: 00098693 mv a3,s3 + 80007f88: 00008617 auipc a2,0x8 + 80007f8c: bd060613 addi a2,a2,-1072 # 8000fb58 <__fsym___cmd_help_name+0x4d8> + 80007f90: 01f9859b addiw a1,s3,31 + 80007f94: 00048513 mv a0,s1 + 80007f98: f15fc0ef jal ra,80004eac + 80007f9c: 00000613 li a2,0 + 80007fa0: 00000593 li a1,0 + 80007fa4: 00048513 mv a0,s1 + 80007fa8: 385000ef jal ra,80008b2c + 80007fac: 00050413 mv s0,a0 + 80007fb0: 00048513 mv a0,s1 + 80007fb4: c7df90ef jal ra,80001c30 + 80007fb8: fff00793 li a5,-1 + 80007fbc: 08044c63 bltz s0,80008054 + 80007fc0: 10000513 li a0,256 + 80007fc4: 91df90ef jal ra,800018e0 + 80007fc8: 00050493 mv s1,a0 + 80007fcc: 06050e63 beqz a0,80008048 + 80007fd0: 00a00a13 li s4,10 + 80007fd4: 00d00a93 li s5,13 + 80007fd8: 10000b13 li s6,256 + 80007fdc: 02000b93 li s7,32 + 80007fe0: 00100613 li a2,1 + 80007fe4: 00f10593 addi a1,sp,15 + 80007fe8: 00040513 mv a0,s0 + 80007fec: 455000ef jal ra,80008c40 + 80007ff0: 00100793 li a5,1 + 80007ff4: 0cf51c63 bne a0,a5,800080cc + 80007ff8: 00f14783 lbu a5,15(sp) + 80007ffc: ff4782e3 beq a5,s4,80007fe0 + 80008000: ff5780e3 beq a5,s5,80007fe0 + 80008004: 00f48023 sb a5,0(s1) + 80008008: 00100913 li s2,1 + 8000800c: 00100613 li a2,1 + 80008010: 00f10593 addi a1,sp,15 + 80008014: 00040513 mv a0,s0 + 80008018: 429000ef jal ra,80008c40 + 8000801c: 00100713 li a4,1 + 80008020: 0009099b sext.w s3,s2 + 80008024: 009907b3 add a5,s2,s1 + 80008028: 00e51863 bne a0,a4,80008038 + 8000802c: 00f14703 lbu a4,15(sp) + 80008030: 01470463 beq a4,s4,80008038 + 80008034: 05571863 bne a4,s5,80008084 + 80008038: 00078023 sb zero,0(a5) + 8000803c: 0580006f j 80008094 + 80008040: 00140413 addi s0,s0,1 + 80008044: eb9ff06f j 80007efc + 80008048: 00040513 mv a0,s0 + 8000804c: 38d000ef jal ra,80008bd8 + 80008050: ffb00793 li a5,-5 + 80008054: 05813083 ld ra,88(sp) + 80008058: 05013403 ld s0,80(sp) + 8000805c: 04813483 ld s1,72(sp) + 80008060: 04013903 ld s2,64(sp) + 80008064: 03813983 ld s3,56(sp) + 80008068: 03013a03 ld s4,48(sp) + 8000806c: 02813a83 ld s5,40(sp) + 80008070: 02013b03 ld s6,32(sp) + 80008074: 01813b83 ld s7,24(sp) + 80008078: 00078513 mv a0,a5 + 8000807c: 06010113 addi sp,sp,96 + 80008080: 00008067 ret + 80008084: 00e78023 sb a4,0(a5) + 80008088: 00190913 addi s2,s2,1 + 8000808c: f96910e3 bne s2,s6,8000800c + 80008090: 10000993 li s3,256 + 80008094: 00048793 mv a5,s1 + 80008098: 00900693 li a3,9 + 8000809c: 0007c703 lbu a4,0(a5) + 800080a0: 01770463 beq a4,s7,800080a8 + 800080a4: 00d71863 bne a4,a3,800080b4 + 800080a8: 00178793 addi a5,a5,1 + 800080ac: 4097863b subw a2,a5,s1 + 800080b0: ff3646e3 blt a2,s3,8000809c + 800080b4: 02300793 li a5,35 + 800080b8: f2f704e3 beq a4,a5,80007fe0 + 800080bc: 00098593 mv a1,s3 + 800080c0: 00048513 mv a0,s1 + 800080c4: b19fe0ef jal ra,80006bdc + 800080c8: f15ff06f j 80007fdc + 800080cc: 00040513 mv a0,s0 + 800080d0: 309000ef jal ra,80008bd8 + 800080d4: 00048513 mv a0,s1 + 800080d8: b59f90ef jal ra,80001c30 + 800080dc: 00000793 li a5,0 + 800080e0: f75ff06f j 80008054 + 800080e4: fff00793 li a5,-1 + 800080e8: 00078513 mv a0,a5 + 800080ec: 00008067 ret + +00000000800080f0 : + 800080f0: 00011797 auipc a5,0x11 + 800080f4: 38878793 addi a5,a5,904 # 80019478 + 800080f8: 0007b703 ld a4,0(a5) + 800080fc: ff010113 addi sp,sp,-16 + 80008100: 00813023 sd s0,0(sp) + 80008104: 00113423 sd ra,8(sp) + 80008108: 00078413 mv s0,a5 + 8000810c: 00071e63 bnez a4,80008128 + 80008110: 0bf00613 li a2,191 + 80008114: 00008597 auipc a1,0x8 + 80008118: dc458593 addi a1,a1,-572 # 8000fed8 <__FUNCTION__.4542> + 8000811c: 00008517 auipc a0,0x8 + 80008120: cf450513 addi a0,a0,-780 # 8000fe10 <__fsym___cmd_ls_name+0x10> + 80008124: 810fd0ef jal ra,80005134 + 80008128: 00043503 ld a0,0(s0) + 8000812c: bf9fb0ef jal ra,80003d24 + 80008130: 00813083 ld ra,8(sp) + 80008134: 00013403 ld s0,0(sp) + 80008138: 00000513 li a0,0 + 8000813c: 01010113 addi sp,sp,16 + 80008140: 00008067 ret + +0000000080008144 : + 80008144: 00011797 auipc a5,0x11 + 80008148: 3347b783 ld a5,820(a5) # 80019478 + 8000814c: 0447c783 lbu a5,68(a5) + 80008150: 0027f793 andi a5,a5,2 + 80008154: 00079c63 bnez a5,8000816c + 80008158: 0001a797 auipc a5,0x1a + 8000815c: 60078c23 sb zero,1560(a5) # 80022770 + 80008160: 0001a517 auipc a0,0x1a + 80008164: 61050513 addi a0,a0,1552 # 80022770 + 80008168: 00008067 ret + 8000816c: fe010113 addi sp,sp,-32 + 80008170: 00113c23 sd ra,24(sp) + 80008174: 00813823 sd s0,16(sp) + 80008178: 00913423 sd s1,8(sp) + 8000817c: 00011597 auipc a1,0x11 + 80008180: 2f45b583 ld a1,756(a1) # 80019470 + 80008184: 02058863 beqz a1,800081b4 + 80008188: 10000613 li a2,256 + 8000818c: 0001a517 auipc a0,0x1a + 80008190: 5e450513 addi a0,a0,1508 # 80022770 + 80008194: c98f80ef jal ra,8000062c + 80008198: 01813083 ld ra,24(sp) + 8000819c: 01013403 ld s0,16(sp) + 800081a0: 00813483 ld s1,8(sp) + 800081a4: 0001a517 auipc a0,0x1a + 800081a8: 5cc50513 addi a0,a0,1484 # 80022770 + 800081ac: 02010113 addi sp,sp,32 + 800081b0: 00008067 ret + 800081b4: a21fe0ef jal ra,80006bd4 + 800081b8: 00008597 auipc a1,0x8 + 800081bc: c7058593 addi a1,a1,-912 # 8000fe28 <__fsym___cmd_ls_name+0x28> + 800081c0: 00051663 bnez a0,800081cc + 800081c4: 00008597 auipc a1,0x8 + 800081c8: c6c58593 addi a1,a1,-916 # 8000fe30 <__fsym___cmd_ls_name+0x30> + 800081cc: 0001a517 auipc a0,0x1a + 800081d0: 5a450513 addi a0,a0,1444 # 80022770 + 800081d4: c34f80ef jal ra,80000608 + 800081d8: 0001a417 auipc s0,0x1a + 800081dc: 59840413 addi s0,s0,1432 # 80022770 + 800081e0: 00040513 mv a0,s0 + 800081e4: ebcfc0ef jal ra,800048a0 + 800081e8: 00050493 mv s1,a0 + 800081ec: 00040513 mv a0,s0 + 800081f0: eb0fc0ef jal ra,800048a0 + 800081f4: 10000593 li a1,256 + 800081f8: 40a585b3 sub a1,a1,a0 + 800081fc: 00940533 add a0,s0,s1 + 80008200: 789000ef jal ra,80009188 + 80008204: 00008597 auipc a1,0x8 + 80008208: c3458593 addi a1,a1,-972 # 8000fe38 <__fsym___cmd_ls_name+0x38> + 8000820c: 00040513 mv a0,s0 + 80008210: c20f80ef jal ra,80000630 + 80008214: f85ff06f j 80008198 + +0000000080008218 : + 80008218: ff010113 addi sp,sp,-16 + 8000821c: 00813023 sd s0,0(sp) + 80008220: 00050413 mv s0,a0 + 80008224: 00008517 auipc a0,0x8 + 80008228: c1c50513 addi a0,a0,-996 # 8000fe40 <__fsym___cmd_ls_name+0x40> + 8000822c: 00113423 sd ra,8(sp) + 80008230: d79fc0ef jal ra,80004fa8 + 80008234: f11ff0ef jal ra,80008144 + 80008238: 00050593 mv a1,a0 + 8000823c: 1da40613 addi a2,s0,474 + 80008240: 00008517 auipc a0,0x8 + 80008244: c0850513 addi a0,a0,-1016 # 8000fe48 <__fsym___cmd_ls_name+0x48> + 80008248: d61fc0ef jal ra,80004fa8 + 8000824c: 00813083 ld ra,8(sp) + 80008250: 00013403 ld s0,0(sp) + 80008254: 00000513 li a0,0 + 80008258: 01010113 addi sp,sp,16 + 8000825c: 00008067 ret + +0000000080008260 : + 80008260: 00011797 auipc a5,0x11 + 80008264: 21878793 addi a5,a5,536 # 80019478 + 80008268: 0007b703 ld a4,0(a5) + 8000826c: fe010113 addi sp,sp,-32 + 80008270: 00813823 sd s0,16(sp) + 80008274: 00913423 sd s1,8(sp) + 80008278: 00113c23 sd ra,24(sp) + 8000827c: 00050413 mv s0,a0 + 80008280: 00078493 mv s1,a5 + 80008284: 00071e63 bnez a4,800082a0 + 80008288: 0a300613 li a2,163 + 8000828c: 00008597 auipc a1,0x8 + 80008290: c2458593 addi a1,a1,-988 # 8000feb0 <__FUNCTION__.4529> + 80008294: 00008517 auipc a0,0x8 + 80008298: b7c50513 addi a0,a0,-1156 # 8000fe10 <__fsym___cmd_ls_name+0x10> + 8000829c: e99fc0ef jal ra,80005134 + 800082a0: 0004b703 ld a4,0(s1) + 800082a4: 00147413 andi s0,s0,1 + 800082a8: 00141413 slli s0,s0,0x1 + 800082ac: 04474783 lbu a5,68(a4) + 800082b0: 01813083 ld ra,24(sp) + 800082b4: 00813483 ld s1,8(sp) + 800082b8: ffd7f793 andi a5,a5,-3 + 800082bc: 0087e433 or s0,a5,s0 + 800082c0: 04870223 sb s0,68(a4) + 800082c4: 01013403 ld s0,16(sp) + 800082c8: 02010113 addi sp,sp,32 + 800082cc: 00008067 ret + +00000000800082d0 : + 800082d0: 00009797 auipc a5,0x9 + 800082d4: 85878793 addi a5,a5,-1960 # 80010b28 <__fsym___cmd_reboot> + 800082d8: 00011717 auipc a4,0x11 + 800082dc: 16f73c23 sd a5,376(a4) # 80019450 <_syscall_table_begin> + 800082e0: 00009797 auipc a5,0x9 + 800082e4: db878793 addi a5,a5,-584 # 80011098 <__rt_init_rti_start> + 800082e8: 00011717 auipc a4,0x11 + 800082ec: 16f73823 sd a5,368(a4) # 80019458 <_syscall_table_end> + 800082f0: 00009797 auipc a5,0x9 + 800082f4: da878793 addi a5,a5,-600 # 80011098 <__rt_init_rti_start> + 800082f8: fe010113 addi sp,sp,-32 + 800082fc: 00011717 auipc a4,0x11 + 80008300: 16f73223 sd a5,356(a4) # 80019460 <_sysvar_table_begin> + 80008304: 23800593 li a1,568 + 80008308: 00009797 auipc a5,0x9 + 8000830c: d9078793 addi a5,a5,-624 # 80011098 <__rt_init_rti_start> + 80008310: 00100513 li a0,1 + 80008314: 00913423 sd s1,8(sp) + 80008318: 00113c23 sd ra,24(sp) + 8000831c: 00813823 sd s0,16(sp) + 80008320: 00011717 auipc a4,0x11 + 80008324: 14f73423 sd a5,328(a4) # 80019468 <_sysvar_table_end> + 80008328: 00011497 auipc s1,0x11 + 8000832c: 15048493 addi s1,s1,336 # 80019478 + 80008330: 8c1f90ef jal ra,80001bf0 + 80008334: 00a4b023 sd a0,0(s1) + 80008338: 02051663 bnez a0,80008364 + 8000833c: 00008517 auipc a0,0x8 + 80008340: b1450513 addi a0,a0,-1260 # 8000fe50 <__fsym___cmd_ls_name+0x50> + 80008344: c65fc0ef jal ra,80004fa8 + 80008348: fff00493 li s1,-1 + 8000834c: 01813083 ld ra,24(sp) + 80008350: 01013403 ld s0,16(sp) + 80008354: 00048513 mv a0,s1 + 80008358: 00813483 ld s1,8(sp) + 8000835c: 02010113 addi sp,sp,32 + 80008360: 00008067 ret + 80008364: 00a00793 li a5,10 + 80008368: 01400713 li a4,20 + 8000836c: 000016b7 lui a3,0x1 + 80008370: 00000613 li a2,0 + 80008374: 00000597 auipc a1,0x0 + 80008378: 15458593 addi a1,a1,340 # 800084c8 + 8000837c: 00008517 auipc a0,0x8 + 80008380: aec50513 addi a0,a0,-1300 # 8000fe68 <__fsym___cmd_ls_name+0x68> + 80008384: e14fa0ef jal ra,80002998 + 80008388: 00050413 mv s0,a0 + 8000838c: 0004b503 ld a0,0(s1) + 80008390: 00000693 li a3,0 + 80008394: 00000613 li a2,0 + 80008398: 00008597 auipc a1,0x8 + 8000839c: ad858593 addi a1,a1,-1320 # 8000fe70 <__fsym___cmd_ls_name+0x70> + 800083a0: f1cfb0ef jal ra,80003abc + 800083a4: 00100513 li a0,1 + 800083a8: eb9ff0ef jal ra,80008260 + 800083ac: 00000493 li s1,0 + 800083b0: f8040ee3 beqz s0,8000834c + 800083b4: 00040513 mv a0,s0 + 800083b8: a35fa0ef jal ra,80002dec + 800083bc: f91ff06f j 8000834c + +00000000800083c0 : + 800083c0: fe010113 addi sp,sp,-32 + 800083c4: 00813823 sd s0,16(sp) + 800083c8: 00011417 auipc s0,0x11 + 800083cc: 0b040413 addi s0,s0,176 # 80019478 + 800083d0: 00043783 ld a5,0(s0) + 800083d4: 01213023 sd s2,0(sp) + 800083d8: 00113c23 sd ra,24(sp) + 800083dc: 00913423 sd s1,8(sp) + 800083e0: 00050913 mv s2,a0 + 800083e4: 00079e63 bnez a5,80008400 + 800083e8: 0d200613 li a2,210 + 800083ec: 00008597 auipc a1,0x8 + 800083f0: afc58593 addi a1,a1,-1284 # 8000fee8 <__FUNCTION__.4547> + 800083f4: 00008517 auipc a0,0x8 + 800083f8: a1c50513 addi a0,a0,-1508 # 8000fe10 <__fsym___cmd_ls_name+0x10> + 800083fc: d39fc0ef jal ra,80005134 + 80008400: 00090513 mv a0,s2 + 80008404: fd5fa0ef jal ra,800033d8 + 80008408: 00050493 mv s1,a0 + 8000840c: 02051463 bnez a0,80008434 + 80008410: 01013403 ld s0,16(sp) + 80008414: 01813083 ld ra,24(sp) + 80008418: 00813483 ld s1,8(sp) + 8000841c: 00090593 mv a1,s2 + 80008420: 00013903 ld s2,0(sp) + 80008424: 00008517 auipc a0,0x8 + 80008428: a5450513 addi a0,a0,-1452 # 8000fe78 <__fsym___cmd_ls_name+0x78> + 8000842c: 02010113 addi sp,sp,32 + 80008430: b79fc06f j 80004fa8 + 80008434: 00043783 ld a5,0(s0) + 80008438: 2307b783 ld a5,560(a5) + 8000843c: 06a78a63 beq a5,a0,800084b0 + 80008440: 04300593 li a1,67 + 80008444: 814fb0ef jal ra,80003458 + 80008448: 06051463 bnez a0,800084b0 + 8000844c: 00043783 ld a5,0(s0) + 80008450: 2307b503 ld a0,560(a5) + 80008454: 00050c63 beqz a0,8000846c + 80008458: 958fb0ef jal ra,800035b0 + 8000845c: 00043783 ld a5,0(s0) + 80008460: 00000593 li a1,0 + 80008464: 2307b503 ld a0,560(a5) + 80008468: c48fb0ef jal ra,800038b0 + 8000846c: 00043503 ld a0,0(s0) + 80008470: 00000593 li a1,0 + 80008474: 05100613 li a2,81 + 80008478: 1da50513 addi a0,a0,474 + 8000847c: 9f4f80ef jal ra,80000670 + 80008480: 00043783 ld a5,0(s0) + 80008484: 01013403 ld s0,16(sp) + 80008488: 01813083 ld ra,24(sp) + 8000848c: 00013903 ld s2,0(sp) + 80008490: 2297b823 sd s1,560(a5) + 80008494: 00048513 mv a0,s1 + 80008498: 00813483 ld s1,8(sp) + 8000849c: 2207a623 sw zero,556(a5) + 800084a0: 00000597 auipc a1,0x0 + 800084a4: c5058593 addi a1,a1,-944 # 800080f0 + 800084a8: 02010113 addi sp,sp,32 + 800084ac: c04fb06f j 800038b0 + 800084b0: 01813083 ld ra,24(sp) + 800084b4: 01013403 ld s0,16(sp) + 800084b8: 00813483 ld s1,8(sp) + 800084bc: 00013903 ld s2,0(sp) + 800084c0: 02010113 addi sp,sp,32 + 800084c4: 00008067 ret + +00000000800084c8 : + 800084c8: f8010113 addi sp,sp,-128 + 800084cc: 06913423 sd s1,104(sp) + 800084d0: 00011497 auipc s1,0x11 + 800084d4: fa848493 addi s1,s1,-88 # 80019478 + 800084d8: 0004b783 ld a5,0(s1) + 800084dc: 06113c23 sd ra,120(sp) + 800084e0: 06813823 sd s0,112(sp) + 800084e4: 0447c703 lbu a4,68(a5) + 800084e8: 07213023 sd s2,96(sp) + 800084ec: 05313c23 sd s3,88(sp) + 800084f0: 05413823 sd s4,80(sp) + 800084f4: 05513423 sd s5,72(sp) + 800084f8: 05613023 sd s6,64(sp) + 800084fc: 03713c23 sd s7,56(sp) + 80008500: 03813823 sd s8,48(sp) + 80008504: 03913423 sd s9,40(sp) + 80008508: 03a13023 sd s10,32(sp) + 8000850c: 01b13c23 sd s11,24(sp) + 80008510: 00176713 ori a4,a4,1 + 80008514: 04e78223 sb a4,68(a5) + 80008518: 2307b783 ld a5,560(a5) + 8000851c: 00079863 bnez a5,8000852c + 80008520: a09fc0ef jal ra,80004f28 + 80008524: 00050463 beqz a0,8000852c + 80008528: e99ff0ef jal ra,800083c0 + 8000852c: c19ff0ef jal ra,80008144 + 80008530: a79fc0ef jal ra,80004fa8 + 80008534: 00008a17 auipc s4,0x8 + 80008538: 994a0a13 addi s4,s4,-1644 # 8000fec8 <__FUNCTION__.4534> + 8000853c: 00008a97 auipc s5,0x8 + 80008540: 8d4a8a93 addi s5,s5,-1836 # 8000fe10 <__fsym___cmd_ls_name+0x10> + 80008544: 01b00993 li s3,27 + 80008548: 0fd00b13 li s6,253 + 8000854c: 00900b93 li s7,9 + 80008550: 07f00c13 li s8,127 + 80008554: 00008917 auipc s2,0x8 + 80008558: 94490913 addi s2,s2,-1724 # 8000fe98 <__fsym___cmd_ls_name+0x98> + 8000855c: 0004b783 ld a5,0(s1) + 80008560: 000107a3 sb zero,15(sp) + 80008564: 00079a63 bnez a5,80008578 + 80008568: 0af00613 li a2,175 + 8000856c: 000a0593 mv a1,s4 + 80008570: 000a8513 mv a0,s5 + 80008574: bc1fc0ef jal ra,80005134 + 80008578: 0004b783 ld a5,0(s1) + 8000857c: 00100693 li a3,1 + 80008580: 00f10613 addi a2,sp,15 + 80008584: 2307b503 ld a0,560(a5) + 80008588: fff00593 li a1,-1 + 8000858c: 8d0fb0ef jal ra,8000365c + 80008590: 00100793 li a5,1 + 80008594: 00f51e63 bne a0,a5,800085b0 + 80008598: 00f14c83 lbu s9,15(sp) + 8000859c: 0004b403 ld s0,0(s1) + 800085a0: 000c859b sext.w a1,s9 + 800085a4: 013c9c63 bne s9,s3,800085bc + 800085a8: 04a42023 sw a0,64(s0) + 800085ac: fb1ff06f j 8000855c + 800085b0: 01400513 li a0,20 + 800085b4: f44fa0ef jal ra,80002cf8 + 800085b8: fc1ff06f j 80008578 + 800085bc: 04042783 lw a5,64(s0) + 800085c0: 02a79a63 bne a5,a0,800085f4 + 800085c4: 05b00793 li a5,91 + 800085c8: 00f59863 bne a1,a5,800085d8 + 800085cc: 00200793 li a5,2 + 800085d0: 04f42023 sw a5,64(s0) + 800085d4: f89ff06f j 8000855c + 800085d8: 04042023 sw zero,64(s0) + 800085dc: fffc879b addiw a5,s9,-1 + 800085e0: 0ff7f793 andi a5,a5,255 + 800085e4: f6fb6ce3 bltu s6,a5,8000855c + 800085e8: 19759863 bne a1,s7,80008778 + 800085ec: 00000c93 li s9,0 + 800085f0: 1200006f j 80008710 + 800085f4: 00200713 li a4,2 + 800085f8: fee792e3 bne a5,a4,800085dc + 800085fc: 04042023 sw zero,64(s0) + 80008600: 04100793 li a5,65 + 80008604: 06f59263 bne a1,a5,80008668 + 80008608: 04645583 lhu a1,70(s0) + 8000860c: f40588e3 beqz a1,8000855c + 80008610: fff5859b addiw a1,a1,-1 + 80008614: 03059593 slli a1,a1,0x30 + 80008618: 0305d593 srli a1,a1,0x30 + 8000861c: 04b41323 sh a1,70(s0) + 80008620: 00259793 slli a5,a1,0x2 + 80008624: 00f585b3 add a1,a1,a5 + 80008628: 00459593 slli a1,a1,0x4 + 8000862c: 04a58593 addi a1,a1,74 + 80008630: 00b405b3 add a1,s0,a1 + 80008634: 05000613 li a2,80 + 80008638: 1da40513 addi a0,s0,474 + 8000863c: 838f80ef jal ra,80000674 + 80008640: 0004b403 ld s0,0(s1) + 80008644: 1da40513 addi a0,s0,474 + 80008648: fbdf70ef jal ra,80000604 + 8000864c: 03051513 slli a0,a0,0x30 + 80008650: 03055513 srli a0,a0,0x30 + 80008654: 22a41623 sh a0,556(s0) + 80008658: 22a41723 sh a0,558(s0) + 8000865c: 00040513 mv a0,s0 + 80008660: bb9ff0ef jal ra,80008218 + 80008664: ef9ff06f j 8000855c + 80008668: 04200793 li a5,66 + 8000866c: 02f59a63 bne a1,a5,800086a0 + 80008670: 04845683 lhu a3,72(s0) + 80008674: 04645783 lhu a5,70(s0) + 80008678: fff6861b addiw a2,a3,-1 + 8000867c: 00c7dc63 bge a5,a2,80008694 + 80008680: 0017879b addiw a5,a5,1 + 80008684: 04f41323 sh a5,70(s0) + 80008688: 04645783 lhu a5,70(s0) + 8000868c: 00279593 slli a1,a5,0x2 + 80008690: f95ff06f j 80008624 + 80008694: ec0684e3 beqz a3,8000855c + 80008698: 04c41323 sh a2,70(s0) + 8000869c: fedff06f j 80008688 + 800086a0: 04400793 li a5,68 + 800086a4: 02f59463 bne a1,a5,800086cc + 800086a8: 22e45783 lhu a5,558(s0) + 800086ac: ea0788e3 beqz a5,8000855c + 800086b0: 00090513 mv a0,s2 + 800086b4: 8f5fc0ef jal ra,80004fa8 + 800086b8: 0004b703 ld a4,0(s1) + 800086bc: 22e75783 lhu a5,558(a4) + 800086c0: fff7879b addiw a5,a5,-1 + 800086c4: 22f71723 sh a5,558(a4) + 800086c8: e95ff06f j 8000855c + 800086cc: 04300793 li a5,67 + 800086d0: f0f596e3 bne a1,a5,800085dc + 800086d4: 22c45703 lhu a4,556(s0) + 800086d8: 22e45783 lhu a5,558(s0) + 800086dc: e8e7f0e3 bgeu a5,a4,8000855c + 800086e0: 00f40433 add s0,s0,a5 + 800086e4: 1da44583 lbu a1,474(s0) + 800086e8: 00005517 auipc a0,0x5 + 800086ec: dc850513 addi a0,a0,-568 # 8000d4b0 <__FUNCTION__.2651+0x118> + 800086f0: 8b9fc0ef jal ra,80004fa8 + 800086f4: 0004b703 ld a4,0(s1) + 800086f8: 22e75783 lhu a5,558(a4) + 800086fc: 0017879b addiw a5,a5,1 + 80008700: fc5ff06f j 800086c4 + 80008704: 00090513 mv a0,s2 + 80008708: 8a1fc0ef jal ra,80004fa8 + 8000870c: 001c8c9b addiw s9,s9,1 + 80008710: 0004b403 ld s0,0(s1) + 80008714: 22e45783 lhu a5,558(s0) + 80008718: fefcc6e3 blt s9,a5,80008704 + 8000871c: 00006517 auipc a0,0x6 + 80008720: 2b450513 addi a0,a0,692 # 8000e9d0 + 80008724: 885fc0ef jal ra,80004fa8 + 80008728: cacfe0ef jal ra,80006bd4 + 8000872c: 00100793 li a5,1 + 80008730: 1da40413 addi s0,s0,474 + 80008734: 00f51663 bne a0,a5,80008740 + 80008738: 00040513 mv a0,s0 + 8000873c: 9b9fe0ef jal ra,800070f4 + 80008740: a05ff0ef jal ra,80008144 + 80008744: 00050593 mv a1,a0 + 80008748: 00040613 mv a2,s0 + 8000874c: 00007517 auipc a0,0x7 + 80008750: 6fc50513 addi a0,a0,1788 # 8000fe48 <__fsym___cmd_ls_name+0x48> + 80008754: 855fc0ef jal ra,80004fa8 + 80008758: 0004b403 ld s0,0(s1) + 8000875c: 1da40513 addi a0,s0,474 + 80008760: ea5f70ef jal ra,80000604 + 80008764: 03051513 slli a0,a0,0x30 + 80008768: 03055513 srli a0,a0,0x30 + 8000876c: 22a41623 sh a0,556(s0) + 80008770: 22a41723 sh a0,558(s0) + 80008774: de9ff06f j 8000855c + 80008778: 01858663 beq a1,s8,80008784 + 8000877c: 00800793 li a5,8 + 80008780: 0af59e63 bne a1,a5,8000883c + 80008784: 22e45503 lhu a0,558(s0) + 80008788: dc050ae3 beqz a0,8000855c + 8000878c: 22c45783 lhu a5,556(s0) + 80008790: fff5051b addiw a0,a0,-1 + 80008794: 03051513 slli a0,a0,0x30 + 80008798: fff7879b addiw a5,a5,-1 + 8000879c: 03079793 slli a5,a5,0x30 + 800087a0: 03055513 srli a0,a0,0x30 + 800087a4: 0307d793 srli a5,a5,0x30 + 800087a8: 22f41623 sh a5,556(s0) + 800087ac: 22a41723 sh a0,558(s0) + 800087b0: 0005061b sext.w a2,a0 + 800087b4: 06f57463 bgeu a0,a5,8000881c + 800087b8: 1db60593 addi a1,a2,475 + 800087bc: 1da50513 addi a0,a0,474 + 800087c0: 40c7863b subw a2,a5,a2 + 800087c4: 00b405b3 add a1,s0,a1 + 800087c8: 00a40533 add a0,s0,a0 + 800087cc: f9dfb0ef jal ra,80004768 + 800087d0: 0004b783 ld a5,0(s1) + 800087d4: 00007517 auipc a0,0x7 + 800087d8: 6cc50513 addi a0,a0,1740 # 8000fea0 <__fsym___cmd_ls_name+0xa0> + 800087dc: 22c7d703 lhu a4,556(a5) + 800087e0: 00e78733 add a4,a5,a4 + 800087e4: 1c070d23 sb zero,474(a4) + 800087e8: 22e7d583 lhu a1,558(a5) + 800087ec: 1da58593 addi a1,a1,474 + 800087f0: 00b785b3 add a1,a5,a1 + 800087f4: fb4fc0ef jal ra,80004fa8 + 800087f8: 0004b783 ld a5,0(s1) + 800087fc: 22e7d403 lhu s0,558(a5) + 80008800: 0004b783 ld a5,0(s1) + 80008804: 22c7d783 lhu a5,556(a5) + 80008808: d487cae3 blt a5,s0,8000855c + 8000880c: 00090513 mv a0,s2 + 80008810: f98fc0ef jal ra,80004fa8 + 80008814: 0014041b addiw s0,s0,1 + 80008818: fe9ff06f j 80008800 + 8000881c: 00007517 auipc a0,0x7 + 80008820: 68c50513 addi a0,a0,1676 # 8000fea8 <__fsym___cmd_ls_name+0xa8> + 80008824: f84fc0ef jal ra,80004fa8 + 80008828: 0004b783 ld a5,0(s1) + 8000882c: 22c7d703 lhu a4,556(a5) + 80008830: 00e787b3 add a5,a5,a4 + 80008834: 1c078d23 sb zero,474(a5) + 80008838: d25ff06f j 8000855c + 8000883c: 00d00713 li a4,13 + 80008840: 22c45783 lhu a5,556(s0) + 80008844: 00e58663 beq a1,a4,80008850 + 80008848: 00a00713 li a4,10 + 8000884c: 16e59063 bne a1,a4,800089ac + 80008850: 06078a63 beqz a5,800088c4 + 80008854: 04845d03 lhu s10,72(s0) + 80008858: 00400793 li a5,4 + 8000885c: 1da40c93 addi s9,s0,474 + 80008860: 000d051b sext.w a0,s10 + 80008864: 0da7f463 bgeu a5,s10,8000892c + 80008868: 18a40d93 addi s11,s0,394 + 8000886c: 05000613 li a2,80 + 80008870: 000c8593 mv a1,s9 + 80008874: 000d8513 mv a0,s11 + 80008878: e01f70ef jal ra,80000678 + 8000887c: 04050463 beqz a0,800088c4 + 80008880: 04a40d13 addi s10,s0,74 + 80008884: 000d0513 mv a0,s10 + 80008888: 050d0d13 addi s10,s10,80 + 8000888c: 05000613 li a2,80 + 80008890: 000d0593 mv a1,s10 + 80008894: de1f70ef jal ra,80000674 + 80008898: ffad96e3 bne s11,s10,80008884 + 8000889c: 05000613 li a2,80 + 800088a0: 00000593 li a1,0 + 800088a4: 000d8513 mv a0,s11 + 800088a8: dc9f70ef jal ra,80000670 + 800088ac: 22c45603 lhu a2,556(s0) + 800088b0: 000c8593 mv a1,s9 + 800088b4: 000d8513 mv a0,s11 + 800088b8: dbdf70ef jal ra,80000674 + 800088bc: 00500793 li a5,5 + 800088c0: 04f41423 sh a5,72(s0) + 800088c4: 04845783 lhu a5,72(s0) + 800088c8: 04f41323 sh a5,70(s0) + 800088cc: b08fe0ef jal ra,80006bd4 + 800088d0: 00100793 li a5,1 + 800088d4: 02f51863 bne a0,a5,80008904 + 800088d8: 0004b783 ld a5,0(s1) + 800088dc: 0447c783 lbu a5,68(a5) + 800088e0: 0017f793 andi a5,a5,1 + 800088e4: 00078863 beqz a5,800088f4 + 800088e8: 00006517 auipc a0,0x6 + 800088ec: 0e850513 addi a0,a0,232 # 8000e9d0 + 800088f0: eb8fc0ef jal ra,80004fa8 + 800088f4: 0004b503 ld a0,0(s1) + 800088f8: 22c55583 lhu a1,556(a0) + 800088fc: 1da50513 addi a0,a0,474 + 80008900: adcfe0ef jal ra,80006bdc + 80008904: 841ff0ef jal ra,80008144 + 80008908: ea0fc0ef jal ra,80004fa8 + 8000890c: 0004b503 ld a0,0(s1) + 80008910: 05100613 li a2,81 + 80008914: 00000593 li a1,0 + 80008918: 1da50513 addi a0,a0,474 + 8000891c: d55f70ef jal ra,80000670 + 80008920: 0004b783 ld a5,0(s1) + 80008924: 2207a623 sw zero,556(a5) + 80008928: c35ff06f j 8000855c + 8000892c: 020d0663 beqz s10,80008958 + 80008930: fff5079b addiw a5,a0,-1 + 80008934: 00279513 slli a0,a5,0x2 + 80008938: 00f50533 add a0,a0,a5 + 8000893c: 00451513 slli a0,a0,0x4 + 80008940: 04a50513 addi a0,a0,74 + 80008944: 05000613 li a2,80 + 80008948: 000c8593 mv a1,s9 + 8000894c: 00a40533 add a0,s0,a0 + 80008950: d29f70ef jal ra,80000678 + 80008954: f60508e3 beqz a0,800088c4 + 80008958: 002d1513 slli a0,s10,0x2 + 8000895c: 01a50533 add a0,a0,s10 + 80008960: 00451513 slli a0,a0,0x4 + 80008964: 04a50513 addi a0,a0,74 + 80008968: 05000613 li a2,80 + 8000896c: 00000593 li a1,0 + 80008970: 05a41323 sh s10,70(s0) + 80008974: 00a40533 add a0,s0,a0 + 80008978: cf9f70ef jal ra,80000670 + 8000897c: 04845783 lhu a5,72(s0) + 80008980: 22c45603 lhu a2,556(s0) + 80008984: 000c8593 mv a1,s9 + 80008988: 00279513 slli a0,a5,0x2 + 8000898c: 00f50533 add a0,a0,a5 + 80008990: 00451513 slli a0,a0,0x4 + 80008994: 04a50513 addi a0,a0,74 + 80008998: 00a40533 add a0,s0,a0 + 8000899c: cd9f70ef jal ra,80000674 + 800089a0: 04845783 lhu a5,72(s0) + 800089a4: 0017879b addiw a5,a5,1 + 800089a8: f19ff06f j 800088c0 + 800089ac: 04f00713 li a4,79 + 800089b0: 00f77463 bgeu a4,a5,800089b8 + 800089b4: 22041623 sh zero,556(s0) + 800089b8: 22e45703 lhu a4,558(s0) + 800089bc: 22c45783 lhu a5,556(s0) + 800089c0: 0007061b sext.w a2,a4 + 800089c4: 0af77263 bgeu a4,a5,80008a68 + 800089c8: 1da70593 addi a1,a4,474 + 800089cc: 1db60513 addi a0,a2,475 + 800089d0: 00b405b3 add a1,s0,a1 + 800089d4: 40c7863b subw a2,a5,a2 + 800089d8: 00a40533 add a0,s0,a0 + 800089dc: d8dfb0ef jal ra,80004768 + 800089e0: 0004b583 ld a1,0(s1) + 800089e4: 22e5d783 lhu a5,558(a1) + 800089e8: 00f58733 add a4,a1,a5 + 800089ec: 1d970d23 sb s9,474(a4) + 800089f0: 0445c703 lbu a4,68(a1) + 800089f4: 00177713 andi a4,a4,1 + 800089f8: 00070c63 beqz a4,80008a10 + 800089fc: 1da78793 addi a5,a5,474 + 80008a00: 00f585b3 add a1,a1,a5 + 80008a04: 00006517 auipc a0,0x6 + 80008a08: fdc50513 addi a0,a0,-36 # 8000e9e0 + 80008a0c: d9cfc0ef jal ra,80004fa8 + 80008a10: 0004b783 ld a5,0(s1) + 80008a14: 22e7d403 lhu s0,558(a5) + 80008a18: 0004b783 ld a5,0(s1) + 80008a1c: 22c7d783 lhu a5,556(a5) + 80008a20: 02f44c63 blt s0,a5,80008a58 + 80008a24: 0004b703 ld a4,0(s1) + 80008a28: 22c75783 lhu a5,556(a4) + 80008a2c: 22e75683 lhu a3,558(a4) + 80008a30: 0017879b addiw a5,a5,1 + 80008a34: 03079793 slli a5,a5,0x30 + 80008a38: 0016869b addiw a3,a3,1 + 80008a3c: 0307d793 srli a5,a5,0x30 + 80008a40: 22d71723 sh a3,558(a4) + 80008a44: 22f71623 sh a5,556(a4) + 80008a48: 04f00693 li a3,79 + 80008a4c: b0f6f8e3 bgeu a3,a5,8000855c + 80008a50: 22072623 sw zero,556(a4) + 80008a54: b09ff06f j 8000855c + 80008a58: 00090513 mv a0,s2 + 80008a5c: d4cfc0ef jal ra,80004fa8 + 80008a60: 0014041b addiw s0,s0,1 + 80008a64: fb5ff06f j 80008a18 + 80008a68: 00f407b3 add a5,s0,a5 + 80008a6c: 1d978d23 sb s9,474(a5) + 80008a70: 04444783 lbu a5,68(s0) + 80008a74: 0017f793 andi a5,a5,1 + 80008a78: fa0786e3 beqz a5,80008a24 + 80008a7c: 00005517 auipc a0,0x5 + 80008a80: a3450513 addi a0,a0,-1484 # 8000d4b0 <__FUNCTION__.2651+0x118> + 80008a84: d24fc0ef jal ra,80004fa8 + 80008a88: f9dff06f j 80008a24 + +0000000080008a8c : + 80008a8c: fe010113 addi sp,sp,-32 + 80008a90: 00913423 sd s1,8(sp) + 80008a94: 00113c23 sd ra,24(sp) + 80008a98: 00813823 sd s0,16(sp) + 80008a9c: 00050493 mv s1,a0 + 80008aa0: 22d000ef jal ra,800094cc + 80008aa4: fff00793 li a5,-1 + 80008aa8: 02f51463 bne a0,a5,80008ad0 + 80008aac: 00050413 mv s0,a0 + 80008ab0: ff400513 li a0,-12 + 80008ab4: ae5fb0ef jal ra,80004598 + 80008ab8: 01813083 ld ra,24(sp) + 80008abc: 00040513 mv a0,s0 + 80008ac0: 01013403 ld s0,16(sp) + 80008ac4: 00813483 ld s1,8(sp) + 80008ac8: 02010113 addi sp,sp,32 + 80008acc: 00008067 ret + 80008ad0: 361000ef jal ra,80009630 + 80008ad4: 00010637 lui a2,0x10 + 80008ad8: 00048593 mv a1,s1 + 80008adc: 04060613 addi a2,a2,64 # 10040 <__STACKSIZE__+0xc040> + 80008ae0: 00050413 mv s0,a0 + 80008ae4: 7e5000ef jal ra,80009ac8 + 80008ae8: 00050493 mv s1,a0 + 80008aec: 00040513 mv a0,s0 + 80008af0: 0204d063 bgez s1,80008b10 + 80008af4: 3cd000ef jal ra,800096c0 + 80008af8: 00040513 mv a0,s0 + 80008afc: 3c5000ef jal ra,800096c0 + 80008b00: 00048513 mv a0,s1 + 80008b04: a95fb0ef jal ra,80004598 + 80008b08: fff00413 li s0,-1 + 80008b0c: fadff06f j 80008ab8 + 80008b10: 114010ef jal ra,80009c24 + 80008b14: 00040513 mv a0,s0 + 80008b18: 3a9000ef jal ra,800096c0 + 80008b1c: 00040513 mv a0,s0 + 80008b20: 3a1000ef jal ra,800096c0 + 80008b24: 00000413 li s0,0 + 80008b28: f91ff06f j 80008ab8 + +0000000080008b2c : + 80008b2c: fa010113 addi sp,sp,-96 + 80008b30: 01213823 sd s2,16(sp) + 80008b34: 01313423 sd s3,8(sp) + 80008b38: 02113423 sd ra,40(sp) + 80008b3c: 02813023 sd s0,32(sp) + 80008b40: 00913c23 sd s1,24(sp) + 80008b44: 00050913 mv s2,a0 + 80008b48: 00058993 mv s3,a1 + 80008b4c: 02c13823 sd a2,48(sp) + 80008b50: 02d13c23 sd a3,56(sp) + 80008b54: 04e13023 sd a4,64(sp) + 80008b58: 04f13423 sd a5,72(sp) + 80008b5c: 05013823 sd a6,80(sp) + 80008b60: 05113c23 sd a7,88(sp) + 80008b64: 169000ef jal ra,800094cc + 80008b68: 02055863 bgez a0,80008b98 + 80008b6c: ff400513 li a0,-12 + 80008b70: a29fb0ef jal ra,80004598 + 80008b74: fff00413 li s0,-1 + 80008b78: 02813083 ld ra,40(sp) + 80008b7c: 00040513 mv a0,s0 + 80008b80: 02013403 ld s0,32(sp) + 80008b84: 01813483 ld s1,24(sp) + 80008b88: 01013903 ld s2,16(sp) + 80008b8c: 00813983 ld s3,8(sp) + 80008b90: 06010113 addi sp,sp,96 + 80008b94: 00008067 ret + 80008b98: 00050413 mv s0,a0 + 80008b9c: 295000ef jal ra,80009630 + 80008ba0: 00090593 mv a1,s2 + 80008ba4: 00098613 mv a2,s3 + 80008ba8: 00050493 mv s1,a0 + 80008bac: 71d000ef jal ra,80009ac8 + 80008bb0: 00050913 mv s2,a0 + 80008bb4: 00048513 mv a0,s1 + 80008bb8: 00095c63 bgez s2,80008bd0 + 80008bbc: 305000ef jal ra,800096c0 + 80008bc0: 00048513 mv a0,s1 + 80008bc4: 2fd000ef jal ra,800096c0 + 80008bc8: 00090513 mv a0,s2 + 80008bcc: fa5ff06f j 80008b70 + 80008bd0: 2f1000ef jal ra,800096c0 + 80008bd4: fa5ff06f j 80008b78 + +0000000080008bd8 : + 80008bd8: fe010113 addi sp,sp,-32 + 80008bdc: 00113c23 sd ra,24(sp) + 80008be0: 00813823 sd s0,16(sp) + 80008be4: 00913423 sd s1,8(sp) + 80008be8: 249000ef jal ra,80009630 + 80008bec: 02051263 bnez a0,80008c10 + 80008bf0: ff700513 li a0,-9 + 80008bf4: 9a5fb0ef jal ra,80004598 + 80008bf8: fff00513 li a0,-1 + 80008bfc: 01813083 ld ra,24(sp) + 80008c00: 01013403 ld s0,16(sp) + 80008c04: 00813483 ld s1,8(sp) + 80008c08: 02010113 addi sp,sp,32 + 80008c0c: 00008067 ret + 80008c10: 00050413 mv s0,a0 + 80008c14: 010010ef jal ra,80009c24 + 80008c18: 00050493 mv s1,a0 + 80008c1c: 00040513 mv a0,s0 + 80008c20: 2a1000ef jal ra,800096c0 + 80008c24: 0004d663 bgez s1,80008c30 + 80008c28: 00048513 mv a0,s1 + 80008c2c: fc9ff06f j 80008bf4 + 80008c30: 00040513 mv a0,s0 + 80008c34: 28d000ef jal ra,800096c0 + 80008c38: 00000513 li a0,0 + 80008c3c: fc1ff06f j 80008bfc + +0000000080008c40 : + 80008c40: fd010113 addi sp,sp,-48 + 80008c44: 00b13423 sd a1,8(sp) + 80008c48: 00c13023 sd a2,0(sp) + 80008c4c: 02113423 sd ra,40(sp) + 80008c50: 02813023 sd s0,32(sp) + 80008c54: 00913c23 sd s1,24(sp) + 80008c58: 1d9000ef jal ra,80009630 + 80008c5c: 00013603 ld a2,0(sp) + 80008c60: 00813583 ld a1,8(sp) + 80008c64: 02051463 bnez a0,80008c8c + 80008c68: ff700513 li a0,-9 + 80008c6c: 92dfb0ef jal ra,80004598 + 80008c70: fff00413 li s0,-1 + 80008c74: 02813083 ld ra,40(sp) + 80008c78: 00040513 mv a0,s0 + 80008c7c: 02013403 ld s0,32(sp) + 80008c80: 01813483 ld s1,24(sp) + 80008c84: 03010113 addi sp,sp,48 + 80008c88: 00008067 ret + 80008c8c: 00050493 mv s1,a0 + 80008c90: 7f5000ef jal ra,80009c84 + 80008c94: 00050413 mv s0,a0 + 80008c98: 00048513 mv a0,s1 + 80008c9c: 00045863 bgez s0,80008cac + 80008ca0: 221000ef jal ra,800096c0 + 80008ca4: 00040513 mv a0,s0 + 80008ca8: fc5ff06f j 80008c6c + 80008cac: 215000ef jal ra,800096c0 + 80008cb0: fc5ff06f j 80008c74 + +0000000080008cb4 : + 80008cb4: fd010113 addi sp,sp,-48 + 80008cb8: 00b13423 sd a1,8(sp) + 80008cbc: 00c13023 sd a2,0(sp) + 80008cc0: 02113423 sd ra,40(sp) + 80008cc4: 02813023 sd s0,32(sp) + 80008cc8: 00913c23 sd s1,24(sp) + 80008ccc: 165000ef jal ra,80009630 + 80008cd0: 00013603 ld a2,0(sp) + 80008cd4: 00813583 ld a1,8(sp) + 80008cd8: 02051463 bnez a0,80008d00 + 80008cdc: ff700513 li a0,-9 + 80008ce0: 8b9fb0ef jal ra,80004598 + 80008ce4: fff00413 li s0,-1 + 80008ce8: 02813083 ld ra,40(sp) + 80008cec: 00040513 mv a0,s0 + 80008cf0: 02013403 ld s0,32(sp) + 80008cf4: 01813483 ld s1,24(sp) + 80008cf8: 03010113 addi sp,sp,48 + 80008cfc: 00008067 ret + 80008d00: 00050493 mv s1,a0 + 80008d04: 1d4010ef jal ra,80009ed8 + 80008d08: 00050413 mv s0,a0 + 80008d0c: 00048513 mv a0,s1 + 80008d10: 00045863 bgez s0,80008d20 + 80008d14: 1ad000ef jal ra,800096c0 + 80008d18: 00040513 mv a0,s0 + 80008d1c: fc5ff06f j 80008ce0 + 80008d20: 1a1000ef jal ra,800096c0 + 80008d24: fc5ff06f j 80008ce8 + +0000000080008d28 : + 80008d28: fe010113 addi sp,sp,-32 + 80008d2c: 00813823 sd s0,16(sp) + 80008d30: 01213023 sd s2,0(sp) + 80008d34: 00113c23 sd ra,24(sp) + 80008d38: 00913423 sd s1,8(sp) + 80008d3c: 00058413 mv s0,a1 + 80008d40: 00060913 mv s2,a2 + 80008d44: 0ed000ef jal ra,80009630 + 80008d48: 02051663 bnez a0,80008d74 + 80008d4c: ff700513 li a0,-9 + 80008d50: 849fb0ef jal ra,80004598 + 80008d54: fff00413 li s0,-1 + 80008d58: 01813083 ld ra,24(sp) + 80008d5c: 00040513 mv a0,s0 + 80008d60: 01013403 ld s0,16(sp) + 80008d64: 00813483 ld s1,8(sp) + 80008d68: 00013903 ld s2,0(sp) + 80008d6c: 02010113 addi sp,sp,32 + 80008d70: 00008067 ret + 80008d74: 00100793 li a5,1 + 80008d78: 00050493 mv s1,a0 + 80008d7c: 02f90c63 beq s2,a5,80008db4 + 80008d80: 00200793 li a5,2 + 80008d84: 02f90e63 beq s2,a5,80008dc0 + 80008d88: 04091063 bnez s2,80008dc8 + 80008d8c: 00048513 mv a0,s1 + 80008d90: 02044c63 bltz s0,80008dc8 + 80008d94: 00040593 mv a1,s0 + 80008d98: 280010ef jal ra,8000a018 + 80008d9c: 00050913 mv s2,a0 + 80008da0: 00048513 mv a0,s1 + 80008da4: 02095863 bgez s2,80008dd4 + 80008da8: 119000ef jal ra,800096c0 + 80008dac: 00090513 mv a0,s2 + 80008db0: fa1ff06f j 80008d50 + 80008db4: 03853783 ld a5,56(a0) + 80008db8: 00f40433 add s0,s0,a5 + 80008dbc: fd1ff06f j 80008d8c + 80008dc0: 03053783 ld a5,48(a0) + 80008dc4: ff5ff06f j 80008db8 + 80008dc8: 0f9000ef jal ra,800096c0 + 80008dcc: fea00513 li a0,-22 + 80008dd0: f81ff06f j 80008d50 + 80008dd4: 0ed000ef jal ra,800096c0 + 80008dd8: f81ff06f j 80008d58 + +0000000080008ddc : + 80008ddc: ff010113 addi sp,sp,-16 + 80008de0: 00113423 sd ra,8(sp) + 80008de4: 0e9010ef jal ra,8000a6cc + 80008de8: 00055c63 bgez a0,80008e00 + 80008dec: facfb0ef jal ra,80004598 + 80008df0: fff00513 li a0,-1 + 80008df4: 00813083 ld ra,8(sp) + 80008df8: 01010113 addi sp,sp,16 + 80008dfc: 00008067 ret + 80008e00: 00000513 li a0,0 + 80008e04: ff1ff06f j 80008df4 + +0000000080008e08 : + 80008e08: ff010113 addi sp,sp,-16 + 80008e0c: 00113423 sd ra,8(sp) + 80008e10: 7ad000ef jal ra,80009dbc + 80008e14: 00055c63 bgez a0,80008e2c + 80008e18: f80fb0ef jal ra,80004598 + 80008e1c: fff00513 li a0,-1 + 80008e20: 00813083 ld ra,8(sp) + 80008e24: 01010113 addi sp,sp,16 + 80008e28: 00008067 ret + 80008e2c: 00000513 li a0,0 + 80008e30: ff1ff06f j 80008e20 + +0000000080008e34 : + 80008e34: ff010113 addi sp,sp,-16 + 80008e38: 00113423 sd ra,8(sp) + 80008e3c: 230010ef jal ra,8000a06c + 80008e40: 00050793 mv a5,a0 + 80008e44: 00055663 bgez a0,80008e50 + 80008e48: f50fb0ef jal ra,80004598 + 80008e4c: fff00793 li a5,-1 + 80008e50: 00813083 ld ra,8(sp) + 80008e54: 00078513 mv a0,a5 + 80008e58: 01010113 addi sp,sp,16 + 80008e5c: 00008067 ret + +0000000080008e60 : + 80008e60: fe010113 addi sp,sp,-32 + 80008e64: 00813823 sd s0,16(sp) + 80008e68: 00113c23 sd ra,24(sp) + 80008e6c: 00913423 sd s1,8(sp) + 80008e70: 01213023 sd s2,0(sp) + 80008e74: 00050413 mv s0,a0 + 80008e78: 654000ef jal ra,800094cc + 80008e7c: fff00793 li a5,-1 + 80008e80: 00f51a63 bne a0,a5,80008e94 + 80008e84: ff400513 li a0,-12 + 80008e88: f10fb0ef jal ra,80004598 + 80008e8c: 00000413 li s0,0 + 80008e90: 04c0006f j 80008edc + 80008e94: 00050913 mv s2,a0 + 80008e98: 798000ef jal ra,80009630 + 80008e9c: 00040593 mv a1,s0 + 80008ea0: 00010637 lui a2,0x10 + 80008ea4: 00050493 mv s1,a0 + 80008ea8: 421000ef jal ra,80009ac8 + 80008eac: 00050413 mv s0,a0 + 80008eb0: 04054e63 bltz a0,80008f0c + 80008eb4: 20c00513 li a0,524 + 80008eb8: a29f80ef jal ra,800018e0 + 80008ebc: 00050413 mv s0,a0 + 80008ec0: 02051c63 bnez a0,80008ef8 + 80008ec4: 00048513 mv a0,s1 + 80008ec8: 55d000ef jal ra,80009c24 + 80008ecc: 00048513 mv a0,s1 + 80008ed0: 7f0000ef jal ra,800096c0 + 80008ed4: 00048513 mv a0,s1 + 80008ed8: 7e8000ef jal ra,800096c0 + 80008edc: 01813083 ld ra,24(sp) + 80008ee0: 00040513 mv a0,s0 + 80008ee4: 01013403 ld s0,16(sp) + 80008ee8: 00813483 ld s1,8(sp) + 80008eec: 00013903 ld s2,0(sp) + 80008ef0: 02010113 addi sp,sp,32 + 80008ef4: 00008067 ret + 80008ef8: 20c00613 li a2,524 + 80008efc: 00000593 li a1,0 + 80008f00: f70f70ef jal ra,80000670 + 80008f04: 01242023 sw s2,0(s0) + 80008f08: fcdff06f j 80008ed4 + 80008f0c: 00048513 mv a0,s1 + 80008f10: 7b0000ef jal ra,800096c0 + 80008f14: 00048513 mv a0,s1 + 80008f18: 7a8000ef jal ra,800096c0 + 80008f1c: 00040513 mv a0,s0 + 80008f20: f69ff06f j 80008e88 + +0000000080008f24 : + 80008f24: fd010113 addi sp,sp,-48 + 80008f28: 00913c23 sd s1,24(sp) + 80008f2c: 00050493 mv s1,a0 + 80008f30: 00052503 lw a0,0(a0) + 80008f34: 02813023 sd s0,32(sp) + 80008f38: 02113423 sd ra,40(sp) + 80008f3c: 01213823 sd s2,16(sp) + 80008f40: 01313423 sd s3,8(sp) + 80008f44: 6ec000ef jal ra,80009630 + 80008f48: 00050413 mv s0,a0 + 80008f4c: 02051663 bnez a0,80008f78 + 80008f50: ff700513 li a0,-9 + 80008f54: e44fb0ef jal ra,80004598 + 80008f58: 02813083 ld ra,40(sp) + 80008f5c: 00040513 mv a0,s0 + 80008f60: 02013403 ld s0,32(sp) + 80008f64: 01813483 ld s1,24(sp) + 80008f68: 01013903 ld s2,16(sp) + 80008f6c: 00813983 ld s3,8(sp) + 80008f70: 03010113 addi sp,sp,48 + 80008f74: 00008067 ret + 80008f78: 2044a703 lw a4,516(s1) + 80008f7c: 00448993 addi s3,s1,4 + 80008f80: 02071a63 bnez a4,80008fb4 + 80008f84: 1ff00613 li a2,511 + 80008f88: 00098593 mv a1,s3 + 80008f8c: 00040513 mv a0,s0 + 80008f90: 5f9000ef jal ra,80009d88 + 80008f94: 00050913 mv s2,a0 + 80008f98: 04a04463 bgtz a0,80008fe0 + 80008f9c: 00040513 mv a0,s0 + 80008fa0: 720000ef jal ra,800096c0 + 80008fa4: 00090513 mv a0,s2 + 80008fa8: df0fb0ef jal ra,80004598 + 80008fac: 00000413 li s0,0 + 80008fb0: fa9ff06f j 80008f58 + 80008fb4: 2084a683 lw a3,520(s1) + 80008fb8: 00d487b3 add a5,s1,a3 + 80008fbc: 0067d783 lhu a5,6(a5) + 80008fc0: 00d786bb addw a3,a5,a3 + 80008fc4: 20d4a423 sw a3,520(s1) + 80008fc8: fae6dee3 bge a3,a4,80008f84 + 80008fcc: 00040513 mv a0,s0 + 80008fd0: 6f0000ef jal ra,800096c0 + 80008fd4: 2084a403 lw s0,520(s1) + 80008fd8: 00898433 add s0,s3,s0 + 80008fdc: f7dff06f j 80008f58 + 80008fe0: 20a4a223 sw a0,516(s1) + 80008fe4: 2004a423 sw zero,520(s1) + 80008fe8: fe5ff06f j 80008fcc + +0000000080008fec : + 80008fec: fe010113 addi sp,sp,-32 + 80008ff0: 00813823 sd s0,16(sp) + 80008ff4: 00050413 mv s0,a0 + 80008ff8: 00052503 lw a0,0(a0) + 80008ffc: 00113c23 sd ra,24(sp) + 80009000: 00913423 sd s1,8(sp) + 80009004: 62c000ef jal ra,80009630 + 80009008: 00051e63 bnez a0,80009024 + 8000900c: 01013403 ld s0,16(sp) + 80009010: 01813083 ld ra,24(sp) + 80009014: 00813483 ld s1,8(sp) + 80009018: ff700513 li a0,-9 + 8000901c: 02010113 addi sp,sp,32 + 80009020: d78fb06f j 80004598 + 80009024: 00000593 li a1,0 + 80009028: 00050493 mv s1,a0 + 8000902c: 7ed000ef jal ra,8000a018 + 80009030: 00054663 bltz a0,8000903c + 80009034: 20042423 sw zero,520(s0) + 80009038: 20042223 sw zero,516(s0) + 8000903c: 01013403 ld s0,16(sp) + 80009040: 01813083 ld ra,24(sp) + 80009044: 00048513 mv a0,s1 + 80009048: 00813483 ld s1,8(sp) + 8000904c: 02010113 addi sp,sp,32 + 80009050: 6700006f j 800096c0 + +0000000080009054 : + 80009054: fe010113 addi sp,sp,-32 + 80009058: 01213023 sd s2,0(sp) + 8000905c: 00050913 mv s2,a0 + 80009060: 00052503 lw a0,0(a0) + 80009064: 00113c23 sd ra,24(sp) + 80009068: 00813823 sd s0,16(sp) + 8000906c: 00913423 sd s1,8(sp) + 80009070: 5c0000ef jal ra,80009630 + 80009074: 02051463 bnez a0,8000909c + 80009078: ff700513 li a0,-9 + 8000907c: d1cfb0ef jal ra,80004598 + 80009080: fff00513 li a0,-1 + 80009084: 01813083 ld ra,24(sp) + 80009088: 01013403 ld s0,16(sp) + 8000908c: 00813483 ld s1,8(sp) + 80009090: 00013903 ld s2,0(sp) + 80009094: 02010113 addi sp,sp,32 + 80009098: 00008067 ret + 8000909c: 00050413 mv s0,a0 + 800090a0: 385000ef jal ra,80009c24 + 800090a4: 00050493 mv s1,a0 + 800090a8: 00040513 mv a0,s0 + 800090ac: 614000ef jal ra,800096c0 + 800090b0: 00040513 mv a0,s0 + 800090b4: 60c000ef jal ra,800096c0 + 800090b8: 00090513 mv a0,s2 + 800090bc: b75f80ef jal ra,80001c30 + 800090c0: 00000513 li a0,0 + 800090c4: fc04d0e3 bgez s1,80009084 + 800090c8: 00048513 mv a0,s1 + 800090cc: fb1ff06f j 8000907c + +00000000800090d0 : + 800090d0: fe010113 addi sp,sp,-32 + 800090d4: 00113c23 sd ra,24(sp) + 800090d8: 00813823 sd s0,16(sp) + 800090dc: 02051463 bnez a0,80009104 + 800090e0: 374000ef jal ra,80009454 + 800090e4: 00008597 auipc a1,0x8 + 800090e8: 13458593 addi a1,a1,308 # 80011218 + 800090ec: 00006517 auipc a0,0x6 + 800090f0: 4cc50513 addi a0,a0,1228 # 8000f5b8 <__fsym_hello_name+0x38> + 800090f4: eb5fb0ef jal ra,80004fa8 + 800090f8: 3c8000ef jal ra,800094c0 + 800090fc: 00000513 li a0,0 + 80009100: 0240006f j 80009124 + 80009104: 00a13423 sd a0,8(sp) + 80009108: cfcf70ef jal ra,80000604 + 8000910c: 10000793 li a5,256 + 80009110: 00813583 ld a1,8(sp) + 80009114: 02a7f063 bgeu a5,a0,80009134 + 80009118: fec00513 li a0,-20 + 8000911c: c7cfb0ef jal ra,80004598 + 80009120: fff00513 li a0,-1 + 80009124: 01813083 ld ra,24(sp) + 80009128: 01013403 ld s0,16(sp) + 8000912c: 02010113 addi sp,sp,32 + 80009130: 00008067 ret + 80009134: 00000513 li a0,0 + 80009138: 68c000ef jal ra,800097c4 + 8000913c: 00050413 mv s0,a0 + 80009140: fc050ce3 beqz a0,80009118 + 80009144: 310000ef jal ra,80009454 + 80009148: 00040513 mv a0,s0 + 8000914c: d15ff0ef jal ra,80008e60 + 80009150: 00051a63 bnez a0,80009164 + 80009154: 00040513 mv a0,s0 + 80009158: ad9f80ef jal ra,80001c30 + 8000915c: 364000ef jal ra,800094c0 + 80009160: fc1ff06f j 80009120 + 80009164: ef1ff0ef jal ra,80009054 + 80009168: 10000613 li a2,256 + 8000916c: 00040593 mv a1,s0 + 80009170: 00008517 auipc a0,0x8 + 80009174: 0a850513 addi a0,a0,168 # 80011218 + 80009178: cb4f70ef jal ra,8000062c + 8000917c: 00040513 mv a0,s0 + 80009180: ab1f80ef jal ra,80001c30 + 80009184: f75ff06f j 800090f8 + +0000000080009188 : + 80009188: fe010113 addi sp,sp,-32 + 8000918c: 00113c23 sd ra,24(sp) + 80009190: 00813823 sd s0,16(sp) + 80009194: 00b13423 sd a1,8(sp) + 80009198: 00050413 mv s0,a0 + 8000919c: 2b8000ef jal ra,80009454 + 800091a0: 00813603 ld a2,8(sp) + 800091a4: 00008597 auipc a1,0x8 + 800091a8: 07458593 addi a1,a1,116 # 80011218 + 800091ac: 00040513 mv a0,s0 + 800091b0: c7cf70ef jal ra,8000062c + 800091b4: 30c000ef jal ra,800094c0 + 800091b8: 01813083 ld ra,24(sp) + 800091bc: 00040513 mv a0,s0 + 800091c0: 01013403 ld s0,16(sp) + 800091c4: 02010113 addi sp,sp,32 + 800091c8: 00008067 ret + +00000000800091cc : + 800091cc: fa010113 addi sp,sp,-96 + 800091d0: 04113c23 sd ra,88(sp) + 800091d4: 04913423 sd s1,72(sp) + 800091d8: 05213023 sd s2,64(sp) + 800091dc: 03313c23 sd s3,56(sp) + 800091e0: 03413823 sd s4,48(sp) + 800091e4: 03513423 sd s5,40(sp) + 800091e8: 03613023 sd s6,32(sp) + 800091ec: 01713c23 sd s7,24(sp) + 800091f0: 01813823 sd s8,16(sp) + 800091f4: 01913423 sd s9,8(sp) + 800091f8: 04813823 sd s0,80(sp) + 800091fc: 948fa0ef jal ra,80003344 + 80009200: 00007517 auipc a0,0x7 + 80009204: d5050513 addi a0,a0,-688 # 8000ff50 <__fsym_mkdir_name+0x8> + 80009208: da1fb0ef jal ra,80004fa8 + 8000920c: 00007517 auipc a0,0x7 + 80009210: d6450513 addi a0,a0,-668 # 8000ff70 <__fsym_mkdir_name+0x28> + 80009214: d95fb0ef jal ra,80004fa8 + 80009218: 00000493 li s1,0 + 8000921c: 00019917 auipc s2,0x19 + 80009220: 65c90913 addi s2,s2,1628 # 80022878 <_fdtab> + 80009224: 00007997 auipc s3,0x7 + 80009228: d6c98993 addi s3,s3,-660 # 8000ff90 <__fsym_mkdir_name+0x48> + 8000922c: 00200a13 li s4,2 + 80009230: 00007a97 auipc s5,0x7 + 80009234: da0a8a93 addi s5,s5,-608 # 8000ffd0 <__fsym_mkdir_name+0x88> + 80009238: 00007b17 auipc s6,0x7 + 8000923c: da0b0b13 addi s6,s6,-608 # 8000ffd8 <__fsym_mkdir_name+0x90> + 80009240: 00005b97 auipc s7,0x5 + 80009244: 790b8b93 addi s7,s7,1936 # 8000e9d0 + 80009248: 00006c17 auipc s8,0x6 + 8000924c: 370c0c13 addi s8,s8,880 # 8000f5b8 <__fsym_hello_name+0x38> + 80009250: 00100c93 li s9,1 + 80009254: 00092703 lw a4,0(s2) + 80009258: 0004879b sext.w a5,s1 + 8000925c: 04e7c063 blt a5,a4,8000929c + 80009260: 910fa0ef jal ra,80003370 + 80009264: 05813083 ld ra,88(sp) + 80009268: 05013403 ld s0,80(sp) + 8000926c: 04813483 ld s1,72(sp) + 80009270: 04013903 ld s2,64(sp) + 80009274: 03813983 ld s3,56(sp) + 80009278: 03013a03 ld s4,48(sp) + 8000927c: 02813a83 ld s5,40(sp) + 80009280: 02013b03 ld s6,32(sp) + 80009284: 01813b83 ld s7,24(sp) + 80009288: 01013c03 ld s8,16(sp) + 8000928c: 00813c83 ld s9,8(sp) + 80009290: 00000513 li a0,0 + 80009294: 06010113 addi sp,sp,96 + 80009298: 00008067 ret + 8000929c: 00893783 ld a5,8(s2) + 800092a0: 00349713 slli a4,s1,0x3 + 800092a4: 00e787b3 add a5,a5,a4 + 800092a8: 0007b403 ld s0,0(a5) + 800092ac: 08040c63 beqz s0,80009344 + 800092b0: 02043783 ld a5,32(s0) + 800092b4: 08078863 beqz a5,80009344 + 800092b8: 0034859b addiw a1,s1,3 + 800092bc: 00098513 mv a0,s3 + 800092c0: ce9fb0ef jal ra,80004fa8 + 800092c4: 00245703 lhu a4,2(s0) + 800092c8: 00007597 auipc a1,0x7 + 800092cc: cd058593 addi a1,a1,-816 # 8000ff98 <__fsym_mkdir_name+0x50> + 800092d0: 0007079b sext.w a5,a4 + 800092d4: 03470e63 beq a4,s4,80009310 + 800092d8: 00007597 auipc a1,0x7 + 800092dc: cd058593 addi a1,a1,-816 # 8000ffa8 <__fsym_mkdir_name+0x60> + 800092e0: 02070863 beqz a4,80009310 + 800092e4: 00007597 auipc a1,0x7 + 800092e8: ccc58593 addi a1,a1,-820 # 8000ffb0 <__fsym_mkdir_name+0x68> + 800092ec: 03978263 beq a5,s9,80009310 + 800092f0: 00300713 li a4,3 + 800092f4: 00007597 auipc a1,0x7 + 800092f8: cc458593 addi a1,a1,-828 # 8000ffb8 <__fsym_mkdir_name+0x70> + 800092fc: 00e78a63 beq a5,a4,80009310 + 80009300: 00400713 li a4,4 + 80009304: 04e79463 bne a5,a4,8000934c + 80009308: 00006597 auipc a1,0x6 + 8000930c: 84058593 addi a1,a1,-1984 # 8000eb48 + 80009310: 00007517 auipc a0,0x7 + 80009314: c9050513 addi a0,a0,-880 # 8000ffa0 <__fsym_mkdir_name+0x58> + 80009318: c91fb0ef jal ra,80004fa8 + 8000931c: 01042583 lw a1,16(s0) + 80009320: 000a8513 mv a0,s5 + 80009324: c85fb0ef jal ra,80004fa8 + 80009328: 00045583 lhu a1,0(s0) + 8000932c: 000b0513 mv a0,s6 + 80009330: c79fb0ef jal ra,80004fa8 + 80009334: 00843583 ld a1,8(s0) + 80009338: 02058463 beqz a1,80009360 + 8000933c: 000c0513 mv a0,s8 + 80009340: c69fb0ef jal ra,80004fa8 + 80009344: 00148493 addi s1,s1,1 + 80009348: f0dff06f j 80009254 + 8000934c: 00007597 auipc a1,0x7 + 80009350: c7458593 addi a1,a1,-908 # 8000ffc0 <__fsym_mkdir_name+0x78> + 80009354: 00007517 auipc a0,0x7 + 80009358: c7450513 addi a0,a0,-908 # 8000ffc8 <__fsym_mkdir_name+0x80> + 8000935c: fbdff06f j 80009318 + 80009360: 000b8513 mv a0,s7 + 80009364: c45fb0ef jal ra,80004fa8 + 80009368: fddff06f j 80009344 + +000000008000936c : + 8000936c: fe010113 addi sp,sp,-32 + 80009370: 00813823 sd s0,16(sp) + 80009374: 00010417 auipc s0,0x10 + 80009378: 10c40413 addi s0,s0,268 # 80019480 + 8000937c: 00042783 lw a5,0(s0) + 80009380: 00113c23 sd ra,24(sp) + 80009384: 00913423 sd s1,8(sp) + 80009388: 02078463 beqz a5,800093b0 + 8000938c: 00007517 auipc a0,0x7 + 80009390: c5450513 addi a0,a0,-940 # 8000ffe0 <__fsym_mkdir_name+0x98> + 80009394: c15fb0ef jal ra,80004fa8 + 80009398: 01813083 ld ra,24(sp) + 8000939c: 01013403 ld s0,16(sp) + 800093a0: 00813483 ld s1,8(sp) + 800093a4: 00000513 li a0,0 + 800093a8: 02010113 addi sp,sp,32 + 800093ac: 00008067 ret + 800093b0: 01000613 li a2,16 + 800093b4: 00000593 li a1,0 + 800093b8: 00019517 auipc a0,0x19 + 800093bc: 4d050513 addi a0,a0,1232 # 80022888 + 800093c0: ab0f70ef jal ra,80000670 + 800093c4: 04000613 li a2,64 + 800093c8: 00000593 li a1,0 + 800093cc: 00019517 auipc a0,0x19 + 800093d0: 4cc50513 addi a0,a0,1228 # 80022898 + 800093d4: a9cf70ef jal ra,80000670 + 800093d8: 01000613 li a2,16 + 800093dc: 00000593 li a1,0 + 800093e0: 00019517 auipc a0,0x19 + 800093e4: 49850513 addi a0,a0,1176 # 80022878 <_fdtab> + 800093e8: a88f70ef jal ra,80000670 + 800093ec: 00000613 li a2,0 + 800093f0: 00007597 auipc a1,0x7 + 800093f4: c0858593 addi a1,a1,-1016 # 8000fff8 <__fsym_mkdir_name+0xb0> + 800093f8: 00019517 auipc a0,0x19 + 800093fc: 4e050513 addi a0,a0,1248 # 800228d8 + 80009400: a0dfa0ef jal ra,80003e0c + 80009404: 00008497 auipc s1,0x8 + 80009408: e1448493 addi s1,s1,-492 # 80011218 + 8000940c: 10000613 li a2,256 + 80009410: 00000593 li a1,0 + 80009414: 00048513 mv a0,s1 + 80009418: a58f70ef jal ra,80000670 + 8000941c: 02f00793 li a5,47 + 80009420: 00f48023 sb a5,0(s1) + 80009424: 154020ef jal ra,8000b578 + 80009428: 00000713 li a4,0 + 8000942c: 00000693 li a3,0 + 80009430: 00007617 auipc a2,0x7 + 80009434: bd060613 addi a2,a2,-1072 # 80010000 <__fsym_mkdir_name+0xb8> + 80009438: 00007597 auipc a1,0x7 + 8000943c: bd058593 addi a1,a1,-1072 # 80010008 <__fsym_mkdir_name+0xc0> + 80009440: 00000513 li a0,0 + 80009444: 584010ef jal ra,8000a9c8 + 80009448: 00100793 li a5,1 + 8000944c: 00f42023 sw a5,0(s0) + 80009450: f49ff06f j 80009398 + +0000000080009454 : + 80009454: fe010113 addi sp,sp,-32 + 80009458: 00813823 sd s0,16(sp) + 8000945c: 00913423 sd s1,8(sp) + 80009460: 00113c23 sd ra,24(sp) + 80009464: 00019497 auipc s1,0x19 + 80009468: 47448493 addi s1,s1,1140 # 800228d8 + 8000946c: ff900413 li s0,-7 + 80009470: fff00593 li a1,-1 + 80009474: 00048513 mv a0,s1 + 80009478: a15fa0ef jal ra,80003e8c + 8000947c: fe850ae3 beq a0,s0,80009470 + 80009480: 02050663 beqz a0,800094ac + 80009484: 01013403 ld s0,16(sp) + 80009488: 01813083 ld ra,24(sp) + 8000948c: 00813483 ld s1,8(sp) + 80009490: 06b00613 li a2,107 + 80009494: 00007597 auipc a1,0x7 + 80009498: bf458593 addi a1,a1,-1036 # 80010088 <__FUNCTION__.4119> + 8000949c: 00004517 auipc a0,0x4 + 800094a0: eec50513 addi a0,a0,-276 # 8000d388 <__FUNCTION__.2718+0x40> + 800094a4: 02010113 addi sp,sp,32 + 800094a8: c8dfb06f j 80005134 + 800094ac: 01813083 ld ra,24(sp) + 800094b0: 01013403 ld s0,16(sp) + 800094b4: 00813483 ld s1,8(sp) + 800094b8: 02010113 addi sp,sp,32 + 800094bc: 00008067 ret + +00000000800094c0 : + 800094c0: 00019517 auipc a0,0x19 + 800094c4: 41850513 addi a0,a0,1048 # 800228d8 + 800094c8: bf1fa06f j 800040b8 + +00000000800094cc : + 800094cc: fd010113 addi sp,sp,-48 + 800094d0: 02813023 sd s0,32(sp) + 800094d4: 02113423 sd ra,40(sp) + 800094d8: 00019417 auipc s0,0x19 + 800094dc: 3a040413 addi s0,s0,928 # 80022878 <_fdtab> + 800094e0: 00913c23 sd s1,24(sp) + 800094e4: 01213823 sd s2,16(sp) + 800094e8: 01313423 sd s3,8(sp) + 800094ec: f69ff0ef jal ra,80009454 + 800094f0: 00042683 lw a3,0(s0) + 800094f4: 00843503 ld a0,8(s0) + 800094f8: 00000793 li a5,0 + 800094fc: 0006861b sext.w a2,a3 + 80009500: 0007891b sext.w s2,a5 + 80009504: 02c95063 bge s2,a2,80009524 + 80009508: 00379713 slli a4,a5,0x3 + 8000950c: 00e50733 add a4,a0,a4 + 80009510: 00073703 ld a4,0(a4) + 80009514: 00070863 beqz a4,80009524 + 80009518: 01072703 lw a4,16(a4) + 8000951c: 00178793 addi a5,a5,1 + 80009520: fe0710e3 bnez a4,80009500 + 80009524: 05269063 bne a3,s2,80009564 + 80009528: 01f00793 li a5,31 + 8000952c: 0327ec63 bltu a5,s2,80009564 + 80009530: 0049071b addiw a4,s2,4 + 80009534: 02000793 li a5,32 + 80009538: 00070493 mv s1,a4 + 8000953c: 00e7d463 bge a5,a4,80009544 + 80009540: 02000493 li s1,32 + 80009544: 00349593 slli a1,s1,0x3 + 80009548: 8f9f80ef jal ra,80001e40 + 8000954c: 04050c63 beqz a0,800095a4 + 80009550: 00042783 lw a5,0(s0) + 80009554: 0007871b sext.w a4,a5 + 80009558: 0a974063 blt a4,s1,800095f8 + 8000955c: 00a43423 sd a0,8(s0) + 80009560: 00942023 sw s1,0(s0) + 80009564: 00042783 lw a5,0(s0) + 80009568: 02f95e63 bge s2,a5,800095a4 + 8000956c: 00843483 ld s1,8(s0) + 80009570: 00391993 slli s3,s2,0x3 + 80009574: 013484b3 add s1,s1,s3 + 80009578: 0004b783 ld a5,0(s1) + 8000957c: 02079463 bnez a5,800095a4 + 80009580: 04800593 li a1,72 + 80009584: 00100513 li a0,1 + 80009588: e68f80ef jal ra,80001bf0 + 8000958c: 00843783 ld a5,8(s0) + 80009590: 00a4b023 sd a0,0(s1) + 80009594: 013787b3 add a5,a5,s3 + 80009598: 0007b783 ld a5,0(a5) + 8000959c: 00079463 bnez a5,800095a4 + 800095a0: 00042903 lw s2,0(s0) + 800095a4: 00042783 lw a5,0(s0) + 800095a8: 07279263 bne a5,s2,8000960c + 800095ac: 00007517 auipc a0,0x7 + 800095b0: a6450513 addi a0,a0,-1436 # 80010010 <__fsym_mkdir_name+0xc8> + 800095b4: 9f5fb0ef jal ra,80004fa8 + 800095b8: 00007517 auipc a0,0x7 + 800095bc: a6850513 addi a0,a0,-1432 # 80010020 <__fsym_mkdir_name+0xd8> + 800095c0: 9e9fb0ef jal ra,80004fa8 + 800095c4: 00005517 auipc a0,0x5 + 800095c8: 40c50513 addi a0,a0,1036 # 8000e9d0 + 800095cc: 9ddfb0ef jal ra,80004fa8 + 800095d0: ffc00913 li s2,-4 + 800095d4: eedff0ef jal ra,800094c0 + 800095d8: 02813083 ld ra,40(sp) + 800095dc: 02013403 ld s0,32(sp) + 800095e0: 01813483 ld s1,24(sp) + 800095e4: 00813983 ld s3,8(sp) + 800095e8: 0039051b addiw a0,s2,3 + 800095ec: 01013903 ld s2,16(sp) + 800095f0: 03010113 addi sp,sp,48 + 800095f4: 00008067 ret + 800095f8: 00379713 slli a4,a5,0x3 + 800095fc: 00e50733 add a4,a0,a4 + 80009600: 00073023 sd zero,0(a4) + 80009604: 00178793 addi a5,a5,1 + 80009608: f4dff06f j 80009554 + 8000960c: 00843783 ld a5,8(s0) + 80009610: 00391713 slli a4,s2,0x3 + 80009614: 00e787b3 add a5,a5,a4 + 80009618: 0007b783 ld a5,0(a5) + 8000961c: 00100713 li a4,1 + 80009620: 00e7a823 sw a4,16(a5) + 80009624: dfd00713 li a4,-515 + 80009628: 00e79023 sh a4,0(a5) + 8000962c: fa9ff06f j 800095d4 + +0000000080009630 : + 80009630: fe010113 addi sp,sp,-32 + 80009634: 00913423 sd s1,8(sp) + 80009638: 00113c23 sd ra,24(sp) + 8000963c: 00813823 sd s0,16(sp) + 80009640: 01213023 sd s2,0(sp) + 80009644: ffd5049b addiw s1,a0,-3 + 80009648: 0404c263 bltz s1,8000968c + 8000964c: 00019917 auipc s2,0x19 + 80009650: 22c90913 addi s2,s2,556 # 80022878 <_fdtab> + 80009654: 00092783 lw a5,0(s2) + 80009658: 00000413 li s0,0 + 8000965c: 04f4d463 bge s1,a5,800096a4 + 80009660: df5ff0ef jal ra,80009454 + 80009664: 00893503 ld a0,8(s2) + 80009668: 00349493 slli s1,s1,0x3 + 8000966c: 009504b3 add s1,a0,s1 + 80009670: 0004b403 ld s0,0(s1) + 80009674: 00040a63 beqz s0,80009688 + 80009678: 00045703 lhu a4,0(s0) + 8000967c: 000107b7 lui a5,0x10 + 80009680: dfd78793 addi a5,a5,-515 # fdfd <__STACKSIZE__+0xbdfd> + 80009684: 00f70863 beq a4,a5,80009694 + 80009688: e39ff0ef jal ra,800094c0 + 8000968c: 00000413 li s0,0 + 80009690: 0140006f j 800096a4 + 80009694: 01042783 lw a5,16(s0) + 80009698: 0017879b addiw a5,a5,1 + 8000969c: 00f42823 sw a5,16(s0) + 800096a0: e21ff0ef jal ra,800094c0 + 800096a4: 01813083 ld ra,24(sp) + 800096a8: 00040513 mv a0,s0 + 800096ac: 01013403 ld s0,16(sp) + 800096b0: 00813483 ld s1,8(sp) + 800096b4: 00013903 ld s2,0(sp) + 800096b8: 02010113 addi sp,sp,32 + 800096bc: 00008067 ret + +00000000800096c0 : + 800096c0: fe010113 addi sp,sp,-32 + 800096c4: 00813823 sd s0,16(sp) + 800096c8: 00113c23 sd ra,24(sp) + 800096cc: 00913423 sd s1,8(sp) + 800096d0: 01213023 sd s2,0(sp) + 800096d4: 00050413 mv s0,a0 + 800096d8: 00051e63 bnez a0,800096f4 + 800096dc: 0fd00613 li a2,253 + 800096e0: 00008597 auipc a1,0x8 + 800096e4: a0058593 addi a1,a1,-1536 # 800110e0 <__FUNCTION__.4153> + 800096e8: 00007517 auipc a0,0x7 + 800096ec: 97850513 addi a0,a0,-1672 # 80010060 <__fsym_mkdir_name+0x118> + 800096f0: a45fb0ef jal ra,80005134 + 800096f4: d61ff0ef jal ra,80009454 + 800096f8: 01042783 lw a5,16(s0) + 800096fc: fff7871b addiw a4,a5,-1 + 80009700: 00e42823 sw a4,16(s0) + 80009704: 04071663 bnez a4,80009750 + 80009708: 00019797 auipc a5,0x19 + 8000970c: 17078793 addi a5,a5,368 # 80022878 <_fdtab> + 80009710: 0007a603 lw a2,0(a5) + 80009714: 0087b583 ld a1,8(a5) + 80009718: 00078493 mv s1,a5 + 8000971c: 0007079b sext.w a5,a4 + 80009720: 02c7d863 bge a5,a2,80009750 + 80009724: 00371913 slli s2,a4,0x3 + 80009728: 00890693 addi a3,s2,8 + 8000972c: 00d586b3 add a3,a1,a3 + 80009730: ff86b783 ld a5,-8(a3) # ff8 <__STACKSIZE__-0x3008> + 80009734: 00170713 addi a4,a4,1 + 80009738: fe8792e3 bne a5,s0,8000971c + 8000973c: 00040513 mv a0,s0 + 80009740: cf0f80ef jal ra,80001c30 + 80009744: 0084b783 ld a5,8(s1) + 80009748: 012787b3 add a5,a5,s2 + 8000974c: 0007b023 sd zero,0(a5) + 80009750: 01013403 ld s0,16(sp) + 80009754: 01813083 ld ra,24(sp) + 80009758: 00813483 ld s1,8(sp) + 8000975c: 00013903 ld s2,0(sp) + 80009760: 02010113 addi sp,sp,32 + 80009764: d5dff06f j 800094c0 + +0000000080009768 : + 80009768: fe010113 addi sp,sp,-32 + 8000976c: 00813823 sd s0,16(sp) + 80009770: 00913423 sd s1,8(sp) + 80009774: 00113c23 sd ra,24(sp) + 80009778: 00058493 mv s1,a1 + 8000977c: e89f60ef jal ra,80000604 + 80009780: 00050413 mv s0,a0 + 80009784: 00048513 mv a0,s1 + 80009788: e7df60ef jal ra,80000604 + 8000978c: 02a40863 beq s0,a0,800097bc + 80009790: 00848533 add a0,s1,s0 + 80009794: 00054703 lbu a4,0(a0) + 80009798: 02f00793 li a5,47 + 8000979c: 00f70663 beq a4,a5,800097a8 + 800097a0: 00a48463 beq s1,a0,800097a8 + 800097a4: fff50513 addi a0,a0,-1 + 800097a8: 01813083 ld ra,24(sp) + 800097ac: 01013403 ld s0,16(sp) + 800097b0: 00813483 ld s1,8(sp) + 800097b4: 02010113 addi sp,sp,32 + 800097b8: 00008067 ret + 800097bc: 00000513 li a0,0 + 800097c0: fe9ff06f j 800097a8 + +00000000800097c4 : + 800097c4: fd010113 addi sp,sp,-48 + 800097c8: 00913c23 sd s1,24(sp) + 800097cc: 01213823 sd s2,16(sp) + 800097d0: 02113423 sd ra,40(sp) + 800097d4: 02813023 sd s0,32(sp) + 800097d8: 01313423 sd s3,8(sp) + 800097dc: 00050913 mv s2,a0 + 800097e0: 00058493 mv s1,a1 + 800097e4: 00059e63 bnez a1,80009800 + 800097e8: 17c00613 li a2,380 + 800097ec: 00007597 auipc a1,0x7 + 800097f0: 8ac58593 addi a1,a1,-1876 # 80010098 <__FUNCTION__.4185> + 800097f4: 00007517 auipc a0,0x7 + 800097f8: 87c50513 addi a0,a0,-1924 # 80010070 <__fsym_mkdir_name+0x128> + 800097fc: 939fb0ef jal ra,80005134 + 80009800: 00091663 bnez s2,8000980c + 80009804: 00008917 auipc s2,0x8 + 80009808: a1490913 addi s2,s2,-1516 # 80011218 + 8000980c: 0004c703 lbu a4,0(s1) + 80009810: 02f00793 li a5,47 + 80009814: 0cf70c63 beq a4,a5,800098ec + 80009818: 00090513 mv a0,s2 + 8000981c: de9f60ef jal ra,80000604 + 80009820: 00050413 mv s0,a0 + 80009824: 00048513 mv a0,s1 + 80009828: dddf60ef jal ra,80000604 + 8000982c: 00a40533 add a0,s0,a0 + 80009830: 00250513 addi a0,a0,2 + 80009834: 8acf80ef jal ra,800018e0 + 80009838: 00050413 mv s0,a0 + 8000983c: 02051463 bnez a0,80009864 + 80009840: 00000413 li s0,0 + 80009844: 02813083 ld ra,40(sp) + 80009848: 00040513 mv a0,s0 + 8000984c: 02013403 ld s0,32(sp) + 80009850: 01813483 ld s1,24(sp) + 80009854: 01013903 ld s2,16(sp) + 80009858: 00813983 ld s3,8(sp) + 8000985c: 03010113 addi sp,sp,48 + 80009860: 00008067 ret + 80009864: 00090513 mv a0,s2 + 80009868: d9df60ef jal ra,80000604 + 8000986c: 00050993 mv s3,a0 + 80009870: 00048513 mv a0,s1 + 80009874: d91f60ef jal ra,80000604 + 80009878: 00a98533 add a0,s3,a0 + 8000987c: 00250593 addi a1,a0,2 + 80009880: 00048713 mv a4,s1 + 80009884: 00090693 mv a3,s2 + 80009888: 00006617 auipc a2,0x6 + 8000988c: e2860613 addi a2,a2,-472 # 8000f6b0 <__fsym___cmd_help_name+0x30> + 80009890: 00040513 mv a0,s0 + 80009894: e18fb0ef jal ra,80004eac + 80009898: 00040713 mv a4,s0 + 8000989c: 00040793 mv a5,s0 + 800098a0: 02e00513 li a0,46 + 800098a4: 02f00613 li a2,47 + 800098a8: 00074683 lbu a3,0(a4) + 800098ac: 00a69863 bne a3,a0,800098bc + 800098b0: 00174683 lbu a3,1(a4) + 800098b4: 04069c63 bnez a3,8000990c + 800098b8: 00170713 addi a4,a4,1 + 800098bc: 00074683 lbu a3,0(a4) + 800098c0: 00170713 addi a4,a4,1 + 800098c4: 00078593 mv a1,a5 + 800098c8: 0a068a63 beqz a3,8000997c + 800098cc: 00178793 addi a5,a5,1 + 800098d0: 08c69863 bne a3,a2,80009960 + 800098d4: 00c58023 sb a2,0(a1) + 800098d8: 00070693 mv a3,a4 + 800098dc: 00074583 lbu a1,0(a4) + 800098e0: 00170713 addi a4,a4,1 + 800098e4: fec58ae3 beq a1,a2,800098d8 + 800098e8: 01c0006f j 80009904 + 800098ec: 00048513 mv a0,s1 + 800098f0: 850fb0ef jal ra,80004940 + 800098f4: 00050413 mv s0,a0 + 800098f8: fa0510e3 bnez a0,80009898 + 800098fc: f45ff06f j 80009840 + 80009900: 00040793 mv a5,s0 + 80009904: 00068713 mv a4,a3 + 80009908: fa1ff06f j 800098a8 + 8000990c: 00c69c63 bne a3,a2,80009924 + 80009910: 00270693 addi a3,a4,2 + 80009914: 0006c703 lbu a4,0(a3) + 80009918: fec716e3 bne a4,a2,80009904 + 8000991c: 00168693 addi a3,a3,1 + 80009920: ff5ff06f j 80009914 + 80009924: f8a69ce3 bne a3,a0,800098bc + 80009928: 00274683 lbu a3,2(a4) + 8000992c: 00069e63 bnez a3,80009948 + 80009930: 00270693 addi a3,a4,2 + 80009934: fff78793 addi a5,a5,-1 + 80009938: 0287fa63 bgeu a5,s0,8000996c + 8000993c: 00040513 mv a0,s0 + 80009940: af0f80ef jal ra,80001c30 + 80009944: efdff06f j 80009840 + 80009948: f6c69ae3 bne a3,a2,800098bc + 8000994c: 00370693 addi a3,a4,3 + 80009950: 0006c703 lbu a4,0(a3) + 80009954: fec710e3 bne a4,a2,80009934 + 80009958: 00168693 addi a3,a3,1 + 8000995c: ff5ff06f j 80009950 + 80009960: fed78fa3 sb a3,-1(a5) + 80009964: f59ff06f j 800098bc + 80009968: fff78793 addi a5,a5,-1 + 8000996c: f8f40ae3 beq s0,a5,80009900 + 80009970: fff7c703 lbu a4,-1(a5) + 80009974: fec71ae3 bne a4,a2,80009968 + 80009978: f8dff06f j 80009904 + 8000997c: 00078023 sb zero,0(a5) + 80009980: fff78713 addi a4,a5,-1 + 80009984: 00e40a63 beq s0,a4,80009998 + 80009988: fff7c683 lbu a3,-1(a5) + 8000998c: 02f00713 li a4,47 + 80009990: 00e69463 bne a3,a4,80009998 + 80009994: fe078fa3 sb zero,-1(a5) + 80009998: 00044783 lbu a5,0(s0) + 8000999c: ea0794e3 bnez a5,80009844 + 800099a0: 02f00793 li a5,47 + 800099a4: 00f40023 sb a5,0(s0) + 800099a8: 000400a3 sb zero,1(s0) + 800099ac: e99ff06f j 80009844 + +00000000800099b0 : + 800099b0: fc010113 addi sp,sp,-64 + 800099b4: 00050593 mv a1,a0 + 800099b8: 00000513 li a0,0 + 800099bc: 02113c23 sd ra,56(sp) + 800099c0: 02813823 sd s0,48(sp) + 800099c4: 02913423 sd s1,40(sp) + 800099c8: 03213023 sd s2,32(sp) + 800099cc: 01313c23 sd s3,24(sp) + 800099d0: 01413823 sd s4,16(sp) + 800099d4: 01513423 sd s5,8(sp) + 800099d8: 01613023 sd s6,0(sp) + 800099dc: de9ff0ef jal ra,800097c4 + 800099e0: 00050e63 beqz a0,800099fc + 800099e4: 00050413 mv s0,a0 + 800099e8: 6b9000ef jal ra,8000a8a0 + 800099ec: 00050913 mv s2,a0 + 800099f0: 00051a63 bnez a0,80009a04 + 800099f4: 00040513 mv a0,s0 + 800099f8: a38f80ef jal ra,80001c30 + 800099fc: fff00493 li s1,-1 + 80009a00: 0940006f j 80009a94 + 80009a04: 00853503 ld a0,8(a0) + 80009a08: 02f00793 li a5,47 + 80009a0c: 00054703 lbu a4,0(a0) + 80009a10: 00f71863 bne a4,a5,80009a20 + 80009a14: 00154783 lbu a5,1(a0) + 80009a18: 00040a13 mv s4,s0 + 80009a1c: 00078663 beqz a5,80009a28 + 80009a20: be5f60ef jal ra,80000604 + 80009a24: 00a40a33 add s4,s0,a0 + 80009a28: a2dff0ef jal ra,80009454 + 80009a2c: 00019797 auipc a5,0x19 + 80009a30: e4c78793 addi a5,a5,-436 # 80022878 <_fdtab> + 80009a34: 0007aa83 lw s5,0(a5) + 80009a38: 0087bb03 ld s6,8(a5) + 80009a3c: 00000993 li s3,0 + 80009a40: 0009879b sext.w a5,s3 + 80009a44: 0157e663 bltu a5,s5,80009a50 + 80009a48: a79ff0ef jal ra,800094c0 + 80009a4c: fa9ff06f j 800099f4 + 80009a50: 00399793 slli a5,s3,0x3 + 80009a54: 00fb07b3 add a5,s6,a5 + 80009a58: 0007b783 ld a5,0(a5) + 80009a5c: 06078263 beqz a5,80009ac0 + 80009a60: 0207b703 ld a4,32(a5) + 80009a64: 04070e63 beqz a4,80009ac0 + 80009a68: 0087b503 ld a0,8(a5) + 80009a6c: 04050a63 beqz a0,80009ac0 + 80009a70: 0187b783 ld a5,24(a5) + 80009a74: 05279663 bne a5,s2,80009ac0 + 80009a78: 000a0593 mv a1,s4 + 80009a7c: bedf60ef jal ra,80000668 + 80009a80: 00050493 mv s1,a0 + 80009a84: 02051e63 bnez a0,80009ac0 + 80009a88: 00040513 mv a0,s0 + 80009a8c: 9a4f80ef jal ra,80001c30 + 80009a90: a31ff0ef jal ra,800094c0 + 80009a94: 03813083 ld ra,56(sp) + 80009a98: 03013403 ld s0,48(sp) + 80009a9c: 02013903 ld s2,32(sp) + 80009aa0: 01813983 ld s3,24(sp) + 80009aa4: 01013a03 ld s4,16(sp) + 80009aa8: 00813a83 ld s5,8(sp) + 80009aac: 00013b03 ld s6,0(sp) + 80009ab0: 00048513 mv a0,s1 + 80009ab4: 02813483 ld s1,40(sp) + 80009ab8: 04010113 addi sp,sp,64 + 80009abc: 00008067 ret + 80009ac0: 00198993 addi s3,s3,1 + 80009ac4: f7dff06f j 80009a40 + +0000000080009ac8 : + 80009ac8: fd010113 addi sp,sp,-48 + 80009acc: 00913c23 sd s1,24(sp) + 80009ad0: 02113423 sd ra,40(sp) + 80009ad4: 02813023 sd s0,32(sp) + 80009ad8: 01213823 sd s2,16(sp) + 80009adc: 01313423 sd s3,8(sp) + 80009ae0: fea00493 li s1,-22 + 80009ae4: 02050c63 beqz a0,80009b1c + 80009ae8: 00050413 mv s0,a0 + 80009aec: 00000513 li a0,0 + 80009af0: 00060993 mv s3,a2 + 80009af4: cd1ff0ef jal ra,800097c4 + 80009af8: 00050913 mv s2,a0 + 80009afc: ff400493 li s1,-12 + 80009b00: 00050e63 beqz a0,80009b1c + 80009b04: 59d000ef jal ra,8000a8a0 + 80009b08: 00050493 mv s1,a0 + 80009b0c: 02051863 bnez a0,80009b3c + 80009b10: 00090513 mv a0,s2 + 80009b14: 91cf80ef jal ra,80001c30 + 80009b18: ffe00493 li s1,-2 + 80009b1c: 02813083 ld ra,40(sp) + 80009b20: 02013403 ld s0,32(sp) + 80009b24: 01013903 ld s2,16(sp) + 80009b28: 00813983 ld s3,8(sp) + 80009b2c: 00048513 mv a0,s1 + 80009b30: 01813483 ld s1,24(sp) + 80009b34: 03010113 addi sp,sp,48 + 80009b38: 00008067 ret + 80009b3c: 01053783 ld a5,16(a0) + 80009b40: 00a43c23 sd a0,24(s0) + 80009b44: 0107b703 ld a4,16(a5) + 80009b48: 0087a783 lw a5,8(a5) + 80009b4c: 00041123 sh zero,2(s0) + 80009b50: 02e43023 sd a4,32(s0) + 80009b54: 03342423 sw s3,40(s0) + 80009b58: 02043823 sd zero,48(s0) + 80009b5c: 02043c23 sd zero,56(s0) + 80009b60: 04a43023 sd a0,64(s0) + 80009b64: 0017f793 andi a5,a5,1 + 80009b68: 04079e63 bnez a5,80009bc4 + 80009b6c: 00853503 ld a0,8(a0) + 80009b70: 00090593 mv a1,s2 + 80009b74: bf5ff0ef jal ra,80009768 + 80009b78: 02051e63 bnez a0,80009bb4 + 80009b7c: 00005517 auipc a0,0x5 + 80009b80: e6c50513 addi a0,a0,-404 # 8000e9e8 + 80009b84: dbdfa0ef jal ra,80004940 + 80009b88: 00a43423 sd a0,8(s0) + 80009b8c: 00090513 mv a0,s2 + 80009b90: 8a0f80ef jal ra,80001c30 + 80009b94: 02043783 ld a5,32(s0) + 80009b98: 0007b783 ld a5,0(a5) + 80009b9c: 02079863 bnez a5,80009bcc + 80009ba0: 00843503 ld a0,8(s0) + 80009ba4: fda00493 li s1,-38 + 80009ba8: 888f80ef jal ra,80001c30 + 80009bac: 00043423 sd zero,8(s0) + 80009bb0: f6dff06f j 80009b1c + 80009bb4: 0084b503 ld a0,8(s1) + 80009bb8: 00090593 mv a1,s2 + 80009bbc: badff0ef jal ra,80009768 + 80009bc0: fc5ff06f j 80009b84 + 80009bc4: 01243423 sd s2,8(s0) + 80009bc8: fcdff06f j 80009b94 + 80009bcc: 00040513 mv a0,s0 + 80009bd0: 000780e7 jalr a5 + 80009bd4: 00050493 mv s1,a0 + 80009bd8: 00055a63 bgez a0,80009bec + 80009bdc: 00843503 ld a0,8(s0) + 80009be0: 850f80ef jal ra,80001c30 + 80009be4: 00043423 sd zero,8(s0) + 80009be8: f35ff06f j 80009b1c + 80009bec: 02842783 lw a5,40(s0) + 80009bf0: 01000737 lui a4,0x1000 + 80009bf4: 000104b7 lui s1,0x10 + 80009bf8: 00e7e733 or a4,a5,a4 + 80009bfc: 02e42423 sw a4,40(s0) + 80009c00: 0099f4b3 and s1,s3,s1 + 80009c04: f0048ce3 beqz s1,80009b1c + 80009c08: 00200713 li a4,2 + 80009c0c: 00e41123 sh a4,2(s0) + 80009c10: 03000737 lui a4,0x3000 + 80009c14: 00e7e7b3 or a5,a5,a4 + 80009c18: 02f42423 sw a5,40(s0) + 80009c1c: 00000493 li s1,0 + 80009c20: efdff06f j 80009b1c + +0000000080009c24 : + 80009c24: fe010113 addi sp,sp,-32 + 80009c28: 00813823 sd s0,16(sp) + 80009c2c: 00113c23 sd ra,24(sp) + 80009c30: 00913423 sd s1,8(sp) + 80009c34: ffa00413 li s0,-6 + 80009c38: 02050063 beqz a0,80009c58 + 80009c3c: 02053783 ld a5,32(a0) + 80009c40: 00050493 mv s1,a0 + 80009c44: 0087b783 ld a5,8(a5) + 80009c48: 02078463 beqz a5,80009c70 + 80009c4c: 000780e7 jalr a5 + 80009c50: 00050413 mv s0,a0 + 80009c54: 02055063 bgez a0,80009c74 + 80009c58: 01813083 ld ra,24(sp) + 80009c5c: 00040513 mv a0,s0 + 80009c60: 01013403 ld s0,16(sp) + 80009c64: 00813483 ld s1,8(sp) + 80009c68: 02010113 addi sp,sp,32 + 80009c6c: 00008067 ret + 80009c70: 00000413 li s0,0 + 80009c74: 0084b503 ld a0,8(s1) # 10008 <__STACKSIZE__+0xc008> + 80009c78: fb9f70ef jal ra,80001c30 + 80009c7c: 0004b423 sd zero,8(s1) + 80009c80: fd9ff06f j 80009c58 + +0000000080009c84 : + 80009c84: 04050a63 beqz a0,80009cd8 + 80009c88: 02053783 ld a5,32(a0) + 80009c8c: ff010113 addi sp,sp,-16 + 80009c90: 00813023 sd s0,0(sp) + 80009c94: 0187b703 ld a4,24(a5) + 80009c98: 00113423 sd ra,8(sp) + 80009c9c: 00050413 mv s0,a0 + 80009ca0: fda00793 li a5,-38 + 80009ca4: 02070063 beqz a4,80009cc4 + 80009ca8: 000700e7 jalr a4 # 3000000 <__STACKSIZE__+0x2ffc000> + 80009cac: 00050793 mv a5,a0 + 80009cb0: 00055a63 bgez a0,80009cc4 + 80009cb4: 02842703 lw a4,40(s0) + 80009cb8: 040006b7 lui a3,0x4000 + 80009cbc: 00d76733 or a4,a4,a3 + 80009cc0: 02e42423 sw a4,40(s0) + 80009cc4: 00813083 ld ra,8(sp) + 80009cc8: 00013403 ld s0,0(sp) + 80009ccc: 00078513 mv a0,a5 + 80009cd0: 01010113 addi sp,sp,16 + 80009cd4: 00008067 ret + 80009cd8: fea00793 li a5,-22 + 80009cdc: 00078513 mv a0,a5 + 80009ce0: 00008067 ret + +0000000080009ce4 : + 80009ce4: f8010113 addi sp,sp,-128 + 80009ce8: 00050593 mv a1,a0 + 80009cec: 00a13423 sd a0,8(sp) + 80009cf0: 00000613 li a2,0 + 80009cf4: 00019517 auipc a0,0x19 + 80009cf8: d3450513 addi a0,a0,-716 # 80022a28 + 80009cfc: 06813823 sd s0,112(sp) + 80009d00: 06113c23 sd ra,120(sp) + 80009d04: dc5ff0ef jal ra,80009ac8 + 80009d08: 00813583 ld a1,8(sp) + 80009d0c: 00005417 auipc s0,0x5 + 80009d10: cd440413 addi s0,s0,-812 # 8000e9e0 + 80009d14: 02055063 bgez a0,80009d34 + 80009d18: 00006517 auipc a0,0x6 + 80009d1c: 3c050513 addi a0,a0,960 # 800100d8 <__fsym___cmd_list_fd_name+0x10> + 80009d20: a88fb0ef jal ra,80004fa8 + 80009d24: 07813083 ld ra,120(sp) + 80009d28: 07013403 ld s0,112(sp) + 80009d2c: 08010113 addi sp,sp,128 + 80009d30: 00008067 ret + 80009d34: 05100613 li a2,81 + 80009d38: 00000593 li a1,0 + 80009d3c: 01810513 addi a0,sp,24 + 80009d40: 931f60ef jal ra,80000670 + 80009d44: 05000613 li a2,80 + 80009d48: 01810593 addi a1,sp,24 + 80009d4c: 00019517 auipc a0,0x19 + 80009d50: cdc50513 addi a0,a0,-804 # 80022a28 + 80009d54: f31ff0ef jal ra,80009c84 + 80009d58: 00050a63 beqz a0,80009d6c + 80009d5c: 01810593 addi a1,sp,24 + 80009d60: 00040513 mv a0,s0 + 80009d64: a44fb0ef jal ra,80004fa8 + 80009d68: fcdff06f j 80009d34 + 80009d6c: 00005517 auipc a0,0x5 + 80009d70: c6450513 addi a0,a0,-924 # 8000e9d0 + 80009d74: a34fb0ef jal ra,80004fa8 + 80009d78: 00019517 auipc a0,0x19 + 80009d7c: cb050513 addi a0,a0,-848 # 80022a28 + 80009d80: ea5ff0ef jal ra,80009c24 + 80009d84: fa1ff06f j 80009d24 + +0000000080009d88 : + 80009d88: 02050263 beqz a0,80009dac + 80009d8c: 00255683 lhu a3,2(a0) + 80009d90: 00200713 li a4,2 + 80009d94: 00e69c63 bne a3,a4,80009dac + 80009d98: 02053783 ld a5,32(a0) + 80009d9c: 0387b303 ld t1,56(a5) + 80009da0: 00030a63 beqz t1,80009db4 + 80009da4: 0006061b sext.w a2,a2 + 80009da8: 00030067 jr t1 + 80009dac: fea00513 li a0,-22 + 80009db0: 00008067 ret + 80009db4: fda00513 li a0,-38 + 80009db8: 00008067 ret + +0000000080009dbc : + 80009dbc: fe010113 addi sp,sp,-32 + 80009dc0: 00050593 mv a1,a0 + 80009dc4: 00000513 li a0,0 + 80009dc8: 00813823 sd s0,16(sp) + 80009dcc: 00113c23 sd ra,24(sp) + 80009dd0: 00913423 sd s1,8(sp) + 80009dd4: 01213023 sd s2,0(sp) + 80009dd8: 9edff0ef jal ra,800097c4 + 80009ddc: fea00413 li s0,-22 + 80009de0: 06050c63 beqz a0,80009e58 + 80009de4: 00050913 mv s2,a0 + 80009de8: 2b9000ef jal ra,8000a8a0 + 80009dec: 00050493 mv s1,a0 + 80009df0: ffe00413 li s0,-2 + 80009df4: 04050e63 beqz a0,80009e50 + 80009df8: 00090513 mv a0,s2 + 80009dfc: bb5ff0ef jal ra,800099b0 + 80009e00: ff000413 li s0,-16 + 80009e04: 04050663 beqz a0,80009e50 + 80009e08: 0104b783 ld a5,16(s1) + 80009e0c: fda00413 li s0,-38 + 80009e10: 0387b703 ld a4,56(a5) + 80009e14: 02070e63 beqz a4,80009e50 + 80009e18: 0087a783 lw a5,8(a5) + 80009e1c: 00090593 mv a1,s2 + 80009e20: 0017f793 andi a5,a5,1 + 80009e24: 06079863 bnez a5,80009e94 + 80009e28: 0084b503 ld a0,8(s1) + 80009e2c: 93dff0ef jal ra,80009768 + 80009e30: 0104b783 ld a5,16(s1) + 80009e34: 04051063 bnez a0,80009e74 + 80009e38: 0387b783 ld a5,56(a5) + 80009e3c: 00005597 auipc a1,0x5 + 80009e40: bac58593 addi a1,a1,-1108 # 8000e9e8 + 80009e44: 00048513 mv a0,s1 + 80009e48: 000780e7 jalr a5 + 80009e4c: 00050413 mv s0,a0 + 80009e50: 00090513 mv a0,s2 + 80009e54: dddf70ef jal ra,80001c30 + 80009e58: 01813083 ld ra,24(sp) + 80009e5c: 00040513 mv a0,s0 + 80009e60: 01013403 ld s0,16(sp) + 80009e64: 00813483 ld s1,8(sp) + 80009e68: 00013903 ld s2,0(sp) + 80009e6c: 02010113 addi sp,sp,32 + 80009e70: 00008067 ret + 80009e74: 0084b503 ld a0,8(s1) + 80009e78: 00090593 mv a1,s2 + 80009e7c: 0387b403 ld s0,56(a5) + 80009e80: 8e9ff0ef jal ra,80009768 + 80009e84: 00050593 mv a1,a0 + 80009e88: 00048513 mv a0,s1 + 80009e8c: 000400e7 jalr s0 + 80009e90: fbdff06f j 80009e4c + 80009e94: 00048513 mv a0,s1 + 80009e98: 000700e7 jalr a4 + 80009e9c: fb1ff06f j 80009e4c + +0000000080009ea0 : + 80009ea0: fe010113 addi sp,sp,-32 + 80009ea4: 00113c23 sd ra,24(sp) + 80009ea8: 00a13423 sd a0,8(sp) + 80009eac: f11ff0ef jal ra,80009dbc + 80009eb0: 00813583 ld a1,8(sp) + 80009eb4: 00055c63 bgez a0,80009ecc + 80009eb8: 01813083 ld ra,24(sp) + 80009ebc: 00006517 auipc a0,0x6 + 80009ec0: 22c50513 addi a0,a0,556 # 800100e8 <__fsym___cmd_list_fd_name+0x20> + 80009ec4: 02010113 addi sp,sp,32 + 80009ec8: 8e0fb06f j 80004fa8 + 80009ecc: 01813083 ld ra,24(sp) + 80009ed0: 02010113 addi sp,sp,32 + 80009ed4: 00008067 ret + +0000000080009ed8 : + 80009ed8: 00050a63 beqz a0,80009eec + 80009edc: 02053783 ld a5,32(a0) + 80009ee0: 0207b303 ld t1,32(a5) + 80009ee4: 00030863 beqz t1,80009ef4 + 80009ee8: 00030067 jr t1 + 80009eec: fea00513 li a0,-22 + 80009ef0: 00008067 ret + 80009ef4: fda00513 li a0,-38 + 80009ef8: 00008067 ret + +0000000080009efc : + 80009efc: f9010113 addi sp,sp,-112 + 80009f00: 05213823 sd s2,80(sp) + 80009f04: 00050913 mv s2,a0 + 80009f08: 00001537 lui a0,0x1 + 80009f0c: 04913c23 sd s1,88(sp) + 80009f10: 06113423 sd ra,104(sp) + 80009f14: 06813023 sd s0,96(sp) + 80009f18: 00058493 mv s1,a1 + 80009f1c: 9c5f70ef jal ra,800018e0 + 80009f20: 02051463 bnez a0,80009f48 + 80009f24: 00006517 auipc a0,0x6 + 80009f28: a5c50513 addi a0,a0,-1444 # 8000f980 <__fsym___cmd_help_name+0x300> + 80009f2c: 87cfb0ef jal ra,80004fa8 + 80009f30: 06813083 ld ra,104(sp) + 80009f34: 06013403 ld s0,96(sp) + 80009f38: 05813483 ld s1,88(sp) + 80009f3c: 05013903 ld s2,80(sp) + 80009f40: 07010113 addi sp,sp,112 + 80009f44: 00008067 ret + 80009f48: 00050413 mv s0,a0 + 80009f4c: 00000613 li a2,0 + 80009f50: 00090593 mv a1,s2 + 80009f54: 00810513 addi a0,sp,8 + 80009f58: b71ff0ef jal ra,80009ac8 + 80009f5c: 02055063 bgez a0,80009f7c + 80009f60: 00040513 mv a0,s0 + 80009f64: ccdf70ef jal ra,80001c30 + 80009f68: 00090593 mv a1,s2 + 80009f6c: 00006517 auipc a0,0x6 + 80009f70: 19450513 addi a0,a0,404 # 80010100 <__fsym___cmd_list_fd_name+0x38> + 80009f74: 834fb0ef jal ra,80004fa8 + 80009f78: fb9ff06f j 80009f30 + 80009f7c: 04100613 li a2,65 + 80009f80: 00048593 mv a1,s1 + 80009f84: 00019517 auipc a0,0x19 + 80009f88: aa450513 addi a0,a0,-1372 # 80022a28 + 80009f8c: b3dff0ef jal ra,80009ac8 + 80009f90: 00019917 auipc s2,0x19 + 80009f94: a9890913 addi s2,s2,-1384 # 80022a28 + 80009f98: 02055263 bgez a0,80009fbc + 80009f9c: 00040513 mv a0,s0 + 80009fa0: c91f70ef jal ra,80001c30 + 80009fa4: 00810513 addi a0,sp,8 + 80009fa8: c7dff0ef jal ra,80009c24 + 80009fac: 00048593 mv a1,s1 + 80009fb0: 00006517 auipc a0,0x6 + 80009fb4: 16050513 addi a0,a0,352 # 80010110 <__fsym___cmd_list_fd_name+0x48> + 80009fb8: fbdff06f j 80009f74 + 80009fbc: 00001637 lui a2,0x1 + 80009fc0: 00040593 mv a1,s0 + 80009fc4: 00810513 addi a0,sp,8 + 80009fc8: cbdff0ef jal ra,80009c84 + 80009fcc: 00050493 mv s1,a0 + 80009fd0: 02a05463 blez a0,80009ff8 + 80009fd4: 00050613 mv a2,a0 + 80009fd8: 00040593 mv a1,s0 + 80009fdc: 00090513 mv a0,s2 + 80009fe0: ef9ff0ef jal ra,80009ed8 + 80009fe4: 00050593 mv a1,a0 + 80009fe8: fca48ae3 beq s1,a0,80009fbc + 80009fec: 00006517 auipc a0,0x6 + 80009ff0: 13c50513 addi a0,a0,316 # 80010128 <__fsym___cmd_list_fd_name+0x60> + 80009ff4: fb5fa0ef jal ra,80004fa8 + 80009ff8: 00810513 addi a0,sp,8 + 80009ffc: c29ff0ef jal ra,80009c24 + 8000a000: 00019517 auipc a0,0x19 + 8000a004: a2850513 addi a0,a0,-1496 # 80022a28 + 8000a008: c1dff0ef jal ra,80009c24 + 8000a00c: 00040513 mv a0,s0 + 8000a010: c21f70ef jal ra,80001c30 + 8000a014: f1dff06f j 80009f30 + +000000008000a018 : + 8000a018: 04050463 beqz a0,8000a060 + 8000a01c: 02053783 ld a5,32(a0) + 8000a020: ff010113 addi sp,sp,-16 + 8000a024: 00813023 sd s0,0(sp) + 8000a028: 0307b703 ld a4,48(a5) + 8000a02c: 00113423 sd ra,8(sp) + 8000a030: 00050413 mv s0,a0 + 8000a034: fda00793 li a5,-38 + 8000a038: 00070a63 beqz a4,8000a04c + 8000a03c: 000700e7 jalr a4 + 8000a040: 00050793 mv a5,a0 + 8000a044: 00054463 bltz a0,8000a04c + 8000a048: 02a43c23 sd a0,56(s0) + 8000a04c: 00813083 ld ra,8(sp) + 8000a050: 00013403 ld s0,0(sp) + 8000a054: 00078513 mv a0,a5 + 8000a058: 01010113 addi sp,sp,16 + 8000a05c: 00008067 ret + 8000a060: fea00793 li a5,-22 + 8000a064: 00078513 mv a0,a5 + 8000a068: 00008067 ret + +000000008000a06c : + 8000a06c: fd010113 addi sp,sp,-48 + 8000a070: 01213823 sd s2,16(sp) + 8000a074: 00058913 mv s2,a1 + 8000a078: 00050593 mv a1,a0 + 8000a07c: 00000513 li a0,0 + 8000a080: 00913c23 sd s1,24(sp) + 8000a084: 02113423 sd ra,40(sp) + 8000a088: 02813023 sd s0,32(sp) + 8000a08c: 01313423 sd s3,8(sp) + 8000a090: f34ff0ef jal ra,800097c4 + 8000a094: fff00493 li s1,-1 + 8000a098: 04050463 beqz a0,8000a0e0 + 8000a09c: 00050413 mv s0,a0 + 8000a0a0: 001000ef jal ra,8000a8a0 + 8000a0a4: 00050493 mv s1,a0 + 8000a0a8: 04051c63 bnez a0,8000a100 + 8000a0ac: 00006517 auipc a0,0x6 + 8000a0b0: f6450513 addi a0,a0,-156 # 80010010 <__fsym_mkdir_name+0xc8> + 8000a0b4: ef5fa0ef jal ra,80004fa8 + 8000a0b8: 00040593 mv a1,s0 + 8000a0bc: 00006517 auipc a0,0x6 + 8000a0c0: 09450513 addi a0,a0,148 # 80010150 <__fsym___cmd_list_fd_name+0x88> + 8000a0c4: ee5fa0ef jal ra,80004fa8 + 8000a0c8: 00005517 auipc a0,0x5 + 8000a0cc: 90850513 addi a0,a0,-1784 # 8000e9d0 + 8000a0d0: ed9fa0ef jal ra,80004fa8 + 8000a0d4: 00040513 mv a0,s0 + 8000a0d8: b59f70ef jal ra,80001c30 + 8000a0dc: ffe00493 li s1,-2 + 8000a0e0: 02813083 ld ra,40(sp) + 8000a0e4: 02013403 ld s0,32(sp) + 8000a0e8: 01013903 ld s2,16(sp) + 8000a0ec: 00813983 ld s3,8(sp) + 8000a0f0: 00048513 mv a0,s1 + 8000a0f4: 01813483 ld s1,24(sp) + 8000a0f8: 03010113 addi sp,sp,48 + 8000a0fc: 00008067 ret + 8000a100: 00044703 lbu a4,0(s0) + 8000a104: 02f00793 li a5,47 + 8000a108: 02f71a63 bne a4,a5,8000a13c + 8000a10c: 00144783 lbu a5,1(s0) + 8000a110: 02079663 bnez a5,8000a13c + 8000a114: 000047b7 lui a5,0x4 + 8000a118: 1ff7879b addiw a5,a5,511 + 8000a11c: 00093023 sd zero,0(s2) + 8000a120: 00f92823 sw a5,16(s2) + 8000a124: 02093823 sd zero,48(s2) + 8000a128: 04093c23 sd zero,88(s2) + 8000a12c: 00040513 mv a0,s0 + 8000a130: b01f70ef jal ra,80001c30 + 8000a134: 00000493 li s1,0 + 8000a138: fa9ff06f j 8000a0e0 + 8000a13c: 0084b503 ld a0,8(s1) + 8000a140: 00040593 mv a1,s0 + 8000a144: e24ff0ef jal ra,80009768 + 8000a148: fc0506e3 beqz a0,8000a114 + 8000a14c: 0104b783 ld a5,16(s1) + 8000a150: 0407b983 ld s3,64(a5) # 4040 <__STACKSIZE__+0x40> + 8000a154: 02099c63 bnez s3,8000a18c + 8000a158: 00040513 mv a0,s0 + 8000a15c: ad5f70ef jal ra,80001c30 + 8000a160: 00006517 auipc a0,0x6 + 8000a164: eb050513 addi a0,a0,-336 # 80010010 <__fsym_mkdir_name+0xc8> + 8000a168: e41fa0ef jal ra,80004fa8 + 8000a16c: 00006517 auipc a0,0x6 + 8000a170: 01450513 addi a0,a0,20 # 80010180 <__fsym___cmd_list_fd_name+0xb8> + 8000a174: e35fa0ef jal ra,80004fa8 + 8000a178: 00005517 auipc a0,0x5 + 8000a17c: 85850513 addi a0,a0,-1960 # 8000e9d0 + 8000a180: e29fa0ef jal ra,80004fa8 + 8000a184: fda00493 li s1,-38 + 8000a188: f59ff06f j 8000a0e0 + 8000a18c: 0087a783 lw a5,8(a5) + 8000a190: 0017f793 andi a5,a5,1 + 8000a194: 02078263 beqz a5,8000a1b8 + 8000a198: 00090613 mv a2,s2 + 8000a19c: 00040593 mv a1,s0 + 8000a1a0: 00048513 mv a0,s1 + 8000a1a4: 000980e7 jalr s3 + 8000a1a8: 00050493 mv s1,a0 + 8000a1ac: 00040513 mv a0,s0 + 8000a1b0: a81f70ef jal ra,80001c30 + 8000a1b4: f2dff06f j 8000a0e0 + 8000a1b8: 0084b503 ld a0,8(s1) + 8000a1bc: 00040593 mv a1,s0 + 8000a1c0: da8ff0ef jal ra,80009768 + 8000a1c4: 00050593 mv a1,a0 + 8000a1c8: 00090613 mv a2,s2 + 8000a1cc: fd5ff06f j 8000a1a0 + +000000008000a1d0 : + 8000a1d0: f2010113 addi sp,sp,-224 + 8000a1d4: 0c813823 sd s0,208(sp) + 8000a1d8: 0c913423 sd s1,200(sp) + 8000a1dc: 0c113c23 sd ra,216(sp) + 8000a1e0: 0d213023 sd s2,192(sp) + 8000a1e4: 0b313c23 sd s3,184(sp) + 8000a1e8: 0b413823 sd s4,176(sp) + 8000a1ec: 0b513423 sd s5,168(sp) + 8000a1f0: 0b613023 sd s6,160(sp) + 8000a1f4: 09713c23 sd s7,152(sp) + 8000a1f8: 09813823 sd s8,144(sp) + 8000a1fc: 09913423 sd s9,136(sp) + 8000a200: 00050493 mv s1,a0 + 8000a204: 00050413 mv s0,a0 + 8000a208: 00051c63 bnez a0,8000a220 + 8000a20c: 00007517 auipc a0,0x7 + 8000a210: 00c50513 addi a0,a0,12 # 80011218 + 8000a214: f2cfa0ef jal ra,80004940 + 8000a218: 00050413 mv s0,a0 + 8000a21c: 10050e63 beqz a0,8000a338 + 8000a220: 00010637 lui a2,0x10 + 8000a224: 00040593 mv a1,s0 + 8000a228: 00019517 auipc a0,0x19 + 8000a22c: 80050513 addi a0,a0,-2048 # 80022a28 + 8000a230: 899ff0ef jal ra,80009ac8 + 8000a234: 12051c63 bnez a0,8000a36c + 8000a238: 00040593 mv a1,s0 + 8000a23c: 00006517 auipc a0,0x6 + 8000a240: f7450513 addi a0,a0,-140 # 800101b0 <__fsym___cmd_list_fd_name+0xe8> + 8000a244: d65fa0ef jal ra,80004fa8 + 8000a248: 00018a17 auipc s4,0x18 + 8000a24c: 6d8a0a13 addi s4,s4,1752 # 80022920 + 8000a250: 00018a97 auipc s5,0x18 + 8000a254: 7d8a8a93 addi s5,s5,2008 # 80022a28 + 8000a258: 00018997 auipc s3,0x18 + 8000a25c: 6cc98993 addi s3,s3,1740 # 80022924 + 8000a260: 00006b17 auipc s6,0x6 + 8000a264: f80b0b13 addi s6,s6,-128 # 800101e0 <__fsym___cmd_list_fd_name+0x118> + 8000a268: 00006b97 auipc s7,0x6 + 8000a26c: f58b8b93 addi s7,s7,-168 # 800101c0 <__fsym___cmd_list_fd_name+0xf8> + 8000a270: 0000fc37 lui s8,0xf + 8000a274: 00004cb7 lui s9,0x4 + 8000a278: 10400613 li a2,260 + 8000a27c: 00000593 li a1,0 + 8000a280: 000a0513 mv a0,s4 + 8000a284: becf60ef jal ra,80000670 + 8000a288: 10400613 li a2,260 + 8000a28c: 000a0593 mv a1,s4 + 8000a290: 000a8513 mv a0,s5 + 8000a294: af5ff0ef jal ra,80009d88 + 8000a298: 08a05463 blez a0,8000a320 + 8000a29c: 08000613 li a2,128 + 8000a2a0: 00000593 li a1,0 + 8000a2a4: 00010513 mv a0,sp + 8000a2a8: bc8f60ef jal ra,80000670 + 8000a2ac: 00098593 mv a1,s3 + 8000a2b0: 00040513 mv a0,s0 + 8000a2b4: d10ff0ef jal ra,800097c4 + 8000a2b8: 00050913 mv s2,a0 + 8000a2bc: 06050263 beqz a0,8000a320 + 8000a2c0: 00010593 mv a1,sp + 8000a2c4: da9ff0ef jal ra,8000a06c + 8000a2c8: 00098593 mv a1,s3 + 8000a2cc: 04051663 bnez a0,8000a318 + 8000a2d0: 000b8513 mv a0,s7 + 8000a2d4: cd5fa0ef jal ra,80004fa8 + 8000a2d8: 01012783 lw a5,16(sp) + 8000a2dc: 0187f7b3 and a5,a5,s8 + 8000a2e0: 0007879b sext.w a5,a5 + 8000a2e4: 03979263 bne a5,s9,8000a308 + 8000a2e8: 00006597 auipc a1,0x6 + 8000a2ec: ee058593 addi a1,a1,-288 # 800101c8 <__fsym___cmd_list_fd_name+0x100> + 8000a2f0: 00006517 auipc a0,0x6 + 8000a2f4: ee050513 addi a0,a0,-288 # 800101d0 <__fsym___cmd_list_fd_name+0x108> + 8000a2f8: cb1fa0ef jal ra,80004fa8 + 8000a2fc: 00090513 mv a0,s2 + 8000a300: 931f70ef jal ra,80001c30 + 8000a304: f75ff06f j 8000a278 + 8000a308: 03013583 ld a1,48(sp) + 8000a30c: 00006517 auipc a0,0x6 + 8000a310: ecc50513 addi a0,a0,-308 # 800101d8 <__fsym___cmd_list_fd_name+0x110> + 8000a314: fe5ff06f j 8000a2f8 + 8000a318: 000b0513 mv a0,s6 + 8000a31c: fddff06f j 8000a2f8 + 8000a320: 00018517 auipc a0,0x18 + 8000a324: 70850513 addi a0,a0,1800 # 80022a28 + 8000a328: 8fdff0ef jal ra,80009c24 + 8000a32c: 00049663 bnez s1,8000a338 + 8000a330: 00040513 mv a0,s0 + 8000a334: 8fdf70ef jal ra,80001c30 + 8000a338: 0d813083 ld ra,216(sp) + 8000a33c: 0d013403 ld s0,208(sp) + 8000a340: 0c813483 ld s1,200(sp) + 8000a344: 0c013903 ld s2,192(sp) + 8000a348: 0b813983 ld s3,184(sp) + 8000a34c: 0b013a03 ld s4,176(sp) + 8000a350: 0a813a83 ld s5,168(sp) + 8000a354: 0a013b03 ld s6,160(sp) + 8000a358: 09813b83 ld s7,152(sp) + 8000a35c: 09013c03 ld s8,144(sp) + 8000a360: 08813c83 ld s9,136(sp) + 8000a364: 0e010113 addi sp,sp,224 + 8000a368: 00008067 ret + 8000a36c: 00006517 auipc a0,0x6 + 8000a370: e8450513 addi a0,a0,-380 # 800101f0 <__fsym___cmd_list_fd_name+0x128> + 8000a374: c35fa0ef jal ra,80004fa8 + 8000a378: fb5ff06f j 8000a32c + +000000008000a37c : + 8000a37c: de010113 addi sp,sp,-544 + 8000a380: 21213023 sd s2,512(sp) + 8000a384: 1f313c23 sd s3,504(sp) + 8000a388: 00050913 mv s2,a0 + 8000a38c: 00058993 mv s3,a1 + 8000a390: 00010637 lui a2,0x10 + 8000a394: 00050593 mv a1,a0 + 8000a398: 00010513 mv a0,sp + 8000a39c: 20113c23 sd ra,536(sp) + 8000a3a0: 20813823 sd s0,528(sp) + 8000a3a4: 20913423 sd s1,520(sp) + 8000a3a8: 1f413823 sd s4,496(sp) + 8000a3ac: 1f513423 sd s5,488(sp) + 8000a3b0: 1f613023 sd s6,480(sp) + 8000a3b4: 1d713c23 sd s7,472(sp) + 8000a3b8: 1d813823 sd s8,464(sp) + 8000a3bc: f0cff0ef jal ra,80009ac8 + 8000a3c0: 08054a63 bltz a0,8000a454 + 8000a3c4: 00005a17 auipc s4,0x5 + 8000a3c8: 2e4a0a13 addi s4,s4,740 # 8000f6a8 <__fsym___cmd_help_name+0x28> + 8000a3cc: 00006a97 auipc s5,0x6 + 8000a3d0: fc4a8a93 addi s5,s5,-60 # 80010390 <__fsym_ls_name+0x90> + 8000a3d4: 0000fb37 lui s6,0xf + 8000a3d8: 00004bb7 lui s7,0x4 + 8000a3dc: 00006c17 auipc s8,0x6 + 8000a3e0: e4cc0c13 addi s8,s8,-436 # 80010228 <__fsym___cmd_list_fd_name+0x160> + 8000a3e4: 10400613 li a2,260 + 8000a3e8: 00000593 li a1,0 + 8000a3ec: 0c810513 addi a0,sp,200 + 8000a3f0: a80f60ef jal ra,80000670 + 8000a3f4: 10400613 li a2,260 + 8000a3f8: 0c810593 addi a1,sp,200 + 8000a3fc: 00010513 mv a0,sp + 8000a400: 989ff0ef jal ra,80009d88 + 8000a404: 04a05263 blez a0,8000a448 + 8000a408: 000a0593 mv a1,s4 + 8000a40c: 0cc10513 addi a0,sp,204 + 8000a410: a58f60ef jal ra,80000668 + 8000a414: fc0508e3 beqz a0,8000a3e4 + 8000a418: 000a8593 mv a1,s5 + 8000a41c: 0cc10513 addi a0,sp,204 + 8000a420: a48f60ef jal ra,80000668 + 8000a424: fc0500e3 beqz a0,8000a3e4 + 8000a428: 0cc10593 addi a1,sp,204 + 8000a42c: 00090513 mv a0,s2 + 8000a430: b94ff0ef jal ra,800097c4 + 8000a434: 00050413 mv s0,a0 + 8000a438: 04051e63 bnez a0,8000a494 + 8000a43c: 00006517 auipc a0,0x6 + 8000a440: ddc50513 addi a0,a0,-548 # 80010218 <__fsym___cmd_list_fd_name+0x150> + 8000a444: b65fa0ef jal ra,80004fa8 + 8000a448: 00010513 mv a0,sp + 8000a44c: fd8ff0ef jal ra,80009c24 + 8000a450: 0140006f j 8000a464 + 8000a454: 00090593 mv a1,s2 + 8000a458: 00006517 auipc a0,0x6 + 8000a45c: db050513 addi a0,a0,-592 # 80010208 <__fsym___cmd_list_fd_name+0x140> + 8000a460: b49fa0ef jal ra,80004fa8 + 8000a464: 21813083 ld ra,536(sp) + 8000a468: 21013403 ld s0,528(sp) + 8000a46c: 20813483 ld s1,520(sp) + 8000a470: 20013903 ld s2,512(sp) + 8000a474: 1f813983 ld s3,504(sp) + 8000a478: 1f013a03 ld s4,496(sp) + 8000a47c: 1e813a83 ld s5,488(sp) + 8000a480: 1e013b03 ld s6,480(sp) + 8000a484: 1d813b83 ld s7,472(sp) + 8000a488: 1d013c03 ld s8,464(sp) + 8000a48c: 22010113 addi sp,sp,544 + 8000a490: 00008067 ret + 8000a494: 0cc10593 addi a1,sp,204 + 8000a498: 00098513 mv a0,s3 + 8000a49c: b28ff0ef jal ra,800097c4 + 8000a4a0: 00050493 mv s1,a0 + 8000a4a4: 00051e63 bnez a0,8000a4c0 + 8000a4a8: 00006517 auipc a0,0x6 + 8000a4ac: d7050513 addi a0,a0,-656 # 80010218 <__fsym___cmd_list_fd_name+0x150> + 8000a4b0: af9fa0ef jal ra,80004fa8 + 8000a4b4: 00040513 mv a0,s0 + 8000a4b8: f78f70ef jal ra,80001c30 + 8000a4bc: f8dff06f j 8000a448 + 8000a4c0: 00000593 li a1,0 + 8000a4c4: 08000613 li a2,128 + 8000a4c8: 04810513 addi a0,sp,72 + 8000a4cc: 9a4f60ef jal ra,80000670 + 8000a4d0: 04810593 addi a1,sp,72 + 8000a4d4: 00040513 mv a0,s0 + 8000a4d8: b95ff0ef jal ra,8000a06c + 8000a4dc: 00050a63 beqz a0,8000a4f0 + 8000a4e0: 0cc10593 addi a1,sp,204 + 8000a4e4: 000c0513 mv a0,s8 + 8000a4e8: ac1fa0ef jal ra,80004fa8 + 8000a4ec: ef9ff06f j 8000a3e4 + 8000a4f0: 05812783 lw a5,88(sp) + 8000a4f4: 0167f7b3 and a5,a5,s6 + 8000a4f8: 0007879b sext.w a5,a5 + 8000a4fc: 03779863 bne a5,s7,8000a52c + 8000a500: 00000593 li a1,0 + 8000a504: 00048513 mv a0,s1 + 8000a508: d84fe0ef jal ra,80008a8c + 8000a50c: 00048593 mv a1,s1 + 8000a510: 00040513 mv a0,s0 + 8000a514: e69ff0ef jal ra,8000a37c + 8000a518: 00040513 mv a0,s0 + 8000a51c: f14f70ef jal ra,80001c30 + 8000a520: 00048513 mv a0,s1 + 8000a524: f0cf70ef jal ra,80001c30 + 8000a528: ebdff06f j 8000a3e4 + 8000a52c: 00048593 mv a1,s1 + 8000a530: 00040513 mv a0,s0 + 8000a534: 9c9ff0ef jal ra,80009efc + 8000a538: fe1ff06f j 8000a518 + +000000008000a53c : + 8000a53c: f6010113 addi sp,sp,-160 + 8000a540: 09213023 sd s2,128(sp) + 8000a544: 00058913 mv s2,a1 + 8000a548: 00010593 mv a1,sp + 8000a54c: 08813823 sd s0,144(sp) + 8000a550: 08113c23 sd ra,152(sp) + 8000a554: 08913423 sd s1,136(sp) + 8000a558: 00050413 mv s0,a0 + 8000a55c: b11ff0ef jal ra,8000a06c + 8000a560: 02055663 bgez a0,8000a58c + 8000a564: 00040593 mv a1,s0 + 8000a568: 00006517 auipc a0,0x6 + 8000a56c: cd850513 addi a0,a0,-808 # 80010240 <__fsym___cmd_list_fd_name+0x178> + 8000a570: a39fa0ef jal ra,80004fa8 + 8000a574: 09813083 ld ra,152(sp) + 8000a578: 09013403 ld s0,144(sp) + 8000a57c: 08813483 ld s1,136(sp) + 8000a580: 08013903 ld s2,128(sp) + 8000a584: 0a010113 addi sp,sp,160 + 8000a588: 00008067 ret + 8000a58c: 01012783 lw a5,16(sp) + 8000a590: 0000f737 lui a4,0xf + 8000a594: 00100493 li s1,1 + 8000a598: 00e7f7b3 and a5,a5,a4 + 8000a59c: 00004737 lui a4,0x4 + 8000a5a0: 00e78463 beq a5,a4,8000a5a8 + 8000a5a4: 00200493 li s1,2 + 8000a5a8: 00010593 mv a1,sp + 8000a5ac: 00090513 mv a0,s2 + 8000a5b0: abdff0ef jal ra,8000a06c + 8000a5b4: 00054e63 bltz a0,8000a5d0 + 8000a5b8: 01012783 lw a5,16(sp) + 8000a5bc: 0000f737 lui a4,0xf + 8000a5c0: 00e7f7b3 and a5,a5,a4 + 8000a5c4: 00004737 lui a4,0x4 + 8000a5c8: 06e79663 bne a5,a4,8000a634 + 8000a5cc: 0044e493 ori s1,s1,4 + 8000a5d0: 0094f793 andi a5,s1,9 + 8000a5d4: 00900693 li a3,9 + 8000a5d8: 00048713 mv a4,s1 + 8000a5dc: 00006517 auipc a0,0x6 + 8000a5e0: c7c50513 addi a0,a0,-900 # 80010258 <__fsym___cmd_list_fd_name+0x190> + 8000a5e4: 04d78463 beq a5,a3,8000a62c + 8000a5e8: 0044f793 andi a5,s1,4 + 8000a5ec: 0024f493 andi s1,s1,2 + 8000a5f0: 0007879b sext.w a5,a5 + 8000a5f4: 06048863 beqz s1,8000a664 + 8000a5f8: 04078e63 beqz a5,8000a654 + 8000a5fc: 02f00593 li a1,47 + 8000a600: 00040513 mv a0,s0 + 8000a604: 87cf60ef jal ra,80000680 + 8000a608: 00040593 mv a1,s0 + 8000a60c: 00050463 beqz a0,8000a614 + 8000a610: 00150593 addi a1,a0,1 + 8000a614: 00090513 mv a0,s2 + 8000a618: 9acff0ef jal ra,800097c4 + 8000a61c: 00050493 mv s1,a0 + 8000a620: 00051e63 bnez a0,8000a63c + 8000a624: 00005517 auipc a0,0x5 + 8000a628: 35c50513 addi a0,a0,860 # 8000f980 <__fsym___cmd_help_name+0x300> + 8000a62c: 97dfa0ef jal ra,80004fa8 + 8000a630: f45ff06f j 8000a574 + 8000a634: 0084e493 ori s1,s1,8 + 8000a638: f99ff06f j 8000a5d0 + 8000a63c: 00050593 mv a1,a0 + 8000a640: 00040513 mv a0,s0 + 8000a644: 8b9ff0ef jal ra,80009efc + 8000a648: 00048513 mv a0,s1 + 8000a64c: de4f70ef jal ra,80001c30 + 8000a650: f25ff06f j 8000a574 + 8000a654: 00090593 mv a1,s2 + 8000a658: 00040513 mv a0,s0 + 8000a65c: 8a1ff0ef jal ra,80009efc + 8000a660: f15ff06f j 8000a574 + 8000a664: 04078263 beqz a5,8000a6a8 + 8000a668: 02f00593 li a1,47 + 8000a66c: 00040513 mv a0,s0 + 8000a670: 810f60ef jal ra,80000680 + 8000a674: 00040593 mv a1,s0 + 8000a678: 00050463 beqz a0,8000a680 + 8000a67c: 00150593 addi a1,a0,1 + 8000a680: 00090513 mv a0,s2 + 8000a684: 940ff0ef jal ra,800097c4 + 8000a688: 00050493 mv s1,a0 + 8000a68c: f8050ce3 beqz a0,8000a624 + 8000a690: 00000593 li a1,0 + 8000a694: bf8fe0ef jal ra,80008a8c + 8000a698: 00048593 mv a1,s1 + 8000a69c: 00040513 mv a0,s0 + 8000a6a0: cddff0ef jal ra,8000a37c + 8000a6a4: fa5ff06f j 8000a648 + 8000a6a8: 00c77793 andi a5,a4,12 + 8000a6ac: 00079863 bnez a5,8000a6bc + 8000a6b0: 00000593 li a1,0 + 8000a6b4: 00090513 mv a0,s2 + 8000a6b8: bd4fe0ef jal ra,80008a8c + 8000a6bc: 00090593 mv a1,s2 + 8000a6c0: 00040513 mv a0,s0 + 8000a6c4: cb9ff0ef jal ra,8000a37c + 8000a6c8: eadff06f j 8000a574 + +000000008000a6cc : + 8000a6cc: fd010113 addi sp,sp,-48 + 8000a6d0: 02813023 sd s0,32(sp) + 8000a6d4: 00058413 mv s0,a1 + 8000a6d8: 00050593 mv a1,a0 + 8000a6dc: 00000513 li a0,0 + 8000a6e0: 01213823 sd s2,16(sp) + 8000a6e4: 02113423 sd ra,40(sp) + 8000a6e8: 00913c23 sd s1,24(sp) + 8000a6ec: 01313423 sd s3,8(sp) + 8000a6f0: 01413023 sd s4,0(sp) + 8000a6f4: 8d0ff0ef jal ra,800097c4 + 8000a6f8: 00050913 mv s2,a0 + 8000a6fc: 04051063 bnez a0,8000a73c + 8000a700: 00000493 li s1,0 + 8000a704: ffe00413 li s0,-2 + 8000a708: 00090513 mv a0,s2 + 8000a70c: d24f70ef jal ra,80001c30 + 8000a710: 00048513 mv a0,s1 + 8000a714: d1cf70ef jal ra,80001c30 + 8000a718: 02813083 ld ra,40(sp) + 8000a71c: 00040513 mv a0,s0 + 8000a720: 02013403 ld s0,32(sp) + 8000a724: 01813483 ld s1,24(sp) + 8000a728: 01013903 ld s2,16(sp) + 8000a72c: 00813983 ld s3,8(sp) + 8000a730: 00013a03 ld s4,0(sp) + 8000a734: 03010113 addi sp,sp,48 + 8000a738: 00008067 ret + 8000a73c: 00040593 mv a1,s0 + 8000a740: 00000513 li a0,0 + 8000a744: 880ff0ef jal ra,800097c4 + 8000a748: 00050493 mv s1,a0 + 8000a74c: fa050ae3 beqz a0,8000a700 + 8000a750: 00090513 mv a0,s2 + 8000a754: 14c000ef jal ra,8000a8a0 + 8000a758: 00050993 mv s3,a0 + 8000a75c: 00048513 mv a0,s1 + 8000a760: 140000ef jal ra,8000a8a0 + 8000a764: fee00413 li s0,-18 + 8000a768: faa990e3 bne s3,a0,8000a708 + 8000a76c: 0109b783 ld a5,16(s3) + 8000a770: fda00413 li s0,-38 + 8000a774: 0487ba03 ld s4,72(a5) + 8000a778: f80a08e3 beqz s4,8000a708 + 8000a77c: 0087a783 lw a5,8(a5) + 8000a780: 0017f793 andi a5,a5,1 + 8000a784: 00078c63 beqz a5,8000a79c + 8000a788: 00048613 mv a2,s1 + 8000a78c: 00090593 mv a1,s2 + 8000a790: 000a00e7 jalr s4 + 8000a794: 00050413 mv s0,a0 + 8000a798: f71ff06f j 8000a708 + 8000a79c: 0089b503 ld a0,8(s3) + 8000a7a0: 00090593 mv a1,s2 + 8000a7a4: fc5fe0ef jal ra,80009768 + 8000a7a8: 00050413 mv s0,a0 + 8000a7ac: 0089b503 ld a0,8(s3) + 8000a7b0: 00048593 mv a1,s1 + 8000a7b4: fb5fe0ef jal ra,80009768 + 8000a7b8: 00050613 mv a2,a0 + 8000a7bc: 00040593 mv a1,s0 + 8000a7c0: 00098513 mv a0,s3 + 8000a7c4: fcdff06f j 8000a790 + +000000008000a7c8 : + 8000a7c8: fc010113 addi sp,sp,-64 + 8000a7cc: 02813823 sd s0,48(sp) + 8000a7d0: 02913423 sd s1,40(sp) + 8000a7d4: 03213023 sd s2,32(sp) + 8000a7d8: 01313c23 sd s3,24(sp) + 8000a7dc: 02113c23 sd ra,56(sp) + 8000a7e0: 00050413 mv s0,a0 + 8000a7e4: 00018917 auipc s2,0x18 + 8000a7e8: 0a490913 addi s2,s2,164 # 80022888 + 8000a7ec: c69fe0ef jal ra,80009454 + 8000a7f0: 00000493 li s1,0 + 8000a7f4: 00018997 auipc s3,0x18 + 8000a7f8: 0a498993 addi s3,s3,164 # 80022898 + 8000a7fc: 00093783 ld a5,0(s2) + 8000a800: 04079663 bnez a5,8000a84c + 8000a804: 00049463 bnez s1,8000a80c + 8000a808: 00090493 mv s1,s2 + 8000a80c: 00890913 addi s2,s2,8 + 8000a810: ff3916e3 bne s2,s3,8000a7fc + 8000a814: 08049063 bnez s1,8000a894 + 8000a818: fe400513 li a0,-28 + 8000a81c: d7df90ef jal ra,80004598 + 8000a820: 00005517 auipc a0,0x5 + 8000a824: 7f050513 addi a0,a0,2032 # 80010010 <__fsym_mkdir_name+0xc8> + 8000a828: f80fa0ef jal ra,80004fa8 + 8000a82c: 00043583 ld a1,0(s0) + 8000a830: 00006517 auipc a0,0x6 + 8000a834: af050513 addi a0,a0,-1296 # 80010320 <__fsym_ls_name+0x20> + 8000a838: f70fa0ef jal ra,80004fa8 + 8000a83c: 00004517 auipc a0,0x4 + 8000a840: 19450513 addi a0,a0,404 # 8000e9d0 + 8000a844: f64fa0ef jal ra,80004fa8 + 8000a848: 0200006f j 8000a868 + 8000a84c: 00043583 ld a1,0(s0) + 8000a850: 0007b503 ld a0,0(a5) + 8000a854: e15f50ef jal ra,80000668 + 8000a858: fa051ae3 bnez a0,8000a80c + 8000a85c: fef00513 li a0,-17 + 8000a860: d39f90ef jal ra,80004598 + 8000a864: fa048ae3 beqz s1,8000a818 + 8000a868: fff00513 li a0,-1 + 8000a86c: 00a13423 sd a0,8(sp) + 8000a870: c51fe0ef jal ra,800094c0 + 8000a874: 03813083 ld ra,56(sp) + 8000a878: 03013403 ld s0,48(sp) + 8000a87c: 00813503 ld a0,8(sp) + 8000a880: 02813483 ld s1,40(sp) + 8000a884: 02013903 ld s2,32(sp) + 8000a888: 01813983 ld s3,24(sp) + 8000a88c: 04010113 addi sp,sp,64 + 8000a890: 00008067 ret + 8000a894: 0084b023 sd s0,0(s1) + 8000a898: 00000513 li a0,0 + 8000a89c: fd1ff06f j 8000a86c + +000000008000a8a0 : + 8000a8a0: fa010113 addi sp,sp,-96 + 8000a8a4: 05213023 sd s2,64(sp) + 8000a8a8: 04113c23 sd ra,88(sp) + 8000a8ac: 04813823 sd s0,80(sp) + 8000a8b0: 04913423 sd s1,72(sp) + 8000a8b4: 03313c23 sd s3,56(sp) + 8000a8b8: 03413823 sd s4,48(sp) + 8000a8bc: 03513423 sd s5,40(sp) + 8000a8c0: 03613023 sd s6,32(sp) + 8000a8c4: 01713c23 sd s7,24(sp) + 8000a8c8: 01813823 sd s8,16(sp) + 8000a8cc: 01913423 sd s9,8(sp) + 8000a8d0: 01a13023 sd s10,0(sp) + 8000a8d4: 00050913 mv s2,a0 + 8000a8d8: 00051e63 bnez a0,8000a8f4 + 8000a8dc: 05600613 li a2,86 + 8000a8e0: 00006597 auipc a1,0x6 + 8000a8e4: b8058593 addi a1,a1,-1152 # 80010460 <__FUNCTION__.4112> + 8000a8e8: 00006517 auipc a0,0x6 + 8000a8ec: a7050513 addi a0,a0,-1424 # 80010358 <__fsym_ls_name+0x58> + 8000a8f0: 845fa0ef jal ra,80005134 + 8000a8f4: fff00a93 li s5,-1 + 8000a8f8: b5dfe0ef jal ra,80009454 + 8000a8fc: 00000a13 li s4,0 + 8000a900: 00000993 li s3,0 + 8000a904: 00018497 auipc s1,0x18 + 8000a908: f9448493 addi s1,s1,-108 # 80022898 + 8000a90c: 020ada93 srli s5,s5,0x20 + 8000a910: 00100b93 li s7,1 + 8000a914: 02f00c13 li s8,47 + 8000a918: 00018b17 auipc s6,0x18 + 8000a91c: fc0b0b13 addi s6,s6,-64 # 800228d8 + 8000a920: 0084bd03 ld s10,8(s1) + 8000a924: 040d0863 beqz s10,8000a974 + 8000a928: 0104b783 ld a5,16(s1) + 8000a92c: 04078463 beqz a5,8000a974 + 8000a930: 000d0513 mv a0,s10 + 8000a934: cd1f50ef jal ra,80000604 + 8000a938: 00050c9b sext.w s9,a0 + 8000a93c: 034cec63 bltu s9,s4,8000a974 + 8000a940: 01557433 and s0,a0,s5 + 8000a944: 00040613 mv a2,s0 + 8000a948: 00090593 mv a1,s2 + 8000a94c: 000d0513 mv a0,s10 + 8000a950: d1df50ef jal ra,8000066c + 8000a954: 02051063 bnez a0,8000a974 + 8000a958: 079bf263 bgeu s7,s9,8000a9bc + 8000a95c: 00090513 mv a0,s2 + 8000a960: ca5f50ef jal ra,80000604 + 8000a964: 04a47c63 bgeu s0,a0,8000a9bc + 8000a968: 00890433 add s0,s2,s0 + 8000a96c: 00044783 lbu a5,0(s0) + 8000a970: 05878663 beq a5,s8,8000a9bc + 8000a974: 02048493 addi s1,s1,32 + 8000a978: fb6494e3 bne s1,s6,8000a920 + 8000a97c: b45fe0ef jal ra,800094c0 + 8000a980: 05813083 ld ra,88(sp) + 8000a984: 05013403 ld s0,80(sp) + 8000a988: 04813483 ld s1,72(sp) + 8000a98c: 04013903 ld s2,64(sp) + 8000a990: 03013a03 ld s4,48(sp) + 8000a994: 02813a83 ld s5,40(sp) + 8000a998: 02013b03 ld s6,32(sp) + 8000a99c: 01813b83 ld s7,24(sp) + 8000a9a0: 01013c03 ld s8,16(sp) + 8000a9a4: 00813c83 ld s9,8(sp) + 8000a9a8: 00013d03 ld s10,0(sp) + 8000a9ac: 00098513 mv a0,s3 + 8000a9b0: 03813983 ld s3,56(sp) + 8000a9b4: 06010113 addi sp,sp,96 + 8000a9b8: 00008067 ret + 8000a9bc: 000c8a13 mv s4,s9 + 8000a9c0: 00048993 mv s3,s1 + 8000a9c4: fb1ff06f j 8000a974 + +000000008000a9c8 : + 8000a9c8: f5010113 addi sp,sp,-176 + 8000a9cc: 07513c23 sd s5,120(sp) + 8000a9d0: 07613823 sd s6,112(sp) + 8000a9d4: 07713423 sd s7,104(sp) + 8000a9d8: 07813023 sd s8,96(sp) + 8000a9dc: 0a113423 sd ra,168(sp) + 8000a9e0: 0a813023 sd s0,160(sp) + 8000a9e4: 08913c23 sd s1,152(sp) + 8000a9e8: 09213823 sd s2,144(sp) + 8000a9ec: 09313423 sd s3,136(sp) + 8000a9f0: 09413023 sd s4,128(sp) + 8000a9f4: 05913c23 sd s9,88(sp) + 8000a9f8: 00058b13 mv s6,a1 + 8000a9fc: 00060a93 mv s5,a2 + 8000aa00: 00068b93 mv s7,a3 + 8000aa04: 00070c13 mv s8,a4 + 8000aa08: 04050a63 beqz a0,8000aa5c + 8000aa0c: 9cdf80ef jal ra,800033d8 + 8000aa10: 00050993 mv s3,a0 + 8000aa14: 04051663 bnez a0,8000aa60 + 8000aa18: fed00513 li a0,-19 + 8000aa1c: b7df90ef jal ra,80004598 + 8000aa20: fff00793 li a5,-1 + 8000aa24: 0a813083 ld ra,168(sp) + 8000aa28: 0a013403 ld s0,160(sp) + 8000aa2c: 09813483 ld s1,152(sp) + 8000aa30: 09013903 ld s2,144(sp) + 8000aa34: 08813983 ld s3,136(sp) + 8000aa38: 08013a03 ld s4,128(sp) + 8000aa3c: 07813a83 ld s5,120(sp) + 8000aa40: 07013b03 ld s6,112(sp) + 8000aa44: 06813b83 ld s7,104(sp) + 8000aa48: 06013c03 ld s8,96(sp) + 8000aa4c: 05813c83 ld s9,88(sp) + 8000aa50: 00078513 mv a0,a5 + 8000aa54: 0b010113 addi sp,sp,176 + 8000aa58: 00008067 ret + 8000aa5c: 00050993 mv s3,a0 + 8000aa60: 00018497 auipc s1,0x18 + 8000aa64: e2848493 addi s1,s1,-472 # 80022888 + 8000aa68: 9edfe0ef jal ra,80009454 + 8000aa6c: 0004b783 ld a5,0(s1) + 8000aa70: 00048913 mv s2,s1 + 8000aa74: 00018417 auipc s0,0x18 + 8000aa78: e2440413 addi s0,s0,-476 # 80022898 + 8000aa7c: 00078a63 beqz a5,8000aa90 + 8000aa80: 0007b503 ld a0,0(a5) + 8000aa84: 000a8593 mv a1,s5 + 8000aa88: be1f50ef jal ra,80000668 + 8000aa8c: 02050a63 beqz a0,8000aac0 + 8000aa90: 00893783 ld a5,8(s2) + 8000aa94: 00018497 auipc s1,0x18 + 8000aa98: e0448493 addi s1,s1,-508 # 80022898 + 8000aa9c: 02078263 beqz a5,8000aac0 + 8000aaa0: 0007b503 ld a0,0(a5) + 8000aaa4: 000a8593 mv a1,s5 + 8000aaa8: 00018497 auipc s1,0x18 + 8000aaac: de848493 addi s1,s1,-536 # 80022890 + 8000aab0: bb9f50ef jal ra,80000668 + 8000aab4: 00050663 beqz a0,8000aac0 + 8000aab8: 00018497 auipc s1,0x18 + 8000aabc: de048493 addi s1,s1,-544 # 80022898 + 8000aac0: a01fe0ef jal ra,800094c0 + 8000aac4: f4848ae3 beq s1,s0,8000aa18 + 8000aac8: 0004b783 ld a5,0(s1) + 8000aacc: 00078663 beqz a5,8000aad8 + 8000aad0: 0187b783 ld a5,24(a5) + 8000aad4: 00079663 bnez a5,8000aae0 + 8000aad8: fda00513 li a0,-38 + 8000aadc: f41ff06f j 8000aa1c + 8000aae0: 000b0593 mv a1,s6 + 8000aae4: 00000513 li a0,0 + 8000aae8: cddfe0ef jal ra,800097c4 + 8000aaec: 00050a13 mv s4,a0 + 8000aaf0: 00051663 bnez a0,8000aafc + 8000aaf4: fec00513 li a0,-20 + 8000aaf8: f25ff06f j 8000aa1c + 8000aafc: 00004597 auipc a1,0x4 + 8000ab00: eec58593 addi a1,a1,-276 # 8000e9e8 + 8000ab04: b65f50ef jal ra,80000668 + 8000ab08: 04050063 beqz a0,8000ab48 + 8000ab0c: 00005597 auipc a1,0x5 + 8000ab10: 4fc58593 addi a1,a1,1276 # 80010008 <__fsym_mkdir_name+0xc0> + 8000ab14: 000a0513 mv a0,s4 + 8000ab18: b51f50ef jal ra,80000668 + 8000ab1c: 02050663 beqz a0,8000ab48 + 8000ab20: 00010637 lui a2,0x10 + 8000ab24: 000a0593 mv a1,s4 + 8000ab28: 00810513 addi a0,sp,8 + 8000ab2c: f9dfe0ef jal ra,80009ac8 + 8000ab30: 00055863 bgez a0,8000ab40 + 8000ab34: 000a0513 mv a0,s4 + 8000ab38: 8f8f70ef jal ra,80001c30 + 8000ab3c: fb9ff06f j 8000aaf4 + 8000ab40: 00810513 addi a0,sp,8 + 8000ab44: 8e0ff0ef jal ra,80009c24 + 8000ab48: 90dfe0ef jal ra,80009454 + 8000ab4c: 00000413 li s0,0 + 8000ab50: 00018917 auipc s2,0x18 + 8000ab54: d4890913 addi s2,s2,-696 # 80022898 + 8000ab58: 00018c97 auipc s9,0x18 + 8000ab5c: d80c8c93 addi s9,s9,-640 # 800228d8 + 8000ab60: 01093783 ld a5,16(s2) + 8000ab64: 04079663 bnez a5,8000abb0 + 8000ab68: 00041463 bnez s0,8000ab70 + 8000ab6c: 00090413 mv s0,s2 + 8000ab70: 02090913 addi s2,s2,32 + 8000ab74: ff9916e3 bne s2,s9,8000ab60 + 8000ab78: 06041063 bnez s0,8000abd8 + 8000ab7c: fe400513 li a0,-28 + 8000ab80: a19f90ef jal ra,80004598 + 8000ab84: 00005517 auipc a0,0x5 + 8000ab88: 48c50513 addi a0,a0,1164 # 80010010 <__fsym_mkdir_name+0xc8> + 8000ab8c: c1cfa0ef jal ra,80004fa8 + 8000ab90: 000a8593 mv a1,s5 + 8000ab94: 00005517 auipc a0,0x5 + 8000ab98: 7cc50513 addi a0,a0,1996 # 80010360 <__fsym_ls_name+0x60> + 8000ab9c: c0cfa0ef jal ra,80004fa8 + 8000aba0: 00004517 auipc a0,0x4 + 8000aba4: e3050513 addi a0,a0,-464 # 8000e9d0 + 8000aba8: c00fa0ef jal ra,80004fa8 + 8000abac: 01c0006f j 8000abc8 + 8000abb0: 00893503 ld a0,8(s2) + 8000abb4: 000b0593 mv a1,s6 + 8000abb8: ab1f50ef jal ra,80000668 + 8000abbc: fa051ae3 bnez a0,8000ab70 + 8000abc0: fea00513 li a0,-22 + 8000abc4: 9d5f90ef jal ra,80004598 + 8000abc8: 8f9fe0ef jal ra,800094c0 + 8000abcc: 000a0513 mv a0,s4 + 8000abd0: 860f70ef jal ra,80001c30 + 8000abd4: e4dff06f j 8000aa20 + 8000abd8: 0004b783 ld a5,0(s1) + 8000abdc: 01443423 sd s4,8(s0) + 8000abe0: 01343023 sd s3,0(s0) + 8000abe4: 00f43823 sd a5,16(s0) + 8000abe8: 8d9fe0ef jal ra,800094c0 + 8000abec: 02098663 beqz s3,8000ac18 + 8000abf0: 00043503 ld a0,0(s0) + 8000abf4: 00300593 li a1,3 + 8000abf8: 861f80ef jal ra,80003458 + 8000abfc: 00050e63 beqz a0,8000ac18 + 8000ac00: 855fe0ef jal ra,80009454 + 8000ac04: 02000613 li a2,32 + 8000ac08: 00000593 li a1,0 + 8000ac0c: 00040513 mv a0,s0 + 8000ac10: a61f50ef jal ra,80000670 + 8000ac14: fb5ff06f j 8000abc8 + 8000ac18: 0004b783 ld a5,0(s1) + 8000ac1c: 000c0613 mv a2,s8 + 8000ac20: 000b8593 mv a1,s7 + 8000ac24: 0187b783 ld a5,24(a5) + 8000ac28: 00040513 mv a0,s0 + 8000ac2c: 000780e7 jalr a5 + 8000ac30: 00000793 li a5,0 + 8000ac34: de0558e3 bgez a0,8000aa24 + 8000ac38: fc0984e3 beqz s3,8000ac00 + 8000ac3c: 00043503 ld a0,0(s0) + 8000ac40: 971f80ef jal ra,800035b0 + 8000ac44: fbdff06f j 8000ac00 + +000000008000ac48 : + 8000ac48: fe010113 addi sp,sp,-32 + 8000ac4c: 00050593 mv a1,a0 + 8000ac50: 00000513 li a0,0 + 8000ac54: 00113c23 sd ra,24(sp) + 8000ac58: 00813823 sd s0,16(sp) + 8000ac5c: 00913423 sd s1,8(sp) + 8000ac60: 01213023 sd s2,0(sp) + 8000ac64: b61fe0ef jal ra,800097c4 + 8000ac68: 02051463 bnez a0,8000ac90 + 8000ac6c: fec00513 li a0,-20 + 8000ac70: 929f90ef jal ra,80004598 + 8000ac74: fff00513 li a0,-1 + 8000ac78: 01813083 ld ra,24(sp) + 8000ac7c: 01013403 ld s0,16(sp) + 8000ac80: 00813483 ld s1,8(sp) + 8000ac84: 00013903 ld s2,0(sp) + 8000ac88: 02010113 addi sp,sp,32 + 8000ac8c: 00008067 ret + 8000ac90: 00050493 mv s1,a0 + 8000ac94: 00018417 auipc s0,0x18 + 8000ac98: c0440413 addi s0,s0,-1020 # 80022898 + 8000ac9c: fb8fe0ef jal ra,80009454 + 8000aca0: 00843503 ld a0,8(s0) + 8000aca4: 00040913 mv s2,s0 + 8000aca8: 00050863 beqz a0,8000acb8 + 8000acac: 00048593 mv a1,s1 + 8000acb0: 9b9f50ef jal ra,80000668 + 8000acb4: 02050863 beqz a0,8000ace4 + 8000acb8: 02893503 ld a0,40(s2) + 8000acbc: 00050863 beqz a0,8000accc + 8000acc0: 00048593 mv a1,s1 + 8000acc4: 9a5f50ef jal ra,80000668 + 8000acc8: 00050a63 beqz a0,8000acdc + 8000accc: ff4fe0ef jal ra,800094c0 + 8000acd0: 00048513 mv a0,s1 + 8000acd4: f5df60ef jal ra,80001c30 + 8000acd8: f9dff06f j 8000ac74 + 8000acdc: 00018417 auipc s0,0x18 + 8000ace0: bdc40413 addi s0,s0,-1060 # 800228b8 + 8000ace4: 01043783 ld a5,16(s0) + 8000ace8: 0207b783 ld a5,32(a5) + 8000acec: fe0780e3 beqz a5,8000accc + 8000acf0: 00040513 mv a0,s0 + 8000acf4: 000780e7 jalr a5 + 8000acf8: fc054ae3 bltz a0,8000accc + 8000acfc: 00043503 ld a0,0(s0) + 8000ad00: 00050463 beqz a0,8000ad08 + 8000ad04: 8adf80ef jal ra,800035b0 + 8000ad08: 00843503 ld a0,8(s0) + 8000ad0c: 00050463 beqz a0,8000ad14 + 8000ad10: f21f60ef jal ra,80001c30 + 8000ad14: 02000613 li a2,32 + 8000ad18: 00000593 li a1,0 + 8000ad1c: 00040513 mv a0,s0 + 8000ad20: 951f50ef jal ra,80000670 + 8000ad24: f9cfe0ef jal ra,800094c0 + 8000ad28: 00048513 mv a0,s1 + 8000ad2c: f05f60ef jal ra,80001c30 + 8000ad30: 00000513 li a0,0 + 8000ad34: f45ff06f j 8000ac78 + +000000008000ad38 : + 8000ad38: fd010113 addi sp,sp,-48 + 8000ad3c: 02813023 sd s0,32(sp) + 8000ad40: 02113423 sd ra,40(sp) + 8000ad44: 00913c23 sd s1,24(sp) + 8000ad48: 01213823 sd s2,16(sp) + 8000ad4c: 01313423 sd s3,8(sp) + 8000ad50: 00058413 mv s0,a1 + 8000ad54: 04059a63 bnez a1,8000ada8 + 8000ad58: fed00513 li a0,-19 + 8000ad5c: 83df90ef jal ra,80004598 + 8000ad60: 00005517 auipc a0,0x5 + 8000ad64: 2b050513 addi a0,a0,688 # 80010010 <__fsym_mkdir_name+0xc8> + 8000ad68: a40fa0ef jal ra,80004fa8 + 8000ad6c: 00040593 mv a1,s0 + 8000ad70: 00005517 auipc a0,0x5 + 8000ad74: 62850513 addi a0,a0,1576 # 80010398 <__fsym_ls_name+0x98> + 8000ad78: a30fa0ef jal ra,80004fa8 + 8000ad7c: 00004517 auipc a0,0x4 + 8000ad80: c5450513 addi a0,a0,-940 # 8000e9d0 + 8000ad84: a24fa0ef jal ra,80004fa8 + 8000ad88: 02813083 ld ra,40(sp) + 8000ad8c: 02013403 ld s0,32(sp) + 8000ad90: 01813483 ld s1,24(sp) + 8000ad94: 01013903 ld s2,16(sp) + 8000ad98: 00813983 ld s3,8(sp) + 8000ad9c: fff00513 li a0,-1 + 8000ada0: 03010113 addi sp,sp,48 + 8000ada4: 00008067 ret + 8000ada8: 00050913 mv s2,a0 + 8000adac: 00058513 mv a0,a1 + 8000adb0: e28f80ef jal ra,800033d8 + 8000adb4: 00050993 mv s3,a0 + 8000adb8: fa0500e3 beqz a0,8000ad58 + 8000adbc: e98fe0ef jal ra,80009454 + 8000adc0: 00018417 auipc s0,0x18 + 8000adc4: ac840413 addi s0,s0,-1336 # 80022888 + 8000adc8: 00043783 ld a5,0(s0) + 8000adcc: 06078263 beqz a5,8000ae30 + 8000add0: 0007b503 ld a0,0(a5) + 8000add4: 00090593 mv a1,s2 + 8000add8: 891f50ef jal ra,80000668 + 8000addc: 00050493 mv s1,a0 + 8000ade0: 04051863 bnez a0,8000ae30 + 8000ade4: edcfe0ef jal ra,800094c0 + 8000ade8: 00349493 slli s1,s1,0x3 + 8000adec: 00940433 add s0,s0,s1 + 8000adf0: 00043783 ld a5,0(s0) + 8000adf4: 0287b303 ld t1,40(a5) + 8000adf8: 06031e63 bnez t1,8000ae74 + 8000adfc: 00005517 auipc a0,0x5 + 8000ae00: 21450513 addi a0,a0,532 # 80010010 <__fsym_mkdir_name+0xc8> + 8000ae04: 9a4fa0ef jal ra,80004fa8 + 8000ae08: 00090593 mv a1,s2 + 8000ae0c: 00005517 auipc a0,0x5 + 8000ae10: 5cc50513 addi a0,a0,1484 # 800103d8 <__fsym_ls_name+0xd8> + 8000ae14: 994fa0ef jal ra,80004fa8 + 8000ae18: 00004517 auipc a0,0x4 + 8000ae1c: bb850513 addi a0,a0,-1096 # 8000e9d0 + 8000ae20: 988fa0ef jal ra,80004fa8 + 8000ae24: fda00513 li a0,-38 + 8000ae28: f70f90ef jal ra,80004598 + 8000ae2c: f5dff06f j 8000ad88 + 8000ae30: 00843783 ld a5,8(s0) + 8000ae34: 02078063 beqz a5,8000ae54 + 8000ae38: 0007b503 ld a0,0(a5) + 8000ae3c: 00090593 mv a1,s2 + 8000ae40: 829f50ef jal ra,80000668 + 8000ae44: 00051863 bnez a0,8000ae54 + 8000ae48: e78fe0ef jal ra,800094c0 + 8000ae4c: 00100493 li s1,1 + 8000ae50: f99ff06f j 8000ade8 + 8000ae54: e6cfe0ef jal ra,800094c0 + 8000ae58: 00005517 auipc a0,0x5 + 8000ae5c: 1b850513 addi a0,a0,440 # 80010010 <__fsym_mkdir_name+0xc8> + 8000ae60: 948fa0ef jal ra,80004fa8 + 8000ae64: 00090593 mv a1,s2 + 8000ae68: 00005517 auipc a0,0x5 + 8000ae6c: 55050513 addi a0,a0,1360 # 800103b8 <__fsym_ls_name+0xb8> + 8000ae70: f09ff06f j 8000ad78 + 8000ae74: 02013403 ld s0,32(sp) + 8000ae78: 02813083 ld ra,40(sp) + 8000ae7c: 01813483 ld s1,24(sp) + 8000ae80: 01013903 ld s2,16(sp) + 8000ae84: 00098513 mv a0,s3 + 8000ae88: 00813983 ld s3,8(sp) + 8000ae8c: 03010113 addi sp,sp,48 + 8000ae90: 00030067 jr t1 + +000000008000ae94 : + 8000ae94: ea5ff06f j 8000ad38 + +000000008000ae98 : + 8000ae98: fe010113 addi sp,sp,-32 + 8000ae9c: 00113c23 sd ra,24(sp) + 8000aea0: 00b13423 sd a1,8(sp) + 8000aea4: 9fdff0ef jal ra,8000a8a0 + 8000aea8: 02050063 beqz a0,8000aec8 + 8000aeac: 01053783 ld a5,16(a0) + 8000aeb0: 0307b303 ld t1,48(a5) + 8000aeb4: 00030a63 beqz t1,8000aec8 + 8000aeb8: 00813583 ld a1,8(sp) + 8000aebc: 01813083 ld ra,24(sp) + 8000aec0: 02010113 addi sp,sp,32 + 8000aec4: 00030067 jr t1 + 8000aec8: 01813083 ld ra,24(sp) + 8000aecc: fff00513 li a0,-1 + 8000aed0: 02010113 addi sp,sp,32 + 8000aed4: 00008067 ret + +000000008000aed8 : + 8000aed8: fb010113 addi sp,sp,-80 + 8000aedc: 00005797 auipc a5,0x5 + 8000aee0: 42c78793 addi a5,a5,1068 # 80010308 <__fsym_ls_name+0x8> + 8000aee4: 02f13423 sd a5,40(sp) + 8000aee8: 00005797 auipc a5,0x5 + 8000aeec: 42878793 addi a5,a5,1064 # 80010310 <__fsym_ls_name+0x10> + 8000aef0: 02f13823 sd a5,48(sp) + 8000aef4: 01010593 addi a1,sp,16 + 8000aef8: 00005797 auipc a5,0x5 + 8000aefc: 42078793 addi a5,a5,1056 # 80010318 <__fsym_ls_name+0x18> + 8000af00: 04113423 sd ra,72(sp) + 8000af04: 04813023 sd s0,64(sp) + 8000af08: 02f13c23 sd a5,56(sp) + 8000af0c: f8dff0ef jal ra,8000ae98 + 8000af10: 02050463 beqz a0,8000af38 + 8000af14: 00005517 auipc a0,0x5 + 8000af18: 4fc50513 addi a0,a0,1276 # 80010410 <__fsym_ls_name+0x110> + 8000af1c: 88cfa0ef jal ra,80004fa8 + 8000af20: fff00413 li s0,-1 + 8000af24: 04813083 ld ra,72(sp) + 8000af28: 00040513 mv a0,s0 + 8000af2c: 04013403 ld s0,64(sp) + 8000af30: 05010113 addi sp,sp,80 + 8000af34: 00008067 ret + 8000af38: 01013783 ld a5,16(sp) + 8000af3c: 02013703 ld a4,32(sp) + 8000af40: 00050413 mv s0,a0 + 8000af44: 00078513 mv a0,a5 + 8000af48: 00070593 mv a1,a4 + 8000af4c: 00e13423 sd a4,8(sp) + 8000af50: 00f13023 sd a5,0(sp) + 8000af54: b50f50ef jal ra,800002a4 <__muldi3> + 8000af58: 43f55693 srai a3,a0,0x3f + 8000af5c: 3ff6f693 andi a3,a3,1023 + 8000af60: 00a686b3 add a3,a3,a0 + 8000af64: 00100637 lui a2,0x100 + 8000af68: 00013783 ld a5,0(sp) + 8000af6c: 00813703 ld a4,8(sp) + 8000af70: 40a6d593 srai a1,a3,0xa + 8000af74: 06c54263 blt a0,a2,8000afd8 + 8000af78: 4146d813 srai a6,a3,0x14 + 8000af7c: 3ff00613 li a2,1023 + 8000af80: 03064e63 blt a2,a6,8000afbc + 8000af84: 3ff5f693 andi a3,a1,1023 + 8000af88: 00269613 slli a2,a3,0x2 + 8000af8c: 00d60633 add a2,a2,a3 + 8000af90: 00965613 srli a2,a2,0x9 + 8000af94: 00080593 mv a1,a6 + 8000af98: 00100693 li a3,1 + 8000af9c: 04010513 addi a0,sp,64 + 8000afa0: 00369693 slli a3,a3,0x3 + 8000afa4: 00d506b3 add a3,a0,a3 + 8000afa8: fe86b683 ld a3,-24(a3) # 3ffffe8 <__STACKSIZE__+0x3ffbfe8> + 8000afac: 00005517 auipc a0,0x5 + 8000afb0: 47c50513 addi a0,a0,1148 # 80010428 <__fsym_ls_name+0x128> + 8000afb4: ff5f90ef jal ra,80004fa8 + 8000afb8: f6dff06f j 8000af24 + 8000afbc: 3ff87813 andi a6,a6,1023 + 8000afc0: 00281613 slli a2,a6,0x2 + 8000afc4: 01060633 add a2,a2,a6 + 8000afc8: 41e6d593 srai a1,a3,0x1e + 8000afcc: 00965613 srli a2,a2,0x9 + 8000afd0: 00200693 li a3,2 + 8000afd4: fc9ff06f j 8000af9c + 8000afd8: 00000693 li a3,0 + 8000afdc: 00000613 li a2,0 + 8000afe0: fbdff06f j 8000af9c + +000000008000afe4 : + 8000afe4: 00000513 li a0,0 + 8000afe8: 00008067 ret + +000000008000afec : + 8000afec: 00000513 li a0,0 + 8000aff0: 00008067 ret + +000000008000aff4 : + 8000aff4: fe010113 addi sp,sp,-32 + 8000aff8: 00813823 sd s0,16(sp) + 8000affc: 00913423 sd s1,8(sp) + 8000b000: 01213023 sd s2,0(sp) + 8000b004: 00113c23 sd ra,24(sp) + 8000b008: 00050413 mv s0,a0 + 8000b00c: 00058493 mv s1,a1 + 8000b010: 00060913 mv s2,a2 + 8000b014: 00051e63 bnez a0,8000b030 + 8000b018: 02500613 li a2,37 + 8000b01c: 00005597 auipc a1,0x5 + 8000b020: 4d458593 addi a1,a1,1236 # 800104f0 <__FUNCTION__.4110> + 8000b024: 00005517 auipc a0,0x5 + 8000b028: 48c50513 addi a0,a0,1164 # 800104b0 <__fsym_mkfs_name+0x8> + 8000b02c: 908fa0ef jal ra,80005134 + 8000b030: 04043403 ld s0,64(s0) + 8000b034: 00041e63 bnez s0,8000b050 + 8000b038: 02900613 li a2,41 + 8000b03c: 00005597 auipc a1,0x5 + 8000b040: 4b458593 addi a1,a1,1204 # 800104f0 <__FUNCTION__.4110> + 8000b044: 00005517 auipc a0,0x5 + 8000b048: 47c50513 addi a0,a0,1148 # 800104c0 <__fsym_mkfs_name+0x18> + 8000b04c: 8e8fa0ef jal ra,80005134 + 8000b050: 00090613 mv a2,s2 + 8000b054: 00048593 mv a1,s1 + 8000b058: 00040513 mv a0,s0 + 8000b05c: fa8f80ef jal ra,80003804 + 8000b060: 01813083 ld ra,24(sp) + 8000b064: 01013403 ld s0,16(sp) + 8000b068: 00813483 ld s1,8(sp) + 8000b06c: 00013903 ld s2,0(sp) + 8000b070: 0005051b sext.w a0,a0 + 8000b074: 02010113 addi sp,sp,32 + 8000b078: 00008067 ret + +000000008000b07c : + 8000b07c: fd010113 addi sp,sp,-48 + 8000b080: 02813023 sd s0,32(sp) + 8000b084: 00913c23 sd s1,24(sp) + 8000b088: 01213823 sd s2,16(sp) + 8000b08c: 02113423 sd ra,40(sp) + 8000b090: 01313423 sd s3,8(sp) + 8000b094: 00050413 mv s0,a0 + 8000b098: 00058493 mv s1,a1 + 8000b09c: 00060913 mv s2,a2 + 8000b0a0: 00051e63 bnez a0,8000b0bc + 8000b0a4: 03800613 li a2,56 + 8000b0a8: 00005597 auipc a1,0x5 + 8000b0ac: 46058593 addi a1,a1,1120 # 80010508 <__FUNCTION__.4118> + 8000b0b0: 00005517 auipc a0,0x5 + 8000b0b4: 40050513 addi a0,a0,1024 # 800104b0 <__fsym_mkfs_name+0x8> + 8000b0b8: 87cfa0ef jal ra,80005134 + 8000b0bc: 04043983 ld s3,64(s0) + 8000b0c0: 00099e63 bnez s3,8000b0dc + 8000b0c4: 03c00613 li a2,60 + 8000b0c8: 00005597 auipc a1,0x5 + 8000b0cc: 44058593 addi a1,a1,1088 # 80010508 <__FUNCTION__.4118> + 8000b0d0: 00005517 auipc a0,0x5 + 8000b0d4: 3f050513 addi a0,a0,1008 # 800104c0 <__fsym_mkfs_name+0x18> + 8000b0d8: 85cfa0ef jal ra,80005134 + 8000b0dc: 03843583 ld a1,56(s0) + 8000b0e0: 00090693 mv a3,s2 + 8000b0e4: 00048613 mv a2,s1 + 8000b0e8: 00098513 mv a0,s3 + 8000b0ec: d70f80ef jal ra,8000365c + 8000b0f0: 03843783 ld a5,56(s0) + 8000b0f4: 0005051b sext.w a0,a0 + 8000b0f8: 02813083 ld ra,40(sp) + 8000b0fc: 00a787b3 add a5,a5,a0 + 8000b100: 02f43c23 sd a5,56(s0) + 8000b104: 02013403 ld s0,32(sp) + 8000b108: 01813483 ld s1,24(sp) + 8000b10c: 01013903 ld s2,16(sp) + 8000b110: 00813983 ld s3,8(sp) + 8000b114: 03010113 addi sp,sp,48 + 8000b118: 00008067 ret + +000000008000b11c : + 8000b11c: fd010113 addi sp,sp,-48 + 8000b120: 02813023 sd s0,32(sp) + 8000b124: 00913c23 sd s1,24(sp) + 8000b128: 01213823 sd s2,16(sp) + 8000b12c: 02113423 sd ra,40(sp) + 8000b130: 01313423 sd s3,8(sp) + 8000b134: 00050413 mv s0,a0 + 8000b138: 00058493 mv s1,a1 + 8000b13c: 00060913 mv s2,a2 + 8000b140: 00051e63 bnez a0,8000b15c + 8000b144: 04a00613 li a2,74 + 8000b148: 00005597 auipc a1,0x5 + 8000b14c: 3d858593 addi a1,a1,984 # 80010520 <__FUNCTION__.4126> + 8000b150: 00005517 auipc a0,0x5 + 8000b154: 36050513 addi a0,a0,864 # 800104b0 <__fsym_mkfs_name+0x8> + 8000b158: fddf90ef jal ra,80005134 + 8000b15c: 04043983 ld s3,64(s0) + 8000b160: 00099e63 bnez s3,8000b17c + 8000b164: 04e00613 li a2,78 + 8000b168: 00005597 auipc a1,0x5 + 8000b16c: 3b858593 addi a1,a1,952 # 80010520 <__FUNCTION__.4126> + 8000b170: 00005517 auipc a0,0x5 + 8000b174: 35050513 addi a0,a0,848 # 800104c0 <__fsym_mkfs_name+0x18> + 8000b178: fbdf90ef jal ra,80005134 + 8000b17c: 03843583 ld a1,56(s0) + 8000b180: 00090693 mv a3,s2 + 8000b184: 00048613 mv a2,s1 + 8000b188: 00098513 mv a0,s3 + 8000b18c: da4f80ef jal ra,80003730 + 8000b190: 03843783 ld a5,56(s0) + 8000b194: 0005051b sext.w a0,a0 + 8000b198: 02813083 ld ra,40(sp) + 8000b19c: 00a787b3 add a5,a5,a0 + 8000b1a0: 02f43c23 sd a5,56(s0) + 8000b1a4: 02013403 ld s0,32(sp) + 8000b1a8: 01813483 ld s1,24(sp) + 8000b1ac: 01013903 ld s2,16(sp) + 8000b1b0: 00813983 ld s3,8(sp) + 8000b1b4: 03010113 addi sp,sp,48 + 8000b1b8: 00008067 ret + +000000008000b1bc : + 8000b1bc: fc010113 addi sp,sp,-64 + 8000b1c0: 03213023 sd s2,32(sp) + 8000b1c4: 04053903 ld s2,64(a0) + 8000b1c8: 02813823 sd s0,48(sp) + 8000b1cc: 02913423 sd s1,40(sp) + 8000b1d0: 02113c23 sd ra,56(sp) + 8000b1d4: 01313c23 sd s3,24(sp) + 8000b1d8: 01413823 sd s4,16(sp) + 8000b1dc: 01513423 sd s5,8(sp) + 8000b1e0: 01613023 sd s6,0(sp) + 8000b1e4: 00058413 mv s0,a1 + 8000b1e8: 00060493 mv s1,a2 + 8000b1ec: 00091e63 bnez s2,8000b208 + 8000b1f0: 10b00613 li a2,267 + 8000b1f4: 00005597 auipc a1,0x5 + 8000b1f8: 37458593 addi a1,a1,884 # 80010568 <__FUNCTION__.4166> + 8000b1fc: 00005517 auipc a0,0x5 + 8000b200: 2dc50513 addi a0,a0,732 # 800104d8 <__fsym_mkfs_name+0x30> + 8000b204: f31f90ef jal ra,80005134 + 8000b208: 02049513 slli a0,s1,0x20 + 8000b20c: 10400593 li a1,260 + 8000b210: 02055513 srli a0,a0,0x20 + 8000b214: fd9f40ef jal ra,800001ec <__udivdi3> + 8000b218: 10300793 li a5,259 + 8000b21c: 0005099b sext.w s3,a0 + 8000b220: fea00513 li a0,-22 + 8000b224: 0497f063 bgeu a5,s1,8000b264 + 8000b228: 00440413 addi s0,s0,4 + 8000b22c: 00000493 li s1,0 + 8000b230: 00100a13 li s4,1 + 8000b234: 01400a93 li s5,20 + 8000b238: 10400b13 li s6,260 + 8000b23c: 00895783 lhu a5,8(s2) + 8000b240: 009787bb addw a5,a5,s1 + 8000b244: 01348863 beq s1,s3,8000b254 + 8000b248: 00a95683 lhu a3,10(s2) + 8000b24c: 0007871b sext.w a4,a5 + 8000b250: 02d76e63 bltu a4,a3,8000b28c + 8000b254: 0064951b slliw a0,s1,0x6 + 8000b258: 0095053b addw a0,a0,s1 + 8000b25c: 00f91423 sh a5,8(s2) + 8000b260: 0025151b slliw a0,a0,0x2 + 8000b264: 03813083 ld ra,56(sp) + 8000b268: 03013403 ld s0,48(sp) + 8000b26c: 02813483 ld s1,40(sp) + 8000b270: 02013903 ld s2,32(sp) + 8000b274: 01813983 ld s3,24(sp) + 8000b278: 01013a03 ld s4,16(sp) + 8000b27c: 00813a83 ld s5,8(sp) + 8000b280: 00013b03 ld s6,0(sp) + 8000b284: 04010113 addi sp,sp,64 + 8000b288: 00008067 ret + 8000b28c: 00093703 ld a4,0(s2) + 8000b290: 02079793 slli a5,a5,0x20 + 8000b294: 0207d793 srli a5,a5,0x20 + 8000b298: 00379793 slli a5,a5,0x3 + 8000b29c: 00f707b3 add a5,a4,a5 + 8000b2a0: 0007b583 ld a1,0(a5) + 8000b2a4: 00040513 mv a0,s0 + 8000b2a8: ff440e23 sb s4,-4(s0) + 8000b2ac: ff540ea3 sb s5,-3(s0) + 8000b2b0: ff641f23 sh s6,-2(s0) + 8000b2b4: 01400613 li a2,20 + 8000b2b8: d40f90ef jal ra,800047f8 + 8000b2bc: 0014849b addiw s1,s1,1 + 8000b2c0: 10440413 addi s0,s0,260 + 8000b2c4: f79ff06f j 8000b23c + +000000008000b2c8 : + 8000b2c8: fe010113 addi sp,sp,-32 + 8000b2cc: 00813823 sd s0,16(sp) + 8000b2d0: 00113c23 sd ra,24(sp) + 8000b2d4: 00913423 sd s1,8(sp) + 8000b2d8: 00050413 mv s0,a0 + 8000b2dc: 00051e63 bnez a0,8000b2f8 + 8000b2e0: 05c00613 li a2,92 + 8000b2e4: 00005597 auipc a1,0x5 + 8000b2e8: 25458593 addi a1,a1,596 # 80010538 <__FUNCTION__.4132> + 8000b2ec: 00005517 auipc a0,0x5 + 8000b2f0: 1c450513 addi a0,a0,452 # 800104b0 <__fsym_mkfs_name+0x8> + 8000b2f4: e41f90ef jal ra,80005134 + 8000b2f8: 00245703 lhu a4,2(s0) + 8000b2fc: 00200793 li a5,2 + 8000b300: 04043483 ld s1,64(s0) + 8000b304: 04f71263 bne a4,a5,8000b348 + 8000b308: 00049e63 bnez s1,8000b324 + 8000b30c: 06300613 li a2,99 + 8000b310: 00005597 auipc a1,0x5 + 8000b314: 22858593 addi a1,a1,552 # 80010538 <__FUNCTION__.4132> + 8000b318: 00005517 auipc a0,0x5 + 8000b31c: 1c050513 addi a0,a0,448 # 800104d8 <__fsym_mkfs_name+0x30> + 8000b320: e15f90ef jal ra,80005134 + 8000b324: 00048513 mv a0,s1 + 8000b328: 909f60ef jal ra,80001c30 + 8000b32c: 00000793 li a5,0 + 8000b330: 01813083 ld ra,24(sp) + 8000b334: 01013403 ld s0,16(sp) + 8000b338: 00813483 ld s1,8(sp) + 8000b33c: 00078513 mv a0,a5 + 8000b340: 02010113 addi sp,sp,32 + 8000b344: 00008067 ret + 8000b348: 00049e63 bnez s1,8000b364 + 8000b34c: 06c00613 li a2,108 + 8000b350: 00005597 auipc a1,0x5 + 8000b354: 1e858593 addi a1,a1,488 # 80010538 <__FUNCTION__.4132> + 8000b358: 00005517 auipc a0,0x5 + 8000b35c: 16850513 addi a0,a0,360 # 800104c0 <__fsym_mkfs_name+0x18> + 8000b360: dd5f90ef jal ra,80005134 + 8000b364: 00048513 mv a0,s1 + 8000b368: a48f80ef jal ra,800035b0 + 8000b36c: ffb00793 li a5,-5 + 8000b370: fc0510e3 bnez a0,8000b330 + 8000b374: 04043023 sd zero,64(s0) + 8000b378: fb5ff06f j 8000b32c + +000000008000b37c : + 8000b37c: 0005c703 lbu a4,0(a1) + 8000b380: ff010113 addi sp,sp,-16 + 8000b384: 00813023 sd s0,0(sp) + 8000b388: 00113423 sd ra,8(sp) + 8000b38c: 02f00793 li a5,47 + 8000b390: 00060413 mv s0,a2 + 8000b394: 02f71c63 bne a4,a5,8000b3cc + 8000b398: 0015c783 lbu a5,1(a1) + 8000b39c: 02079863 bnez a5,8000b3cc + 8000b3a0: 000047b7 lui a5,0x4 + 8000b3a4: 00063023 sd zero,0(a2) # 100000 <__STACKSIZE__+0xfc000> + 8000b3a8: 1ff7879b addiw a5,a5,511 + 8000b3ac: 00f42823 sw a5,16(s0) + 8000b3b0: 02043823 sd zero,48(s0) + 8000b3b4: 04043c23 sd zero,88(s0) + 8000b3b8: 00000513 li a0,0 + 8000b3bc: 00813083 ld ra,8(sp) + 8000b3c0: 00013403 ld s0,0(sp) + 8000b3c4: 01010113 addi sp,sp,16 + 8000b3c8: 00008067 ret + 8000b3cc: 00158513 addi a0,a1,1 + 8000b3d0: 808f80ef jal ra,800033d8 + 8000b3d4: 00050793 mv a5,a0 + 8000b3d8: ffe00513 li a0,-2 + 8000b3dc: fe0780e3 beqz a5,8000b3bc + 8000b3e0: 0287a783 lw a5,40(a5) # 4028 <__STACKSIZE__+0x28> + 8000b3e4: 00043023 sd zero,0(s0) + 8000b3e8: 00079863 bnez a5,8000b3f8 + 8000b3ec: 000027b7 lui a5,0x2 + 8000b3f0: 1b67879b addiw a5,a5,438 + 8000b3f4: fb9ff06f j 8000b3ac + 8000b3f8: 00100713 li a4,1 + 8000b3fc: 00e79663 bne a5,a4,8000b408 + 8000b400: 000067b7 lui a5,0x6 + 8000b404: fedff06f j 8000b3f0 + 8000b408: 00f00713 li a4,15 + 8000b40c: 00e79663 bne a5,a4,8000b418 + 8000b410: 000017b7 lui a5,0x1 + 8000b414: fddff06f j 8000b3f0 + 8000b418: 000087b7 lui a5,0x8 + 8000b41c: fd5ff06f j 8000b3f0 + +000000008000b420 : + 8000b420: fd010113 addi sp,sp,-48 + 8000b424: 02813023 sd s0,32(sp) + 8000b428: 00050413 mv s0,a0 + 8000b42c: 00853503 ld a0,8(a0) + 8000b430: 02113423 sd ra,40(sp) + 8000b434: 00913c23 sd s1,24(sp) + 8000b438: 01213823 sd s2,16(sp) + 8000b43c: 01313423 sd s3,8(sp) + 8000b440: 01413023 sd s4,0(sp) + 8000b444: 00054703 lbu a4,0(a0) + 8000b448: 02f00793 li a5,47 + 8000b44c: 0ef71463 bne a4,a5,8000b534 + 8000b450: 00154783 lbu a5,1(a0) + 8000b454: 0e079063 bnez a5,8000b534 + 8000b458: 02842783 lw a5,40(s0) + 8000b45c: 02f79713 slli a4,a5,0x2f + 8000b460: 0c075a63 bgez a4,8000b534 + 8000b464: ee1f70ef jal ra,80003344 + 8000b468: 00900513 li a0,9 + 8000b46c: bd1f60ef jal ra,8000203c + 8000b470: 00050913 mv s2,a0 + 8000b474: 00051e63 bnez a0,8000b490 + 8000b478: 08e00613 li a2,142 + 8000b47c: 00005597 auipc a1,0x5 + 8000b480: 0d458593 addi a1,a1,212 # 80010550 <__FUNCTION__.4144> + 8000b484: 00002517 auipc a0,0x2 + 8000b488: 40c50513 addi a0,a0,1036 # 8000d890 <__fsym_list_mem_name+0x10> + 8000b48c: ca9f90ef jal ra,80005134 + 8000b490: 00893783 ld a5,8(s2) + 8000b494: 00000993 li s3,0 + 8000b498: 00890a13 addi s4,s2,8 + 8000b49c: 05479863 bne a5,s4,8000b4ec + 8000b4a0: 02099513 slli a0,s3,0x20 + 8000b4a4: 02055513 srli a0,a0,0x20 + 8000b4a8: 00250513 addi a0,a0,2 + 8000b4ac: 00351513 slli a0,a0,0x3 + 8000b4b0: c30f60ef jal ra,800018e0 + 8000b4b4: 00050493 mv s1,a0 + 8000b4b8: 04051063 bnez a0,8000b4f8 + 8000b4bc: eb5f70ef jal ra,80003370 + 8000b4c0: 04943023 sd s1,64(s0) + 8000b4c4: 00000793 li a5,0 + 8000b4c8: 02813083 ld ra,40(sp) + 8000b4cc: 02013403 ld s0,32(sp) + 8000b4d0: 01813483 ld s1,24(sp) + 8000b4d4: 01013903 ld s2,16(sp) + 8000b4d8: 00813983 ld s3,8(sp) + 8000b4dc: 00013a03 ld s4,0(sp) + 8000b4e0: 00078513 mv a0,a5 + 8000b4e4: 03010113 addi sp,sp,48 + 8000b4e8: 00008067 ret + 8000b4ec: 0007b783 ld a5,0(a5) # 8000 <__STACKSIZE__+0x4000> + 8000b4f0: 0019899b addiw s3,s3,1 + 8000b4f4: fa9ff06f j 8000b49c + 8000b4f8: 00893703 ld a4,8(s2) + 8000b4fc: 01050613 addi a2,a0,16 + 8000b500: 00c53023 sd a2,0(a0) + 8000b504: 00051423 sh zero,8(a0) + 8000b508: 01351523 sh s3,10(a0) + 8000b50c: 00000693 li a3,0 + 8000b510: faea06e3 beq s4,a4,8000b4bc + 8000b514: 02069793 slli a5,a3,0x20 + 8000b518: 01d7d793 srli a5,a5,0x1d + 8000b51c: fe870593 addi a1,a4,-24 # 3fe8 <__STACKSIZE__-0x18> + 8000b520: 00f607b3 add a5,a2,a5 + 8000b524: 00073703 ld a4,0(a4) + 8000b528: 00b7b023 sd a1,0(a5) + 8000b52c: 0016869b addiw a3,a3,1 + 8000b530: fe1ff06f j 8000b510 + 8000b534: 00150513 addi a0,a0,1 + 8000b538: ea1f70ef jal ra,800033d8 + 8000b53c: 00050493 mv s1,a0 + 8000b540: fed00793 li a5,-19 + 8000b544: f80502e3 beqz a0,8000b4c8 + 8000b548: 00300593 li a1,3 + 8000b54c: f0df70ef jal ra,80003458 + 8000b550: 00050663 beqz a0,8000b55c + 8000b554: ffa00793 li a5,-6 + 8000b558: 00f51a63 bne a0,a5,8000b56c + 8000b55c: 00400793 li a5,4 + 8000b560: 04943023 sd s1,64(s0) + 8000b564: 00f41123 sh a5,2(s0) + 8000b568: f5dff06f j 8000b4c4 + 8000b56c: 04043023 sd zero,64(s0) + 8000b570: ffb00793 li a5,-5 + 8000b574: f55ff06f j 8000b4c8 + +000000008000b578 : + 8000b578: ff010113 addi sp,sp,-16 + 8000b57c: 00005517 auipc a0,0x5 + 8000b580: 04c50513 addi a0,a0,76 # 800105c8 <_device_fs> + 8000b584: 00113423 sd ra,8(sp) + 8000b588: a40ff0ef jal ra,8000a7c8 + 8000b58c: 00813083 ld ra,8(sp) + 8000b590: 00000513 li a0,0 + 8000b594: 01010113 addi sp,sp,16 + 8000b598: 00008067 ret + +000000008000b59c : + 8000b59c: 00060863 beqz a2,8000b5ac + 8000b5a0: 00c53c23 sd a2,24(a0) + 8000b5a4: 00000513 li a0,0 + 8000b5a8: 00008067 ret + 8000b5ac: ffb00513 li a0,-5 + 8000b5b0: 00008067 ret + +000000008000b5b4 : + 8000b5b4: 00000513 li a0,0 + 8000b5b8: 00008067 ret + +000000008000b5bc : + 8000b5bc: ffb00513 li a0,-5 + 8000b5c0: 00008067 ret + +000000008000b5c4 : + 8000b5c4: 00052703 lw a4,0(a0) + 8000b5c8: 00100793 li a5,1 + 8000b5cc: 00e7ec63 bltu a5,a4,8000b5e4 + 8000b5d0: 01853503 ld a0,24(a0) + 8000b5d4: 00150513 addi a0,a0,1 + 8000b5d8: 00153513 seqz a0,a0 + 8000b5dc: 40a00533 neg a0,a0 + 8000b5e0: 00008067 ret + 8000b5e4: fff00513 li a0,-1 + 8000b5e8: 00008067 ret + +000000008000b5ec : + 8000b5ec: 03053783 ld a5,48(a0) + 8000b5f0: 00b7e863 bltu a5,a1,8000b600 + 8000b5f4: 02b53c23 sd a1,56(a0) + 8000b5f8: 0005851b sext.w a0,a1 + 8000b5fc: 00008067 ret + 8000b600: ffb00513 li a0,-5 + 8000b604: 00008067 ret + +000000008000b608 : + 8000b608: 04053023 sd zero,64(a0) + 8000b60c: 00000513 li a0,0 + 8000b610: 00008067 ret + +000000008000b614 : + 8000b614: fd010113 addi sp,sp,-48 + 8000b618: 01413023 sd s4,0(sp) + 8000b61c: 04053a03 ld s4,64(a0) + 8000b620: 00913c23 sd s1,24(sp) + 8000b624: 01213823 sd s2,16(sp) + 8000b628: 01313423 sd s3,8(sp) + 8000b62c: 02113423 sd ra,40(sp) + 8000b630: 02813023 sd s0,32(sp) + 8000b634: 00050493 mv s1,a0 + 8000b638: 00058993 mv s3,a1 + 8000b63c: 00060913 mv s2,a2 + 8000b640: 000a1e63 bnez s4,8000b65c + 8000b644: 08900613 li a2,137 + 8000b648: 00005597 auipc a1,0x5 + 8000b64c: 01058593 addi a1,a1,16 # 80010658 <__FUNCTION__.4153> + 8000b650: 00005517 auipc a0,0x5 + 8000b654: fc850513 addi a0,a0,-56 # 80010618 <_device_fs+0x50> + 8000b658: addf90ef jal ra,80005134 + 8000b65c: 000a0513 mv a0,s4 + 8000b660: f65ff0ef jal ra,8000b5c4 + 8000b664: ffb00413 li s0,-5 + 8000b668: 04051063 bnez a0,8000b6a8 + 8000b66c: 0384b783 ld a5,56(s1) + 8000b670: 0304b403 ld s0,48(s1) + 8000b674: 40f40433 sub s0,s0,a5 + 8000b678: 00897463 bgeu s2,s0,8000b680 + 8000b67c: 00090413 mv s0,s2 + 8000b680: 00040c63 beqz s0,8000b698 + 8000b684: 010a3583 ld a1,16(s4) + 8000b688: 00040613 mv a2,s0 + 8000b68c: 00098513 mv a0,s3 + 8000b690: 00f585b3 add a1,a1,a5 + 8000b694: fe1f40ef jal ra,80000674 + 8000b698: 0384b783 ld a5,56(s1) + 8000b69c: 008787b3 add a5,a5,s0 + 8000b6a0: 02f4bc23 sd a5,56(s1) + 8000b6a4: 0004041b sext.w s0,s0 + 8000b6a8: 02813083 ld ra,40(sp) + 8000b6ac: 00040513 mv a0,s0 + 8000b6b0: 02013403 ld s0,32(sp) + 8000b6b4: 01813483 ld s1,24(sp) + 8000b6b8: 01013903 ld s2,16(sp) + 8000b6bc: 00813983 ld s3,8(sp) + 8000b6c0: 00013a03 ld s4,0(sp) + 8000b6c4: 03010113 addi sp,sp,48 + 8000b6c8: 00008067 ret + +000000008000b6cc : + 8000b6cc: fa010113 addi sp,sp,-96 + 8000b6d0: 03313c23 sd s3,56(sp) + 8000b6d4: 04053983 ld s3,64(a0) + 8000b6d8: 04913423 sd s1,72(sp) + 8000b6dc: 00050493 mv s1,a0 + 8000b6e0: 00098513 mv a0,s3 + 8000b6e4: 04813823 sd s0,80(sp) + 8000b6e8: 05213023 sd s2,64(sp) + 8000b6ec: 04113c23 sd ra,88(sp) + 8000b6f0: 03413823 sd s4,48(sp) + 8000b6f4: 03513423 sd s5,40(sp) + 8000b6f8: 03613023 sd s6,32(sp) + 8000b6fc: 01713c23 sd s7,24(sp) + 8000b700: 00058413 mv s0,a1 + 8000b704: 00060913 mv s2,a2 + 8000b708: ebdff0ef jal ra,8000b5c4 + 8000b70c: 10051663 bnez a0,8000b818 + 8000b710: 0009a703 lw a4,0(s3) + 8000b714: 00100793 li a5,1 + 8000b718: 00f70e63 beq a4,a5,8000b734 + 8000b71c: 0fd00613 li a2,253 + 8000b720: 00005597 auipc a1,0x5 + 8000b724: f4858593 addi a1,a1,-184 # 80010668 <__FUNCTION__.4186> + 8000b728: 00005517 auipc a0,0x5 + 8000b72c: f0050513 addi a0,a0,-256 # 80010628 <_device_fs+0x60> + 8000b730: a05f90ef jal ra,80005134 + 8000b734: 02091513 slli a0,s2,0x20 + 8000b738: 10400593 li a1,260 + 8000b73c: 02055513 srli a0,a0,0x20 + 8000b740: aadf40ef jal ra,800001ec <__udivdi3> + 8000b744: 10300793 li a5,259 + 8000b748: 0109ba03 ld s4,16(s3) + 8000b74c: 0005099b sext.w s3,a0 + 8000b750: fea00513 li a0,-22 + 8000b754: 0327f863 bgeu a5,s2,8000b784 + 8000b758: 02099993 slli s3,s3,0x20 + 8000b75c: 00440413 addi s0,s0,4 + 8000b760: 00000913 li s2,0 + 8000b764: 0209d993 srli s3,s3,0x20 + 8000b768: 00100a93 li s5,1 + 8000b76c: 00200b93 li s7,2 + 8000b770: 10400b13 li s6,260 + 8000b774: 05396263 bltu s2,s3,8000b7b8 + 8000b778: 0069151b slliw a0,s2,0x6 + 8000b77c: 0125053b addw a0,a0,s2 + 8000b780: 0025151b slliw a0,a0,0x2 + 8000b784: 05813083 ld ra,88(sp) + 8000b788: 05013403 ld s0,80(sp) + 8000b78c: 04813483 ld s1,72(sp) + 8000b790: 04013903 ld s2,64(sp) + 8000b794: 03813983 ld s3,56(sp) + 8000b798: 03013a03 ld s4,48(sp) + 8000b79c: 02813a83 ld s5,40(sp) + 8000b7a0: 02013b03 ld s6,32(sp) + 8000b7a4: 01813b83 ld s7,24(sp) + 8000b7a8: 06010113 addi sp,sp,96 + 8000b7ac: 00008067 ret + 8000b7b0: ff540e23 sb s5,-4(s0) + 8000b7b4: 0280006f j 8000b7dc + 8000b7b8: 0384b783 ld a5,56(s1) + 8000b7bc: 0304b703 ld a4,48(s1) + 8000b7c0: fae7fce3 bgeu a5,a4,8000b778 + 8000b7c4: 00579793 slli a5,a5,0x5 + 8000b7c8: 00fa07b3 add a5,s4,a5 + 8000b7cc: 0087b583 ld a1,8(a5) + 8000b7d0: 0007a783 lw a5,0(a5) + 8000b7d4: fd579ee3 bne a5,s5,8000b7b0 + 8000b7d8: ff740e23 sb s7,-4(s0) + 8000b7dc: 00058513 mv a0,a1 + 8000b7e0: 00b13423 sd a1,8(sp) + 8000b7e4: 8bcf90ef jal ra,800048a0 + 8000b7e8: 00813583 ld a1,8(sp) + 8000b7ec: fea40ea3 sb a0,-3(s0) + 8000b7f0: ff641f23 sh s6,-2(s0) + 8000b7f4: 00040513 mv a0,s0 + 8000b7f8: 10000613 li a2,256 + 8000b7fc: ffdf80ef jal ra,800047f8 + 8000b800: 0384b783 ld a5,56(s1) + 8000b804: 00190913 addi s2,s2,1 + 8000b808: 10440413 addi s0,s0,260 + 8000b80c: 00178793 addi a5,a5,1 + 8000b810: 02f4bc23 sd a5,56(s1) + 8000b814: f61ff06f j 8000b774 + 8000b818: ffb00513 li a0,-5 + 8000b81c: f69ff06f j 8000b784 + +000000008000b820 : + 8000b820: ff010113 addi sp,sp,-16 + 8000b824: 00005517 auipc a0,0x5 + 8000b828: ea450513 addi a0,a0,-348 # 800106c8 <_romfs> + 8000b82c: 00113423 sd ra,8(sp) + 8000b830: f99fe0ef jal ra,8000a7c8 + 8000b834: 00813083 ld ra,8(sp) + 8000b838: 00000513 li a0,0 + 8000b83c: 01010113 addi sp,sp,16 + 8000b840: 00008067 ret + +000000008000b844 : + 8000b844: fa010113 addi sp,sp,-96 + 8000b848: 04813823 sd s0,80(sp) + 8000b84c: 03313c23 sd s3,56(sp) + 8000b850: 03513423 sd s5,40(sp) + 8000b854: 04113c23 sd ra,88(sp) + 8000b858: 04913423 sd s1,72(sp) + 8000b85c: 05213023 sd s2,64(sp) + 8000b860: 03413823 sd s4,48(sp) + 8000b864: 03613023 sd s6,32(sp) + 8000b868: 01713c23 sd s7,24(sp) + 8000b86c: 01813823 sd s8,16(sp) + 8000b870: 01913423 sd s9,8(sp) + 8000b874: 00050993 mv s3,a0 + 8000b878: 00058413 mv s0,a1 + 8000b87c: 00060a93 mv s5,a2 + 8000b880: d45ff0ef jal ra,8000b5c4 + 8000b884: 04050063 beqz a0,8000b8c4 + 8000b888: 00000993 li s3,0 + 8000b88c: 05813083 ld ra,88(sp) + 8000b890: 05013403 ld s0,80(sp) + 8000b894: 04813483 ld s1,72(sp) + 8000b898: 04013903 ld s2,64(sp) + 8000b89c: 03013a03 ld s4,48(sp) + 8000b8a0: 02813a83 ld s5,40(sp) + 8000b8a4: 02013b03 ld s6,32(sp) + 8000b8a8: 01813b83 ld s7,24(sp) + 8000b8ac: 01013c03 ld s8,16(sp) + 8000b8b0: 00813c83 ld s9,8(sp) + 8000b8b4: 00098513 mv a0,s3 + 8000b8b8: 03813983 ld s3,56(sp) + 8000b8bc: 06010113 addi sp,sp,96 + 8000b8c0: 00008067 ret + 8000b8c4: 00044703 lbu a4,0(s0) + 8000b8c8: 02f00793 li a5,47 + 8000b8cc: 0189ba03 ld s4,24(s3) + 8000b8d0: 00f71a63 bne a4,a5,8000b8e4 + 8000b8d4: 00144783 lbu a5,1(s0) + 8000b8d8: 00079663 bnez a5,8000b8e4 + 8000b8dc: 014ab023 sd s4,0(s5) + 8000b8e0: fadff06f j 8000b88c + 8000b8e4: 0109b483 ld s1,16(s3) + 8000b8e8: 02f00793 li a5,47 + 8000b8ec: 00044703 lbu a4,0(s0) + 8000b8f0: 02f70263 beq a4,a5,8000b914 + 8000b8f4: 00040913 mv s2,s0 + 8000b8f8: 02f00713 li a4,47 + 8000b8fc: 00094783 lbu a5,0(s2) + 8000b900: 00e78463 beq a5,a4,8000b908 + 8000b904: 00079c63 bnez a5,8000b91c + 8000b908: 02f00c13 li s8,47 + 8000b90c: 00100c93 li s9,1 + 8000b910: 0900006f j 8000b9a0 + 8000b914: 00140413 addi s0,s0,1 + 8000b918: fd5ff06f j 8000b8ec + 8000b91c: 00190913 addi s2,s2,1 + 8000b920: fddff06f j 8000b8fc + 8000b924: 00140413 addi s0,s0,1 + 8000b928: 0500006f j 8000b978 + 8000b92c: 00190913 addi s2,s2,1 + 8000b930: 0540006f j 8000b984 + 8000b934: 001b0b13 addi s6,s6,1 + 8000b938: 02048493 addi s1,s1,32 + 8000b93c: f54b06e3 beq s6,s4,8000b888 + 8000b940: 00048513 mv a0,s1 + 8000b944: 00048993 mv s3,s1 + 8000b948: c7dff0ef jal ra,8000b5c4 + 8000b94c: f2051ee3 bnez a0,8000b888 + 8000b950: 0084b503 ld a0,8(s1) + 8000b954: f4df80ef jal ra,800048a0 + 8000b958: fd751ee3 bne a0,s7,8000b934 + 8000b95c: 0084b503 ld a0,8(s1) + 8000b960: 000b8613 mv a2,s7 + 8000b964: 00040593 mv a1,s0 + 8000b968: ed5f80ef jal ra,8000483c + 8000b96c: fc0514e3 bnez a0,8000b934 + 8000b970: 0184ba03 ld s4,24(s1) + 8000b974: 00090413 mv s0,s2 + 8000b978: 00044783 lbu a5,0(s0) + 8000b97c: fb8784e3 beq a5,s8,8000b924 + 8000b980: 00040913 mv s2,s0 + 8000b984: 00094703 lbu a4,0(s2) + 8000b988: 01870463 beq a4,s8,8000b990 + 8000b98c: fa0710e3 bnez a4,8000b92c + 8000b990: f40786e3 beqz a5,8000b8dc + 8000b994: 0004a783 lw a5,0(s1) + 8000b998: ef9798e3 bne a5,s9,8000b888 + 8000b99c: 0104b483 ld s1,16(s1) + 8000b9a0: ee0484e3 beqz s1,8000b888 + 8000b9a4: 00000b13 li s6,0 + 8000b9a8: 40890bb3 sub s7,s2,s0 + 8000b9ac: f91ff06f j 8000b93c + +000000008000b9b0 : + 8000b9b0: 04053783 ld a5,64(a0) + 8000b9b4: fd010113 addi sp,sp,-48 + 8000b9b8: 01213823 sd s2,16(sp) + 8000b9bc: 0187b903 ld s2,24(a5) + 8000b9c0: 00913c23 sd s1,24(sp) + 8000b9c4: 00050493 mv s1,a0 + 8000b9c8: 00090513 mv a0,s2 + 8000b9cc: 02813023 sd s0,32(sp) + 8000b9d0: 02113423 sd ra,40(sp) + 8000b9d4: bf1ff0ef jal ra,8000b5c4 + 8000b9d8: ffb00413 li s0,-5 + 8000b9dc: 06051263 bnez a0,8000ba40 + 8000b9e0: 0284a783 lw a5,40(s1) + 8000b9e4: fea00413 li s0,-22 + 8000b9e8: 6437f793 andi a5,a5,1603 + 8000b9ec: 04079a63 bnez a5,8000ba40 + 8000b9f0: 0084b583 ld a1,8(s1) + 8000b9f4: 00050413 mv s0,a0 + 8000b9f8: 00810613 addi a2,sp,8 + 8000b9fc: 00090513 mv a0,s2 + 8000ba00: e45ff0ef jal ra,8000b844 + 8000ba04: 02050063 beqz a0,8000ba24 + 8000ba08: 0284a783 lw a5,40(s1) + 8000ba0c: 00052683 lw a3,0(a0) + 8000ba10: 00010737 lui a4,0x10 + 8000ba14: 00e7f7b3 and a5,a5,a4 + 8000ba18: 00100713 li a4,1 + 8000ba1c: 00e69863 bne a3,a4,8000ba2c + 8000ba20: 00079863 bnez a5,8000ba30 + 8000ba24: ffe00413 li s0,-2 + 8000ba28: 0180006f j 8000ba40 + 8000ba2c: fe079ce3 bnez a5,8000ba24 + 8000ba30: 00813783 ld a5,8(sp) + 8000ba34: 04a4b023 sd a0,64(s1) + 8000ba38: 0204bc23 sd zero,56(s1) + 8000ba3c: 02f4b823 sd a5,48(s1) + 8000ba40: 02813083 ld ra,40(sp) + 8000ba44: 00040513 mv a0,s0 + 8000ba48: 02013403 ld s0,32(sp) + 8000ba4c: 01813483 ld s1,24(sp) + 8000ba50: 01013903 ld s2,16(sp) + 8000ba54: 03010113 addi sp,sp,48 + 8000ba58: 00008067 ret + +000000008000ba5c : + 8000ba5c: 01853503 ld a0,24(a0) + 8000ba60: fe010113 addi sp,sp,-32 + 8000ba64: 00813823 sd s0,16(sp) + 8000ba68: 00060413 mv s0,a2 + 8000ba6c: 00810613 addi a2,sp,8 + 8000ba70: 00113c23 sd ra,24(sp) + 8000ba74: dd1ff0ef jal ra,8000b844 + 8000ba78: 04050663 beqz a0,8000bac4 + 8000ba7c: 000087b7 lui a5,0x8 + 8000ba80: 00052703 lw a4,0(a0) + 8000ba84: 1b67879b addiw a5,a5,438 + 8000ba88: 00f42823 sw a5,16(s0) + 8000ba8c: 00043023 sd zero,0(s0) + 8000ba90: 00100793 li a5,1 + 8000ba94: 00f71863 bne a4,a5,8000baa4 + 8000ba98: 000047b7 lui a5,0x4 + 8000ba9c: 1ff7879b addiw a5,a5,511 + 8000baa0: 00f42823 sw a5,16(s0) + 8000baa4: 01853783 ld a5,24(a0) + 8000baa8: 04043c23 sd zero,88(s0) + 8000baac: 00000513 li a0,0 + 8000bab0: 02f43823 sd a5,48(s0) + 8000bab4: 01813083 ld ra,24(sp) + 8000bab8: 01013403 ld s0,16(sp) + 8000babc: 02010113 addi sp,sp,32 + 8000bac0: 00008067 ret + 8000bac4: ffe00513 li a0,-2 + 8000bac8: fedff06f j 8000bab4 + +000000008000bacc : + 8000bacc: 00017797 auipc a5,0x17 + 8000bad0: fa478793 addi a5,a5,-92 # 80022a70 <_hw_pin> + 8000bad4: 0807b703 ld a4,128(a5) + 8000bad8: fe010113 addi sp,sp,-32 + 8000badc: 00813823 sd s0,16(sp) + 8000bae0: 00913423 sd s1,8(sp) + 8000bae4: 01213023 sd s2,0(sp) + 8000bae8: 00113c23 sd ra,24(sp) + 8000baec: 00050493 mv s1,a0 + 8000baf0: 00058913 mv s2,a1 + 8000baf4: 00078413 mv s0,a5 + 8000baf8: 00071e63 bnez a4,8000bb14 + 8000bafc: 08a00613 li a2,138 + 8000bb00: 00005597 auipc a1,0x5 + 8000bb04: c4058593 addi a1,a1,-960 # 80010740 <__FUNCTION__.3713> + 8000bb08: 00005517 auipc a0,0x5 + 8000bb0c: c1050513 addi a0,a0,-1008 # 80010718 <_romfs+0x50> + 8000bb10: e24f90ef jal ra,80005134 + 8000bb14: 08043783 ld a5,128(s0) + 8000bb18: 01013403 ld s0,16(sp) + 8000bb1c: 01813083 ld ra,24(sp) + 8000bb20: 0007b303 ld t1,0(a5) + 8000bb24: 00090613 mv a2,s2 + 8000bb28: 00048593 mv a1,s1 + 8000bb2c: 00013903 ld s2,0(sp) + 8000bb30: 00813483 ld s1,8(sp) + 8000bb34: 00017517 auipc a0,0x17 + 8000bb38: f3c50513 addi a0,a0,-196 # 80022a70 <_hw_pin> + 8000bb3c: 02010113 addi sp,sp,32 + 8000bb40: 00030067 jr t1 + +000000008000bb44 : + 8000bb44: 00017797 auipc a5,0x17 + 8000bb48: f2c78793 addi a5,a5,-212 # 80022a70 <_hw_pin> + 8000bb4c: 0807b703 ld a4,128(a5) + 8000bb50: fe010113 addi sp,sp,-32 + 8000bb54: 00813823 sd s0,16(sp) + 8000bb58: 00913423 sd s1,8(sp) + 8000bb5c: 01213023 sd s2,0(sp) + 8000bb60: 00113c23 sd ra,24(sp) + 8000bb64: 00050493 mv s1,a0 + 8000bb68: 00058913 mv s2,a1 + 8000bb6c: 00078413 mv s0,a5 + 8000bb70: 00071e63 bnez a4,8000bb8c + 8000bb74: 09100613 li a2,145 + 8000bb78: 00005597 auipc a1,0x5 + 8000bb7c: bd858593 addi a1,a1,-1064 # 80010750 <__FUNCTION__.3721> + 8000bb80: 00005517 auipc a0,0x5 + 8000bb84: b9850513 addi a0,a0,-1128 # 80010718 <_romfs+0x50> + 8000bb88: dacf90ef jal ra,80005134 + 8000bb8c: 08043783 ld a5,128(s0) + 8000bb90: 01013403 ld s0,16(sp) + 8000bb94: 01813083 ld ra,24(sp) + 8000bb98: 0087b303 ld t1,8(a5) + 8000bb9c: 00090613 mv a2,s2 + 8000bba0: 00048593 mv a1,s1 + 8000bba4: 00013903 ld s2,0(sp) + 8000bba8: 00813483 ld s1,8(sp) + 8000bbac: 00017517 auipc a0,0x17 + 8000bbb0: ec450513 addi a0,a0,-316 # 80022a70 <_hw_pin> + 8000bbb4: 02010113 addi sp,sp,32 + 8000bbb8: 00030067 jr t1 + +000000008000bbbc : + 8000bbbc: 00017797 auipc a5,0x17 + 8000bbc0: eb478793 addi a5,a5,-332 # 80022a70 <_hw_pin> + 8000bbc4: 0807b703 ld a4,128(a5) + 8000bbc8: fe010113 addi sp,sp,-32 + 8000bbcc: 00813823 sd s0,16(sp) + 8000bbd0: 00913423 sd s1,8(sp) + 8000bbd4: 00113c23 sd ra,24(sp) + 8000bbd8: 00050493 mv s1,a0 + 8000bbdc: 00078413 mv s0,a5 + 8000bbe0: 00071e63 bnez a4,8000bbfc + 8000bbe4: 09800613 li a2,152 + 8000bbe8: 00005597 auipc a1,0x5 + 8000bbec: b7858593 addi a1,a1,-1160 # 80010760 <__FUNCTION__.3728> + 8000bbf0: 00005517 auipc a0,0x5 + 8000bbf4: b2850513 addi a0,a0,-1240 # 80010718 <_romfs+0x50> + 8000bbf8: d3cf90ef jal ra,80005134 + 8000bbfc: 08043783 ld a5,128(s0) + 8000bc00: 01013403 ld s0,16(sp) + 8000bc04: 01813083 ld ra,24(sp) + 8000bc08: 0107b303 ld t1,16(a5) + 8000bc0c: 00048593 mv a1,s1 + 8000bc10: 00813483 ld s1,8(sp) + 8000bc14: 00017517 auipc a0,0x17 + 8000bc18: e5c50513 addi a0,a0,-420 # 80022a70 <_hw_pin> + 8000bc1c: 02010113 addi sp,sp,32 + 8000bc20: 00030067 jr t1 + +000000008000bc24 : + 8000bc24: 00017797 auipc a5,0x17 + 8000bc28: e4c78793 addi a5,a5,-436 # 80022a70 <_hw_pin> + 8000bc2c: 0807b703 ld a4,128(a5) + 8000bc30: fe010113 addi sp,sp,-32 + 8000bc34: 00813823 sd s0,16(sp) + 8000bc38: 00913423 sd s1,8(sp) + 8000bc3c: 00113c23 sd ra,24(sp) + 8000bc40: 00050413 mv s0,a0 + 8000bc44: 00078493 mv s1,a5 + 8000bc48: 00071e63 bnez a4,8000bc64 + 8000bc4c: 09f00613 li a2,159 + 8000bc50: 00005597 auipc a1,0x5 + 8000bc54: b2058593 addi a1,a1,-1248 # 80010770 <__FUNCTION__.3735> + 8000bc58: 00005517 auipc a0,0x5 + 8000bc5c: ac050513 addi a0,a0,-1344 # 80010718 <_romfs+0x50> + 8000bc60: cd4f90ef jal ra,80005134 + 8000bc64: 00044703 lbu a4,0(s0) + 8000bc68: 05000793 li a5,80 + 8000bc6c: 00f70e63 beq a4,a5,8000bc88 + 8000bc70: 0a000613 li a2,160 + 8000bc74: 00005597 auipc a1,0x5 + 8000bc78: afc58593 addi a1,a1,-1284 # 80010770 <__FUNCTION__.3735> + 8000bc7c: 00005517 auipc a0,0x5 + 8000bc80: ab450513 addi a0,a0,-1356 # 80010730 <_romfs+0x68> + 8000bc84: cb0f90ef jal ra,80005134 + 8000bc88: 0804b783 ld a5,128(s1) + 8000bc8c: 0307b303 ld t1,48(a5) + 8000bc90: 00030e63 beqz t1,8000bcac + 8000bc94: 00040513 mv a0,s0 + 8000bc98: 01013403 ld s0,16(sp) + 8000bc9c: 01813083 ld ra,24(sp) + 8000bca0: 00813483 ld s1,8(sp) + 8000bca4: 02010113 addi sp,sp,32 + 8000bca8: 00030067 jr t1 + 8000bcac: 01813083 ld ra,24(sp) + 8000bcb0: 01013403 ld s0,16(sp) + 8000bcb4: 00813483 ld s1,8(sp) + 8000bcb8: ffa00513 li a0,-6 + 8000bcbc: 02010113 addi sp,sp,32 + 8000bcc0: 00008067 ret + +000000008000bcc4 : + 8000bcc4: fd010113 addi sp,sp,-48 + 8000bcc8: 02813023 sd s0,32(sp) + 8000bccc: 00913c23 sd s1,24(sp) + 8000bcd0: 01213823 sd s2,16(sp) + 8000bcd4: 01313423 sd s3,8(sp) + 8000bcd8: 02113423 sd ra,40(sp) + 8000bcdc: 00050413 mv s0,a0 + 8000bce0: 00058493 mv s1,a1 + 8000bce4: 00060913 mv s2,a2 + 8000bce8: 00068993 mv s3,a3 + 8000bcec: 00051e63 bnez a0,8000bd08 + 8000bcf0: 01e00613 li a2,30 + 8000bcf4: 00005597 auipc a1,0x5 + 8000bcf8: b7c58593 addi a1,a1,-1156 # 80010870 <__FUNCTION__.3029> + 8000bcfc: 00005517 auipc a0,0x5 + 8000bd00: b2c50513 addi a0,a0,-1236 # 80010828 <__fsym_pinMode_name+0x8> + 8000bd04: c30f90ef jal ra,80005134 + 8000bd08: 00049e63 bnez s1,8000bd24 + 8000bd0c: 01f00613 li a2,31 + 8000bd10: 00005597 auipc a1,0x5 + 8000bd14: b6058593 addi a1,a1,-1184 # 80010870 <__FUNCTION__.3029> + 8000bd18: 00005517 auipc a0,0x5 + 8000bd1c: b2850513 addi a0,a0,-1240 # 80010840 <__fsym_pinMode_name+0x20> + 8000bd20: c14f90ef jal ra,80005134 + 8000bd24: bead17b7 lui a5,0xbead1 + 8000bd28: e0e7879b addiw a5,a5,-498 + 8000bd2c: 00f42023 sw a5,0(s0) + 8000bd30: 000087b7 lui a5,0x8 + 8000bd34: 00f42423 sw a5,8(s0) + 8000bd38: 01840793 addi a5,s0,24 + 8000bd3c: 02f43023 sd a5,32(s0) + 8000bd40: 00f43c23 sd a5,24(s0) + 8000bd44: 02840793 addi a5,s0,40 + 8000bd48: 02f43823 sd a5,48(s0) + 8000bd4c: 02f43423 sd a5,40(s0) + 8000bd50: 03343c23 sd s3,56(s0) + 8000bd54: 00941223 sh s1,4(s0) + 8000bd58: 01241323 sh s2,6(s0) + 8000bd5c: 00449513 slli a0,s1,0x4 + 8000bd60: b81f50ef jal ra,800018e0 + 8000bd64: 00050793 mv a5,a0 + 8000bd68: 00a43823 sd a0,16(s0) + 8000bd6c: 00000513 li a0,0 + 8000bd70: 00079463 bnez a5,8000bd78 + 8000bd74: ffb00513 li a0,-5 + 8000bd78: 02813083 ld ra,40(sp) + 8000bd7c: 02013403 ld s0,32(sp) + 8000bd80: 01813483 ld s1,24(sp) + 8000bd84: 01013903 ld s2,16(sp) + 8000bd88: 00813983 ld s3,8(sp) + 8000bd8c: 03010113 addi sp,sp,48 + 8000bd90: 00008067 ret + +000000008000bd94 : + 8000bd94: f8010113 addi sp,sp,-128 + 8000bd98: 06813823 sd s0,112(sp) + 8000bd9c: 05413823 sd s4,80(sp) + 8000bda0: 05513423 sd s5,72(sp) + 8000bda4: 06113c23 sd ra,120(sp) + 8000bda8: 06913423 sd s1,104(sp) + 8000bdac: 07213023 sd s2,96(sp) + 8000bdb0: 05313c23 sd s3,88(sp) + 8000bdb4: 05613023 sd s6,64(sp) + 8000bdb8: 03713c23 sd s7,56(sp) + 8000bdbc: 03813823 sd s8,48(sp) + 8000bdc0: 03913423 sd s9,40(sp) + 8000bdc4: 03a13023 sd s10,32(sp) + 8000bdc8: 01b13c23 sd s11,24(sp) + 8000bdcc: 00d12623 sw a3,12(sp) + 8000bdd0: 00050413 mv s0,a0 + 8000bdd4: 00058a93 mv s5,a1 + 8000bdd8: 00060a13 mv s4,a2 + 8000bddc: 00051e63 bnez a0,8000bdf8 + 8000bde0: 04200613 li a2,66 + 8000bde4: 00005597 auipc a1,0x5 + 8000bde8: aa458593 addi a1,a1,-1372 # 80010888 <__FUNCTION__.3039> + 8000bdec: 00005517 auipc a0,0x5 + 8000bdf0: a3c50513 addi a0,a0,-1476 # 80010828 <__fsym_pinMode_name+0x8> + 8000bdf4: b40f90ef jal ra,80005134 + 8000bdf8: 00042703 lw a4,0(s0) + 8000bdfc: bead17b7 lui a5,0xbead1 + 8000be00: e0e78793 addi a5,a5,-498 # ffffffffbead0e0e <__bss_end+0xffffffff3eaae316> + 8000be04: 00f70e63 beq a4,a5,8000be20 + 8000be08: 04300613 li a2,67 + 8000be0c: 00005597 auipc a1,0x5 + 8000be10: a7c58593 addi a1,a1,-1412 # 80010888 <__FUNCTION__.3039> + 8000be14: 00005517 auipc a0,0x5 + 8000be18: a3c50513 addi a0,a0,-1476 # 80010850 <__fsym_pinMode_name+0x30> + 8000be1c: b18f90ef jal ra,80005134 + 8000be20: a71f60ef jal ra,80002890 + 8000be24: 00050913 mv s2,a0 + 8000be28: a28f40ef jal ra,80000050 + 8000be2c: 00050493 mv s1,a0 + 8000be30: 00005b17 auipc s6,0x5 + 8000be34: a58b0b13 addi s6,s6,-1448 # 80010888 <__FUNCTION__.3039> + 8000be38: 00001c97 auipc s9,0x1 + 8000be3c: 528c8c93 addi s9,s9,1320 # 8000d360 <__FUNCTION__.2718+0x18> + 8000be40: 00001d17 auipc s10,0x1 + 8000be44: 548d0d13 addi s10,s10,1352 # 8000d388 <__FUNCTION__.2718+0x40> + 8000be48: 02890b93 addi s7,s2,40 + 8000be4c: 01840d93 addi s11,s0,24 + 8000be50: 08890c13 addi s8,s2,136 + 8000be54: 00842783 lw a5,8(s0) + 8000be58: 1207c263 bltz a5,8000bf7c + 8000be5c: 00843783 ld a5,8(s0) + 8000be60: 00008737 lui a4,0x8 + 8000be64: fff70713 addi a4,a4,-1 # 7fff <__STACKSIZE__+0x3fff> + 8000be68: 0107d793 srli a5,a5,0x10 + 8000be6c: 00e7f7b3 and a5,a5,a4 + 8000be70: 01043683 ld a3,16(s0) + 8000be74: 03079793 slli a5,a5,0x30 + 8000be78: 0307d793 srli a5,a5,0x30 + 8000be7c: 00479613 slli a2,a5,0x4 + 8000be80: 00c686b3 add a3,a3,a2 + 8000be84: 0017879b addiw a5,a5,1 + 8000be88: 0156b023 sd s5,0(a3) + 8000be8c: 0146b423 sd s4,8(a3) + 8000be90: 00e7f7b3 and a5,a5,a4 + 8000be94: 00842683 lw a3,8(s0) + 8000be98: 03079793 slli a5,a5,0x30 + 8000be9c: 0307d793 srli a5,a5,0x30 + 8000bea0: 80010637 lui a2,0x80010 + 8000bea4: 00e7f733 and a4,a5,a4 + 8000bea8: fff60613 addi a2,a2,-1 # ffffffff8000ffff <__bss_end+0xfffffffefffed507> + 8000beac: 00c6f6b3 and a3,a3,a2 + 8000beb0: 0107171b slliw a4,a4,0x10 + 8000beb4: 00e6e733 or a4,a3,a4 + 8000beb8: 00445683 lhu a3,4(s0) + 8000bebc: 00e42423 sw a4,8(s0) + 8000bec0: 00f69663 bne a3,a5,8000becc + 8000bec4: 00c77733 and a4,a4,a2 + 8000bec8: 00e42423 sw a4,8(s0) + 8000becc: 00842783 lw a5,8(s0) + 8000bed0: ffff8737 lui a4,0xffff8 + 8000bed4: fff70713 addi a4,a4,-1 # ffffffffffff7fff <__bss_end+0xffffffff7ffd5507> + 8000bed8: 00e7f7b3 and a5,a5,a4 + 8000bedc: 00f42423 sw a5,8(s0) + 8000bee0: 00843703 ld a4,8(s0) + 8000bee4: 00008637 lui a2,0x8 + 8000bee8: fff60613 addi a2,a2,-1 # 7fff <__STACKSIZE__+0x3fff> + 8000beec: 01075693 srli a3,a4,0x10 + 8000bef0: 00c6f6b3 and a3,a3,a2 + 8000bef4: 00c77733 and a4,a4,a2 + 8000bef8: 03069693 slli a3,a3,0x30 + 8000befc: 03071713 slli a4,a4,0x30 + 8000bf00: 0306d693 srli a3,a3,0x30 + 8000bf04: 03075713 srli a4,a4,0x30 + 8000bf08: 00e69863 bne a3,a4,8000bf18 + 8000bf0c: 80000737 lui a4,0x80000 + 8000bf10: 00e7e7b3 or a5,a5,a4 + 8000bf14: 00f42423 sw a5,8(s0) + 8000bf18: 02843503 ld a0,40(s0) + 8000bf1c: 02840793 addi a5,s0,40 + 8000bf20: 00000993 li s3,0 + 8000bf24: 0ef50863 beq a0,a5,8000c014 + 8000bf28: fd850513 addi a0,a0,-40 + 8000bf2c: de9f60ef jal ra,80002d14 + 8000bf30: 00048513 mv a0,s1 + 8000bf34: 924f40ef jal ra,80000058 + 8000bf38: a8cf70ef jal ra,800031c4 + 8000bf3c: 07813083 ld ra,120(sp) + 8000bf40: 07013403 ld s0,112(sp) + 8000bf44: 06813483 ld s1,104(sp) + 8000bf48: 06013903 ld s2,96(sp) + 8000bf4c: 05013a03 ld s4,80(sp) + 8000bf50: 04813a83 ld s5,72(sp) + 8000bf54: 04013b03 ld s6,64(sp) + 8000bf58: 03813b83 ld s7,56(sp) + 8000bf5c: 03013c03 ld s8,48(sp) + 8000bf60: 02813c83 ld s9,40(sp) + 8000bf64: 02013d03 ld s10,32(sp) + 8000bf68: 01813d83 ld s11,24(sp) + 8000bf6c: 00098513 mv a0,s3 + 8000bf70: 05813983 ld s3,88(sp) + 8000bf74: 08010113 addi sp,sp,128 + 8000bf78: 00008067 ret + 8000bf7c: 00c12783 lw a5,12(sp) + 8000bf80: 0a078c63 beqz a5,8000c038 + 8000bf84: 8ccf40ef jal ra,80000050 + 8000bf88: 00050993 mv s3,a0 + 8000bf8c: a25f70ef jal ra,800039b0 + 8000bf90: 02050063 beqz a0,8000bfb0 + 8000bf94: 000b0593 mv a1,s6 + 8000bf98: 000c8513 mv a0,s9 + 8000bf9c: 80cf90ef jal ra,80004fa8 + 8000bfa0: 05400613 li a2,84 + 8000bfa4: 000b0593 mv a1,s6 + 8000bfa8: 000d0513 mv a0,s10 + 8000bfac: 988f90ef jal ra,80005134 + 8000bfb0: 00098513 mv a0,s3 + 8000bfb4: 8a4f40ef jal ra,80000058 + 8000bfb8: 00090513 mv a0,s2 + 8000bfbc: 06093023 sd zero,96(s2) + 8000bfc0: b69f60ef jal ra,80002b28 + 8000bfc4: 02043783 ld a5,32(s0) + 8000bfc8: 0177b023 sd s7,0(a5) + 8000bfcc: 02f93823 sd a5,48(s2) + 8000bfd0: 00c12783 lw a5,12(sp) + 8000bfd4: 03743023 sd s7,32(s0) + 8000bfd8: 03b93423 sd s11,40(s2) + 8000bfdc: 00f05e63 blez a5,8000bff8 + 8000bfe0: 00c10613 addi a2,sp,12 + 8000bfe4: 00000593 li a1,0 + 8000bfe8: 000c0513 mv a0,s8 + 8000bfec: c15f40ef jal ra,80000c00 + 8000bff0: 000c0513 mv a0,s8 + 8000bff4: 9bdf40ef jal ra,800009b0 + 8000bff8: 00048513 mv a0,s1 + 8000bffc: 85cf40ef jal ra,80000058 + 8000c000: 9c4f70ef jal ra,800031c4 + 8000c004: 06093983 ld s3,96(s2) + 8000c008: 848f40ef jal ra,80000050 + 8000c00c: 00050493 mv s1,a0 + 8000c010: e40982e3 beqz s3,8000be54 + 8000c014: 00048513 mv a0,s1 + 8000c018: 840f40ef jal ra,80000058 + 8000c01c: f20990e3 bnez s3,8000bf3c + 8000c020: 03843783 ld a5,56(s0) + 8000c024: f0078ce3 beqz a5,8000bf3c + 8000c028: 00200593 li a1,2 + 8000c02c: 00040513 mv a0,s0 + 8000c030: 000780e7 jalr a5 + 8000c034: f09ff06f j 8000bf3c + 8000c038: ffe00993 li s3,-2 + 8000c03c: fd9ff06f j 8000c014 + +000000008000c040 : + 8000c040: fd010113 addi sp,sp,-48 + 8000c044: 02813023 sd s0,32(sp) + 8000c048: 02113423 sd ra,40(sp) + 8000c04c: 00913c23 sd s1,24(sp) + 8000c050: 01213823 sd s2,16(sp) + 8000c054: 01313423 sd s3,8(sp) + 8000c058: 00050413 mv s0,a0 + 8000c05c: 00051e63 bnez a0,8000c078 + 8000c060: 12900613 li a2,297 + 8000c064: 00005597 auipc a1,0x5 + 8000c068: 83c58593 addi a1,a1,-1988 # 800108a0 <__FUNCTION__.3072> + 8000c06c: 00004517 auipc a0,0x4 + 8000c070: 7bc50513 addi a0,a0,1980 # 80010828 <__fsym_pinMode_name+0x8> + 8000c074: 8c0f90ef jal ra,80005134 + 8000c078: 00042703 lw a4,0(s0) + 8000c07c: bead17b7 lui a5,0xbead1 + 8000c080: e0e78793 addi a5,a5,-498 # ffffffffbead0e0e <__bss_end+0xffffffff3eaae316> + 8000c084: 00f70e63 beq a4,a5,8000c0a0 + 8000c088: 12a00613 li a2,298 + 8000c08c: 00005597 auipc a1,0x5 + 8000c090: 81458593 addi a1,a1,-2028 # 800108a0 <__FUNCTION__.3072> + 8000c094: 00004517 auipc a0,0x4 + 8000c098: 7bc50513 addi a0,a0,1980 # 80010850 <__fsym_pinMode_name+0x30> + 8000c09c: 898f90ef jal ra,80005134 + 8000c0a0: fb1f30ef jal ra,80000050 + 8000c0a4: 000087b7 lui a5,0x8 + 8000c0a8: 00f42423 sw a5,8(s0) + 8000c0ac: fadf30ef jal ra,80000058 + 8000c0b0: 02840913 addi s2,s0,40 + 8000c0b4: a90f70ef jal ra,80003344 + 8000c0b8: fff00993 li s3,-1 + 8000c0bc: 02843783 ld a5,40(s0) + 8000c0c0: 03279a63 bne a5,s2,8000c0f4 + 8000c0c4: 01840913 addi s2,s0,24 + 8000c0c8: fff00993 li s3,-1 + 8000c0cc: 01843783 ld a5,24(s0) + 8000c0d0: 05279463 bne a5,s2,8000c118 + 8000c0d4: a9cf70ef jal ra,80003370 + 8000c0d8: 02013403 ld s0,32(sp) + 8000c0dc: 02813083 ld ra,40(sp) + 8000c0e0: 01813483 ld s1,24(sp) + 8000c0e4: 01013903 ld s2,16(sp) + 8000c0e8: 00813983 ld s3,8(sp) + 8000c0ec: 03010113 addi sp,sp,48 + 8000c0f0: 8d4f706f j 800031c4 + 8000c0f4: f5df30ef jal ra,80000050 + 8000c0f8: 00050493 mv s1,a0 + 8000c0fc: 02843503 ld a0,40(s0) + 8000c100: 03353c23 sd s3,56(a0) + 8000c104: fd850513 addi a0,a0,-40 + 8000c108: c0df60ef jal ra,80002d14 + 8000c10c: 00048513 mv a0,s1 + 8000c110: f49f30ef jal ra,80000058 + 8000c114: fa9ff06f j 8000c0bc + 8000c118: f39f30ef jal ra,80000050 + 8000c11c: 00050493 mv s1,a0 + 8000c120: 01843503 ld a0,24(s0) + 8000c124: 03353c23 sd s3,56(a0) + 8000c128: fd850513 addi a0,a0,-40 + 8000c12c: be9f60ef jal ra,80002d14 + 8000c130: 00048513 mv a0,s1 + 8000c134: f25f30ef jal ra,80000058 + 8000c138: f95ff06f j 8000c0cc + +000000008000c13c : + 8000c13c: ff010113 addi sp,sp,-16 + 8000c140: 00813023 sd s0,0(sp) + 8000c144: 00113423 sd ra,8(sp) + 8000c148: 00050413 mv s0,a0 + 8000c14c: 00051e63 bnez a0,8000c168 + 8000c150: 17100613 li a2,369 + 8000c154: 00004597 auipc a1,0x4 + 8000c158: 76458593 addi a1,a1,1892 # 800108b8 <__FUNCTION__.3083> + 8000c15c: 00004517 auipc a0,0x4 + 8000c160: 6cc50513 addi a0,a0,1740 # 80010828 <__fsym_pinMode_name+0x8> + 8000c164: fd1f80ef jal ra,80005134 + 8000c168: 00042703 lw a4,0(s0) + 8000c16c: bead17b7 lui a5,0xbead1 + 8000c170: e0e78793 addi a5,a5,-498 # ffffffffbead0e0e <__bss_end+0xffffffff3eaae316> + 8000c174: 00f70e63 beq a4,a5,8000c190 + 8000c178: 17200613 li a2,370 + 8000c17c: 00004597 auipc a1,0x4 + 8000c180: 73c58593 addi a1,a1,1852 # 800108b8 <__FUNCTION__.3083> + 8000c184: 00004517 auipc a0,0x4 + 8000c188: 6cc50513 addi a0,a0,1740 # 80010850 <__fsym_pinMode_name+0x30> + 8000c18c: fa9f80ef jal ra,80005134 + 8000c190: 00040513 mv a0,s0 + 8000c194: eadff0ef jal ra,8000c040 + 8000c198: eb9f30ef jal ra,80000050 + 8000c19c: 00042023 sw zero,0(s0) + 8000c1a0: eb9f30ef jal ra,80000058 + 8000c1a4: 01043503 ld a0,16(s0) + 8000c1a8: a89f50ef jal ra,80001c30 + 8000c1ac: 00813083 ld ra,8(sp) + 8000c1b0: 00013403 ld s0,0(sp) + 8000c1b4: 00000513 li a0,0 + 8000c1b8: 01010113 addi sp,sp,16 + 8000c1bc: 00008067 ret + +000000008000c1c0 : + 8000c1c0: ff010113 addi sp,sp,-16 + 8000c1c4: 00813023 sd s0,0(sp) + 8000c1c8: 00113423 sd ra,8(sp) + 8000c1cc: 00050413 mv s0,a0 + 8000c1d0: 00051e63 bnez a0,8000c1ec + 8000c1d4: 01500613 li a2,21 + 8000c1d8: 00004597 auipc a1,0x4 + 8000c1dc: 74058593 addi a1,a1,1856 # 80010918 <__FUNCTION__.3023> + 8000c1e0: 00004517 auipc a0,0x4 + 8000c1e4: 6f050513 addi a0,a0,1776 # 800108d0 <__FUNCTION__.3083+0x18> + 8000c1e8: f4df80ef jal ra,80005134 + 8000c1ec: e65f30ef jal ra,80000050 + 8000c1f0: 00840793 addi a5,s0,8 + 8000c1f4: 00042023 sw zero,0(s0) + 8000c1f8: 00f43823 sd a5,16(s0) + 8000c1fc: 00f43423 sd a5,8(s0) + 8000c200: 00013403 ld s0,0(sp) + 8000c204: 00813083 ld ra,8(sp) + 8000c208: 01010113 addi sp,sp,16 + 8000c20c: e4df306f j 80000058 + +000000008000c210 : + 8000c210: fc010113 addi sp,sp,-64 + 8000c214: 02813823 sd s0,48(sp) + 8000c218: 02113c23 sd ra,56(sp) + 8000c21c: 02913423 sd s1,40(sp) + 8000c220: 03213023 sd s2,32(sp) + 8000c224: 01313c23 sd s3,24(sp) + 8000c228: 01413823 sd s4,16(sp) + 8000c22c: 00b12623 sw a1,12(sp) + 8000c230: 00050413 mv s0,a0 + 8000c234: 00051e63 bnez a0,8000c250 + 8000c238: 02400613 li a2,36 + 8000c23c: 00004597 auipc a1,0x4 + 8000c240: 6f458593 addi a1,a1,1780 # 80010930 <__FUNCTION__.3031> + 8000c244: 00004517 auipc a0,0x4 + 8000c248: 68c50513 addi a0,a0,1676 # 800108d0 <__FUNCTION__.3083+0x18> + 8000c24c: ee9f80ef jal ra,80005134 + 8000c250: e40f60ef jal ra,80002890 + 8000c254: 00050493 mv s1,a0 + 8000c258: df9f30ef jal ra,80000050 + 8000c25c: 00042703 lw a4,0(s0) + 8000c260: 00100793 li a5,1 + 8000c264: 00050913 mv s2,a0 + 8000c268: 10f70463 beq a4,a5,8000c370 + 8000c26c: 00843783 ld a5,8(s0) + 8000c270: 00840a13 addi s4,s0,8 + 8000c274: 00fa0e63 beq s4,a5,8000c290 + 8000c278: 02d00613 li a2,45 + 8000c27c: 00004597 auipc a1,0x4 + 8000c280: 6b458593 addi a1,a1,1716 # 80010930 <__FUNCTION__.3031> + 8000c284: 00004517 auipc a0,0x4 + 8000c288: 66450513 addi a0,a0,1636 # 800108e8 <__FUNCTION__.3083+0x30> + 8000c28c: ea9f80ef jal ra,80005134 + 8000c290: 00c12783 lw a5,12(sp) + 8000c294: ffe00993 li s3,-2 + 8000c298: 0a078663 beqz a5,8000c344 + 8000c29c: 0604b023 sd zero,96(s1) + 8000c2a0: 00048513 mv a0,s1 + 8000c2a4: 885f60ef jal ra,80002b28 + 8000c2a8: 01043703 ld a4,16(s0) + 8000c2ac: 02848793 addi a5,s1,40 + 8000c2b0: 00f73023 sd a5,0(a4) # ffffffff80000000 <__bss_end+0xfffffffefffdd508> + 8000c2b4: 02e4b823 sd a4,48(s1) + 8000c2b8: 00f43823 sd a5,16(s0) + 8000c2bc: 0344b423 sd s4,40(s1) + 8000c2c0: d91f30ef jal ra,80000050 + 8000c2c4: 00050993 mv s3,a0 + 8000c2c8: ee8f70ef jal ra,800039b0 + 8000c2cc: 02050863 beqz a0,8000c2fc + 8000c2d0: 00004597 auipc a1,0x4 + 8000c2d4: 66058593 addi a1,a1,1632 # 80010930 <__FUNCTION__.3031> + 8000c2d8: 00001517 auipc a0,0x1 + 8000c2dc: 08850513 addi a0,a0,136 # 8000d360 <__FUNCTION__.2718+0x18> + 8000c2e0: cc9f80ef jal ra,80004fa8 + 8000c2e4: 04000613 li a2,64 + 8000c2e8: 00004597 auipc a1,0x4 + 8000c2ec: 64858593 addi a1,a1,1608 # 80010930 <__FUNCTION__.3031> + 8000c2f0: 00001517 auipc a0,0x1 + 8000c2f4: 09850513 addi a0,a0,152 # 8000d388 <__FUNCTION__.2718+0x40> + 8000c2f8: e3df80ef jal ra,80005134 + 8000c2fc: 00098513 mv a0,s3 + 8000c300: d59f30ef jal ra,80000058 + 8000c304: 00c12783 lw a5,12(sp) + 8000c308: 02f05063 blez a5,8000c328 + 8000c30c: 08848993 addi s3,s1,136 + 8000c310: 00c10613 addi a2,sp,12 + 8000c314: 00000593 li a1,0 + 8000c318: 00098513 mv a0,s3 + 8000c31c: 8e5f40ef jal ra,80000c00 + 8000c320: 00098513 mv a0,s3 + 8000c324: e8cf40ef jal ra,800009b0 + 8000c328: 00090513 mv a0,s2 + 8000c32c: d2df30ef jal ra,80000058 + 8000c330: e95f60ef jal ra,800031c4 + 8000c334: 0604b983 ld s3,96(s1) + 8000c338: d19f30ef jal ra,80000050 + 8000c33c: 00050913 mv s2,a0 + 8000c340: 00042023 sw zero,0(s0) + 8000c344: 00090513 mv a0,s2 + 8000c348: d11f30ef jal ra,80000058 + 8000c34c: 03813083 ld ra,56(sp) + 8000c350: 03013403 ld s0,48(sp) + 8000c354: 02813483 ld s1,40(sp) + 8000c358: 02013903 ld s2,32(sp) + 8000c35c: 01013a03 ld s4,16(sp) + 8000c360: 00098513 mv a0,s3 + 8000c364: 01813983 ld s3,24(sp) + 8000c368: 04010113 addi sp,sp,64 + 8000c36c: 00008067 ret + 8000c370: 00000993 li s3,0 + 8000c374: fcdff06f j 8000c340 + +000000008000c378 <_serial_fifo_calc_recved_len>: + 8000c378: fe010113 addi sp,sp,-32 + 8000c37c: 00913423 sd s1,8(sp) + 8000c380: 09053483 ld s1,144(a0) + 8000c384: 00813823 sd s0,16(sp) + 8000c388: 00113c23 sd ra,24(sp) + 8000c38c: 00050413 mv s0,a0 + 8000c390: 00049e63 bnez s1,8000c3ac <_serial_fifo_calc_recved_len+0x34> + 8000c394: 17100613 li a2,369 + 8000c398: 00004597 auipc a1,0x4 + 8000c39c: 6c058593 addi a1,a1,1728 # 80010a58 <__FUNCTION__.3072> + 8000c3a0: 00004517 auipc a0,0x4 + 8000c3a4: 5a850513 addi a0,a0,1448 # 80010948 <__FUNCTION__.3031+0x18> + 8000c3a8: d8df80ef jal ra,80005134 + 8000c3ac: 0084d503 lhu a0,8(s1) + 8000c3b0: 00a4d703 lhu a4,10(s1) + 8000c3b4: 02e51a63 bne a0,a4,8000c3e8 <_serial_fifo_calc_recved_len+0x70> + 8000c3b8: 00c4a783 lw a5,12(s1) + 8000c3bc: 00000513 li a0,0 + 8000c3c0: 00078a63 beqz a5,8000c3d4 <_serial_fifo_calc_recved_len+0x5c> + 8000c3c4: 08843503 ld a0,136(s0) + 8000c3c8: 02a55513 srli a0,a0,0x2a + 8000c3cc: 03051513 slli a0,a0,0x30 + 8000c3d0: 03055513 srli a0,a0,0x30 + 8000c3d4: 01813083 ld ra,24(sp) + 8000c3d8: 01013403 ld s0,16(sp) + 8000c3dc: 00813483 ld s1,8(sp) + 8000c3e0: 02010113 addi sp,sp,32 + 8000c3e4: 00008067 ret + 8000c3e8: 00a77663 bgeu a4,a0,8000c3f4 <_serial_fifo_calc_recved_len+0x7c> + 8000c3ec: 40e5053b subw a0,a0,a4 + 8000c3f0: fe5ff06f j 8000c3d4 <_serial_fifo_calc_recved_len+0x5c> + 8000c3f4: 08843783 ld a5,136(s0) + 8000c3f8: 40a7053b subw a0,a4,a0 + 8000c3fc: 02a7d793 srli a5,a5,0x2a + 8000c400: 0107979b slliw a5,a5,0x10 + 8000c404: 0107d79b srliw a5,a5,0x10 + 8000c408: 40a7853b subw a0,a5,a0 + 8000c40c: fc9ff06f j 8000c3d4 <_serial_fifo_calc_recved_len+0x5c> + +000000008000c410 : + 8000c410: ff010113 addi sp,sp,-16 + 8000c414: 00813023 sd s0,0(sp) + 8000c418: 00113423 sd ra,8(sp) + 8000c41c: 00050413 mv s0,a0 + 8000c420: 00051e63 bnez a0,8000c43c + 8000c424: 24000613 li a2,576 + 8000c428: 00004597 auipc a1,0x4 + 8000c42c: 68058593 addi a1,a1,1664 # 80010aa8 <__FUNCTION__.3113> + 8000c430: 00002517 auipc a0,0x2 + 8000c434: 83050513 addi a0,a0,-2000 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000c438: cfdf80ef jal ra,80005134 + 8000c43c: 08043783 ld a5,128(s0) + 8000c440: 08043823 sd zero,144(s0) + 8000c444: 08043c23 sd zero,152(s0) + 8000c448: 0007b303 ld t1,0(a5) + 8000c44c: 00030e63 beqz t1,8000c468 + 8000c450: 08840593 addi a1,s0,136 + 8000c454: 00040513 mv a0,s0 + 8000c458: 00013403 ld s0,0(sp) + 8000c45c: 00813083 ld ra,8(sp) + 8000c460: 01010113 addi sp,sp,16 + 8000c464: 00030067 jr t1 + 8000c468: 00813083 ld ra,8(sp) + 8000c46c: 00013403 ld s0,0(sp) + 8000c470: 00000513 li a0,0 + 8000c474: 01010113 addi sp,sp,16 + 8000c478: 00008067 ret + +000000008000c47c : + 8000c47c: fd010113 addi sp,sp,-48 + 8000c480: 02813023 sd s0,32(sp) + 8000c484: 00913c23 sd s1,24(sp) + 8000c488: 02113423 sd ra,40(sp) + 8000c48c: 01213823 sd s2,16(sp) + 8000c490: 01313423 sd s3,8(sp) + 8000c494: 01413023 sd s4,0(sp) + 8000c498: 00050413 mv s0,a0 + 8000c49c: 00058493 mv s1,a1 + 8000c4a0: 00051e63 bnez a0,8000c4bc + 8000c4a4: 25300613 li a2,595 + 8000c4a8: 00004597 auipc a1,0x4 + 8000c4ac: 61058593 addi a1,a1,1552 # 80010ab8 <__FUNCTION__.3120> + 8000c4b0: 00001517 auipc a0,0x1 + 8000c4b4: 7b050513 addi a0,a0,1968 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000c4b8: c7df80ef jal ra,80005134 + 8000c4bc: 2004f713 andi a4,s1,512 + 8000c4c0: 00070a63 beqz a4,8000c4d4 + 8000c4c4: 02c45783 lhu a5,44(s0) + 8000c4c8: ff800513 li a0,-8 + 8000c4cc: 2007f793 andi a5,a5,512 + 8000c4d0: 16078e63 beqz a5,8000c64c + 8000c4d4: 000017b7 lui a5,0x1 + 8000c4d8: 80078793 addi a5,a5,-2048 # 800 <__STACKSIZE__-0x3800> + 8000c4dc: 00f4f9b3 and s3,s1,a5 + 8000c4e0: 00098a63 beqz s3,8000c4f4 + 8000c4e4: 02c45683 lhu a3,44(s0) + 8000c4e8: ff800513 li a0,-8 + 8000c4ec: 00d7f7b3 and a5,a5,a3 + 8000c4f0: 14078e63 beqz a5,8000c64c + 8000c4f4: 1004f793 andi a5,s1,256 + 8000c4f8: 00078a63 beqz a5,8000c50c + 8000c4fc: 02c45683 lhu a3,44(s0) + 8000c500: ff800513 li a0,-8 + 8000c504: 1006f693 andi a3,a3,256 + 8000c508: 14068263 beqz a3,8000c64c + 8000c50c: 4004fa13 andi s4,s1,1024 + 8000c510: 000a0a63 beqz s4,8000c524 + 8000c514: 02c45683 lhu a3,44(s0) + 8000c518: ff800513 li a0,-8 + 8000c51c: 4006f693 andi a3,a3,1024 + 8000c520: 12068663 beqz a3,8000c64c + 8000c524: 0404f693 andi a3,s1,64 + 8000c528: 04000913 li s2,64 + 8000c52c: 00069a63 bnez a3,8000c540 + 8000c530: 02e45903 lhu s2,46(s0) + 8000c534: 04097913 andi s2,s2,64 + 8000c538: 00090463 beqz s2,8000c540 + 8000c53c: 04000913 li s2,64 + 8000c540: 09043683 ld a3,144(s0) + 8000c544: 0ff4f493 andi s1,s1,255 + 8000c548: 02941723 sh s1,46(s0) + 8000c54c: 1e069a63 bnez a3,8000c740 + 8000c550: 10078e63 beqz a5,8000c66c + 8000c554: 08843503 ld a0,136(s0) + 8000c558: 02a55513 srli a0,a0,0x2a + 8000c55c: 03051513 slli a0,a0,0x30 + 8000c560: 03055513 srli a0,a0,0x30 + 8000c564: 01050513 addi a0,a0,16 + 8000c568: b78f50ef jal ra,800018e0 + 8000c56c: 00050493 mv s1,a0 + 8000c570: 00051e63 bnez a0,8000c58c + 8000c574: 27200613 li a2,626 + 8000c578: 00004597 auipc a1,0x4 + 8000c57c: 54058593 addi a1,a1,1344 # 80010ab8 <__FUNCTION__.3120> + 8000c580: 00004517 auipc a0,0x4 + 8000c584: 3c850513 addi a0,a0,968 # 80010948 <__FUNCTION__.3031+0x18> + 8000c588: badf80ef jal ra,80005134 + 8000c58c: 08843603 ld a2,136(s0) + 8000c590: 01048513 addi a0,s1,16 + 8000c594: 00000593 li a1,0 + 8000c598: 02a65613 srli a2,a2,0x2a + 8000c59c: 03061613 slli a2,a2,0x30 + 8000c5a0: 03065613 srli a2,a2,0x30 + 8000c5a4: 00a4b023 sd a0,0(s1) + 8000c5a8: 830f80ef jal ra,800045d8 + 8000c5ac: 0004b423 sd zero,8(s1) + 8000c5b0: 02e45783 lhu a5,46(s0) + 8000c5b4: 08943823 sd s1,144(s0) + 8000c5b8: 10000613 li a2,256 + 8000c5bc: 1007e793 ori a5,a5,256 + 8000c5c0: 02f41723 sh a5,46(s0) + 8000c5c4: 08043783 ld a5,128(s0) + 8000c5c8: 01000593 li a1,16 + 8000c5cc: 00040513 mv a0,s0 + 8000c5d0: 0087b783 ld a5,8(a5) + 8000c5d4: 000780e7 jalr a5 + 8000c5d8: 09843783 ld a5,152(s0) + 8000c5dc: 1e079663 bnez a5,8000c7c8 + 8000c5e0: 160a0e63 beqz s4,8000c75c + 8000c5e4: 01800513 li a0,24 + 8000c5e8: af8f50ef jal ra,800018e0 + 8000c5ec: 00050493 mv s1,a0 + 8000c5f0: 00051e63 bnez a0,8000c60c + 8000c5f4: 2b100613 li a2,689 + 8000c5f8: 00004597 auipc a1,0x4 + 8000c5fc: 4c058593 addi a1,a1,1216 # 80010ab8 <__FUNCTION__.3120> + 8000c600: 00004517 auipc a0,0x4 + 8000c604: 37850513 addi a0,a0,888 # 80010978 <__FUNCTION__.3031+0x48> + 8000c608: b2df80ef jal ra,80005134 + 8000c60c: 00048513 mv a0,s1 + 8000c610: bb1ff0ef jal ra,8000c1c0 + 8000c614: 02e45783 lhu a5,46(s0) + 8000c618: 08943c23 sd s1,152(s0) + 8000c61c: 40000613 li a2,1024 + 8000c620: 4007e793 ori a5,a5,1024 + 8000c624: 02f41723 sh a5,46(s0) + 8000c628: 08043783 ld a5,128(s0) + 8000c62c: 01000593 li a1,16 + 8000c630: 0087b783 ld a5,8(a5) + 8000c634: 00040513 mv a0,s0 + 8000c638: 000780e7 jalr a5 + 8000c63c: 02e45783 lhu a5,46(s0) + 8000c640: 00000513 li a0,0 + 8000c644: 00f96933 or s2,s2,a5 + 8000c648: 03241723 sh s2,46(s0) + 8000c64c: 02813083 ld ra,40(sp) + 8000c650: 02013403 ld s0,32(sp) + 8000c654: 01813483 ld s1,24(sp) + 8000c658: 01013903 ld s2,16(sp) + 8000c65c: 00813983 ld s3,8(sp) + 8000c660: 00013a03 ld s4,0(sp) + 8000c664: 03010113 addi sp,sp,48 + 8000c668: 00008067 ret + 8000c66c: f60706e3 beqz a4,8000c5d8 + 8000c670: 08c42703 lw a4,140(s0) + 8000c674: 040007b7 lui a5,0x4000 + 8000c678: c0078793 addi a5,a5,-1024 # 3fffc00 <__STACKSIZE__+0x3ffbc00> + 8000c67c: 00e7f7b3 and a5,a5,a4 + 8000c680: 04079263 bnez a5,8000c6c4 + 8000c684: 00400513 li a0,4 + 8000c688: a58f50ef jal ra,800018e0 + 8000c68c: 00050493 mv s1,a0 + 8000c690: 00051e63 bnez a0,8000c6ac + 8000c694: 28500613 li a2,645 + 8000c698: 00004597 auipc a1,0x4 + 8000c69c: 42058593 addi a1,a1,1056 # 80010ab8 <__FUNCTION__.3120> + 8000c6a0: 00004517 auipc a0,0x4 + 8000c6a4: 2c050513 addi a0,a0,704 # 80010960 <__FUNCTION__.3031+0x30> + 8000c6a8: a8df80ef jal ra,80005134 + 8000c6ac: 0004a023 sw zero,0(s1) + 8000c6b0: 08943823 sd s1,144(s0) + 8000c6b4: 02e45783 lhu a5,46(s0) + 8000c6b8: 2007e793 ori a5,a5,512 + 8000c6bc: 02f41723 sh a5,46(s0) + 8000c6c0: f19ff06f j 8000c5d8 + 8000c6c4: 08843503 ld a0,136(s0) + 8000c6c8: 02a55513 srli a0,a0,0x2a + 8000c6cc: 03051513 slli a0,a0,0x30 + 8000c6d0: 03055513 srli a0,a0,0x30 + 8000c6d4: 01050513 addi a0,a0,16 + 8000c6d8: a08f50ef jal ra,800018e0 + 8000c6dc: 00050493 mv s1,a0 + 8000c6e0: 00051e63 bnez a0,8000c6fc + 8000c6e4: 28e00613 li a2,654 + 8000c6e8: 00004597 auipc a1,0x4 + 8000c6ec: 3d058593 addi a1,a1,976 # 80010ab8 <__FUNCTION__.3120> + 8000c6f0: 00004517 auipc a0,0x4 + 8000c6f4: 25850513 addi a0,a0,600 # 80010948 <__FUNCTION__.3031+0x18> + 8000c6f8: a3df80ef jal ra,80005134 + 8000c6fc: 08843603 ld a2,136(s0) + 8000c700: 01048513 addi a0,s1,16 + 8000c704: 00a4b023 sd a0,0(s1) + 8000c708: 02a65613 srli a2,a2,0x2a + 8000c70c: 03061613 slli a2,a2,0x30 + 8000c710: 03065613 srli a2,a2,0x30 + 8000c714: 00000593 li a1,0 + 8000c718: ec1f70ef jal ra,800045d8 + 8000c71c: 0004b423 sd zero,8(s1) + 8000c720: 08043783 ld a5,128(s0) + 8000c724: 08943823 sd s1,144(s0) + 8000c728: 20000613 li a2,512 + 8000c72c: 0087b783 ld a5,8(a5) + 8000c730: 00300593 li a1,3 + 8000c734: 00040513 mv a0,s0 + 8000c738: 000780e7 jalr a5 + 8000c73c: f79ff06f j 8000c6b4 + 8000c740: 00078863 beqz a5,8000c750 + 8000c744: 1004e493 ori s1,s1,256 + 8000c748: 02941723 sh s1,46(s0) + 8000c74c: e8dff06f j 8000c5d8 + 8000c750: e80704e3 beqz a4,8000c5d8 + 8000c754: 2004e493 ori s1,s1,512 + 8000c758: ff1ff06f j 8000c748 + 8000c75c: ee0980e3 beqz s3,8000c63c + 8000c760: 04800513 li a0,72 + 8000c764: 97cf50ef jal ra,800018e0 + 8000c768: 00050493 mv s1,a0 + 8000c76c: 00051e63 bnez a0,8000c788 + 8000c770: 2c000613 li a2,704 + 8000c774: 00004597 auipc a1,0x4 + 8000c778: 34458593 addi a1,a1,836 # 80010ab8 <__FUNCTION__.3120> + 8000c77c: 00004517 auipc a0,0x4 + 8000c780: 21450513 addi a0,a0,532 # 80010990 <__FUNCTION__.3031+0x60> + 8000c784: 9b1f80ef jal ra,80005134 + 8000c788: 00400613 li a2,4 + 8000c78c: 00800593 li a1,8 + 8000c790: 0004a023 sw zero,0(s1) + 8000c794: 00000693 li a3,0 + 8000c798: 00848513 addi a0,s1,8 + 8000c79c: d28ff0ef jal ra,8000bcc4 + 8000c7a0: 02e45783 lhu a5,46(s0) + 8000c7a4: 00001637 lui a2,0x1 + 8000c7a8: 80060613 addi a2,a2,-2048 # 800 <__STACKSIZE__-0x3800> + 8000c7ac: 00c7e7b3 or a5,a5,a2 + 8000c7b0: 02f41723 sh a5,46(s0) + 8000c7b4: 08043783 ld a5,128(s0) + 8000c7b8: 08943c23 sd s1,152(s0) + 8000c7bc: 00300593 li a1,3 + 8000c7c0: 0087b783 ld a5,8(a5) + 8000c7c4: e71ff06f j 8000c634 + 8000c7c8: 02e45783 lhu a5,46(s0) + 8000c7cc: 000a0863 beqz s4,8000c7dc + 8000c7d0: 4007e793 ori a5,a5,1024 + 8000c7d4: 02f41723 sh a5,46(s0) + 8000c7d8: e65ff06f j 8000c63c + 8000c7dc: e60980e3 beqz s3,8000c63c + 8000c7e0: 00001737 lui a4,0x1 + 8000c7e4: 80070713 addi a4,a4,-2048 # 800 <__STACKSIZE__-0x3800> + 8000c7e8: 00e7e7b3 or a5,a5,a4 + 8000c7ec: fe9ff06f j 8000c7d4 + +000000008000c7f0 : + 8000c7f0: fe010113 addi sp,sp,-32 + 8000c7f4: 00813823 sd s0,16(sp) + 8000c7f8: 00913423 sd s1,8(sp) + 8000c7fc: 01213023 sd s2,0(sp) + 8000c800: 00113c23 sd ra,24(sp) + 8000c804: 00050413 mv s0,a0 + 8000c808: 00058913 mv s2,a1 + 8000c80c: 00060493 mv s1,a2 + 8000c810: 00051e63 bnez a0,8000c82c + 8000c814: 3dc00613 li a2,988 + 8000c818: 00004597 auipc a1,0x4 + 8000c81c: 2e058593 addi a1,a1,736 # 80010af8 <__FUNCTION__.3159> + 8000c820: 00001517 auipc a0,0x1 + 8000c824: 44050513 addi a0,a0,1088 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000c828: 90df80ef jal ra,80005134 + 8000c82c: 00200793 li a5,2 + 8000c830: 02f90063 beq s2,a5,8000c850 + 8000c834: 00300793 li a5,3 + 8000c838: 04f90063 beq s2,a5,8000c878 + 8000c83c: 00100793 li a5,1 + 8000c840: 08f91c63 bne s2,a5,8000c8d8 + 8000c844: 02c45783 lhu a5,44(s0) + 8000c848: fdf7f793 andi a5,a5,-33 + 8000c84c: 00c0006f j 8000c858 + 8000c850: 02c45783 lhu a5,44(s0) + 8000c854: 0207e793 ori a5,a5,32 + 8000c858: 02f41623 sh a5,44(s0) + 8000c85c: 00000513 li a0,0 + 8000c860: 01813083 ld ra,24(sp) + 8000c864: 01013403 ld s0,16(sp) + 8000c868: 00813483 ld s1,8(sp) + 8000c86c: 00013903 ld s2,0(sp) + 8000c870: 02010113 addi sp,sp,32 + 8000c874: 00008067 ret + 8000c878: fe0482e3 beqz s1,8000c85c + 8000c87c: 0044a703 lw a4,4(s1) + 8000c880: 08843783 ld a5,136(s0) + 8000c884: 03044683 lbu a3,48(s0) + 8000c888: 00a7571b srliw a4,a4,0xa + 8000c88c: 02a7d793 srli a5,a5,0x2a + 8000c890: 03071713 slli a4,a4,0x30 + 8000c894: 03079793 slli a5,a5,0x30 + 8000c898: 03075713 srli a4,a4,0x30 + 8000c89c: 0307d793 srli a5,a5,0x30 + 8000c8a0: 00f70663 beq a4,a5,8000c8ac + 8000c8a4: 00700513 li a0,7 + 8000c8a8: fa069ce3 bnez a3,8000c860 + 8000c8ac: 0004a783 lw a5,0(s1) + 8000c8b0: 08f42423 sw a5,136(s0) + 8000c8b4: 0044a783 lw a5,4(s1) + 8000c8b8: 08f42623 sw a5,140(s0) + 8000c8bc: fa0680e3 beqz a3,8000c85c + 8000c8c0: 08043783 ld a5,128(s0) + 8000c8c4: 00048593 mv a1,s1 + 8000c8c8: 00040513 mv a0,s0 + 8000c8cc: 0007b783 ld a5,0(a5) + 8000c8d0: 000780e7 jalr a5 + 8000c8d4: f89ff06f j 8000c85c + 8000c8d8: 08043783 ld a5,128(s0) + 8000c8dc: 00040513 mv a0,s0 + 8000c8e0: 01013403 ld s0,16(sp) + 8000c8e4: 01813083 ld ra,24(sp) + 8000c8e8: 0087b303 ld t1,8(a5) + 8000c8ec: 00048613 mv a2,s1 + 8000c8f0: 00090593 mv a1,s2 + 8000c8f4: 00813483 ld s1,8(sp) + 8000c8f8: 00013903 ld s2,0(sp) + 8000c8fc: 02010113 addi sp,sp,32 + 8000c900: 00030067 jr t1 + +000000008000c904 : + 8000c904: fc010113 addi sp,sp,-64 + 8000c908: 02813823 sd s0,48(sp) + 8000c90c: 02913423 sd s1,40(sp) + 8000c910: 01313c23 sd s3,24(sp) + 8000c914: 02113c23 sd ra,56(sp) + 8000c918: 03213023 sd s2,32(sp) + 8000c91c: 01413823 sd s4,16(sp) + 8000c920: 01513423 sd s5,8(sp) + 8000c924: 01613023 sd s6,0(sp) + 8000c928: 00050413 mv s0,a0 + 8000c92c: 00060493 mv s1,a2 + 8000c930: 00068993 mv s3,a3 + 8000c934: 00051e63 bnez a0,8000c950 + 8000c938: 36100613 li a2,865 + 8000c93c: 00004597 auipc a1,0x4 + 8000c940: 1ac58593 addi a1,a1,428 # 80010ae8 <__FUNCTION__.3151> + 8000c944: 00001517 auipc a0,0x1 + 8000c948: 31c50513 addi a0,a0,796 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000c94c: fe8f80ef jal ra,80005134 + 8000c950: 04098263 beqz s3,8000c994 + 8000c954: 02e45783 lhu a5,46(s0) + 8000c958: 0009899b sext.w s3,s3 + 8000c95c: 00098913 mv s2,s3 + 8000c960: 4007f713 andi a4,a5,1024 + 8000c964: 0a070e63 beqz a4,8000ca20 + 8000c968: 09843a03 ld s4,152(s0) + 8000c96c: 000a1e63 bnez s4,8000c988 + 8000c970: 14100613 li a2,321 + 8000c974: 00004597 auipc a1,0x4 + 8000c978: 0d458593 addi a1,a1,212 # 80010a48 <__FUNCTION__.3060> + 8000c97c: 00004517 auipc a0,0x4 + 8000c980: 02c50513 addi a0,a0,44 # 800109a8 <__FUNCTION__.3031+0x78> + 8000c984: fb0f80ef jal ra,80005134 + 8000c988: 00a00b13 li s6,10 + 8000c98c: fff00a93 li s5,-1 + 8000c990: 02091863 bnez s2,8000c9c0 + 8000c994: 03813083 ld ra,56(sp) + 8000c998: 03013403 ld s0,48(sp) + 8000c99c: 02813483 ld s1,40(sp) + 8000c9a0: 02013903 ld s2,32(sp) + 8000c9a4: 01013a03 ld s4,16(sp) + 8000c9a8: 00813a83 ld s5,8(sp) + 8000c9ac: 00013b03 ld s6,0(sp) + 8000c9b0: 00098513 mv a0,s3 + 8000c9b4: 01813983 ld s3,24(sp) + 8000c9b8: 04010113 addi sp,sp,64 + 8000c9bc: 00008067 ret + 8000c9c0: 0004c783 lbu a5,0(s1) + 8000c9c4: 03679c63 bne a5,s6,8000c9fc + 8000c9c8: 02e45783 lhu a5,46(s0) + 8000c9cc: 0407f793 andi a5,a5,64 + 8000c9d0: 02078663 beqz a5,8000c9fc + 8000c9d4: 08043783 ld a5,128(s0) + 8000c9d8: 00d00593 li a1,13 + 8000c9dc: 00040513 mv a0,s0 + 8000c9e0: 0107b783 ld a5,16(a5) + 8000c9e4: 000780e7 jalr a5 + 8000c9e8: 01551a63 bne a0,s5,8000c9fc + 8000c9ec: fff00593 li a1,-1 + 8000c9f0: 000a0513 mv a0,s4 + 8000c9f4: 81dff0ef jal ra,8000c210 + 8000c9f8: f99ff06f j 8000c990 + 8000c9fc: 08043783 ld a5,128(s0) + 8000ca00: 0004c583 lbu a1,0(s1) + 8000ca04: 00040513 mv a0,s0 + 8000ca08: 0107b783 ld a5,16(a5) + 8000ca0c: 000780e7 jalr a5 + 8000ca10: fd550ee3 beq a0,s5,8000c9ec + 8000ca14: 00148493 addi s1,s1,1 + 8000ca18: fff9091b addiw s2,s2,-1 + 8000ca1c: f75ff06f j 8000c990 + 8000ca20: 03479713 slli a4,a5,0x34 + 8000ca24: 00a00a13 li s4,10 + 8000ca28: 0a075a63 bgez a4,8000cadc + 8000ca2c: 09843983 ld s3,152(s0) + 8000ca30: fff00693 li a3,-1 + 8000ca34: 00090613 mv a2,s2 + 8000ca38: 00048593 mv a1,s1 + 8000ca3c: 00898513 addi a0,s3,8 + 8000ca40: b54ff0ef jal ra,8000bd94 + 8000ca44: 04051463 bnez a0,8000ca8c + 8000ca48: e08f30ef jal ra,80000050 + 8000ca4c: 0009a703 lw a4,0(s3) + 8000ca50: 00100793 li a5,1 + 8000ca54: 02f70863 beq a4,a5,8000ca84 + 8000ca58: 00f9a023 sw a5,0(s3) + 8000ca5c: dfcf30ef jal ra,80000058 + 8000ca60: 08043783 ld a5,128(s0) + 8000ca64: 00200693 li a3,2 + 8000ca68: 00090613 mv a2,s2 + 8000ca6c: 0207b783 ld a5,32(a5) + 8000ca70: 00048593 mv a1,s1 + 8000ca74: 00040513 mv a0,s0 + 8000ca78: 000780e7 jalr a5 + 8000ca7c: 00090993 mv s3,s2 + 8000ca80: f15ff06f j 8000c994 + 8000ca84: dd4f30ef jal ra,80000058 + 8000ca88: ff5ff06f j 8000ca7c + 8000ca8c: b0df70ef jal ra,80004598 + 8000ca90: 00000913 li s2,0 + 8000ca94: fe9ff06f j 8000ca7c + 8000ca98: 0004c783 lbu a5,0(s1) + 8000ca9c: 03479263 bne a5,s4,8000cac0 + 8000caa0: 02e45783 lhu a5,46(s0) + 8000caa4: 0407f793 andi a5,a5,64 + 8000caa8: 00078c63 beqz a5,8000cac0 + 8000caac: 08043783 ld a5,128(s0) + 8000cab0: 00d00593 li a1,13 + 8000cab4: 00040513 mv a0,s0 + 8000cab8: 0107b783 ld a5,16(a5) + 8000cabc: 000780e7 jalr a5 + 8000cac0: 08043783 ld a5,128(s0) + 8000cac4: 0004c583 lbu a1,0(s1) + 8000cac8: 00040513 mv a0,s0 + 8000cacc: 0107b783 ld a5,16(a5) + 8000cad0: 00148493 addi s1,s1,1 + 8000cad4: fff9091b addiw s2,s2,-1 + 8000cad8: 000780e7 jalr a5 + 8000cadc: fa091ee3 bnez s2,8000ca98 + 8000cae0: eb5ff06f j 8000c994 + +000000008000cae4 : + 8000cae4: fc010113 addi sp,sp,-64 + 8000cae8: 02813823 sd s0,48(sp) + 8000caec: 02913423 sd s1,40(sp) + 8000caf0: 03213023 sd s2,32(sp) + 8000caf4: 02113c23 sd ra,56(sp) + 8000caf8: 01313c23 sd s3,24(sp) + 8000cafc: 01413823 sd s4,16(sp) + 8000cb00: 01513423 sd s5,8(sp) + 8000cb04: 01613023 sd s6,0(sp) + 8000cb08: 00050413 mv s0,a0 + 8000cb0c: 00060913 mv s2,a2 + 8000cb10: 00068493 mv s1,a3 + 8000cb14: 00051e63 bnez a0,8000cb30 + 8000cb18: 34700613 li a2,839 + 8000cb1c: 00004597 auipc a1,0x4 + 8000cb20: fbc58593 addi a1,a1,-68 # 80010ad8 <__FUNCTION__.3143> + 8000cb24: 00001517 auipc a0,0x1 + 8000cb28: 13c50513 addi a0,a0,316 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000cb2c: e08f80ef jal ra,80005134 + 8000cb30: 06048463 beqz s1,8000cb98 + 8000cb34: 02e45783 lhu a5,46(s0) + 8000cb38: 0004849b sext.w s1,s1 + 8000cb3c: 1007f713 andi a4,a5,256 + 8000cb40: 0c070e63 beqz a4,8000cc1c + 8000cb44: 09043983 ld s3,144(s0) + 8000cb48: 00099e63 bnez s3,8000cb64 + 8000cb4c: 11100613 li a2,273 + 8000cb50: 00004597 auipc a1,0x4 + 8000cb54: ee858593 addi a1,a1,-280 # 80010a38 <__FUNCTION__.3047> + 8000cb58: 00004517 auipc a0,0x4 + 8000cb5c: df050513 addi a0,a0,-528 # 80010948 <__FUNCTION__.3031+0x18> + 8000cb60: dd4f80ef jal ra,80005134 + 8000cb64: 00048a13 mv s4,s1 + 8000cb68: 00100a93 li s5,1 + 8000cb6c: 020a0463 beqz s4,8000cb94 + 8000cb70: ce0f30ef jal ra,80000050 + 8000cb74: 00a9d783 lhu a5,10(s3) + 8000cb78: 0089d703 lhu a4,8(s3) + 8000cb7c: 00c9a683 lw a3,12(s3) + 8000cb80: 00050613 mv a2,a0 + 8000cb84: 04f71063 bne a4,a5,8000cbc4 + 8000cb88: 0006871b sext.w a4,a3 + 8000cb8c: 02071c63 bnez a4,8000cbc4 + 8000cb90: cc8f30ef jal ra,80000058 + 8000cb94: 414484bb subw s1,s1,s4 + 8000cb98: 03813083 ld ra,56(sp) + 8000cb9c: 03013403 ld s0,48(sp) + 8000cba0: 02013903 ld s2,32(sp) + 8000cba4: 01813983 ld s3,24(sp) + 8000cba8: 01013a03 ld s4,16(sp) + 8000cbac: 00813a83 ld s5,8(sp) + 8000cbb0: 00013b03 ld s6,0(sp) + 8000cbb4: 00048513 mv a0,s1 + 8000cbb8: 02813483 ld s1,40(sp) + 8000cbbc: 04010113 addi sp,sp,64 + 8000cbc0: 00008067 ret + 8000cbc4: 0009b703 ld a4,0(s3) + 8000cbc8: 00f70733 add a4,a4,a5 + 8000cbcc: 00074b03 lbu s6,0(a4) + 8000cbd0: 08843703 ld a4,136(s0) + 8000cbd4: 0017879b addiw a5,a5,1 + 8000cbd8: 03079793 slli a5,a5,0x30 + 8000cbdc: 02a75713 srli a4,a4,0x2a + 8000cbe0: 0307d793 srli a5,a5,0x30 + 8000cbe4: 03071713 slli a4,a4,0x30 + 8000cbe8: 00f99523 sh a5,10(s3) + 8000cbec: 03075713 srli a4,a4,0x30 + 8000cbf0: 00e7e463 bltu a5,a4,8000cbf8 + 8000cbf4: 00099523 sh zero,10(s3) + 8000cbf8: 0006869b sext.w a3,a3 + 8000cbfc: 01569463 bne a3,s5,8000cc04 + 8000cc00: 0009a623 sw zero,12(s3) + 8000cc04: 00060513 mv a0,a2 + 8000cc08: c50f30ef jal ra,80000058 + 8000cc0c: 00190913 addi s2,s2,1 + 8000cc10: ff690fa3 sb s6,-1(s2) + 8000cc14: fffa0a1b addiw s4,s4,-1 + 8000cc18: f55ff06f j 8000cb6c + 8000cc1c: 2007f793 andi a5,a5,512 + 8000cc20: 00048993 mv s3,s1 + 8000cc24: 04079463 bnez a5,8000cc6c + 8000cc28: fff00a13 li s4,-1 + 8000cc2c: 00a00a93 li s5,10 + 8000cc30: 02098a63 beqz s3,8000cc64 + 8000cc34: 08043783 ld a5,128(s0) + 8000cc38: 00040513 mv a0,s0 + 8000cc3c: 0187b783 ld a5,24(a5) + 8000cc40: 000780e7 jalr a5 + 8000cc44: 03450063 beq a0,s4,8000cc64 + 8000cc48: 00a90023 sb a0,0(s2) + 8000cc4c: 02e45783 lhu a5,46(s0) + 8000cc50: 00190913 addi s2,s2,1 + 8000cc54: fff9899b addiw s3,s3,-1 + 8000cc58: 0407f793 andi a5,a5,64 + 8000cc5c: fc078ae3 beqz a5,8000cc30 + 8000cc60: fd5518e3 bne a0,s5,8000cc30 + 8000cc64: 413484bb subw s1,s1,s3 + 8000cc68: f31ff06f j 8000cb98 + 8000cc6c: 00091e63 bnez s2,8000cc88 + 8000cc70: 1df00613 li a2,479 + 8000cc74: 00004597 auipc a1,0x4 + 8000cc78: e2458593 addi a1,a1,-476 # 80010a98 <__FUNCTION__.3094> + 8000cc7c: 00004517 auipc a0,0x4 + 8000cc80: d3c50513 addi a0,a0,-708 # 800109b8 <__FUNCTION__.3031+0x88> + 8000cc84: cb0f80ef jal ra,80005134 + 8000cc88: bc8f30ef jal ra,80000050 + 8000cc8c: 08c42703 lw a4,140(s0) + 8000cc90: 040007b7 lui a5,0x4000 + 8000cc94: c0078793 addi a5,a5,-1024 # 3fffc00 <__STACKSIZE__+0x3ffbc00> + 8000cc98: 00e7f7b3 and a5,a5,a4 + 8000cc9c: 09043a03 ld s4,144(s0) + 8000cca0: 00050a93 mv s5,a0 + 8000cca4: 08079a63 bnez a5,8000cd38 + 8000cca8: 000a1e63 bnez s4,8000ccc4 + 8000ccac: 1e900613 li a2,489 + 8000ccb0: 00004597 auipc a1,0x4 + 8000ccb4: de858593 addi a1,a1,-536 # 80010a98 <__FUNCTION__.3094> + 8000ccb8: 00004517 auipc a0,0x4 + 8000ccbc: ca850513 addi a0,a0,-856 # 80010960 <__FUNCTION__.3031+0x30> + 8000ccc0: c74f80ef jal ra,80005134 + 8000ccc4: 000a2703 lw a4,0(s4) + 8000ccc8: 00100793 li a5,1 + 8000cccc: ff900993 li s3,-7 + 8000ccd0: 04f70663 beq a4,a5,8000cd1c + 8000ccd4: 00fa2023 sw a5,0(s4) + 8000ccd8: 08043783 ld a5,128(s0) + 8000ccdc: 0207b783 ld a5,32(a5) + 8000cce0: 00079e63 bnez a5,8000ccfc + 8000cce4: 1ee00613 li a2,494 + 8000cce8: 00004597 auipc a1,0x4 + 8000ccec: db058593 addi a1,a1,-592 # 80010a98 <__FUNCTION__.3094> + 8000ccf0: 00004517 auipc a0,0x4 + 8000ccf4: cf850513 addi a0,a0,-776 # 800109e8 <__FUNCTION__.3031+0xb8> + 8000ccf8: c3cf80ef jal ra,80005134 + 8000ccfc: 08043783 ld a5,128(s0) + 8000cd00: 00100693 li a3,1 + 8000cd04: 00048613 mv a2,s1 + 8000cd08: 0207b783 ld a5,32(a5) + 8000cd0c: 00090593 mv a1,s2 + 8000cd10: 00040513 mv a0,s0 + 8000cd14: 000780e7 jalr a5 + 8000cd18: 00000993 li s3,0 + 8000cd1c: 000a8513 mv a0,s5 + 8000cd20: b38f30ef jal ra,80000058 + 8000cd24: e6098ae3 beqz s3,8000cb98 + 8000cd28: 00098513 mv a0,s3 + 8000cd2c: 86df70ef jal ra,80004598 + 8000cd30: 00000493 li s1,0 + 8000cd34: e65ff06f j 8000cb98 + 8000cd38: 00040513 mv a0,s0 + 8000cd3c: e3cff0ef jal ra,8000c378 <_serial_fifo_calc_recved_len> + 8000cd40: 00050993 mv s3,a0 + 8000cd44: 000a1e63 bnez s4,8000cd60 + 8000cd48: 1fe00613 li a2,510 + 8000cd4c: 00004597 auipc a1,0x4 + 8000cd50: d4c58593 addi a1,a1,-692 # 80010a98 <__FUNCTION__.3094> + 8000cd54: 00004517 auipc a0,0x4 + 8000cd58: bf450513 addi a0,a0,-1036 # 80010948 <__FUNCTION__.3031+0x18> + 8000cd5c: bd8f80ef jal ra,80005134 + 8000cd60: 0009879b sext.w a5,s3 + 8000cd64: 00f4d463 bge s1,a5,8000cd6c + 8000cd68: 00048993 mv s3,s1 + 8000cd6c: 08843783 ld a5,136(s0) + 8000cd70: 00aa5603 lhu a2,10(s4) + 8000cd74: 000a3583 ld a1,0(s4) + 8000cd78: 02a7d793 srli a5,a5,0x2a + 8000cd7c: 03079793 slli a5,a5,0x30 + 8000cd80: 0307d793 srli a5,a5,0x30 + 8000cd84: 01360733 add a4,a2,s3 + 8000cd88: 00c585b3 add a1,a1,a2 + 8000cd8c: 0af77263 bgeu a4,a5,8000ce30 + 8000cd90: 00098613 mv a2,s3 + 8000cd94: 00090513 mv a0,s2 + 8000cd98: 8edf70ef jal ra,80004684 + 8000cd9c: 09043483 ld s1,144(s0) + 8000cda0: 00049e63 bnez s1,8000cdbc + 8000cda4: 19c00613 li a2,412 + 8000cda8: 00004597 auipc a1,0x4 + 8000cdac: cd058593 addi a1,a1,-816 # 80010a78 <__FUNCTION__.3081> + 8000cdb0: 00004517 auipc a0,0x4 + 8000cdb4: b9850513 addi a0,a0,-1128 # 80010948 <__FUNCTION__.3031+0x18> + 8000cdb8: b7cf80ef jal ra,80005134 + 8000cdbc: 00040513 mv a0,s0 + 8000cdc0: db8ff0ef jal ra,8000c378 <_serial_fifo_calc_recved_len> + 8000cdc4: 01357e63 bgeu a0,s3,8000cde0 + 8000cdc8: 19d00613 li a2,413 + 8000cdcc: 00004597 auipc a1,0x4 + 8000cdd0: cac58593 addi a1,a1,-852 # 80010a78 <__FUNCTION__.3081> + 8000cdd4: 00004517 auipc a0,0x4 + 8000cdd8: c3c50513 addi a0,a0,-964 # 80010a10 <__FUNCTION__.3031+0xe0> + 8000cddc: b58f80ef jal ra,80005134 + 8000cde0: 00c4a783 lw a5,12(s1) + 8000cde4: 00078663 beqz a5,8000cdf0 + 8000cde8: 00098463 beqz s3,8000cdf0 + 8000cdec: 0004a623 sw zero,12(s1) + 8000cdf0: 00a4d503 lhu a0,10(s1) + 8000cdf4: 08843583 ld a1,136(s0) + 8000cdf8: 013507bb addw a5,a0,s3 + 8000cdfc: 03079513 slli a0,a5,0x30 + 8000ce00: 02a5d593 srli a1,a1,0x2a + 8000ce04: 03055513 srli a0,a0,0x30 + 8000ce08: 03059593 slli a1,a1,0x30 + 8000ce0c: 00a49523 sh a0,10(s1) + 8000ce10: 0305d593 srli a1,a1,0x30 + 8000ce14: 00b56663 bltu a0,a1,8000ce20 + 8000ce18: c1cf30ef jal ra,80000234 <__umoddi3> + 8000ce1c: 00a49523 sh a0,10(s1) + 8000ce20: 000a8513 mv a0,s5 + 8000ce24: a34f30ef jal ra,80000058 + 8000ce28: 0009849b sext.w s1,s3 + 8000ce2c: d6dff06f j 8000cb98 + 8000ce30: 40c7863b subw a2,a5,a2 + 8000ce34: 00090513 mv a0,s2 + 8000ce38: 84df70ef jal ra,80004684 + 8000ce3c: 08843783 ld a5,136(s0) + 8000ce40: 00aa5503 lhu a0,10(s4) + 8000ce44: 000a3583 ld a1,0(s4) + 8000ce48: 02a7d793 srli a5,a5,0x2a + 8000ce4c: 03079793 slli a5,a5,0x30 + 8000ce50: 0307d793 srli a5,a5,0x30 + 8000ce54: 40f50633 sub a2,a0,a5 + 8000ce58: 40a78533 sub a0,a5,a0 + 8000ce5c: 01360633 add a2,a2,s3 + 8000ce60: 00a90533 add a0,s2,a0 + 8000ce64: f35ff06f j 8000cd98 + +000000008000ce68 : + 8000ce68: fe010113 addi sp,sp,-32 + 8000ce6c: 00813823 sd s0,16(sp) + 8000ce70: 00113c23 sd ra,24(sp) + 8000ce74: 00913423 sd s1,8(sp) + 8000ce78: 00050413 mv s0,a0 + 8000ce7c: 00051e63 bnez a0,8000ce98 + 8000ce80: 2e400613 li a2,740 + 8000ce84: 00004597 auipc a1,0x4 + 8000ce88: c4458593 addi a1,a1,-956 # 80010ac8 <__FUNCTION__.3130> + 8000ce8c: 00001517 auipc a0,0x1 + 8000ce90: dd450513 addi a0,a0,-556 # 8000dc60 <__FUNCTION__.2669+0x20> + 8000ce94: aa0f80ef jal ra,80005134 + 8000ce98: 03044703 lbu a4,48(s0) + 8000ce9c: 00100793 li a5,1 + 8000cea0: 0ce7e663 bltu a5,a4,8000cf6c + 8000cea4: 02e45783 lhu a5,46(s0) + 8000cea8: 08043703 ld a4,128(s0) + 8000ceac: 1007f693 andi a3,a5,256 + 8000ceb0: 00873703 ld a4,8(a4) + 8000ceb4: 0c068863 beqz a3,8000cf84 + 8000ceb8: 10000613 li a2,256 + 8000cebc: 01100593 li a1,17 + 8000cec0: 00040513 mv a0,s0 + 8000cec4: 000700e7 jalr a4 + 8000cec8: 02e45783 lhu a5,46(s0) + 8000cecc: 09043483 ld s1,144(s0) + 8000ced0: 2f300613 li a2,755 + 8000ced4: eff7f793 andi a5,a5,-257 + 8000ced8: 02f41723 sh a5,46(s0) + 8000cedc: 10048663 beqz s1,8000cfe8 + 8000cee0: 00048513 mv a0,s1 + 8000cee4: d4df40ef jal ra,80001c30 + 8000cee8: 08043823 sd zero,144(s0) + 8000ceec: 02e45783 lhu a5,46(s0) + 8000cef0: 08043703 ld a4,128(s0) + 8000cef4: 4007f693 andi a3,a5,1024 + 8000cef8: 00873703 ld a4,8(a4) + 8000cefc: 10068063 beqz a3,8000cffc + 8000cf00: 40000613 li a2,1024 + 8000cf04: 01100593 li a1,17 + 8000cf08: 00040513 mv a0,s0 + 8000cf0c: 000700e7 jalr a4 + 8000cf10: 02e45783 lhu a5,46(s0) + 8000cf14: 09843483 ld s1,152(s0) + 8000cf18: bff7f793 andi a5,a5,-1025 + 8000cf1c: 02f41723 sh a5,46(s0) + 8000cf20: 00049e63 bnez s1,8000cf3c + 8000cf24: 31f00613 li a2,799 + 8000cf28: 00004597 auipc a1,0x4 + 8000cf2c: ba058593 addi a1,a1,-1120 # 80010ac8 <__FUNCTION__.3130> + 8000cf30: 00004517 auipc a0,0x4 + 8000cf34: a4850513 addi a0,a0,-1464 # 80010978 <__FUNCTION__.3031+0x48> + 8000cf38: 9fcf80ef jal ra,80005134 + 8000cf3c: 00048513 mv a0,s1 + 8000cf40: cf1f40ef jal ra,80001c30 + 8000cf44: 08043c23 sd zero,152(s0) + 8000cf48: 08043783 ld a5,128(s0) + 8000cf4c: 00000613 li a2,0 + 8000cf50: 00400593 li a1,4 + 8000cf54: 0087b783 ld a5,8(a5) + 8000cf58: 00040513 mv a0,s0 + 8000cf5c: 000780e7 jalr a5 + 8000cf60: 02c45783 lhu a5,44(s0) + 8000cf64: fef7f793 andi a5,a5,-17 + 8000cf68: 02f41623 sh a5,44(s0) + 8000cf6c: 01813083 ld ra,24(sp) + 8000cf70: 01013403 ld s0,16(sp) + 8000cf74: 00813483 ld s1,8(sp) + 8000cf78: 00000513 li a0,0 + 8000cf7c: 02010113 addi sp,sp,32 + 8000cf80: 00008067 ret + 8000cf84: 2007f793 andi a5,a5,512 + 8000cf88: f60782e3 beqz a5,8000ceec + 8000cf8c: 20000613 li a2,512 + 8000cf90: 01100593 li a1,17 + 8000cf94: 00040513 mv a0,s0 + 8000cf98: 000700e7 jalr a4 + 8000cf9c: 02e45783 lhu a5,46(s0) + 8000cfa0: 08c42703 lw a4,140(s0) + 8000cfa4: 09043483 ld s1,144(s0) + 8000cfa8: dff7f793 andi a5,a5,-513 + 8000cfac: 02f41723 sh a5,46(s0) + 8000cfb0: 040007b7 lui a5,0x4000 + 8000cfb4: c0078793 addi a5,a5,-1024 # 3fffc00 <__STACKSIZE__+0x3ffbc00> + 8000cfb8: 00e7f7b3 and a5,a5,a4 + 8000cfbc: 02079263 bnez a5,8000cfe0 + 8000cfc0: f20490e3 bnez s1,8000cee0 + 8000cfc4: 30500613 li a2,773 + 8000cfc8: 00004597 auipc a1,0x4 + 8000cfcc: b0058593 addi a1,a1,-1280 # 80010ac8 <__FUNCTION__.3130> + 8000cfd0: 00004517 auipc a0,0x4 + 8000cfd4: 99050513 addi a0,a0,-1648 # 80010960 <__FUNCTION__.3031+0x30> + 8000cfd8: 95cf80ef jal ra,80005134 + 8000cfdc: f05ff06f j 8000cee0 + 8000cfe0: f00490e3 bnez s1,8000cee0 + 8000cfe4: 30e00613 li a2,782 + 8000cfe8: 00004597 auipc a1,0x4 + 8000cfec: ae058593 addi a1,a1,-1312 # 80010ac8 <__FUNCTION__.3130> + 8000cff0: 00004517 auipc a0,0x4 + 8000cff4: 95850513 addi a0,a0,-1704 # 80010948 <__FUNCTION__.3031+0x18> + 8000cff8: fe1ff06f j 8000cfd8 + 8000cffc: 00001637 lui a2,0x1 + 8000d000: 80060613 addi a2,a2,-2048 # 800 <__STACKSIZE__-0x3800> + 8000d004: 00c7f7b3 and a5,a5,a2 + 8000d008: f40780e3 beqz a5,8000cf48 + 8000d00c: 01100593 li a1,17 + 8000d010: 00040513 mv a0,s0 + 8000d014: 000700e7 jalr a4 + 8000d018: 02e45783 lhu a5,46(s0) + 8000d01c: fffff737 lui a4,0xfffff + 8000d020: 7ff70713 addi a4,a4,2047 # fffffffffffff7ff <__bss_end+0xffffffff7ffdcd07> + 8000d024: 09843483 ld s1,152(s0) + 8000d028: 00e7f7b3 and a5,a5,a4 + 8000d02c: 02f41723 sh a5,46(s0) + 8000d030: 00049e63 bnez s1,8000d04c + 8000d034: 33000613 li a2,816 + 8000d038: 00004597 auipc a1,0x4 + 8000d03c: a9058593 addi a1,a1,-1392 # 80010ac8 <__FUNCTION__.3130> + 8000d040: 00004517 auipc a0,0x4 + 8000d044: 95050513 addi a0,a0,-1712 # 80010990 <__FUNCTION__.3031+0x60> + 8000d048: 8ecf80ef jal ra,80005134 + 8000d04c: 00848513 addi a0,s1,8 + 8000d050: 8ecff0ef jal ra,8000c13c + 8000d054: ee9ff06f j 8000cf3c + +000000008000d058 : + 8000d058: fd010113 addi sp,sp,-48 + 8000d05c: 02813023 sd s0,32(sp) + 8000d060: 00913c23 sd s1,24(sp) + 8000d064: 01213823 sd s2,16(sp) + 8000d068: 01313423 sd s3,8(sp) + 8000d06c: 02113423 sd ra,40(sp) + 8000d070: 00050413 mv s0,a0 + 8000d074: 00058493 mv s1,a1 + 8000d078: 00060913 mv s2,a2 + 8000d07c: 00068993 mv s3,a3 + 8000d080: 00051e63 bnez a0,8000d09c + 8000d084: 49b00613 li a2,1179 + 8000d088: 00004597 auipc a1,0x4 + 8000d08c: a8858593 addi a1,a1,-1400 # 80010b10 <__FUNCTION__.3174> + 8000d090: 00000517 auipc a0,0x0 + 8000d094: 12850513 addi a0,a0,296 # 8000d1b8 <__fsym___cmd_reboot_name+0x10> + 8000d098: 89cf80ef jal ra,80005134 + 8000d09c: fffff797 auipc a5,0xfffff + 8000d0a0: 37478793 addi a5,a5,884 # 8000c410 + 8000d0a4: 04f43423 sd a5,72(s0) + 8000d0a8: fffff797 auipc a5,0xfffff + 8000d0ac: 3d478793 addi a5,a5,980 # 8000c47c + 8000d0b0: 04f43823 sd a5,80(s0) + 8000d0b4: 00000797 auipc a5,0x0 + 8000d0b8: db478793 addi a5,a5,-588 # 8000ce68 + 8000d0bc: 04f43c23 sd a5,88(s0) + 8000d0c0: 00000797 auipc a5,0x0 + 8000d0c4: a2478793 addi a5,a5,-1500 # 8000cae4 + 8000d0c8: 06f43023 sd a5,96(s0) + 8000d0cc: 00000797 auipc a5,0x0 + 8000d0d0: 83878793 addi a5,a5,-1992 # 8000c904 + 8000d0d4: 06f43423 sd a5,104(s0) + 8000d0d8: fffff797 auipc a5,0xfffff + 8000d0dc: 71878793 addi a5,a5,1816 # 8000c7f0 + 8000d0e0: 07343c23 sd s3,120(s0) + 8000d0e4: 02042423 sw zero,40(s0) + 8000d0e8: 02043c23 sd zero,56(s0) + 8000d0ec: 04043023 sd zero,64(s0) + 8000d0f0: 06f43823 sd a5,112(s0) + 8000d0f4: 00040513 mv a0,s0 + 8000d0f8: 02013403 ld s0,32(sp) + 8000d0fc: 02813083 ld ra,40(sp) + 8000d100: 00813983 ld s3,8(sp) + 8000d104: 03091613 slli a2,s2,0x30 + 8000d108: 00048593 mv a1,s1 + 8000d10c: 01013903 ld s2,16(sp) + 8000d110: 01813483 ld s1,24(sp) + 8000d114: 03065613 srli a2,a2,0x30 + 8000d118: 03010113 addi sp,sp,48 + 8000d11c: ac4f606f j 800033e0 + 8000d120: 6874 ld a3,208(s0) + 8000d122: 6572 ld a0,280(sp) + 8000d124: 6461 lui s0,0x18 + 8000d126: 2032 fld ft0,264(sp) + 8000d128: 6e756f63 bltu a0,t2,8000d826 <__fsym___cmd_memtrace_desc+0x1e> + 8000d12c: 3a74 fld fa3,240(a2) + 8000d12e: 2520 fld fs0,72(a0) + 8000d130: 0a64 addi s1,sp,284 + 8000d132: 0000 unimp + 8000d134: 0000 unimp + 8000d136: 0000 unimp + 8000d138: 6874 ld a3,208(s0) + 8000d13a: 6572 ld a0,280(sp) + 8000d13c: 6461 lui s0,0x18 + 8000d13e: 2032 fld ft0,264(sp) + 8000d140: 7865 lui a6,0xffff9 + 8000d142: 7469 lui s0,0xffffa + 8000d144: 000a c.slli zero,0x2 + 8000d146: 0000 unimp + 8000d148: 6874 ld a3,208(s0) + 8000d14a: 6572 ld a0,280(sp) + 8000d14c: 6461 lui s0,0x18 + 8000d14e: 2031 0x2031 + 8000d150: 6e756f63 bltu a0,t2,8000d84e <__fsym___cmd_memcheck_desc+0x16> + 8000d154: 3a74 fld fa3,240(a2) + 8000d156: 2520 fld fs0,72(a0) + 8000d158: 0a64 addi s1,sp,284 + 8000d15a: 0000 unimp + 8000d15c: 0000 unimp + 8000d15e: 0000 unimp + 8000d160: 6874 ld a3,208(s0) + 8000d162: 6572 ld a0,280(sp) + 8000d164: 6461 lui s0,0x18 + 8000d166: 0031 c.nop 12 + 8000d168: 6874 ld a3,208(s0) + 8000d16a: 6572 ld a0,280(sp) + 8000d16c: 6461 lui s0,0x18 + 8000d16e: 0032 c.slli zero,0xc + 8000d170: 6175 addi sp,sp,368 + 8000d172: 7472 ld s0,312(sp) + 8000d174: 0000 unimp + 8000d176: 0000 unimp + 8000d178: 6568 ld a0,200(a0) + 8000d17a: 7061 c.lui zero,0xffff8 + 8000d17c: 203a fld ft0,392(sp) + 8000d17e: 2578305b 0x2578305b + 8000d182: 3830 fld fa2,112(s0) + 8000d184: 2078 fld fa4,192(s0) + 8000d186: 202d 0x202d + 8000d188: 7830 ld a2,112(s0) + 8000d18a: 3025 0x3025 + 8000d18c: 7838 ld a4,112(s0) + 8000d18e: 0a5d addi s4,s4,23 + ... + +000000008000d198 <__fsym___cmd_reboot_desc>: + 8000d198: 6572 6573 2074 616d 6863 6e69 0065 0000 reset machine... + +000000008000d1a8 <__fsym___cmd_reboot_name>: + 8000d1a8: 5f5f 6d63 5f64 6572 6f62 746f 0000 0000 __cmd_reboot.... + 8000d1b8: 6573 6972 6c61 2120 203d 5452 4e5f 4c55 serial != RT_NUL + 8000d1c8: 004c 0000 0000 0000 6175 7472 2120 203d L.......uart != + 8000d1d8: 5452 4e5f 4c55 004c RT_NULL. + +000000008000d1e0 <__FUNCTION__.3511>: + 8000d1e0: 7472 755f 7261 5f74 6f63 666e 6769 7275 rt_uart_configur + 8000d1f0: 0065 0000 0000 0000 e....... + +000000008000d1f8 <__FUNCTION__.3519>: + 8000d1f8: 6175 7472 635f 6e6f 7274 6c6f 0000 0000 uart_control.... + +000000008000d208 <_uart_ops>: + 8000d208: 0508 8000 0000 0000 0564 8000 0000 0000 ........d....... + 8000d218: 04f4 8000 0000 0000 0500 8000 0000 0000 ................ + ... + 8000d230: 6548 6c6c 206f 534f 5043 2155 000a 0000 Hello OSCPU!.... + 8000d240: 6974 656d 2072 3d21 5220 5f54 554e 4c4c timer != RT_NULL + ... + 8000d258: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000d268: 6570 2628 6974 656d 2d72 703e 7261 6e65 pe(&timer->paren + 8000d278: 2974 3d20 203d 5452 4f5f 6a62 6365 5f74 t) == RT_Object_ + 8000d288: 6c43 7361 5f73 6954 656d 0072 0000 0000 Class_Timer..... + 8000d298: 7472 6f5f 6a62 6365 5f74 7369 735f 7379 rt_object_is_sys + 8000d2a8: 6574 6f6d 6a62 6365 2874 7426 6d69 7265 temobject(&timer + 8000d2b8: 3e2d 6170 6572 746e 0029 0000 0000 0000 ->parent)....... + 8000d2c8: 6974 656d 2d72 693e 696e 5f74 6974 6b63 timer->init_tick + 8000d2d8: 3c20 5220 5f54 4954 4b43 4d5f 5841 2f20 < RT_TICK_MAX / + 8000d2e8: 3220 0000 39ac ffff 39a0 ffff 39d4 ffff 2...9...9...9.. + 8000d2f8: 39e4 ffff 39f0 ffff 6974 656d 0072 0000 .9...9..timer... + +000000008000d308 <__FUNCTION__.2665>: + 8000d308: 7472 745f 6d69 7265 695f 696e 0074 0000 rt_timer_init... + +000000008000d318 <__FUNCTION__.2670>: + 8000d318: 7472 745f 6d69 7265 645f 7465 6361 0068 rt_timer_detach. + +000000008000d328 <__FUNCTION__.2694>: + 8000d328: 7472 745f 6d69 7265 735f 6174 7472 0000 rt_timer_start.. + +000000008000d338 <__FUNCTION__.2711>: + 8000d338: 7472 745f 6d69 7265 735f 6f74 0070 0000 rt_timer_stop... + +000000008000d348 <__FUNCTION__.2718>: + 8000d348: 7472 745f 6d69 7265 635f 6e6f 7274 6c6f rt_timer_control + ... + 8000d360: 7546 636e 6974 6e6f 255b 5d73 7320 6168 Function[%s] sha + 8000d370: 6c6c 6e20 746f 6220 2065 7375 6465 6920 ll not be used i + 8000d380: 206e 5349 0a52 0000 0030 0000 0000 0000 n ISR...0....... + 8000d390: 6974 6c64 2565 0064 tidle%d. + +000000008000d398 <__FUNCTION__.2651>: + 8000d398: 7472 645f 6665 6e75 7463 655f 6578 7563 rt_defunct_execu + 8000d3a8: 6574 0000 0000 0000 6f74 6174 206c 656d te......total me + 8000d3b8: 6f6d 7972 203a 6425 000a 0000 0000 0000 mory: %d........ + 8000d3c8: 7375 6465 6d20 6d65 726f 2079 203a 6425 used memory : %d + 8000d3d8: 000a 0000 0000 0000 616d 6978 756d 206d ........maximum + 8000d3e8: 6c61 6f6c 6163 6574 2064 656d 6f6d 7972 allocated memory + 8000d3f8: 203a 6425 000a 0000 6d0a 6d65 726f 2079 : %d.....memory + 8000d408: 6568 7061 6120 6464 6572 7373 0a3a 0000 heap address:... + 8000d418: 6568 7061 705f 7274 203a 7830 3025 7838 heap_ptr: 0x%08x + 8000d428: 000a 0000 0000 0000 666c 6572 2065 2020 ........lfree + 8000d438: 203a 7830 3025 7838 000a 0000 0000 0000 : 0x%08x........ + 8000d448: 6568 7061 655f 646e 203a 7830 3025 7838 heap_end: 0x%08x + 8000d458: 000a 0000 0000 0000 2d0a 6d2d 6d65 726f .........--memor + 8000d468: 2079 7469 6d65 6920 666e 726f 616d 6974 y item informati + 8000d478: 6e6f 2d20 0a2d 0000 305b 2578 3830 2078 on --...[0x%08x + 8000d488: 202d 0000 0000 0000 3525 0064 0000 0000 - ......%5d..... + 8000d498: 3425 4b64 0000 0000 3425 4d64 0000 0000 %4dK....%4dM.... + 8000d4a8: 205d 6325 6325 6325 6325 0000 0000 0000 ] %c%c%c%c...... + 8000d4b8: 203a 2a2a 0a2a 0000 7228 5f74 6975 746e : ***...(rt_uint + 8000d4c8: 5f38 2074 292a 656d 206d 3d3e 6820 6165 8_t *)mem >= hea + 8000d4d8: 5f70 7470 0072 0000 7228 5f74 6975 746e p_ptr...(rt_uint + 8000d4e8: 5f38 2074 292a 656d 206d 203c 7228 5f74 8_t *)mem < (rt_ + 8000d4f8: 6975 746e 5f38 2074 292a 6568 7061 655f uint8_t *)heap_e + 8000d508: 646e 0000 0000 0000 656d 2d6d 753e 6573 nd......mem->use + 8000d518: 2064 3d3d 3020 0000 654d 6f6d 7972 6220 d == 0..Memory b + 8000d528: 6f6c 6b63 7720 6f72 676e 0a3a 0000 0000 lock wrong:..... + 8000d538: 6461 7264 7365 3a73 3020 2578 3830 0a78 address: 0x%08x. + ... + 8000d550: 2020 616d 6967 3a63 3020 2578 3430 0a78 magic: 0x%04x. + ... + 8000d568: 2020 7520 6573 3a64 2520 0a64 0000 0000 used: %d..... + 8000d578: 2020 6973 657a 203a 6425 000a 0000 0000 size: %d...... + 8000d588: 4e49 5449 0000 0000 6568 7061 0000 0000 INIT....heap.... + 8000d598: 656d 206d 6e69 7469 202c 7265 6f72 2072 mem init, error + 8000d5a8: 6562 6967 206e 6461 7264 7365 2073 7830 begin address 0x + 8000d5b8: 7825 202c 6e61 2064 6e65 2064 6461 7264 %x, and end addr + 8000d5c8: 7365 2073 7830 7825 000a 0000 0000 0000 ess 0x%x........ + 8000d5d8: 2020 2020 0000 0000 4f4e 454e 0000 0000 ....NONE.... + 8000d5e8: 7228 5f74 6275 7361 5f65 2974 656d 206d (rt_ubase_t)mem + 8000d5f8: 202b 4953 455a 464f 535f 5254 4355 5f54 + SIZEOF_STRUCT_ + 8000d608: 454d 204d 202b 6973 657a 3c20 203d 7228 MEM + size <= (r + 8000d618: 5f74 6275 7361 5f65 2974 6568 7061 655f t_ubase_t)heap_e + 8000d628: 646e 0000 0000 0000 7228 5f74 6275 7361 nd......(rt_ubas + 8000d638: 5f65 2974 2828 7472 755f 6e69 3874 745f e_t)((rt_uint8_t + 8000d648: 2a20 6d29 6d65 2b20 5320 5a49 4f45 5f46 *)mem + SIZEOF_ + 8000d658: 5453 5552 5443 4d5f 4d45 2029 2025 5452 STRUCT_MEM) % RT + 8000d668: 415f 494c 4e47 535f 5a49 2045 3d3d 3020 _ALIGN_SIZE == 0 + ... + 8000d680: 2828 7228 5f74 6275 7361 5f65 2974 656d (((rt_ubase_t)me + 8000d690: 296d 2620 2820 5452 415f 494c 4e47 535f m) & (RT_ALIGN_S + 8000d6a0: 5a49 2045 202d 2931 2029 3d3d 3020 0000 IZE - 1)) == 0.. + 8000d6b0: 2828 7228 5f74 6275 7361 5f65 2974 6d72 (((rt_ubase_t)rm + 8000d6c0: 6d65 2029 2026 5228 5f54 4c41 4749 5f4e em) & (RT_ALIGN_ + 8000d6d0: 4953 455a 2d20 3120 2929 3d20 203d 0030 SIZE - 1)) == 0. + 8000d6e0: 7228 5f74 6975 746e 5f38 2074 292a 6d72 (rt_uint8_t *)rm + 8000d6f0: 6d65 3e20 203d 7228 5f74 6975 746e 5f38 em >= (rt_uint8_ + 8000d700: 2074 292a 6568 7061 705f 7274 2620 2026 t *)heap_ptr && + 8000d710: 7228 5f74 6975 746e 5f38 2074 292a 6d72 (rt_uint8_t *)rm + 8000d720: 6d65 3c20 2820 7472 755f 6e69 3874 745f em < (rt_uint8_t + 8000d730: 2a20 6829 6165 5f70 6e65 0064 0000 0000 *)heap_end..... + 8000d740: 6f74 6620 6572 2065 2061 6162 2064 6164 to free a bad da + 8000d750: 6174 6220 6f6c 6b63 0a3a 0000 0000 0000 ta block:....... + 8000d760: 656d 3a6d 3020 2578 3830 2c78 7520 6573 mem: 0x%08x, use + 8000d770: 2064 6c66 6761 203a 6425 202c 616d 6967 d flag: %d, magi + 8000d780: 2063 6f63 6564 203a 7830 3025 7834 000a c code: 0x%04x.. + 8000d790: 656d 2d6d 753e 6573 0064 0000 0000 0000 mem->used....... + 8000d7a0: 656d 2d6d 6d3e 6761 6369 3d20 203d 4548 mem->magic == HE + 8000d7b0: 5041 4d5f 4741 4349 0000 0000 0000 0000 AP_MAGIC........ + +000000008000d7c0 <__FUNCTION__.2654>: + 8000d7c0: 6c70 6775 685f 6c6f 7365 0000 0000 0000 plug_holes...... + +000000008000d7d0 <__FUNCTION__.2663>: + 8000d7d0: 7472 735f 7379 6574 5f6d 6568 7061 695f rt_system_heap_i + 8000d7e0: 696e 0074 0000 0000 nit..... + +000000008000d7e8 <__FUNCTION__.2672>: + 8000d7e8: 7472 6d5f 6c61 6f6c 0063 0000 0000 0000 rt_malloc....... + +000000008000d7f8 <__FUNCTION__.2690>: + 8000d7f8: 7472 725f 6165 6c6c 636f 0000 0000 0000 rt_realloc...... + +000000008000d808 <__fsym___cmd_memtrace_desc>: + 8000d808: 7564 706d 6d20 6d65 726f 2079 7274 6361 dump memory trac + 8000d818: 2065 6e69 6f66 6d72 7461 6f69 006e 0000 e information... + +000000008000d828 <__fsym___cmd_memtrace_name>: + 8000d828: 5f5f 6d63 5f64 656d 746d 6172 6563 0000 __cmd_memtrace.. + +000000008000d838 <__fsym___cmd_memcheck_desc>: + 8000d838: 6863 6365 206b 656d 6f6d 7972 6420 7461 check memory dat + 8000d848: 0061 0000 0000 0000 a....... + +000000008000d850 <__fsym___cmd_memcheck_name>: + 8000d850: 5f5f 6d63 5f64 656d 636d 6568 6b63 0000 __cmd_memcheck.. + +000000008000d860 <__fsym_list_mem_desc>: + 8000d860: 696c 7473 6d20 6d65 726f 2079 7375 6761 list memory usag + 8000d870: 2065 6e69 6f66 6d72 7461 6f69 006e 0000 e information... + +000000008000d880 <__fsym_list_mem_name>: + 8000d880: 696c 7473 6d5f 6d65 0000 0000 0000 0000 list_mem........ + 8000d890: 6e69 6f66 6d72 7461 6f69 206e 3d21 5220 information != R + 8000d8a0: 5f54 554e 4c4c 0000 626f 206a 3d21 6f20 T_NULL..obj != o + 8000d8b0: 6a62 6365 0074 0000 626f 656a 7463 2120 bject...object ! + 8000d8c0: 203d 5452 4e5f 4c55 004c 0000 0000 0000 = RT_NULL....... + 8000d8d0: 2821 626f 656a 7463 3e2d 7974 6570 2620 !(object->type & + 8000d8e0: 5220 5f54 624f 656a 7463 435f 616c 7373 RT_Object_Class + 8000d8f0: 535f 6174 6974 2963 0000 0000 0000 0000 _Static)........ + +000000008000d900 <__FUNCTION__.2690>: + 8000d900: 7472 6f5f 6a62 6365 5f74 6e69 7469 0000 rt_object_init.. + +000000008000d910 <__FUNCTION__.2699>: + 8000d910: 7472 6f5f 6a62 6365 5f74 6564 6174 6863 rt_object_detach + ... + +000000008000d928 <__FUNCTION__.2708>: + 8000d928: 7472 6f5f 6a62 6365 5f74 6c61 6f6c 6163 rt_object_alloca + 8000d938: 6574 0000 0000 0000 te...... + +000000008000d940 <__FUNCTION__.2713>: + 8000d940: 7472 6f5f 6a62 6365 5f74 6564 656c 6574 rt_object_delete + ... + +000000008000d958 <__FUNCTION__.2717>: + 8000d958: 7472 6f5f 6a62 6365 5f74 7369 735f 7379 rt_object_is_sys + 8000d968: 6574 6f6d 6a62 6365 0074 0000 0000 0000 temobject....... + +000000008000d978 <__FUNCTION__.2721>: + 8000d978: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000d988: 6570 0000 0000 0000 pe...... + +000000008000d990 <__FUNCTION__.2730>: + 8000d990: 7472 6f5f 6a62 6365 5f74 6966 646e 0000 rt_object_find.. + 8000d9a0: 7270 6f69 6972 7974 3c20 5220 5f54 4854 priority < RT_TH + 8000d9b0: 4552 4441 505f 4952 524f 5449 5f59 414d READ_PRIORITY_MA + 8000d9c0: 0058 0000 0000 0000 6874 6572 6461 2120 X.......thread ! + 8000d9d0: 203d 5452 4e5f 4c55 004c 0000 0000 0000 = RT_NULL....... + 8000d9e0: 7428 7268 6165 2d64 733e 6174 2074 2026 (thread->stat & + 8000d9f0: 5452 545f 5248 4145 5f44 5453 5441 4d5f RT_THREAD_STAT_M + 8000da00: 5341 294b 3d20 203d 5452 545f 5248 4145 ASK) == RT_THREA + 8000da10: 5f44 5553 5053 4e45 0044 0000 0000 0000 D_SUSPEND....... + 8000da20: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000da30: 6570 2828 7472 6f5f 6a62 6365 5f74 2974 pe((rt_object_t) + 8000da40: 6874 6572 6461 2029 3d3d 5220 5f54 624f thread) == RT_Ob + 8000da50: 656a 7463 435f 616c 7373 545f 7268 6165 ject_Class_Threa + 8000da60: 0064 0000 0000 0000 7473 6361 5f6b 7473 d.......stack_st + 8000da70: 7261 2074 3d21 5220 5f54 554e 4c4c 0000 art != RT_NULL.. + 8000da80: 7472 6f5f 6a62 6365 5f74 7369 735f 7379 rt_object_is_sys + 8000da90: 6574 6f6d 6a62 6365 2874 7228 5f74 626f temobject((rt_ob + 8000daa0: 656a 7463 745f 7429 7268 6165 2964 0000 ject_t)thread).. + 8000dab0: 7472 6f5f 6a62 6365 5f74 7369 735f 7379 rt_object_is_sys + 8000dac0: 6574 6f6d 6a62 6365 2874 7228 5f74 626f temobject((rt_ob + 8000dad0: 656a 7463 745f 7429 7268 6165 2964 3d20 ject_t)thread) = + 8000dae0: 203d 5452 465f 4c41 4553 0000 0000 0000 = RT_FALSE...... + 8000daf0: 6874 6572 6461 3d20 203d 7472 745f 7268 thread == rt_thr + 8000db00: 6165 5f64 6573 666c 2928 0000 0000 0000 ead_self()...... + 8000db10: 7428 7268 6165 2d64 733e 6174 2074 2026 (thread->stat & + 8000db20: 5452 545f 5248 4145 5f44 5453 5441 4d5f RT_THREAD_STAT_M + 8000db30: 5341 294b 3d20 203d 5452 545f 5248 4145 ASK) == RT_THREA + 8000db40: 5f44 4e49 5449 0000 D_INIT.. + +000000008000db48 <__FUNCTION__.2648>: + 8000db48: 725f 5f74 6874 6572 6461 695f 696e 0074 _rt_thread_init. + +000000008000db58 <__FUNCTION__.2660>: + 8000db58: 7472 745f 7268 6165 5f64 6e69 7469 0000 rt_thread_init.. + +000000008000db68 <__FUNCTION__.2669>: + 8000db68: 7472 745f 7268 6165 5f64 7473 7261 7574 rt_thread_startu + 8000db78: 0070 0000 0000 0000 p....... + +000000008000db80 <__FUNCTION__.2674>: + 8000db80: 7472 745f 7268 6165 5f64 6564 6174 6863 rt_thread_detach + ... + +000000008000db98 <__FUNCTION__.2690>: + 8000db98: 7472 745f 7268 6165 5f64 6564 656c 6574 rt_thread_delete + ... + +000000008000dbb0 <__FUNCTION__.2701>: + 8000dbb0: 7472 745f 7268 6165 5f64 6c73 6565 0070 rt_thread_sleep. + +000000008000dbc0 <__FUNCTION__.2724>: + 8000dbc0: 7472 745f 7268 6165 5f64 6f63 746e 6f72 rt_thread_contro + 8000dbd0: 006c 0000 0000 0000 l....... + +000000008000dbd8 <__FUNCTION__.2736>: + 8000dbd8: 7472 745f 7268 6165 5f64 7573 7073 6e65 rt_thread_suspen + 8000dbe8: 0064 0000 0000 0000 d....... + +000000008000dbf0 <__FUNCTION__.2741>: + 8000dbf0: 7472 745f 7268 6165 5f64 6572 7573 656d rt_thread_resume + ... + +000000008000dc08 <__FUNCTION__.2747>: + 8000dc08: 7472 745f 7268 6165 5f64 6974 656d 756f rt_thread_timeou + 8000dc18: 0074 0000 0000 0000 t....... + +000000008000dc20 <__FUNCTION__.2663>: + 8000dc20: 7472 735f 6863 6465 6c75 5f65 6e69 6573 rt_schedule_inse + 8000dc30: 7472 745f 7268 6165 0064 0000 0000 0000 rt_thread....... + +000000008000dc40 <__FUNCTION__.2669>: + 8000dc40: 7472 735f 6863 6465 6c75 5f65 6572 6f6d rt_schedule_remo + 8000dc50: 6576 745f 7268 6165 0064 0000 0000 0000 ve_thread....... + 8000dc60: 6564 2076 3d21 5220 5f54 554e 4c4c 0000 dev != RT_NULL.. + 8000dc70: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000dc80: 6570 2628 6564 2d76 703e 7261 6e65 2974 pe(&dev->parent) + 8000dc90: 3d20 203d 5452 4f5f 6a62 6365 5f74 6c43 == RT_Object_Cl + 8000dca0: 7361 5f73 6544 6976 6563 0000 0000 0000 ass_Device...... + 8000dcb0: 7472 6f5f 6a62 6365 5f74 7369 735f 7379 rt_object_is_sys + 8000dcc0: 6574 6f6d 6a62 6365 2874 6426 7665 3e2d temobject(&dev-> + 8000dcd0: 6170 6572 746e 0029 6f54 6920 696e 6974 parent).To initi + 8000dce0: 6c61 7a69 2065 6564 6976 6563 253a 2073 alize device:%s + 8000dcf0: 6166 6c69 6465 202e 6854 2065 7265 6f72 failed. The erro + 8000dd00: 2072 6f63 6564 6920 2073 6425 000a 0000 r code is %d.... + 8000dd10: 6564 2d76 723e 6665 635f 756f 746e 2120 dev->ref_count ! + 8000dd20: 203d 0030 0000 0000 = 0..... + +000000008000dd28 <__FUNCTION__.2568>: + 8000dd28: 7472 645f 7665 6369 5f65 706f 6e65 0000 rt_device_open.. + +000000008000dd38 <__FUNCTION__.2573>: + 8000dd38: 7472 645f 7665 6369 5f65 6c63 736f 0065 rt_device_close. + +000000008000dd48 <__FUNCTION__.2580>: + 8000dd48: 7472 645f 7665 6369 5f65 6572 6461 0000 rt_device_read.. + +000000008000dd58 <__FUNCTION__.2587>: + 8000dd58: 7472 645f 7665 6369 5f65 7277 7469 0065 rt_device_write. + +000000008000dd68 <__FUNCTION__.2593>: + 8000dd68: 7472 645f 7665 6369 5f65 6f63 746e 6f72 rt_device_contro + 8000dd78: 006c 0000 0000 0000 l....... + +000000008000dd80 <__FUNCTION__.2600>: + 8000dd80: 7472 645f 7665 6369 5f65 6573 5f74 7872 rt_device_set_rx + 8000dd90: 695f 646e 6369 7461 0065 0000 0000 0000 _indicate....... + 8000dda0: 6573 206d 3d21 5220 5f54 554e 4c4c 0000 sem != RT_NULL.. + 8000ddb0: 6176 756c 2065 203c 7830 3031 3030 5530 value < 0x10000U + ... + 8000ddc8: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000ddd8: 6570 2628 6573 2d6d 703e 7261 6e65 2e74 pe(&sem->parent. + 8000dde8: 6170 6572 746e 2029 3d3d 5220 5f54 624f parent) == RT_Ob + 8000ddf8: 656a 7463 435f 616c 7373 535f 6d65 7061 ject_Class_Semap + 8000de08: 6f68 6572 0000 0000 7472 6f5f 6a62 6365 hore....rt_objec + 8000de18: 5f74 7369 735f 7379 6574 6f6d 6a62 6365 t_is_systemobjec + 8000de28: 2874 7326 6d65 3e2d 6170 6572 746e 702e t(&sem->parent.p + 8000de38: 7261 6e65 2974 0000 7546 636e 6974 6e6f arent)..Function + 8000de48: 255b 5d73 7320 6168 6c6c 6e20 746f 6220 [%s] shall not b + 8000de58: 2065 7375 6465 6220 6665 726f 2065 6373 e used before sc + 8000de68: 6568 7564 656c 2072 7473 7261 0a74 0000 heduler start... + 8000de78: 756d 6574 2078 3d21 5220 5f54 554e 4c4c mutex != RT_NULL + ... + 8000de90: 7472 6f5f 6a62 6365 5f74 6567 5f74 7974 rt_object_get_ty + 8000dea0: 6570 2628 756d 6574 2d78 703e 7261 6e65 pe(&mutex->paren + 8000deb0: 2e74 6170 6572 746e 2029 3d3d 5220 5f54 t.parent) == RT_ + 8000dec0: 624f 656a 7463 435f 616c 7373 4d5f 7475 Object_Class_Mut + 8000ded0: 7865 0000 0000 0000 7472 6f5f 6a62 6365 ex......rt_objec + 8000dee0: 5f74 7369 735f 7379 6574 6f6d 6a62 6365 t_is_systemobjec + 8000def0: 2874 6d26 7475 7865 3e2d 6170 6572 746e t(&mutex->parent + 8000df00: 702e 7261 6e65 2974 0000 0000 0000 0000 .parent)........ + +000000008000df10 <__FUNCTION__.2633>: + 8000df10: 7472 695f 6370 6c5f 7369 5f74 7573 7073 rt_ipc_list_susp + 8000df20: 6e65 0064 0000 0000 end..... + +000000008000df28 <__FUNCTION__.2652>: + 8000df28: 7472 735f 6d65 695f 696e 0074 0000 0000 rt_sem_init..... + +000000008000df38 <__FUNCTION__.2676>: + 8000df38: 7472 735f 6d65 745f 6b61 0065 0000 0000 rt_sem_take..... + +000000008000df48 <__FUNCTION__.2687>: + 8000df48: 7472 735f 6d65 725f 6c65 6165 6573 0000 rt_sem_release.. + +000000008000df58 <__FUNCTION__.2701>: + 8000df58: 7472 6d5f 7475 7865 695f 696e 0074 0000 rt_mutex_init... + +000000008000df68 <__FUNCTION__.2725>: + 8000df68: 7472 6d5f 7475 7865 745f 6b61 0065 0000 rt_mutex_take... + +000000008000df78 <__FUNCTION__.2736>: + 8000df78: 7472 6d5f 7475 7865 725f 6c65 6165 6573 rt_mutex_release + ... + 8000df90: 4e28 4c55 294c 0000 6ca0 ffff 6ee4 ffff (NULL)...l...n.. + 8000dfa0: 6c20 ffff 6c20 ffff 6c20 ffff 6c20 ffff l.. l.. l.. l.. + 8000dfb0: 6ee4 ffff 6c20 ffff 6c20 ffff 6c20 ffff .n.. l.. l.. l.. + 8000dfc0: 6c20 ffff 6c20 ffff 6ef8 ffff 6e68 ffff l.. l...n..hn.. + 8000dfd0: 6c20 ffff 6c20 ffff 6d50 ffff 6c20 ffff l.. l..Pm.. l.. + 8000dfe0: 6ee8 ffff 6c20 ffff 6c20 ffff 6ea8 ffff .n.. l.. l...n.. + 8000dff0: 200a 205c 207c 0a2f 0000 0000 0000 0000 . \ | /......... + 8000e000: 202d 5452 2d20 2020 2020 5420 7268 6165 - RT - Threa + 8000e010: 2064 704f 7265 7461 6e69 2067 7953 7473 d Operating Syst + 8000e020: 6d65 000a 0000 0000 6553 2070 3031 3220 em......Sep 10 2 + 8000e030: 3230 0031 0000 0000 2f20 7c20 5c20 2020 021..... / | \ + 8000e040: 2020 2520 2e64 6425 252e 2064 7562 6c69 %d.%d.%d buil + 8000e050: 2064 7325 000a 0000 3220 3030 2036 202d d %s.... 2006 - + 8000e060: 3032 3132 4320 706f 7279 6769 7468 6220 2021 Copyright b + 8000e070: 2079 7472 742d 7268 6165 2064 6574 6d61 y rt-thread team + 8000e080: 000a 0000 0000 0000 2528 2973 6120 7373 ........(%s) ass + 8000e090: 7265 6974 6e6f 6620 6961 656c 2064 7461 ertion failed at + 8000e0a0: 6620 6e75 7463 6f69 3a6e 7325 202c 696c function:%s, li + 8000e0b0: 656e 6e20 6d75 6562 3a72 6425 0a20 0000 ne number:%d ... + +000000008000e0c0 <__lowest_bit_bitmap>: + 8000e0c0: 0000 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e0d0: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e0e0: 0005 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e0f0: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e100: 0006 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e110: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e120: 0005 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e130: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e140: 0007 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e150: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e160: 0005 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e170: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e180: 0006 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e190: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e1a0: 0005 0001 0002 0001 0003 0001 0002 0001 ................ + 8000e1b0: 0004 0001 0002 0001 0003 0001 0002 0001 ................ + +000000008000e1c0 : + 8000e1c0: 3130 3332 3534 3736 3938 4241 4443 4645 0123456789ABCDEF + ... + +000000008000e1d8 : + 8000e1d8: 3130 3332 3534 3736 3938 6261 6463 6665 0123456789abcdef + ... + 8000e1f0: 616d 6e69 0000 0000 6974 2064 3d21 5220 main....tid != R + 8000e200: 5f54 554e 4c4c 0000 T_NULL.. + +000000008000e208 <__FUNCTION__.2659>: + 8000e208: 7472 615f 7070 696c 6163 6974 6e6f 695f rt_application_i + 8000e218: 696e 0074 0000 0000 4e55 682d 6e61 6c64 nit.....UN-handl + 8000e228: 6465 6920 746e 7265 7572 7470 2520 2064 ed interrupt %d + 8000e238: 636f 7563 7272 6465 2121 0a21 0000 0000 occurred!!!..... + 8000e248: 7553 6570 7672 7369 726f 4920 746e 7265 Supervisor Inter + 8000e258: 7572 7470 4520 616e 6c62 6465 0000 0000 rupt Enabled.... + 8000e268: 7553 6570 7672 7369 726f 4920 746e 7265 Supervisor Inter + 8000e278: 7572 7470 4420 7369 6261 656c 0064 0000 rupt Disabled... + 8000e288: 614c 7473 5420 6d69 2065 7553 6570 7672 Last Time Superv + 8000e298: 7369 726f 4920 746e 7265 7572 7470 4520 isor Interrupt E + 8000e2a8: 616e 6c62 6465 0000 614c 7473 5420 6d69 nabled..Last Tim + 8000e2b8: 2065 7553 6570 7672 7369 726f 4920 746e e Supervisor Int + 8000e2c8: 7265 7572 7470 4420 7369 6261 656c 0064 errupt Disabled. + 8000e2d8: 614c 7473 5020 6972 6976 656c 6567 6920 Last Privilege i + 8000e2e8: 2073 7553 6570 7672 7369 726f 4d20 646f s Supervisor Mod + 8000e2f8: 0065 0000 0000 0000 614c 7473 5020 6972 e.......Last Pri + 8000e308: 6976 656c 6567 6920 2073 7355 7265 4d20 vilege is User M + 8000e318: 646f 0065 0000 0000 6550 6d72 7469 7420 ode.....Permit t + 8000e328: 206f 6341 6563 7373 5520 6573 2072 6150 o Access User Pa + 8000e338: 6567 0000 0000 0000 6f4e 2074 6550 6d72 ge......Not Perm + 8000e348: 7469 7420 206f 6341 6563 7373 5520 6573 it to Access Use + 8000e358: 2072 6150 6567 0000 6550 6d72 7469 7420 r Page..Permit t + 8000e368: 206f 6552 6461 4520 6578 7563 6174 6c62 o Read Executabl + 8000e378: 2d65 6e6f 796c 5020 6761 0065 0000 0000 e-only Page..... + 8000e388: 6f4e 2074 6550 6d72 7469 7420 206f 6552 Not Permit to Re + 8000e398: 6461 4520 6578 7563 6174 6c62 2d65 6e6f ad Executable-on + 8000e3a8: 796c 5020 6761 0065 6e55 6e6b 776f 206e ly Page.Unknown + 8000e3b8: 6441 7264 7365 2073 7254 6e61 6c73 7461 Address Translat + 8000e3c8: 6f69 2f6e 7250 746f 6365 6974 6e6f 4d20 ion/Protection M + 8000e3d8: 646f 0065 0000 0000 2d2d 2d2d 2d2d 2d2d ode.....-------- + 8000e3e8: 2d2d 2d2d 2d2d 7544 706d 5220 6765 7369 ------Dump Regis + 8000e3f8: 6574 7372 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d ters------------ + 8000e408: 2d2d 2d2d 0a2d 0000 7546 636e 6974 6e6f -----...Function + 8000e418: 5220 6765 7369 6574 7372 0a3a 0000 0000 Registers:..... + 8000e428: 7209 2861 3178 2029 203d 7830 7025 7509 .ra(x1) = 0x%p.u + 8000e438: 6573 5f72 7073 3d20 3020 2578 0a70 0000 ser_sp = 0x%p... + 8000e448: 6709 2870 3378 2029 203d 7830 7025 7409 .gp(x3) = 0x%p.t + 8000e458: 2870 3478 2029 203d 7830 7025 000a 0000 p(x4) = 0x%p.... + 8000e468: 6554 706d 726f 7261 2079 6552 6967 7473 Temporary Regist + 8000e478: 7265 3a73 000a 0000 7409 2830 3578 2029 ers:.....t0(x5) + 8000e488: 203d 7830 7025 7409 2831 3678 2029 203d = 0x%p.t1(x6) = + 8000e498: 7830 7025 000a 0000 7409 2832 3778 2029 0x%p.....t2(x7) + 8000e4a8: 203d 7830 7025 000a 7409 2833 3278 2938 = 0x%p...t3(x28) + 8000e4b8: 3d20 3020 2578 0970 3474 7828 3932 2029 = 0x%p.t4(x29) + 8000e4c8: 203d 7830 7025 000a 7409 2835 3378 2930 = 0x%p...t5(x30) + 8000e4d8: 3d20 3020 2578 0970 3674 7828 3133 2029 = 0x%p.t6(x31) + 8000e4e8: 203d 7830 7025 000a 6153 6576 2064 6552 = 0x%p..Saved Re + 8000e4f8: 6967 7473 7265 3a73 000a 0000 0000 0000 gisters:........ + 8000e508: 7309 2f30 7066 7828 2938 3d20 3020 2578 .s0/fp(x8) = 0x% + 8000e518: 0970 3173 7828 2939 3d20 3020 2578 0a70 p.s1(x9) = 0x%p. + ... + 8000e530: 7309 2832 3178 2938 3d20 3020 2578 0970 .s2(x18) = 0x%p. + 8000e540: 3373 7828 3931 2029 203d 7830 7025 000a s3(x19) = 0x%p.. + 8000e550: 7309 2834 3278 2930 3d20 3020 2578 0970 .s4(x20) = 0x%p. + 8000e560: 3573 7828 3132 2029 203d 7830 7025 000a s5(x21) = 0x%p.. + 8000e570: 7309 2836 3278 2932 3d20 3020 2578 0970 .s6(x22) = 0x%p. + 8000e580: 3773 7828 3332 2029 203d 7830 7025 000a s7(x23) = 0x%p.. + 8000e590: 7309 2838 3278 2934 3d20 3020 2578 0970 .s8(x24) = 0x%p. + 8000e5a0: 3973 7828 3532 2029 203d 7830 7025 000a s9(x25) = 0x%p.. + 8000e5b0: 7309 3031 7828 3632 2029 203d 7830 7025 .s10(x26) = 0x%p + 8000e5c0: 7309 3131 7828 3732 2029 203d 7830 7025 .s11(x27) = 0x%p + 8000e5d0: 000a 0000 0000 0000 7546 636e 6974 6e6f ........Function + 8000e5e0: 4120 6772 6d75 6e65 7374 5220 6765 7369 Arguments Regis + 8000e5f0: 6574 7372 0a3a 0000 6109 2830 3178 2930 ters:....a0(x10) + 8000e600: 3d20 3020 2578 0970 3161 7828 3131 2029 = 0x%p.a1(x11) + 8000e610: 203d 7830 7025 000a 6109 2832 3178 2932 = 0x%p...a2(x12) + 8000e620: 3d20 3020 2578 0970 3361 7828 3331 2029 = 0x%p.a3(x13) + 8000e630: 203d 7830 7025 000a 6109 2834 3178 2934 = 0x%p...a4(x14) + 8000e640: 3d20 3020 2578 0970 3561 7828 3531 2029 = 0x%p.a5(x15) + 8000e650: 203d 7830 7025 000a 6109 2836 3178 2936 = 0x%p...a6(x16) + 8000e660: 3d20 3020 2578 0970 3761 7828 3731 2029 = 0x%p.a7(x17) + 8000e670: 203d 7830 7025 000a 7378 6174 7574 2073 = 0x%p..xstatus + 8000e680: 203d 7830 7025 000a 2509 0a73 0000 0000 = 0x%p...%s..... + 8000e690: 6173 7074 3d20 3020 2578 0a70 0000 0000 satp = 0x%p..... + 8000e6a0: 4d09 646f 2065 203d 7325 000a 0000 0000 .Mode = %s...... + 8000e6b0: 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d ---------------- + 8000e6c0: 442d 6d75 2070 4b4f 2d2d 2d2d 2d2d 2d2d -Dump OK-------- + 8000e6d0: 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 0a2d 0000 -------------... + 8000e6e0: 6378 7561 6573 3d20 2520 3830 2c78 7478 xcause = %08x,xt + 8000e6f0: 6176 206c 203d 3025 7838 782c 7065 2063 val = %08x,xepc + 8000e700: 203d 3025 7838 000a 450a 6378 7065 6974 = %08x...Excepti + 8000e710: 6e6f 0a3a 0000 0000 6e49 7473 7572 7463 on:.....Instruct + 8000e720: 6f69 206e 6461 7264 7365 2073 696d 6173 ion address misa + 8000e730: 696c 6e67 6465 0000 6e49 7473 7572 7463 ligned..Instruct + 8000e740: 6f69 206e 6361 6563 7373 6620 7561 746c ion access fault + ... + 8000e758: 6c49 656c 6167 206c 6e69 7473 7572 7463 Illegal instruct + 8000e768: 6f69 006e 0000 0000 7242 6165 706b 696f ion.....Breakpoi + 8000e778: 746e 0000 0000 0000 6f4c 6461 6120 6464 nt......Load add + 8000e788: 6572 7373 6d20 7369 6c61 6769 656e 0064 ress misaligned. + 8000e798: 6f4c 6461 6120 6363 7365 2073 6166 6c75 Load access faul + 8000e7a8: 0074 0000 0000 0000 7453 726f 2065 6461 t.......Store ad + 8000e7b8: 7264 7365 2073 696d 6173 696c 6e67 6465 dress misaligned + ... + 8000e7d0: 7453 726f 2065 6361 6563 7373 6620 7561 Store access fau + 8000e7e0: 746c 0000 0000 0000 6e45 6976 6f72 6d6e lt......Environm + 8000e7f0: 6e65 2074 6163 6c6c 6620 6f72 206d 2d55 ent call from U- + 8000e800: 6f6d 6564 0000 0000 6e45 6976 6f72 6d6e mode....Environm + 8000e810: 6e65 2074 6163 6c6c 6620 6f72 206d 2d53 ent call from S- + 8000e820: 6f6d 6564 0000 0000 6e45 6976 6f72 6d6e mode....Environm + 8000e830: 6e65 2074 6163 6c6c 6620 6f72 206d 2d48 ent call from H- + 8000e840: 6f6d 6564 0000 0000 6e45 6976 6f72 6d6e mode....Environm + 8000e850: 6e65 2074 6163 6c6c 6620 6f72 206d 2d4d ent call from M- + 8000e860: 6f6d 6564 0000 0000 6b55 6f6e 6e77 6520 mode....Uknown e + 8000e870: 6378 7065 6974 6e6f 3a20 2520 3830 586c xception : %08lX + ... + 8000e888: 7865 6563 7470 6f69 206e 6370 3d20 203e exception pc => + 8000e898: 7830 3025 7838 000a 7563 7272 6e65 2074 0x%08x..current + 8000e8a8: 6874 6572 6461 203a 2e25 732a 000a 0000 thread: %.*s.... + 8000e8b8: 708c ffff 70d8 ffff 70e4 ffff 70f0 ffff .p...p...p...p.. + 8000e8c8: 70fc ffff 7108 ffff 7114 ffff 7120 ffff .p...q...q.. q.. + 8000e8d8: 712c ffff 7138 ffff 7144 ffff 7150 ffff ,q..8q..Dq..Pq.. + 8000e8e8: 6f4e 4120 6464 6572 7373 5420 6172 736e No Address Trans + 8000e8f8: 616c 6974 6e6f 502f 6f72 6574 7463 6f69 lation/Protectio + 8000e908: 206e 6f4d 6564 0000 6150 6567 622d 7361 n Mode..Page-bas + 8000e918: 6465 3320 2d39 6962 2074 6956 7472 6175 ed 39-bit Virtua + 8000e928: 206c 6441 7264 7365 6973 676e 4d20 646f l Addressing Mod + 8000e938: 0065 0000 0000 0000 6150 6567 622d 7361 e.......Page-bas + 8000e948: 6465 3420 2d38 6962 2074 6956 7472 6175 ed 48-bit Virtua + 8000e958: 206c 6441 7264 7365 6973 676e 4d20 646f l Addressing Mod + 8000e968: 0065 0000 0000 0000 e....... + +000000008000e970 : + 8000e970: e8e8 8000 0000 0000 e3b0 8000 0000 0000 ................ + 8000e980: e3b0 8000 0000 0000 e3b0 8000 0000 0000 ................ + 8000e990: e3b0 8000 0000 0000 e3b0 8000 0000 0000 ................ + 8000e9a0: e3b0 8000 0000 0000 e3b0 8000 0000 0000 ................ + 8000e9b0: e910 8000 0000 0000 e940 8000 0000 0000 ........@....... + 8000e9c0: 6548 6c6c 206f 5452 542d 7268 6165 2164 Hello RT-Thread! + 8000e9d0: 000a 0000 0000 0000 5b1b 4a32 5b1b 0048 .........[2J.[H. + 8000e9e0: 7325 0000 0000 0000 002f 0000 0000 0000 %s....../....... + 8000e9f0: 2d2d 7546 636e 6974 6e6f 4c20 7369 3a74 --Function List: + 8000ea00: 000a 0000 0000 0000 5f5f 0000 0000 0000 ........__...... + 8000ea10: 2d25 3631 2073 2d2d 2520 0a73 0000 0000 %-16s -- %s..... + 8000ea20: 002d 0000 0000 0000 6874 6572 6461 0000 -.......thread.. + 8000ea30: 2d25 2e2a 2073 7270 2069 7320 6174 7574 %-*.s pri statu + 8000ea40: 2073 2020 2020 7320 2070 2020 2020 7473 s sp st + 8000ea50: 6361 206b 6973 657a 6d20 7861 7520 6573 ack size max use + 8000ea60: 2064 656c 7466 7420 6369 206b 6520 7272 d left tick err + 8000ea70: 726f 000a 0000 0000 2d20 2d2d 2020 2d2d or...... --- -- + 8000ea80: 2d2d 2d2d 202d 2d2d 2d2d 2d2d 2d2d 2d2d ----- ---------- + 8000ea90: 2d20 2d2d 2d2d 2d2d 2d2d 202d 2d20 2d2d ---------- --- + 8000eaa0: 2d2d 202d 2d20 2d2d 2d2d 2d2d 2d2d 202d --- ---------- + 8000eab0: 2d2d 0a2d 0000 0000 2d25 2e2a 732a 2520 ---.....%-*.*s % + 8000eac0: 6433 0020 0000 0000 7220 6165 7964 2020 3d ..... ready + ... + 8000ead8: 7320 7375 6570 646e 0000 0000 0000 0000 suspend........ + 8000eae8: 6920 696e 2074 2020 0000 0000 0000 0000 init ........ + 8000eaf8: 6320 6f6c 6573 2020 0000 0000 0000 0000 close ........ + 8000eb08: 7220 6e75 696e 676e 0000 0000 0000 0000 running........ + 8000eb18: 3020 2578 3830 2078 7830 3025 7838 2020 0x%08x 0x%08x + 8000eb28: 2020 3025 6432 2525 2020 3020 2578 3830 %02d%% 0x%08 + 8000eb38: 2078 3025 6433 000a 6e55 6e6b 776f 006e x %03d..Unknown. + 8000eb48: 6564 6976 6563 0000 2d25 2e2a 2073 2020 device..%-*.s + 8000eb58: 2020 2020 2020 7974 6570 2020 2020 2020 type + 8000eb68: 2020 7220 6665 6320 756f 746e 000a 0000 ref count.... + 8000eb78: 2d20 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d --------------- + 8000eb88: 2d2d 2d2d 202d 2d2d 2d2d 2d2d 2d2d 2d2d ----- ---------- + 8000eb98: 000a 0000 0000 0000 2d25 2e2a 732a 2520 ........%-*.*s % + 8000eba8: 322d 7330 2520 382d 0a64 0000 0000 0000 -20s %-8d....... + 8000ebb8: 756d 6574 0078 0000 2d25 2e2a 2073 2020 mutex...%-*.s + 8000ebc8: 776f 656e 2072 6820 6c6f 2064 7573 7073 owner hold susp + 8000ebd8: 6e65 2064 6874 6572 6461 000a 0000 0000 end thread...... + 8000ebe8: 2d20 2d2d 2d2d 2d2d 202d 2d2d 2d2d 2d20 -------- ---- - + 8000ebf8: 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 0a2d 0000 -------------... + 8000ec08: 2d25 2e2a 732a 2520 382d 2a2e 2073 3025 %-*.*s %-8.*s %0 + 8000ec18: 6434 2520 0a64 0000 2d25 2e2a 2073 7020 4d %d...%-*.s p + 8000ec28: 7265 6f69 6964 2063 2020 6974 656d 756f eriodic timeou + 8000ec38: 2074 2020 2020 2020 6c66 6761 000a 0000 t flag.... + 8000ec48: 2d20 2d2d 2d2d 2d2d 2d2d 202d 2d2d 2d2d ---------- ---- + 8000ec58: 2d2d 2d2d 2d2d 2d20 2d2d 2d2d 2d2d 2d2d ------ --------- + 8000ec68: 2d2d 000a 0000 0000 2d25 2e2a 732a 3020 --......%-*.*s 0 + 8000ec78: 2578 3830 2078 7830 3025 7838 0020 0000 x%08x 0x%08x ... + 8000ec88: 6361 6974 6176 6574 0a64 0000 0000 0000 activated....... + 8000ec98: 6564 6361 6974 6176 6574 0a64 0000 0000 deactivated..... + 8000eca8: 7563 7272 6e65 2074 6974 6b63 303a 2578 current tick:0x% + 8000ecb8: 3830 0a78 0000 0000 7665 6e65 0074 0000 08x.....event... + 8000ecc8: 2d25 2e2a 2073 2020 2020 7320 7465 2020 %-*.s set + 8000ecd8: 2020 7573 7073 6e65 2064 6874 6572 6461 suspend thread + 8000ece8: 000a 0000 0000 0000 2020 2d2d 2d2d 2d2d ........ ------ + 8000ecf8: 2d2d 2d2d 2d20 2d2d 2d2d 2d2d 2d2d 2d2d ---- ----------- + 8000ed08: 2d2d 0a2d 0000 0000 2d25 2e2a 732a 2020 ---.....%-*.*s + 8000ed18: 7830 3025 7838 2520 3330 3a64 0000 0000 0x%08x %03d:.... + 8000ed28: 2d25 2e2a 732a 2020 7830 3025 7838 3020 %-*.*s 0x%08x 0 + 8000ed38: 000a 0000 0000 0000 736d 7167 6575 6575 ........msgqueue + ... + 8000ed50: 2d25 2e2a 2073 6e65 7274 2079 7573 7073 %-*.s entry susp + 8000ed60: 6e65 2064 6874 6572 6461 000a 0000 0000 end thread...... + 8000ed70: 2d20 2d2d 202d 2d20 2d2d 2d2d 2d2d 2d2d ---- --------- + 8000ed80: 2d2d 2d2d 0a2d 0000 2d25 2e2a 732a 2520 -----...%-*.*s % + 8000ed90: 3430 2064 2520 3a64 0000 0000 0000 0000 04d %d:........ + 8000eda0: 2d25 2e2a 732a 2520 3430 2064 2520 0a64 %-*.*s %04d %d. + ... + 8000edb8: 6573 616d 6870 726f 0065 0000 0000 0000 semaphore....... + 8000edc8: 2d25 2e2a 2073 2076 2020 7573 7073 6e65 %-*.s v suspen + 8000edd8: 2064 6874 6572 6461 000a 0000 0000 0000 d thread........ + 8000ede8: 2d20 2d2d 2d20 2d2d 2d2d 2d2d 2d2d 2d2d --- ----------- + 8000edf8: 2d2d 0a2d 0000 0000 2d25 2e2a 732a 2520 ---.....%-*.*s % + 8000ee08: 3330 2064 6425 003a 2d25 2e2a 732a 2520 03d %d:.%-*.*s % + 8000ee18: 3330 2064 6425 000a 616d 6c69 6f62 0078 03d %d..mailbox. + 8000ee28: 2d25 2e2a 2073 6e65 7274 2079 6973 657a %-*.s entry size + 8000ee38: 7320 7375 6570 646e 7420 7268 6165 0a64 suspend thread. + ... + 8000ee50: 2d20 2d2d 202d 2d20 2d2d 202d 2d2d 2d2d ---- ---- ---- + 8000ee60: 2d2d 2d2d 2d2d 2d2d 2d2d 000a 0000 0000 ----------...... + 8000ee70: 2d25 2e2a 732a 2520 3430 2064 2520 3430 %-*.*s %04d %04 + 8000ee80: 2064 6425 003a 0000 2d25 2e2a 732a 2520 d %d:...%-*.*s % + 8000ee90: 3430 2064 2520 3430 2064 6425 000a 0000 04d %04d %d.... + 8000eea0: 656d 706d 6f6f 006c 2d25 2e2a 2073 6c62 mempool.%-*.s bl + 8000eeb0: 636f 206b 6f74 6174 206c 7266 6565 7320 ock total free s + 8000eec0: 7375 6570 646e 7420 7268 6165 0a64 0000 uspend thread... + 8000eed0: 2d20 2d2d 202d 2d20 2d2d 202d 2d20 2d2d ---- ---- --- + 8000eee0: 202d 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d 2d2d - -------------- + 8000eef0: 000a 0000 0000 0000 2d25 2e2a 732a 2520 ........%-*.*s % + 8000ef00: 3430 2064 2520 3430 2064 2520 3430 2064 04d %04d %04d + 8000ef10: 6425 003a 0000 0000 2d25 2e2a 732a 2520 %d:.....%-*.*s % + 8000ef20: 3430 2064 2520 3430 2064 2520 3430 2064 04d %04d %04d + 8000ef30: 6425 000a 0000 0000 6843 7261 6361 6574 %d......Characte + 8000ef40: 2072 6544 6976 6563 0000 0000 0000 0000 r Device........ + 8000ef50: 6c42 636f 206b 6544 6976 6563 0000 0000 Block Device.... + 8000ef60: 654e 7774 726f 206b 6e49 6574 6672 6361 Network Interfac + 8000ef70: 0065 0000 0000 0000 544d 2044 6544 6976 e.......MTD Devi + 8000ef80: 6563 0000 0000 0000 4143 204e 6544 6976 ce......CAN Devi + 8000ef90: 6563 0000 0000 0000 5452 0043 0000 0000 ce......RTC..... + 8000efa0: 6f53 6e75 2064 6544 6976 6563 0000 0000 Sound Device.... + 8000efb0: 7247 7061 6968 2063 6544 6976 6563 0000 Graphic Device.. + 8000efc0: 3249 2043 7542 0073 5355 2042 6c53 7661 I2C Bus.USB Slav + 8000efd0: 2065 6544 6976 6563 0000 0000 0000 0000 e Device........ + 8000efe0: 5355 2042 6f48 7473 4220 7375 0000 0000 USB Host Bus.... + 8000eff0: 5053 2049 7542 0073 5053 2049 6544 6976 SPI Bus.SPI Devi + 8000f000: 6563 0000 0000 0000 4453 4f49 4220 7375 ce......SDIO Bus + ... + 8000f018: 4d50 5020 6573 6475 206f 6544 6976 6563 PM Pseudo Device + ... + 8000f030: 6950 6570 0000 0000 6f50 7472 6c61 4420 Pipe....Portal D + 8000f040: 7665 6369 0065 0000 6954 656d 2072 6544 evice...Timer De + 8000f050: 6976 6563 0000 0000 694d 6373 6c65 616c vice....Miscella + 8000f060: 656e 756f 2073 6544 6976 6563 0000 0000 neous Device.... + 8000f070: 6553 736e 726f 4420 7665 6369 0065 0000 Sensor Device... + 8000f080: 6f54 6375 2068 6544 6976 6563 0000 0000 Touch Device.... + 8000f090: 6850 2079 6544 6976 6563 0000 0000 0000 Phy Device...... + +000000008000f0a0 : + 8000f0a0: ef38 8000 0000 0000 ef50 8000 0000 0000 8.......P....... + 8000f0b0: ef60 8000 0000 0000 ef78 8000 0000 0000 `.......x....... + 8000f0c0: ef88 8000 0000 0000 ef98 8000 0000 0000 ................ + 8000f0d0: efa0 8000 0000 0000 efb0 8000 0000 0000 ................ + 8000f0e0: efc0 8000 0000 0000 efc8 8000 0000 0000 ................ + 8000f0f0: efe0 8000 0000 0000 eff0 8000 0000 0000 ................ + 8000f100: eff8 8000 0000 0000 f008 8000 0000 0000 ................ + 8000f110: f018 8000 0000 0000 f030 8000 0000 0000 ........0....... + 8000f120: f038 8000 0000 0000 f048 8000 0000 0000 8.......H....... + 8000f130: f058 8000 0000 0000 f070 8000 0000 0000 X.......p....... + 8000f140: f080 8000 0000 0000 f090 8000 0000 0000 ................ + 8000f150: eb40 8000 0000 0000 @....... + +000000008000f158 <__fsym_list_desc>: + 8000f158: 696c 7473 6120 6c6c 7320 6d79 6f62 206c list all symbol + 8000f168: 6e69 7320 7379 6574 006d 0000 0000 0000 in system....... + +000000008000f178 <__fsym_list_name>: + 8000f178: 696c 7473 0000 0000 list.... + +000000008000f180 <__fsym___cmd_list_device_desc>: + 8000f180: 696c 7473 6420 7665 6369 2065 6e69 7320 list device in s + 8000f190: 7379 6574 006d 0000 ystem... + +000000008000f198 <__fsym___cmd_list_device_name>: + 8000f198: 5f5f 6d63 5f64 696c 7473 645f 7665 6369 __cmd_list_devic + 8000f1a8: 0065 0000 0000 0000 e....... + +000000008000f1b0 <__fsym_list_device_desc>: + 8000f1b0: 696c 7473 6420 7665 6369 2065 6e69 7320 list device in s + 8000f1c0: 7379 6574 006d 0000 ystem... + +000000008000f1c8 <__fsym_list_device_name>: + 8000f1c8: 696c 7473 645f 7665 6369 0065 0000 0000 list_device..... + +000000008000f1d8 <__fsym___cmd_list_timer_desc>: + 8000f1d8: 696c 7473 7420 6d69 7265 6920 206e 7973 list timer in sy + 8000f1e8: 7473 6d65 0000 0000 stem.... + +000000008000f1f0 <__fsym___cmd_list_timer_name>: + 8000f1f0: 5f5f 6d63 5f64 696c 7473 745f 6d69 7265 __cmd_list_timer + ... + +000000008000f208 <__fsym_list_timer_desc>: + 8000f208: 696c 7473 7420 6d69 7265 6920 206e 7973 list timer in sy + 8000f218: 7473 6d65 0000 0000 stem.... + +000000008000f220 <__fsym_list_timer_name>: + 8000f220: 696c 7473 745f 6d69 7265 0000 0000 0000 list_timer...... + +000000008000f230 <__fsym___cmd_list_mempool_desc>: + 8000f230: 696c 7473 6d20 6d65 726f 2079 6f70 6c6f list memory pool + 8000f240: 6920 206e 7973 7473 6d65 0000 0000 0000 in system...... + +000000008000f250 <__fsym___cmd_list_mempool_name>: + 8000f250: 5f5f 6d63 5f64 696c 7473 6d5f 6d65 6f70 __cmd_list_mempo + 8000f260: 6c6f 0000 0000 0000 ol...... + +000000008000f268 <__fsym_list_mempool_desc>: + 8000f268: 696c 7473 6d20 6d65 726f 2079 6f70 6c6f list memory pool + 8000f278: 6920 206e 7973 7473 6d65 0000 0000 0000 in system...... + +000000008000f288 <__fsym_list_mempool_name>: + 8000f288: 696c 7473 6d5f 6d65 6f70 6c6f 0000 0000 list_mempool.... + +000000008000f298 <__fsym___cmd_list_msgqueue_desc>: + 8000f298: 696c 7473 6d20 7365 6173 6567 7120 6575 list message que + 8000f2a8: 6575 6920 206e 7973 7473 6d65 0000 0000 ue in system.... + +000000008000f2b8 <__fsym___cmd_list_msgqueue_name>: + 8000f2b8: 5f5f 6d63 5f64 696c 7473 6d5f 6773 7571 __cmd_list_msgqu + 8000f2c8: 7565 0065 0000 0000 eue..... + +000000008000f2d0 <__fsym_list_msgqueue_desc>: + 8000f2d0: 696c 7473 6d20 7365 6173 6567 7120 6575 list message que + 8000f2e0: 6575 6920 206e 7973 7473 6d65 0000 0000 ue in system.... + +000000008000f2f0 <__fsym_list_msgqueue_name>: + 8000f2f0: 696c 7473 6d5f 6773 7571 7565 0065 0000 list_msgqueue... + +000000008000f300 <__fsym___cmd_list_mailbox_desc>: + 8000f300: 696c 7473 6d20 6961 206c 6f62 2078 6e69 list mail box in + 8000f310: 7320 7379 6574 006d system. + +000000008000f318 <__fsym___cmd_list_mailbox_name>: + 8000f318: 5f5f 6d63 5f64 696c 7473 6d5f 6961 626c __cmd_list_mailb + 8000f328: 786f 0000 0000 0000 ox...... + +000000008000f330 <__fsym_list_mailbox_desc>: + 8000f330: 696c 7473 6d20 6961 206c 6f62 2078 6e69 list mail box in + 8000f340: 7320 7379 6574 006d system. + +000000008000f348 <__fsym_list_mailbox_name>: + 8000f348: 696c 7473 6d5f 6961 626c 786f 0000 0000 list_mailbox.... + +000000008000f358 <__fsym___cmd_list_mutex_desc>: + 8000f358: 696c 7473 6d20 7475 7865 6920 206e 7973 list mutex in sy + 8000f368: 7473 6d65 0000 0000 stem.... + +000000008000f370 <__fsym___cmd_list_mutex_name>: + 8000f370: 5f5f 6d63 5f64 696c 7473 6d5f 7475 7865 __cmd_list_mutex + ... + +000000008000f388 <__fsym_list_mutex_desc>: + 8000f388: 696c 7473 6d20 7475 7865 6920 206e 7973 list mutex in sy + 8000f398: 7473 6d65 0000 0000 stem.... + +000000008000f3a0 <__fsym_list_mutex_name>: + 8000f3a0: 696c 7473 6d5f 7475 7865 0000 0000 0000 list_mutex...... + +000000008000f3b0 <__fsym___cmd_list_event_desc>: + 8000f3b0: 696c 7473 6520 6576 746e 6920 206e 7973 list event in sy + 8000f3c0: 7473 6d65 0000 0000 stem.... + +000000008000f3c8 <__fsym___cmd_list_event_name>: + 8000f3c8: 5f5f 6d63 5f64 696c 7473 655f 6576 746e __cmd_list_event + ... + +000000008000f3e0 <__fsym_list_event_desc>: + 8000f3e0: 696c 7473 6520 6576 746e 6920 206e 7973 list event in sy + 8000f3f0: 7473 6d65 0000 0000 stem.... + +000000008000f3f8 <__fsym_list_event_name>: + 8000f3f8: 696c 7473 655f 6576 746e 0000 0000 0000 list_event...... + +000000008000f408 <__fsym___cmd_list_sem_desc>: + 8000f408: 696c 7473 7320 6d65 7061 6f68 6572 6920 list semaphore i + 8000f418: 206e 7973 7473 6d65 0000 0000 0000 0000 n system........ + +000000008000f428 <__fsym___cmd_list_sem_name>: + 8000f428: 5f5f 6d63 5f64 696c 7473 735f 6d65 0000 __cmd_list_sem.. + +000000008000f438 <__fsym_list_sem_desc>: + 8000f438: 696c 7473 7320 6d65 7061 6f68 6572 6920 list semaphore i + 8000f448: 206e 7973 7473 6d65 0000 0000 0000 0000 n system........ + +000000008000f458 <__fsym_list_sem_name>: + 8000f458: 696c 7473 735f 6d65 0000 0000 0000 0000 list_sem........ + +000000008000f468 <__fsym___cmd_list_thread_desc>: + 8000f468: 696c 7473 7420 7268 6165 0064 0000 0000 list thread..... + +000000008000f478 <__fsym___cmd_list_thread_name>: + 8000f478: 5f5f 6d63 5f64 696c 7473 745f 7268 6165 __cmd_list_threa + 8000f488: 0064 0000 0000 0000 d....... + +000000008000f490 <__fsym_list_thread_desc>: + 8000f490: 696c 7473 7420 7268 6165 0064 0000 0000 list thread..... + +000000008000f4a0 <__fsym_list_thread_name>: + 8000f4a0: 696c 7473 745f 7268 6165 0064 0000 0000 list_thread..... + +000000008000f4b0 <__fsym___cmd_version_desc>: + 8000f4b0: 6873 776f 5220 2d54 6854 6572 6461 7620 show RT-Thread v + 8000f4c0: 7265 6973 6e6f 6920 666e 726f 616d 6974 ersion informati + 8000f4d0: 6e6f 0000 0000 0000 on...... + +000000008000f4d8 <__fsym___cmd_version_name>: + 8000f4d8: 5f5f 6d63 5f64 6576 7372 6f69 006e 0000 __cmd_version... + +000000008000f4e8 <__fsym_version_desc>: + 8000f4e8: 6873 776f 5220 2d54 6854 6572 6461 7620 show RT-Thread v + 8000f4f8: 7265 6973 6e6f 6920 666e 726f 616d 6974 ersion informati + 8000f508: 6e6f 0000 0000 0000 on...... + +000000008000f510 <__fsym_version_name>: + 8000f510: 6576 7372 6f69 006e version. + +000000008000f518 <__fsym___cmd_clear_desc>: + 8000f518: 6c63 6165 2072 6874 2065 6574 6d72 6e69 clear the termin + 8000f528: 6c61 7320 7263 6565 006e 0000 0000 0000 al screen....... + +000000008000f538 <__fsym___cmd_clear_name>: + 8000f538: 5f5f 6d63 5f64 6c63 6165 0072 0000 0000 __cmd_clear..... + +000000008000f548 <__fsym_clear_desc>: + 8000f548: 6c63 6165 2072 6874 2065 6574 6d72 6e69 clear the termin + 8000f558: 6c61 7320 7263 6565 006e 0000 0000 0000 al screen....... + +000000008000f568 <__fsym_clear_name>: + 8000f568: 6c63 6165 0072 0000 clear... + +000000008000f570 <__fsym_hello_desc>: + 8000f570: 6173 2079 6568 6c6c 206f 6f77 6c72 0064 say hello world. + +000000008000f580 <__fsym_hello_name>: + 8000f580: 6568 6c6c 006f 0000 5452 542d 7268 6165 hello...RT-Threa + 8000f590: 2064 6873 6c65 206c 6f63 6d6d 6e61 7364 d shell commands + 8000f5a0: 0a3a 0000 0000 0000 5f5f 6d63 5f64 0000 :.......__cmd_.. + 8000f5b0: 2d25 3631 2073 202d 7325 000a 0000 0000 %-16s - %s...... + 8000f5c0: 6f54 206f 616d 796e 6120 6772 2073 2021 Too many args ! + 8000f5d0: 6557 6f20 6c6e 2079 7355 3a65 000a 0000 We only Use:.... + 8000f5e0: 7325 0020 0000 0000 7325 203a 6f63 6d6d %s .....%s: comm + 8000f5f0: 6e61 2064 6f6e 2074 6f66 6e75 2e64 000a and not found... + +000000008000f600 <__fsym___cmd_free_desc>: + 8000f600: 6853 776f 7420 6568 6d20 6d65 726f 2079 Show the memory + 8000f610: 7375 6761 2065 6e69 7420 6568 7320 7379 usage in the sys + 8000f620: 6574 2e6d 0000 0000 tem..... + +000000008000f628 <__fsym___cmd_free_name>: + 8000f628: 5f5f 6d63 5f64 7266 6565 0000 0000 0000 __cmd_free...... + +000000008000f638 <__fsym___cmd_ps_desc>: + 8000f638: 694c 7473 7420 7268 6165 7364 6920 206e List threads in + 8000f648: 6874 2065 7973 7473 6d65 002e 0000 0000 the system...... + +000000008000f658 <__fsym___cmd_ps_name>: + 8000f658: 5f5f 6d63 5f64 7370 0000 0000 0000 0000 __cmd_ps........ + +000000008000f668 <__fsym___cmd_help_desc>: + 8000f668: 5452 542d 7268 6165 2064 6873 6c65 206c RT-Thread shell + 8000f678: 6568 706c 002e 0000 help.... + +000000008000f680 <__fsym___cmd_help_name>: + 8000f680: 5f5f 6d63 5f64 6568 706c 0000 0000 0000 __cmd_help...... + 8000f690: 6163 6e6e 746f 7220 6d65 766f 2065 2527 cannot remove '% + 8000f6a0: 2773 000a 0000 0000 2e2e 0000 0000 0000 s'.............. + 8000f6b0: 7325 252f 0073 0000 6572 6f6d 6576 2064 %s/%s...removed + 8000f6c0: 2527 2773 000a 0000 6572 6f6d 6576 2064 '%s'....removed + 8000f6d0: 6964 6572 7463 726f 2079 2527 2773 000a directory '%s'.. + 8000f6e0: 7355 6761 3a65 7220 206d 706f 6974 6e6f Usage: rm option + 8000f6f0: 7328 2029 4946 454c 2e2e 0a2e 0000 0000 (s) FILE........ + 8000f700: 6552 6f6d 6576 2820 6e75 696c 6b6e 2029 Remove (unlink) + 8000f710: 6874 2065 4946 454c 7328 2e29 000a 0000 the FILE(s)..... + 8000f720: 7245 6f72 3a72 4220 6461 6f20 7470 6f69 Error: Bad optio + 8000f730: 3a6e 2520 0a63 0000 6163 6e6e 746f 7220 n: %c...cannot r + 8000f740: 6d65 766f 2065 2527 2773 203a 7349 6120 emove '%s': Is a + 8000f750: 6420 7269 6365 6f74 7972 000a 0000 0000 directory...... + 8000f760: 6163 6e6e 746f 7220 6d65 766f 2065 2527 cannot remove '% + 8000f770: 2773 203a 6f4e 7320 6375 2068 6966 656c s': No such file + 8000f780: 6f20 2072 6964 6572 7463 726f 0a79 0000 or directory... + 8000f790: 6c65 006d 0000 0000 742d 0000 0000 0000 elm.....-t...... + 8000f7a0: 7355 6761 3a65 6d20 666b 2073 2d5b 2074 Usage: mkfs [-t + 8000f7b0: 7974 6570 205d 6564 6976 6563 000a 0000 type] device.... + 8000f7c0: 6b6d 7366 6620 6961 656c 2c64 7220 7365 mkfs failed, res + 8000f7d0: 6c75 3d74 6425 000a 7355 6761 3a65 7520 ult=%d..Usage: u + 8000f7e0: 6d6e 756f 746e 3c20 6f6d 6e75 7074 696f nmount .....unmount + 8000f800: 7325 2e20 2e2e 0020 6166 6c69 6465 0a21 %s ... .failed!. + ... + 8000f818: 7573 6363 6565 2164 000a 0000 0000 0000 succeed!........ + 8000f828: 7355 6761 3a65 7420 6961 206c 2d5b 206e Usage: tail [-n + 8000f838: 756e 626d 7265 5d73 3c20 6966 656c 616e numbers] .....-n...... + 8000f858: 6946 656c 6420 656f 6e73 7427 6520 6978 File doesn't exi + 8000f868: 7473 000a 0000 0000 540a 746f 6c61 4e20 st.......Total N + 8000f878: 6d75 6562 2072 666f 6c20 6e69 7365 253a umber of lines:% + 8000f888: 0a64 0000 0000 0000 450a 7272 726f 523a d........Error:R + 8000f898: 7165 6975 6572 2064 696c 656e 2073 7261 equired lines ar + 8000f8a8: 2065 6f6d 6572 7420 6168 206e 6f74 6174 e more than tota + 8000f8b8: 206c 756e 626d 7265 6f20 2066 696c 656e l number of line + 8000f8c8: 0a73 0000 0000 0000 6552 7571 7269 6465 s.......Required + 8000f8d8: 4e20 6d75 6562 2072 666f 6c20 6e69 7365 Number of lines + 8000f8e8: 253a 0a64 0000 0000 7355 6761 3a65 6320 :%d.....Usage: c + 8000f8f8: 2070 4f53 5255 4543 4420 5345 0a54 0000 p SOURCE DEST... + 8000f908: 6f43 7970 5320 554f 4352 2045 6f74 4420 Copy SOURCE to D + 8000f918: 5345 2e54 000a 0000 7355 6761 3a65 6d20 EST.....Usage: m + 8000f928: 2076 4f53 5255 4543 4420 5345 0a54 0000 v SOURCE DEST... + 8000f938: 6552 616e 656d 5320 554f 4352 2045 6f74 Rename SOURCE to + 8000f948: 4420 5345 2c54 6f20 2072 6f6d 6576 5320 DEST, or move S + 8000f958: 554f 4352 2845 2973 7420 206f 4944 4552 OURCE(s) to DIRE + 8000f968: 5443 524f 2e59 000a 7325 3d20 203e 7325 CTORY...%s => %s + 8000f978: 000a 0000 0000 0000 756f 2074 666f 6d20 ........out of m + 8000f988: 6d65 726f 0a79 0000 7355 6761 3a65 6320 emory...Usage: c + 8000f998: 7461 5b20 4946 454c 2e5d 2e2e 000a 0000 at [FILE]....... + 8000f9a8: 6f43 636e 7461 6e65 7461 2065 4946 454c Concatenate FILE + 8000f9b8: 7328 0a29 0000 0000 6f4e 7320 6375 2068 (s).....No such + 8000f9c8: 6964 6572 7463 726f 3a79 2520 0a73 0000 directory: %s... + 8000f9d8: 7355 6761 3a65 6d20 646b 7269 5b20 504f Usage: mkdir [OP + 8000f9e8: 4954 4e4f 205d 4944 4552 5443 524f 0a59 TION] DIRECTORY. + ... + 8000fa00: 7243 6165 6574 7420 6568 4420 5249 4345 Create the DIREC + 8000fa10: 4f54 5952 202c 6669 7420 6568 2079 6f64 TORY, if they do + 8000fa20: 6e20 746f 6120 726c 6165 7964 6520 6978 not already exi + 8000fa30: 7473 0a2e 0000 0000 6966 656c 7973 7473 st......filesyst + 8000fa40: 6d65 2020 6564 6976 6563 2020 6f6d 6e75 em device moun + 8000fa50: 7074 696f 746e 000a 2d2d 2d2d 2d2d 2d2d tpoint..-------- + 8000fa60: 2d2d 2020 2d2d 2d2d 2d2d 2020 2d2d 2d2d -- ------ ---- + 8000fa70: 2d2d 2d2d 2d2d 000a 2d25 3031 2073 2520 ------..%-10s % + 8000fa80: 362d 2073 2520 732d 000a 0000 0000 0000 -6s %-s........ + 8000fa90: 6f6d 6e75 2074 6564 6976 6563 2520 2873 mount device %s( + 8000faa0: 7325 2029 6e6f 6f74 2520 2073 2e2e 202e %s) onto %s ... + ... + 8000fab8: 7355 6761 3a65 6d20 756f 746e 3c20 6564 Usage: mount ..... + 8000fae8: 2d2d 6568 706c 0000 682d 0000 0000 0000 --help..-h...... + 8000faf8: 6664 5b20 6170 6874 0a5d 0000 0000 0000 df [path]....... + 8000fb08: 706f 6e65 6620 6c69 3a65 7325 6620 6961 open file:%s fai + 8000fb18: 656c 2164 000a 0000 7355 6761 3a65 6520 led!....Usage: e + 8000fb28: 6863 206f 7322 7274 6e69 2267 5b20 6966 cho "string" [fi + 8000fb38: 656c 616e 656d 0a5d 0000 0000 0000 0000 lename]......... + 8000fb48: 732e 0068 0000 0000 532e 0048 0000 0000 .sh......SH..... + 8000fb58: 622f 6e69 252f 2a2e 0073 0000 0000 0000 /bin/%.*s....... + +000000008000fb68 <__fsym___cmd_tail_desc>: + 8000fb68: 7270 6e69 2074 6874 2065 616c 7473 4e20 print the last N + 8000fb78: 6c2d 6e69 7365 6420 7461 2061 666f 7420 -lines data of t + 8000fb88: 6568 6720 7669 6e65 6620 6c69 0065 0000 he given file... + +000000008000fb98 <__fsym___cmd_tail_name>: + 8000fb98: 5f5f 6d63 5f64 6174 6c69 0000 0000 0000 __cmd_tail...... + +000000008000fba8 <__fsym___cmd_echo_desc>: + 8000fba8: 6365 6f68 7320 7274 6e69 2067 6f74 6620 echo string to f + 8000fbb8: 6c69 0065 0000 0000 ile..... + +000000008000fbc0 <__fsym___cmd_echo_name>: + 8000fbc0: 5f5f 6d63 5f64 6365 6f68 0000 0000 0000 __cmd_echo...... + +000000008000fbd0 <__fsym___cmd_df_desc>: + 8000fbd0: 6964 6b73 6620 6572 0065 0000 0000 0000 disk free....... + +000000008000fbe0 <__fsym___cmd_df_name>: + 8000fbe0: 5f5f 6d63 5f64 6664 0000 0000 0000 0000 __cmd_df........ + +000000008000fbf0 <__fsym___cmd_umount_desc>: + 8000fbf0: 6e55 6f6d 6e75 2074 6564 6976 6563 6620 Unmount device f + 8000fc00: 6f72 206d 6966 656c 7320 7379 6574 006d rom file system. + +000000008000fc10 <__fsym___cmd_umount_name>: + 8000fc10: 5f5f 6d63 5f64 6d75 756f 746e 0000 0000 __cmd_umount.... + +000000008000fc20 <__fsym___cmd_mount_desc>: + 8000fc20: 6f6d 6e75 2074 643c 7665 6369 3e65 3c20 mount < + 8000fc30: 6f6d 6e75 7074 696f 746e 203e 663c 7473 mountpoint> .... + +000000008000fc48 <__fsym___cmd_mount_name>: + 8000fc48: 5f5f 6d63 5f64 6f6d 6e75 0074 0000 0000 __cmd_mount..... + +000000008000fc58 <__fsym___cmd_mkfs_desc>: + 8000fc58: 6f66 6d72 7461 6420 7369 206b 6977 6874 format disk with + 8000fc68: 6620 6c69 2065 7973 7473 6d65 0000 0000 file system.... + +000000008000fc78 <__fsym___cmd_mkfs_name>: + 8000fc78: 5f5f 6d63 5f64 6b6d 7366 0000 0000 0000 __cmd_mkfs...... + +000000008000fc88 <__fsym___cmd_mkdir_desc>: + 8000fc88: 7243 6165 6574 7420 6568 4420 5249 4345 Create the DIREC + 8000fc98: 4f54 5952 002e 0000 TORY.... + +000000008000fca0 <__fsym___cmd_mkdir_name>: + 8000fca0: 5f5f 6d63 5f64 6b6d 6964 0072 0000 0000 __cmd_mkdir..... + +000000008000fcb0 <__fsym___cmd_pwd_desc>: + 8000fcb0: 7250 6e69 2074 6874 2065 616e 656d 6f20 Print the name o + 8000fcc0: 2066 6874 2065 7563 7272 6e65 2074 6f77 f the current wo + 8000fcd0: 6b72 6e69 2067 6964 6572 7463 726f 2e79 rking directory. + ... + +000000008000fce8 <__fsym___cmd_pwd_name>: + 8000fce8: 5f5f 6d63 5f64 7770 0064 0000 0000 0000 __cmd_pwd....... + +000000008000fcf8 <__fsym___cmd_cd_desc>: + 8000fcf8: 6843 6e61 6567 7420 6568 7320 6568 6c6c Change the shell + 8000fd08: 7720 726f 696b 676e 6420 7269 6365 6f74 working directo + 8000fd18: 7972 002e 0000 0000 ry...... + +000000008000fd20 <__fsym___cmd_cd_name>: + 8000fd20: 5f5f 6d63 5f64 6463 0000 0000 0000 0000 __cmd_cd........ + +000000008000fd30 <__fsym___cmd_rm_desc>: + 8000fd30: 6552 6f6d 6576 7528 6c6e 6e69 296b 7420 Remove(unlink) t + 8000fd40: 6568 4620 4c49 2845 2973 002e 0000 0000 he FILE(s)...... + +000000008000fd50 <__fsym___cmd_rm_name>: + 8000fd50: 5f5f 6d63 5f64 6d72 0000 0000 0000 0000 __cmd_rm........ + +000000008000fd60 <__fsym___cmd_cat_desc>: + 8000fd60: 6f43 636e 7461 6e65 7461 2065 4946 454c Concatenate FILE + 8000fd70: 7328 0029 0000 0000 (s)..... + +000000008000fd78 <__fsym___cmd_cat_name>: + 8000fd78: 5f5f 6d63 5f64 6163 0074 0000 0000 0000 __cmd_cat....... + +000000008000fd88 <__fsym___cmd_mv_desc>: + 8000fd88: 6552 616e 656d 5320 554f 4352 2045 6f74 Rename SOURCE to + 8000fd98: 4420 5345 2e54 0000 DEST... + +000000008000fda0 <__fsym___cmd_mv_name>: + 8000fda0: 5f5f 6d63 5f64 766d 0000 0000 0000 0000 __cmd_mv........ + +000000008000fdb0 <__fsym___cmd_cp_desc>: + 8000fdb0: 6f43 7970 5320 554f 4352 2045 6f74 4420 Copy SOURCE to D + 8000fdc0: 5345 2e54 0000 0000 EST..... + +000000008000fdc8 <__fsym___cmd_cp_name>: + 8000fdc8: 5f5f 6d63 5f64 7063 0000 0000 0000 0000 __cmd_cp........ + +000000008000fdd8 <__fsym___cmd_ls_desc>: + 8000fdd8: 694c 7473 6920 666e 726f 616d 6974 6e6f List information + 8000fde8: 6120 6f62 7475 7420 6568 4620 4c49 7345 about the FILEs + 8000fdf8: 002e 0000 0000 0000 ........ + +000000008000fe00 <__fsym___cmd_ls_name>: + 8000fe00: 5f5f 6d63 5f64 736c 0000 0000 0000 0000 __cmd_ls........ + 8000fe10: 6873 6c65 206c 3d21 5220 5f54 554e 4c4c shell != RT_NULL + ... + 8000fe28: 736d 2068 0000 0000 6966 736e 2068 0000 msh ....finsh .. + 8000fe38: 003e 0000 0000 0000 5b1b 4b32 000d 0000 >........[2K.... + 8000fe48: 7325 7325 0000 0000 6f6e 6d20 6d65 726f %s%s....no memor + 8000fe58: 2079 6f66 2072 6873 6c65 0a6c 0000 0000 y for shell..... + 8000fe68: 7374 6568 6c6c 0000 6873 7872 0000 0000 tshell..shrx.... + 8000fe78: 6966 736e 3a68 6320 6e61 6e20 746f 6620 finsh: can not f + 8000fe88: 6e69 2064 6564 6976 6563 203a 7325 000a ind device: %s.. + 8000fe98: 0008 0000 0000 0000 2508 2073 0820 0000 .........%s ... + 8000fea8: 2008 0008 0000 0000 . ...... + +000000008000feb0 <__FUNCTION__.4529>: + 8000feb0: 6966 736e 5f68 6573 5f74 7270 6d6f 7470 finsh_set_prompt + 8000fec0: 6d5f 646f 0065 0000 _mode... + +000000008000fec8 <__FUNCTION__.4534>: + 8000fec8: 6966 736e 5f68 6567 6374 6168 0072 0000 finsh_getchar... + +000000008000fed8 <__FUNCTION__.4542>: + 8000fed8: 6966 736e 5f68 7872 695f 646e 0000 0000 finsh_rx_ind.... + +000000008000fee8 <__FUNCTION__.4547>: + 8000fee8: 6966 736e 5f68 6573 5f74 6564 6976 6563 finsh_set_device + ... + +000000008000ff00 <__fsym_cd_desc>: + 8000ff00: 6863 6e61 6567 6320 7275 6572 746e 7720 change current w + 8000ff10: 726f 696b 676e 6420 7269 6365 6f74 7972 orking directory + ... + +000000008000ff28 <__fsym_cd_name>: + 8000ff28: 6463 0000 0000 0000 cd...... + +000000008000ff30 <__fsym_mkdir_desc>: + 8000ff30: 7263 6165 6574 6120 6420 7269 6365 6f74 create a directo + 8000ff40: 7972 0000 0000 0000 ry...... + +000000008000ff48 <__fsym_mkdir_name>: + 8000ff48: 6b6d 6964 0072 0000 6466 7420 7079 2065 mkdir...fd type + 8000ff58: 2020 7220 6665 6d20 6761 6369 2020 6170 ref magic pa + 8000ff68: 6874 000a 0000 0000 2d2d 2d20 2d2d 2d2d th......-- ----- + 8000ff78: 202d 2d20 2d2d 2d20 2d2d 2d2d 2d20 2d2d - --- ----- --- + 8000ff88: 2d2d 0a2d 0000 0000 3225 2064 0000 0000 ---.....%2d .... + 8000ff98: 6964 0072 0000 0000 2d25 2e37 7337 0020 dir.....%-7.7s . + 8000ffa8: 6966 656c 0000 0000 6f73 6b63 7465 0000 file....socket.. + 8000ffb8: 7375 7265 0000 0000 6e75 6e6b 776f 006e user....unknown. + 8000ffc8: 2d25 2e38 7338 0020 3325 2064 0000 0000 %-8.8s .%3d .... + 8000ffd8: 3025 7834 2020 0000 6664 2073 6c61 6572 %04x ..dfs alre + 8000ffe8: 6461 2079 6e69 7469 0a2e 0000 0000 0000 ady init........ + 8000fff8: 7366 6f6c 6b63 0000 6564 6676 0073 0000 fslock..devfs... + 80010008: 642f 7665 0000 0000 455b 442f 5346 205d /dev....[E/DFS] + ... + 80010020: 4644 2053 6466 6e20 7765 6920 2073 6166 DFS fd new is fa + 80010030: 6c69 6465 2021 6f43 6c75 2064 6f6e 2074 iled! Could not + 80010040: 6f66 6e75 2064 6e61 6520 706d 7974 6620 found an empty f + 80010050: 2064 6e65 7274 2e79 0000 0000 0000 0000 d entry......... + 80010060: 6466 2120 203d 554e 4c4c 0000 0000 0000 fd != NULL...... + 80010070: 6966 656c 616e 656d 2120 203d 554e 4c4c filename != NULL + ... + +0000000080010088 <__FUNCTION__.4119>: + 80010088: 6664 5f73 6f6c 6b63 0000 0000 0000 0000 dfs_lock........ + +0000000080010098 <__FUNCTION__.4185>: + 80010098: 6664 5f73 6f6e 6d72 6c61 7a69 5f65 6170 dfs_normalize_pa + 800100a8: 6874 0000 0000 0000 th...... + +00000000800100b0 <__fsym___cmd_list_fd_desc>: + 800100b0: 696c 7473 6620 6c69 2065 6564 6373 6972 list file descri + 800100c0: 7470 726f 0000 0000 ptor.... + +00000000800100c8 <__fsym___cmd_list_fd_name>: + 800100c8: 5f5f 6d63 5f64 696c 7473 665f 0064 0000 __cmd_list_fd... + 800100d8: 704f 6e65 2520 2073 6166 6c69 6465 000a Open %s failed.. + 800100e8: 6544 656c 6574 2520 2073 6166 6c69 6465 Delete %s failed + 800100f8: 000a 0000 0000 0000 6552 6461 2520 2073 ........Read %s + 80010108: 6166 6c69 6465 000a 7257 7469 2065 7325 failed..Write %s + 80010118: 6620 6961 656c 0a64 0000 0000 0000 0000 failed......... + 80010128: 7257 7469 2065 6966 656c 6420 7461 2061 Write file data + 80010138: 6166 6c69 6465 202c 7265 6e72 3d6f 6425 failed, errno=%d + 80010148: 000a 0000 0000 0000 6163 276e 2074 6966 ........can't fi + 80010158: 646e 6d20 756f 746e 6465 6620 6c69 7365 nd mounted files + 80010168: 7379 6574 206d 6e6f 7420 6968 2073 6170 ystem on this pa + 80010178: 6874 253a 0073 0000 6874 2065 6966 656c th:%s...the file + 80010188: 7973 7473 6d65 6420 6469 276e 2074 6d69 system didn't im + 80010198: 6c70 6d65 6e65 2074 6874 7369 6620 6e75 plement this fun + 800101a8: 7463 6f69 006e 0000 6944 6572 7463 726f ction...Director + 800101b8: 2079 7325 0a3a 0000 2d25 3032 0073 0000 y %s:...%-20s... + 800101c8: 443c 5249 003e 0000 2d25 3532 0a73 0000 ...%-25s... + 800101d8: 2d25 3532 756c 000a 4142 2044 6966 656c %-25lu..BAD file + 800101e8: 203a 7325 000a 0000 6f4e 7320 6375 2068 : %s....No such + 800101f8: 6964 6572 7463 726f 0a79 0000 0000 0000 directory....... + 80010208: 706f 6e65 2520 2073 6166 6c69 6465 000a open %s failed.. + 80010218: 756f 2074 666f 6d20 6d65 726f 2179 000a out of memory!.. + 80010228: 706f 6e65 6620 6c69 3a65 2520 2073 6166 open file: %s fa + 80010238: 6c69 6465 000a 0000 6f63 7970 6620 6961 iled....copy fai + 80010248: 656c 2c64 6220 6461 2520 0a73 0000 0000 led, bad %s..... + 80010258: 7063 6620 6961 646c 202c 7063 6420 7269 cp faild, cp dir + 80010268: 7420 206f 6966 656c 6920 2073 6f6e 2074 to file is not + 80010278: 6570 6d72 7469 6574 2164 000a 0000 0000 permitted!...... + +0000000080010288 <__fsym_copy_desc>: + 80010288: 6f63 7970 6620 6c69 2065 726f 6420 7269 copy file or dir + ... + +00000000800102a0 <__fsym_copy_name>: + 800102a0: 6f63 7970 0000 0000 copy.... + +00000000800102a8 <__fsym_cat_desc>: + 800102a8: 7270 6e69 2074 6966 656c 0000 0000 0000 print file...... + +00000000800102b8 <__fsym_cat_name>: + 800102b8: 6163 0074 0000 0000 cat..... + +00000000800102c0 <__fsym_rm_desc>: + 800102c0: 6572 6f6d 6576 6620 6c69 7365 6f20 2072 remove files or + 800102d0: 6964 6572 7463 726f 6569 0073 0000 0000 directories..... + +00000000800102e0 <__fsym_rm_name>: + 800102e0: 6d72 0000 0000 0000 rm...... + +00000000800102e8 <__fsym_ls_desc>: + 800102e8: 696c 7473 6420 7269 6365 6f74 7972 6320 list directory c + 800102f8: 6e6f 6574 746e 0073 ontents. + +0000000080010300 <__fsym_ls_name>: + 80010300: 736c 0000 0000 0000 424b 0000 0000 0000 ls......KB...... + 80010310: 424d 0000 0000 0000 4247 0000 0000 0000 MB......GB...... + 80010320: 6854 7265 2065 7369 6e20 206f 7073 6361 There is no spac + 80010330: 2065 6f74 7220 6765 7369 6574 2072 6874 e to register th + 80010340: 7369 6620 6c69 2065 7973 7473 6d65 2820 is file system ( + 80010350: 7325 2e29 0000 0000 6170 6874 0000 0000 %s).....path.... + 80010360: 6854 7265 2065 7369 6e20 206f 7073 6361 There is no spac + 80010370: 2065 6f74 6d20 756f 746e 7420 6968 2073 e to mount this + 80010380: 6966 656c 7320 7379 6574 206d 2528 2973 file system (%s) + 80010390: 002e 0000 0000 0000 6544 6976 6563 2820 ........Device ( + 800103a0: 7325 2029 6177 2073 6f6e 2074 6f66 6e75 %s) was not foun + 800103b0: 0064 0000 0000 0000 6946 656c 7320 7379 d.......File sys + 800103c0: 6574 206d 2528 2973 7720 7361 6e20 746f tem (%s) was not + 800103d0: 6620 756f 646e 002e 6854 2065 6966 656c found..The file + 800103e0: 7320 7379 6574 206d 2528 2973 6d20 666b system (%s) mkf + 800103f0: 2073 7566 636e 6974 6e6f 7720 7361 6e20 s function was n + 80010400: 746f 6920 706d 656c 656d 746e 0000 0000 ot implement.... + 80010410: 6664 5f73 7473 7461 7366 6620 6961 656c dfs_statfs faile + 80010420: 2e64 000a 0000 0000 6964 6b73 6620 6572 d.......disk fre + 80010430: 3a65 2520 2e64 6425 2520 2073 205b 6425 e: %d.%d %s [ %d + 80010440: 6220 6f6c 6b63 202c 6425 6220 7479 7365 block, %d bytes + 80010450: 7020 7265 6220 6f6c 6b63 5d20 000a 0000 per block ].... + +0000000080010460 <__FUNCTION__.4112>: + 80010460: 6664 5f73 6966 656c 7973 7473 6d65 6c5f dfs_filesystem_l + 80010470: 6f6f 756b 0070 0000 ookup... + +0000000080010478 <__fsym_df_desc>: + 80010478: 6567 2074 6964 6b73 6620 6572 0065 0000 get disk free... + +0000000080010488 <__fsym_df_name>: + 80010488: 6664 0000 0000 0000 df...... + +0000000080010490 <__fsym_mkfs_desc>: + 80010490: 616d 656b 6120 6620 6c69 2065 7973 7473 make a file syst + 800104a0: 6d65 0000 0000 0000 em...... + +00000000800104a8 <__fsym_mkfs_name>: + 800104a8: 6b6d 7366 0000 0000 6966 656c 2120 203d mkfs....file != + 800104b8: 5452 4e5f 4c55 004c 6564 5f76 6469 2120 RT_NULL.dev_id ! + 800104c8: 203d 5452 4e5f 4c55 004c 0000 0000 0000 = RT_NULL....... + 800104d8: 6f72 746f 645f 7269 6e65 2074 3d21 5220 root_dirent != R + 800104e8: 5f54 554e 4c4c 0000 T_NULL.. + +00000000800104f0 <__FUNCTION__.4110>: + 800104f0: 6664 5f73 6564 6976 6563 665f 5f73 6f69 dfs_device_fs_io + 80010500: 7463 006c 0000 0000 ctl..... + +0000000080010508 <__FUNCTION__.4118>: + 80010508: 6664 5f73 6564 6976 6563 665f 5f73 6572 dfs_device_fs_re + 80010518: 6461 0000 0000 0000 ad...... + +0000000080010520 <__FUNCTION__.4126>: + 80010520: 6664 5f73 6564 6976 6563 665f 5f73 7277 dfs_device_fs_wr + 80010530: 7469 0065 0000 0000 ite..... + +0000000080010538 <__FUNCTION__.4132>: + 80010538: 6664 5f73 6564 6976 6563 665f 5f73 6c63 dfs_device_fs_cl + 80010548: 736f 0065 0000 0000 ose..... + +0000000080010550 <__FUNCTION__.4144>: + 80010550: 6664 5f73 6564 6976 6563 665f 5f73 706f dfs_device_fs_op + 80010560: 6e65 0000 0000 0000 en...... + +0000000080010568 <__FUNCTION__.4166>: + 80010568: 6664 5f73 6564 6976 6563 665f 5f73 6567 dfs_device_fs_ge + 80010578: 6474 6e65 7374 0000 tdents.. + +0000000080010580 <_device_fops>: + 80010580: b420 8000 0000 0000 b2c8 8000 0000 0000 ............... + 80010590: aff4 8000 0000 0000 b07c 8000 0000 0000 ........|....... + 800105a0: b11c 8000 0000 0000 0000 0000 0000 0000 ................ + ... + 800105b8: b1bc 8000 0000 0000 afec 8000 0000 0000 ................ + +00000000800105c8 <_device_fs>: + 800105c8: 0000 8001 0000 0000 0000 0000 0000 0000 ................ + 800105d8: 0580 8001 0000 0000 afe4 8000 0000 0000 ................ + ... + 80010608: b37c 8000 0000 0000 0000 0000 0000 0000 |............... + 80010618: 6964 6572 746e 2120 203d 554e 4c4c 0000 dirent != NULL.. + 80010628: 6964 6572 746e 3e2d 7974 6570 3d20 203d dirent->type == + 80010638: 4f52 464d 5f53 4944 4552 544e 445f 5249 ROMFS_DIRENT_DIR + ... + 80010650: 6f72 006d 0000 0000 rom..... + +0000000080010658 <__FUNCTION__.4153>: + 80010658: 6664 5f73 6f72 666d 5f73 6572 6461 0000 dfs_romfs_read.. + +0000000080010668 <__FUNCTION__.4186>: + 80010668: 6664 5f73 6f72 666d 5f73 6567 6474 6e65 dfs_romfs_getden + 80010678: 7374 0000 0000 0000 ts...... + +0000000080010680 <_rom_fops>: + 80010680: b9b0 8000 0000 0000 b608 8000 0000 0000 ................ + 80010690: b5bc 8000 0000 0000 b614 8000 0000 0000 ................ + ... + 800106b0: b5ec 8000 0000 0000 b6cc 8000 0000 0000 ................ + ... + +00000000800106c8 <_romfs>: + 800106c8: 0650 8001 0000 0000 0000 0000 0000 0000 P............... + 800106d8: 0680 8001 0000 0000 b59c 8000 0000 0000 ................ + 800106e8: b5b4 8000 0000 0000 0000 0000 0000 0000 ................ + ... + 80010708: ba5c 8000 0000 0000 0000 0000 0000 0000 \............... + 80010718: 685f 5f77 6970 2e6e 706f 2073 3d21 5220 _hw_pin.ops != R + 80010728: 5f54 554e 4c4c 0000 616e 656d 305b 205d T_NULL..name[0] + 80010738: 3d3d 2720 2750 0000 == 'P'.. + +0000000080010740 <__FUNCTION__.3713>: + 80010740: 7472 705f 6e69 6d5f 646f 0065 0000 0000 rt_pin_mode..... + +0000000080010750 <__FUNCTION__.3721>: + 80010750: 7472 705f 6e69 775f 6972 6574 0000 0000 rt_pin_write.... + +0000000080010760 <__FUNCTION__.3728>: + 80010760: 7472 705f 6e69 725f 6165 0064 0000 0000 rt_pin_read..... + +0000000080010770 <__FUNCTION__.3735>: + 80010770: 7472 705f 6e69 675f 7465 0000 0000 0000 rt_pin_get...... + +0000000080010780 <__fsym_pinGet_desc>: + 80010780: 6567 2074 6970 206e 756e 626d 7265 6620 get pin number f + 80010790: 6f72 206d 6168 6472 6177 6572 7020 6e69 rom hardware pin + ... + +00000000800107a8 <__fsym_pinGet_name>: + 800107a8: 6970 476e 7465 0000 pinGet.. + +00000000800107b0 <__fsym_pinRead_desc>: + 800107b0: 6572 6461 7320 6174 7574 2073 7266 6d6f read status from + 800107c0: 6820 7261 7764 7261 2065 6970 006e 0000 hardware pin... + +00000000800107d0 <__fsym_pinRead_name>: + 800107d0: 6970 526e 6165 0064 pinRead. + +00000000800107d8 <__fsym_pinWrite_desc>: + 800107d8: 7277 7469 2065 6176 756c 2065 6f74 6820 write value to h + 800107e8: 7261 7764 7261 2065 6970 006e 0000 0000 ardware pin..... + +00000000800107f8 <__fsym_pinWrite_name>: + 800107f8: 6970 576e 6972 6574 0000 0000 0000 0000 pinWrite........ + +0000000080010808 <__fsym_pinMode_desc>: + 80010808: 6573 2074 6168 6472 6177 6572 7020 6e69 set hardware pin + 80010818: 6d20 646f 0065 0000 mode... + +0000000080010820 <__fsym_pinMode_name>: + 80010820: 6970 4d6e 646f 0065 7571 7565 2065 3d21 pinMode.queue != + 80010830: 5220 5f54 554e 4c4c 0000 0000 0000 0000 RT_NULL........ + 80010840: 6973 657a 3e20 3020 0000 0000 0000 0000 size > 0........ + 80010850: 7571 7565 2d65 6d3e 6761 6369 3d20 203d queue->magic == + 80010860: 4144 4154 5551 5545 5f45 414d 4947 0043 DATAQUEUE_MAGIC. + +0000000080010870 <__FUNCTION__.3029>: + 80010870: 7472 645f 7461 5f61 7571 7565 5f65 6e69 rt_data_queue_in + 80010880: 7469 0000 0000 0000 it...... + +0000000080010888 <__FUNCTION__.3039>: + 80010888: 7472 645f 7461 5f61 7571 7565 5f65 7570 rt_data_queue_pu + 80010898: 6873 0000 0000 0000 sh...... + +00000000800108a0 <__FUNCTION__.3072>: + 800108a0: 7472 645f 7461 5f61 7571 7565 5f65 6572 rt_data_queue_re + 800108b0: 6573 0074 0000 0000 set..... + +00000000800108b8 <__FUNCTION__.3083>: + 800108b8: 7472 645f 7461 5f61 7571 7565 5f65 6564 rt_data_queue_de + 800108c8: 6e69 7469 0000 0000 6f63 706d 656c 6974 init....completi + 800108d8: 6e6f 2120 203d 5452 4e5f 4c55 004c 0000 on != RT_NULL... + 800108e8: 7472 6c5f 7369 5f74 7369 6d65 7470 2879 rt_list_isempty( + 800108f8: 2826 6f63 706d 656c 6974 6e6f 3e2d 7573 &(completion->su + 80010908: 7073 6e65 6564 5f64 696c 7473 2929 0000 spended_list)).. + +0000000080010918 <__FUNCTION__.3023>: + 80010918: 7472 635f 6d6f 6c70 7465 6f69 5f6e 6e69 rt_completion_in + 80010928: 7469 0000 0000 0000 it...... + +0000000080010930 <__FUNCTION__.3031>: + 80010930: 7472 635f 6d6f 6c70 7465 6f69 5f6e 6177 rt_completion_wa + 80010940: 7469 0000 0000 0000 7872 665f 6669 206f it......rx_fifo + 80010950: 3d21 5220 5f54 554e 4c4c 0000 0000 0000 != RT_NULL...... + 80010960: 7872 645f 616d 2120 203d 5452 4e5f 4c55 rx_dma != RT_NUL + 80010970: 004c 0000 0000 0000 7874 665f 6669 206f L.......tx_fifo + 80010980: 3d21 5220 5f54 554e 4c4c 0000 0000 0000 != RT_NULL...... + 80010990: 7874 645f 616d 2120 203d 5452 4e5f 4c55 tx_dma != RT_NUL + 800109a0: 004c 0000 0000 0000 7874 2120 203d 5452 L.......tx != RT + 800109b0: 4e5f 4c55 004c 0000 7328 7265 6169 206c _NULL...(serial + 800109c0: 3d21 5220 5f54 554e 4c4c 2029 2626 2820 != RT_NULL) && ( + 800109d0: 6164 6174 2120 203d 5452 4e5f 4c55 294c data != RT_NULL) + ... + 800109e8: 6573 6972 6c61 3e2d 706f 2d73 643e 616d serial->ops->dma + 800109f8: 745f 6172 736e 696d 2074 3d21 5220 5f54 _transmit != RT_ + 80010a08: 554e 4c4c 0000 0000 656c 206e 3d3c 7220 NULL....len <= r + 80010a18: 5f74 6d64 5f61 6163 636c 725f 6365 6576 t_dma_calc_recve + 80010a28: 5f64 656c 286e 6573 6972 6c61 0029 0000 d_len(serial)... + +0000000080010a38 <__FUNCTION__.3047>: + 80010a38: 735f 7265 6169 5f6c 6e69 5f74 7872 0000 _serial_int_rx.. + +0000000080010a48 <__FUNCTION__.3060>: + 80010a48: 735f 7265 6169 5f6c 6e69 5f74 7874 0000 _serial_int_tx.. + +0000000080010a58 <__FUNCTION__.3072>: + 80010a58: 735f 7265 6169 5f6c 6966 6f66 635f 6c61 _serial_fifo_cal + 80010a68: 5f63 6572 7663 6465 6c5f 6e65 0000 0000 c_recved_len.... + +0000000080010a78 <__FUNCTION__.3081>: + 80010a78: 7472 645f 616d 725f 6365 5f76 7075 6164 rt_dma_recv_upda + 80010a88: 6574 675f 7465 695f 646e 7865 0000 0000 te_get_index.... + +0000000080010a98 <__FUNCTION__.3094>: + 80010a98: 735f 7265 6169 5f6c 6d64 5f61 7872 0000 _serial_dma_rx.. + +0000000080010aa8 <__FUNCTION__.3113>: + 80010aa8: 7472 735f 7265 6169 5f6c 6e69 7469 0000 rt_serial_init.. + +0000000080010ab8 <__FUNCTION__.3120>: + 80010ab8: 7472 735f 7265 6169 5f6c 706f 6e65 0000 rt_serial_open.. + +0000000080010ac8 <__FUNCTION__.3130>: + 80010ac8: 7472 735f 7265 6169 5f6c 6c63 736f 0065 rt_serial_close. + +0000000080010ad8 <__FUNCTION__.3143>: + 80010ad8: 7472 735f 7265 6169 5f6c 6572 6461 0000 rt_serial_read.. + +0000000080010ae8 <__FUNCTION__.3151>: + 80010ae8: 7472 735f 7265 6169 5f6c 7277 7469 0065 rt_serial_write. + +0000000080010af8 <__FUNCTION__.3159>: + 80010af8: 7472 735f 7265 6169 5f6c 6f63 746e 6f72 rt_serial_contro + 80010b08: 006c 0000 0000 0000 l....... + +0000000080010b10 <__FUNCTION__.3174>: + 80010b10: 7472 685f 5f77 6573 6972 6c61 725f 6765 rt_hw_serial_reg + 80010b20: 7369 6574 0072 0000 ister... + +0000000080010b28 <__fsym___cmd_reboot>: + 80010b28: d1a8 8000 0000 0000 d198 8000 0000 0000 ................ + 80010b38: 03f8 8000 0000 0000 ........ + +0000000080010b40 <__fsym___cmd_memtrace>: + 80010b40: d828 8000 0000 0000 d808 8000 0000 0000 (............... + 80010b50: 13bc 8000 0000 0000 ........ + +0000000080010b58 <__fsym___cmd_memcheck>: + 80010b58: d850 8000 0000 0000 d838 8000 0000 0000 P.......8....... + 80010b68: 1684 8000 0000 0000 ........ + +0000000080010b70 <__fsym_list_mem>: + 80010b70: d880 8000 0000 0000 d860 8000 0000 0000 ........`....... + 80010b80: 1370 8000 0000 0000 p....... + +0000000080010b88 <__fsym_list>: + 80010b88: f178 8000 0000 0000 f158 8000 0000 0000 x.......X....... + 80010b98: 5b20 8000 0000 0000 [...... + +0000000080010ba0 <__fsym___cmd_list_device>: + 80010ba0: f198 8000 0000 0000 f180 8000 0000 0000 ................ + 80010bb0: 5f34 8000 0000 0000 4_...... + +0000000080010bb8 <__fsym_list_device>: + 80010bb8: f1c8 8000 0000 0000 f1b0 8000 0000 0000 ................ + 80010bc8: 5f34 8000 0000 0000 4_...... + +0000000080010bd0 <__fsym___cmd_list_timer>: + 80010bd0: f1f0 8000 0000 0000 f1d8 8000 0000 0000 ................ + 80010be0: 61c0 8000 0000 0000 .a...... + +0000000080010be8 <__fsym_list_timer>: + 80010be8: f220 8000 0000 0000 f208 8000 0000 0000 ............... + 80010bf8: 61c0 8000 0000 0000 .a...... + +0000000080010c00 <__fsym___cmd_list_mempool>: + 80010c00: f250 8000 0000 0000 f230 8000 0000 0000 P.......0....... + 80010c10: 6960 8000 0000 0000 `i...... + +0000000080010c18 <__fsym_list_mempool>: + 80010c18: f288 8000 0000 0000 f268 8000 0000 0000 ........h....... + 80010c28: 6960 8000 0000 0000 `i...... + +0000000080010c30 <__fsym___cmd_list_msgqueue>: + 80010c30: f2b8 8000 0000 0000 f298 8000 0000 0000 ................ + 80010c40: 64a8 8000 0000 0000 .d...... + +0000000080010c48 <__fsym_list_msgqueue>: + 80010c48: f2f0 8000 0000 0000 f2d0 8000 0000 0000 ................ + 80010c58: 64a8 8000 0000 0000 .d...... + +0000000080010c60 <__fsym___cmd_list_mailbox>: + 80010c60: f318 8000 0000 0000 f300 8000 0000 0000 ................ + 80010c70: 67c8 8000 0000 0000 .g...... + +0000000080010c78 <__fsym_list_mailbox>: + 80010c78: f348 8000 0000 0000 f330 8000 0000 0000 H.......0....... + 80010c88: 67c8 8000 0000 0000 .g...... + +0000000080010c90 <__fsym___cmd_list_mutex>: + 80010c90: f370 8000 0000 0000 f358 8000 0000 0000 p.......X....... + 80010ca0: 6090 8000 0000 0000 .`...... + +0000000080010ca8 <__fsym_list_mutex>: + 80010ca8: f3a0 8000 0000 0000 f388 8000 0000 0000 ................ + 80010cb8: 6090 8000 0000 0000 .`...... + +0000000080010cc0 <__fsym___cmd_list_event>: + 80010cc0: f3c8 8000 0000 0000 f3b0 8000 0000 0000 ................ + 80010cd0: 6324 8000 0000 0000 $c...... + +0000000080010cd8 <__fsym_list_event>: + 80010cd8: f3f8 8000 0000 0000 f3e0 8000 0000 0000 ................ + 80010ce8: 6324 8000 0000 0000 $c...... + +0000000080010cf0 <__fsym___cmd_list_sem>: + 80010cf0: f428 8000 0000 0000 f408 8000 0000 0000 (............... + 80010d00: 6638 8000 0000 0000 8f...... + +0000000080010d08 <__fsym_list_sem>: + 80010d08: f458 8000 0000 0000 f438 8000 0000 0000 X.......8....... + 80010d18: 6638 8000 0000 0000 8f...... + +0000000080010d20 <__fsym___cmd_list_thread>: + 80010d20: f478 8000 0000 0000 f468 8000 0000 0000 x.......h....... + 80010d30: 5ce8 8000 0000 0000 .\...... + +0000000080010d38 <__fsym_list_thread>: + 80010d38: f4a0 8000 0000 0000 f490 8000 0000 0000 ................ + 80010d48: 5ce8 8000 0000 0000 .\...... + +0000000080010d50 <__fsym___cmd_version>: + 80010d50: f4d8 8000 0000 0000 f4b0 8000 0000 0000 ................ + 80010d60: 5b04 8000 0000 0000 .[...... + +0000000080010d68 <__fsym_version>: + 80010d68: f510 8000 0000 0000 f4e8 8000 0000 0000 ................ + 80010d78: 5b04 8000 0000 0000 .[...... + +0000000080010d80 <__fsym___cmd_clear>: + 80010d80: f538 8000 0000 0000 f518 8000 0000 0000 8............... + 80010d90: 5a6c 8000 0000 0000 lZ...... + +0000000080010d98 <__fsym_clear>: + 80010d98: f568 8000 0000 0000 f548 8000 0000 0000 h.......H....... + 80010da8: 5a6c 8000 0000 0000 lZ...... + +0000000080010db0 <__fsym_hello>: + 80010db0: f580 8000 0000 0000 f570 8000 0000 0000 ........p....... + 80010dc0: 5a48 8000 0000 0000 HZ...... + +0000000080010dc8 <__fsym___cmd_free>: + 80010dc8: f628 8000 0000 0000 f600 8000 0000 0000 (............... + 80010dd8: 6bb8 8000 0000 0000 .k...... + +0000000080010de0 <__fsym___cmd_ps>: + 80010de0: f658 8000 0000 0000 f638 8000 0000 0000 X.......8....... + 80010df0: 6b9c 8000 0000 0000 .k...... + +0000000080010df8 <__fsym___cmd_help>: + 80010df8: f680 8000 0000 0000 f668 8000 0000 0000 ........h....... + 80010e08: 6aec 8000 0000 0000 .j...... + +0000000080010e10 <__fsym___cmd_tail>: + 80010e10: fb98 8000 0000 0000 fb68 8000 0000 0000 ........h....... + 80010e20: 781c 8000 0000 0000 .x...... + +0000000080010e28 <__fsym___cmd_echo>: + 80010e28: fbc0 8000 0000 0000 fba8 8000 0000 0000 ................ + 80010e38: 7e10 8000 0000 0000 .~...... + +0000000080010e40 <__fsym___cmd_df>: + 80010e40: fbe0 8000 0000 0000 fbd0 8000 0000 0000 ................ + 80010e50: 7d98 8000 0000 0000 .}...... + +0000000080010e58 <__fsym___cmd_umount>: + 80010e58: fc10 8000 0000 0000 fbf0 8000 0000 0000 ................ + 80010e68: 77a8 8000 0000 0000 .w...... + +0000000080010e70 <__fsym___cmd_mount>: + 80010e70: fc48 8000 0000 0000 fc20 8000 0000 0000 H....... ....... + 80010e80: 7c8c 8000 0000 0000 .|...... + +0000000080010e88 <__fsym___cmd_mkfs>: + 80010e88: fc78 8000 0000 0000 fc58 8000 0000 0000 x.......X....... + 80010e98: 7720 8000 0000 0000 w...... + +0000000080010ea0 <__fsym___cmd_mkdir>: + 80010ea0: fca0 8000 0000 0000 fc88 8000 0000 0000 ................ + 80010eb0: 7c40 8000 0000 0000 @|...... + +0000000080010eb8 <__fsym___cmd_pwd>: + 80010eb8: fce8 8000 0000 0000 fcb0 8000 0000 0000 ................ + 80010ec8: 72c8 8000 0000 0000 .r...... + +0000000080010ed0 <__fsym___cmd_cd>: + 80010ed0: fd20 8000 0000 0000 fcf8 8000 0000 0000 ............... + 80010ee0: 7bdc 8000 0000 0000 .{...... + +0000000080010ee8 <__fsym___cmd_rm>: + 80010ee8: fd50 8000 0000 0000 fd30 8000 0000 0000 P.......0....... + 80010ef8: 7538 8000 0000 0000 8u...... + +0000000080010f00 <__fsym___cmd_cat>: + 80010f00: fd78 8000 0000 0000 fd60 8000 0000 0000 x.......`....... + 80010f10: 7b5c 8000 0000 0000 \{...... + +0000000080010f18 <__fsym___cmd_mv>: + 80010f18: fda0 8000 0000 0000 fd88 8000 0000 0000 ................ + 80010f28: 7a20 8000 0000 0000 z...... + +0000000080010f30 <__fsym___cmd_cp>: + 80010f30: fdc8 8000 0000 0000 fdb0 8000 0000 0000 ................ + 80010f40: 79d4 8000 0000 0000 .y...... + +0000000080010f48 <__fsym___cmd_ls>: + 80010f48: fe00 8000 0000 0000 fdd8 8000 0000 0000 ................ + 80010f58: 7294 8000 0000 0000 .r...... + +0000000080010f60 <__fsym_cd>: + 80010f60: ff28 8000 0000 0000 ff00 8000 0000 0000 (............... + 80010f70: 90d0 8000 0000 0000 ........ + +0000000080010f78 <__fsym_mkdir>: + 80010f78: ff48 8000 0000 0000 ff30 8000 0000 0000 H.......0....... + 80010f88: 8a8c 8000 0000 0000 ........ + +0000000080010f90 <__fsym___cmd_list_fd>: + 80010f90: 00c8 8001 0000 0000 00b0 8001 0000 0000 ................ + 80010fa0: 91cc 8000 0000 0000 ........ + +0000000080010fa8 <__fsym_copy>: + 80010fa8: 02a0 8001 0000 0000 0288 8001 0000 0000 ................ + 80010fb8: a53c 8000 0000 0000 <....... + +0000000080010fc0 <__fsym_cat>: + 80010fc0: 02b8 8001 0000 0000 02a8 8001 0000 0000 ................ + 80010fd0: 9ce4 8000 0000 0000 ........ + +0000000080010fd8 <__fsym_rm>: + 80010fd8: 02e0 8001 0000 0000 02c0 8001 0000 0000 ................ + 80010fe8: 9ea0 8000 0000 0000 ........ + +0000000080010ff0 <__fsym_ls>: + 80010ff0: 0300 8001 0000 0000 02e8 8001 0000 0000 ................ + 80011000: a1d0 8000 0000 0000 ........ + +0000000080011008 <__fsym_df>: + 80011008: 0488 8001 0000 0000 0478 8001 0000 0000 ........x....... + 80011018: aed8 8000 0000 0000 ........ + +0000000080011020 <__fsym_mkfs>: + 80011020: 04a8 8001 0000 0000 0490 8001 0000 0000 ................ + 80011030: ae94 8000 0000 0000 ........ + +0000000080011038 <__fsym_pinGet>: + 80011038: 07a8 8001 0000 0000 0780 8001 0000 0000 ................ + 80011048: bc24 8000 0000 0000 $....... + +0000000080011050 <__fsym_pinRead>: + 80011050: 07d0 8001 0000 0000 07b0 8001 0000 0000 ................ + 80011060: bbbc 8000 0000 0000 ........ + +0000000080011068 <__fsym_pinWrite>: + 80011068: 07f8 8001 0000 0000 07d8 8001 0000 0000 ................ + 80011078: bb44 8000 0000 0000 D....... + +0000000080011080 <__fsym_pinMode>: + 80011080: 0820 8001 0000 0000 0808 8001 0000 0000 ............... + 80011090: bacc 8000 0000 0000 ........ + +0000000080011098 <__rt_init_rti_start>: + 80011098: 5188 8000 0000 0000 .Q...... + +00000000800110a0 <__rt_init_rti_board_start>: + 800110a0: 5198 8000 0000 0000 .Q...... + +00000000800110a8 <__rt_init_rti_board_end>: + 800110a8: 51a0 8000 0000 0000 .Q...... + +00000000800110b0 <__rt_init_dfs_init>: + 800110b0: 936c 8000 0000 0000 l....... + +00000000800110b8 <__rt_init_dfs_romfs_init>: + 800110b8: b820 8000 0000 0000 ....... + +00000000800110c0 <__rt_init_finsh_system_init>: + 800110c0: 82d0 8000 0000 0000 ........ + +00000000800110c8 <__rt_init_rti_end>: + 800110c8: 5190 8000 0000 0000 .Q...... diff --git a/bin/custom-output/time-test/amtest-time-test.bin b/bin/custom-output/time-test/amtest-time-test.bin new file mode 100755 index 0000000..6bf887c Binary files /dev/null and b/bin/custom-output/time-test/amtest-time-test.bin differ diff --git a/bin/custom-output/time-test/amtest-time-test.elf b/bin/custom-output/time-test/amtest-time-test.elf new file mode 100755 index 0000000..63dbe56 Binary files /dev/null and b/bin/custom-output/time-test/amtest-time-test.elf differ diff --git a/bin/custom-output/time-test/amtest-time-test.txt b/bin/custom-output/time-test/amtest-time-test.txt new file mode 100755 index 0000000..4a5230b --- /dev/null +++ b/bin/custom-output/time-test/amtest-time-test.txt @@ -0,0 +1,3311 @@ + +/home/hzb/test/am-kernels/tests/am-tests/build/amtest-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0009f117 auipc sp,0x9f + 80000008: ffc10113 addi sp,sp,-4 # 8009f000 <_end> + 8000000c: 0b8010ef jal ra,800010c4 <_trm_init> + +0000000080000010
: + 80000010: fd010113 addi sp,sp,-48 + 80000014: 02113423 sd ra,40(sp) + 80000018: 02813023 sd s0,32(sp) + 8000001c: 00913c23 sd s1,24(sp) + 80000020: 01213823 sd s2,16(sp) + 80000024: 01313423 sd s3,8(sp) + 80000028: 00054783 lbu a5,0(a0) + 8000002c: 01500713 li a4,21 + 80000030: f9f7879b addiw a5,a5,-97 + 80000034: 0ff7f793 andi a5,a5,255 + 80000038: 02f76063 bltu a4,a5,80000058 + 8000003c: 00003717 auipc a4,0x3 + 80000040: 18070713 addi a4,a4,384 # 800031bc <_etext+0x2c> + 80000044: 00279793 slli a5,a5,0x2 + 80000048: 00e787b3 add a5,a5,a4 + 8000004c: 0007a783 lw a5,0(a5) + 80000050: 00e787b3 add a5,a5,a4 + 80000054: 00078067 jr a5 + 80000058: 00003517 auipc a0,0x3 + 8000005c: 13850513 addi a0,a0,312 # 80003190 <_etext> + 80000060: 1f1020ef jal ra,80002a50 + 80000064: 00003497 auipc s1,0x3 + 80000068: 28448493 addi s1,s1,644 # 800032e8 + 8000006c: 00000413 li s0,0 + 80000070: 10000913 li s2,256 + 80000074: 00003997 auipc s3,0x3 + 80000078: 13c98993 addi s3,s3,316 # 800031b0 <_etext+0x20> + 8000007c: 0014041b addiw s0,s0,1 + 80000080: 03240c63 beq s0,s2,800000b8 + 80000084: 0004b603 ld a2,0(s1) + 80000088: 00060863 beqz a2,80000098 + 8000008c: 00040593 mv a1,s0 + 80000090: 00098513 mv a0,s3 + 80000094: 1bd020ef jal ra,80002a50 + 80000098: 00848493 addi s1,s1,8 + 8000009c: fe1ff06f j 8000007c + 800000a0: 00000797 auipc a5,0x0 + 800000a4: 13c78793 addi a5,a5,316 # 800001dc + 800000a8: 00097717 auipc a4,0x97 + 800000ac: b0f73023 sd a5,-1280(a4) # 80096ba8 + 800000b0: 1e0010ef jal ra,80001290 + 800000b4: 128000ef jal ra,800001dc + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00000513 li a0,0 + 800000d0: 03010113 addi sp,sp,48 + 800000d4: 00008067 ret + 800000d8: 00001797 auipc a5,0x1 + 800000dc: ac078793 addi a5,a5,-1344 # 80000b98 + 800000e0: 00097717 auipc a4,0x97 + 800000e4: acf73423 sd a5,-1336(a4) # 80096ba8 + 800000e8: 1a8010ef jal ra,80001290 + 800000ec: 2ad000ef jal ra,80000b98 + 800000f0: fc9ff06f j 800000b8 + 800000f4: 00001797 auipc a5,0x1 + 800000f8: d2c78793 addi a5,a5,-724 # 80000e20 + 800000fc: 00097717 auipc a4,0x97 + 80000100: aaf73623 sd a5,-1364(a4) # 80096ba8 + 80000104: 51d000ef jal ra,80000e20 + 80000108: fb1ff06f j 800000b8 + 8000010c: 00001797 auipc a5,0x1 + 80000110: ef078793 addi a5,a5,-272 # 80000ffc + 80000114: 00097717 auipc a4,0x97 + 80000118: a8f73a23 sd a5,-1388(a4) # 80096ba8 + 8000011c: 174010ef jal ra,80001290 + 80000120: 00001517 auipc a0,0x1 + 80000124: e4850513 addi a0,a0,-440 # 80000f68 + 80000128: 634010ef jal ra,8000175c + 8000012c: 6d1000ef jal ra,80000ffc + 80000130: f89ff06f j 800000b8 + 80000134: 00001797 auipc a5,0x1 + 80000138: d3878793 addi a5,a5,-712 # 80000e6c + 8000013c: 00097717 auipc a4,0x97 + 80000140: a6f73623 sd a5,-1428(a4) # 80096ba8 + 80000144: 14c010ef jal ra,80001290 + 80000148: 525000ef jal ra,80000e6c + 8000014c: f6dff06f j 800000b8 + 80000150: 00001517 auipc a0,0x1 + 80000154: f1c50513 addi a0,a0,-228 # 8000106c + 80000158: 00097797 auipc a5,0x97 + 8000015c: a4a7b823 sd a0,-1456(a5) # 80096ba8 + 80000160: 7a4010ef jal ra,80001904 + 80000164: 709000ef jal ra,8000106c + 80000168: f51ff06f j 800000b8 + 8000016c: 00000797 auipc a5,0x0 + 80000170: 3b478793 addi a5,a5,948 # 80000520 + 80000174: 00000517 auipc a0,0x0 + 80000178: 22c50513 addi a0,a0,556 # 800003a0 + 8000017c: 00097717 auipc a4,0x97 + 80000180: a2f73623 sd a5,-1492(a4) # 80096ba8 + 80000184: 5d8010ef jal ra,8000175c + 80000188: 00000597 auipc a1,0x0 + 8000018c: 21458593 addi a1,a1,532 # 8000039c + 80000190: 00000517 auipc a0,0x0 + 80000194: 18450513 addi a0,a0,388 # 80000314 + 80000198: 74c010ef jal ra,800018e4 + 8000019c: 384000ef jal ra,80000520 + 800001a0: f19ff06f j 800000b8 + 800001a4: 00000797 auipc a5,0x0 + 800001a8: 51478793 addi a5,a5,1300 # 800006b8 + 800001ac: 00097717 auipc a4,0x97 + 800001b0: 9ef73e23 sd a5,-1540(a4) # 80096ba8 + 800001b4: 0dc010ef jal ra,80001290 + 800001b8: 500000ef jal ra,800006b8 + 800001bc: efdff06f j 800000b8 + 800001c0: 00001797 auipc a5,0x1 + 800001c4: 94078793 addi a5,a5,-1728 # 80000b00 + 800001c8: 00097717 auipc a4,0x97 + 800001cc: 9ef73023 sd a5,-1568(a4) # 80096ba8 + 800001d0: 0c0010ef jal ra,80001290 + 800001d4: 12d000ef jal ra,80000b00 + 800001d8: ee1ff06f j 800000b8 + +00000000800001dc : + 800001dc: fc010113 addi sp,sp,-64 + 800001e0: 00010593 mv a1,sp + 800001e4: 00e00513 li a0,14 + 800001e8: 02113c23 sd ra,56(sp) + 800001ec: 02813823 sd s0,48(sp) + 800001f0: 02913423 sd s1,40(sp) + 800001f4: 03213023 sd s2,32(sp) + 800001f8: 01313c23 sd s3,24(sp) + 800001fc: 01413823 sd s4,16(sp) + 80000200: 0e4010ef jal ra,800012e4 + 80000204: 00014783 lbu a5,0(sp) + 80000208: 0c078c63 beqz a5,800002e0 + 8000020c: 040007b7 lui a5,0x4000 + 80000210: 07d78793 addi a5,a5,125 # 400007d <_entry_offset+0x400007d> + 80000214: 00679793 slli a5,a5,0x6 + 80000218: 00004497 auipc s1,0x4 + 8000021c: 53048493 addi s1,s1,1328 # 80004748 + 80000220: 00012917 auipc s2,0x12 + 80000224: 33490913 addi s2,s2,820 # 80012554 <_data> + 80000228: 00f13023 sd a5,0(sp) + 8000022c: 00010593 mv a1,sp + 80000230: 40000793 li a5,1024 + 80000234: 00f00513 li a0,15 + 80000238: 4099093b subw s2,s2,s1 + 8000023c: 00f12423 sw a5,8(sp) + 80000240: 0c0010ef jal ra,80001300 + 80000244: 06090463 beqz s2,800002ac + 80000248: 00000413 li s0,0 + 8000024c: 00000793 li a5,0 + 80000250: 00001a37 lui s4,0x1 + 80000254: 00004997 auipc s3,0x4 + 80000258: 8c498993 addi s3,s3,-1852 # 80003b18 + 8000025c: 40f907bb subw a5,s2,a5 + 80000260: 0007869b sext.w a3,a5 + 80000264: 00048713 mv a4,s1 + 80000268: 00010593 mv a1,sp + 8000026c: 01100513 li a0,17 + 80000270: 00da7463 bgeu s4,a3,80000278 + 80000274: 000017b7 lui a5,0x1 + 80000278: 02079693 slli a3,a5,0x20 + 8000027c: 0206d693 srli a3,a3,0x20 + 80000280: 00d484b3 add s1,s1,a3 + 80000284: 0087843b addw s0,a5,s0 + 80000288: 00e13023 sd a4,0(sp) + 8000028c: 00913423 sd s1,8(sp) + 80000290: 070010ef jal ra,80001300 + 80000294: 00090613 mv a2,s2 + 80000298: 00040593 mv a1,s0 + 8000029c: 00098513 mv a0,s3 + 800002a0: 7b0020ef jal ra,80002a50 + 800002a4: 00040793 mv a5,s0 + 800002a8: fb246ae3 bltu s0,s2,8000025c + 800002ac: 00010593 mv a1,sp + 800002b0: 01000513 li a0,16 + 800002b4: 030010ef jal ra,800012e4 + 800002b8: 00012783 lw a5,0(sp) + 800002bc: fef048e3 bgtz a5,800002ac + 800002c0: 03813083 ld ra,56(sp) + 800002c4: 03013403 ld s0,48(sp) + 800002c8: 02813483 ld s1,40(sp) + 800002cc: 02013903 ld s2,32(sp) + 800002d0: 01813983 ld s3,24(sp) + 800002d4: 01013a03 ld s4,16(sp) + 800002d8: 04010113 addi sp,sp,64 + 800002dc: 00008067 ret + 800002e0: 00004597 auipc a1,0x4 + 800002e4: 80058593 addi a1,a1,-2048 # 80003ae0 + 800002e8: 00004517 auipc a0,0x4 + 800002ec: 80850513 addi a0,a0,-2040 # 80003af0 + 800002f0: 760020ef jal ra,80002a50 + 800002f4: 03813083 ld ra,56(sp) + 800002f8: 03013403 ld s0,48(sp) + 800002fc: 02813483 ld s1,40(sp) + 80000300: 02013903 ld s2,32(sp) + 80000304: 01813983 ld s3,24(sp) + 80000308: 01013a03 ld s4,16(sp) + 8000030c: 04010113 addi sp,sp,64 + 80000310: 00008067 ret + +0000000080000314 : + 80000314: fd010113 addi sp,sp,-48 + 80000318: 01313423 sd s3,8(sp) + 8000031c: 00097997 auipc s3,0x97 + 80000320: 89498993 addi s3,s3,-1900 # 80096bb0 + 80000324: 01213823 sd s2,16(sp) + 80000328: 0009b903 ld s2,0(s3) + 8000032c: 00913c23 sd s1,24(sp) + 80000330: 02113423 sd ra,40(sp) + 80000334: 02813023 sd s0,32(sp) + 80000338: 00050493 mv s1,a0 + 8000033c: 00091663 bnez s2,80000348 + 80000340: 00012917 auipc s2,0x12 + 80000344: 23093903 ld s2,560(s2) # 80012570 + 80000348: 00048593 mv a1,s1 + 8000034c: 00090513 mv a0,s2 + 80000350: 64d000ef jal ra,8000119c <__umoddi3> + 80000354: 02050063 beqz a0,80000374 + 80000358: 00190413 addi s0,s2,1 + 8000035c: 00040513 mv a0,s0 + 80000360: 00048593 mv a1,s1 + 80000364: 639000ef jal ra,8000119c <__umoddi3> + 80000368: 00040913 mv s2,s0 + 8000036c: 00140413 addi s0,s0,1 + 80000370: fe0516e3 bnez a0,8000035c + 80000374: 02813083 ld ra,40(sp) + 80000378: 02013403 ld s0,32(sp) + 8000037c: 012484b3 add s1,s1,s2 + 80000380: 0099b023 sd s1,0(s3) + 80000384: 00090513 mv a0,s2 + 80000388: 01813483 ld s1,24(sp) + 8000038c: 01013903 ld s2,16(sp) + 80000390: 00813983 ld s3,8(sp) + 80000394: 03010113 addi sp,sp,48 + 80000398: 00008067 ret + +000000008000039c : + 8000039c: 00008067 ret + +00000000800003a0 : + 800003a0: 00052783 lw a5,0(a0) + 800003a4: fe010113 addi sp,sp,-32 + 800003a8: 00813823 sd s0,16(sp) + 800003ac: 00113c23 sd ra,24(sp) + 800003b0: 00300713 li a4,3 + 800003b4: 00058413 mv s0,a1 + 800003b8: 0ce78663 beq a5,a4,80000484 + 800003bc: 08f76663 bltu a4,a5,80000448 + 800003c0: 00100713 li a4,1 + 800003c4: 0ae78063 beq a5,a4,80000464 + 800003c8: 00200713 li a4,2 + 800003cc: 12e79a63 bne a5,a4,80000500 + 800003d0: 00100513 li a0,1 + 800003d4: 3b4010ef jal ra,80001788 + 800003d8: 00012623 sw zero,12(sp) + 800003dc: 00c12783 lw a5,12(sp) + 800003e0: 000f4737 lui a4,0xf4 + 800003e4: 23f70713 addi a4,a4,575 # f423f <_entry_offset+0xf423f> + 800003e8: 0007879b sext.w a5,a5 + 800003ec: 00f74e63 blt a4,a5,80000408 + 800003f0: 00c12783 lw a5,12(sp) + 800003f4: 0017879b addiw a5,a5,1 + 800003f8: 00f12623 sw a5,12(sp) + 800003fc: 00c12783 lw a5,12(sp) + 80000400: 0007879b sext.w a5,a5 + 80000404: fef756e3 bge a4,a5,800003f0 + 80000408: 05043583 ld a1,80(s0) + 8000040c: 00003517 auipc a0,0x3 + 80000410: 78450513 addi a0,a0,1924 # 80003b90 + 80000414: 63c020ef jal ra,80002a50 + 80000418: 00012797 auipc a5,0x12 + 8000041c: 1507a783 lw a5,336(a5) # 80012568 + 80000420: 00078a63 beqz a5,80000434 + 80000424: 00012797 auipc a5,0x12 + 80000428: 1407a223 sw zero,324(a5) # 80012568 + 8000042c: 00096417 auipc s0,0x96 + 80000430: 78c43403 ld s0,1932(s0) # 80096bb8 + 80000434: 01813083 ld ra,24(sp) + 80000438: 00040513 mv a0,s0 + 8000043c: 01013403 ld s0,16(sp) + 80000440: 02010113 addi sp,sp,32 + 80000444: 00008067 ret + 80000448: ffb7879b addiw a5,a5,-5 + 8000044c: 00100713 li a4,1 + 80000450: 0af76863 bltu a4,a5,80000500 + 80000454: 01853583 ld a1,24(a0) + 80000458: 00003517 auipc a0,0x3 + 8000045c: 70850513 addi a0,a0,1800 # 80003b60 + 80000460: 5f0020ef jal ra,80002a50 + 80000464: 00012797 auipc a5,0x12 + 80000468: 1047a783 lw a5,260(a5) # 80012568 + 8000046c: fc0784e3 beqz a5,80000434 + 80000470: 00012797 auipc a5,0x12 + 80000474: 0e07ac23 sw zero,248(a5) # 80012568 + 80000478: 00096417 auipc s0,0x96 + 8000047c: 74043403 ld s0,1856(s0) # 80096bb8 + 80000480: fb5ff06f j 80000434 + 80000484: 00853783 ld a5,8(a0) + 80000488: 01053583 ld a1,16(a0) + 8000048c: 00003697 auipc a3,0x3 + 80000490: 6b468693 addi a3,a3,1716 # 80003b40 + 80000494: 0017f713 andi a4,a5,1 + 80000498: 04070663 beqz a4,800004e4 + 8000049c: 0027f793 andi a5,a5,2 + 800004a0: 00003717 auipc a4,0x3 + 800004a4: 6b070713 addi a4,a4,1712 # 80003b50 + 800004a8: 00078e63 beqz a5,800004c4 + 800004ac: 00003617 auipc a2,0x3 + 800004b0: 73c60613 addi a2,a2,1852 # 80003be8 + 800004b4: 00003517 auipc a0,0x3 + 800004b8: 6cc50513 addi a0,a0,1740 # 80003b80 + 800004bc: 594020ef jal ra,80002a50 + 800004c0: fa5ff06f j 80000464 + 800004c4: 00003717 auipc a4,0x3 + 800004c8: 72470713 addi a4,a4,1828 # 80003be8 + 800004cc: 00003617 auipc a2,0x3 + 800004d0: 71c60613 addi a2,a2,1820 # 80003be8 + 800004d4: 00003517 auipc a0,0x3 + 800004d8: 6ac50513 addi a0,a0,1708 # 80003b80 + 800004dc: 574020ef jal ra,80002a50 + 800004e0: f85ff06f j 80000464 + 800004e4: 0027f793 andi a5,a5,2 + 800004e8: 00003697 auipc a3,0x3 + 800004ec: 70068693 addi a3,a3,1792 # 80003be8 + 800004f0: 00003717 auipc a4,0x3 + 800004f4: 66070713 addi a4,a4,1632 # 80003b50 + 800004f8: fa079ae3 bnez a5,800004ac + 800004fc: fc9ff06f j 800004c4 + 80000500: 02800613 li a2,40 + 80000504: 00003597 auipc a1,0x3 + 80000508: 69458593 addi a1,a1,1684 # 80003b98 + 8000050c: 00003517 auipc a0,0x3 + 80000510: 6c450513 addi a0,a0,1732 # 80003bd0 + 80000514: 53c020ef jal ra,80002a50 + 80000518: 00100513 li a0,1 + 8000051c: 385000ef jal ra,800010a0 + +0000000080000520 : + 80000520: fc010113 addi sp,sp,-64 + 80000524: 00300613 li a2,3 + 80000528: 00003597 auipc a1,0x3 + 8000052c: 6c858593 addi a1,a1,1736 # 80003bf0 + 80000530: 00003517 auipc a0,0x3 + 80000534: 6c850513 addi a0,a0,1736 # 80003bf8 + 80000538: 02113c23 sd ra,56(sp) + 8000053c: 02813823 sd s0,48(sp) + 80000540: 02913423 sd s1,40(sp) + 80000544: 03213023 sd s2,32(sp) + 80000548: 01313c23 sd s3,24(sp) + 8000054c: 01413823 sd s4,16(sp) + 80000550: 554020ef jal ra,80002aa4 + 80000554: 00050e63 beqz a0,80000570 + 80000558: 00003597 auipc a1,0x3 + 8000055c: 6a858593 addi a1,a1,1704 # 80003c00 + 80000560: 00003517 auipc a0,0x3 + 80000564: 69850513 addi a0,a0,1688 # 80003bf8 + 80000568: 3ac010ef jal ra,80001914 + 8000056c: 12051263 bnez a0,80000690 + 80000570: 00012417 auipc s0,0x12 + 80000574: 41840413 addi s0,s0,1048 # 80012988 + 80000578: 00040513 mv a0,s0 + 8000057c: 370010ef jal ra,800018ec + 80000580: 01043603 ld a2,16(s0) + 80000584: 00843583 ld a1,8(s0) + 80000588: 00003517 auipc a0,0x3 + 8000058c: 6a050513 addi a0,a0,1696 # 80003c28 + 80000590: 00096a17 auipc s4,0x96 + 80000594: 620a0a13 addi s4,s4,1568 # 80096bb0 + 80000598: 4b8020ef jal ra,80002a50 + 8000059c: 00843783 ld a5,8(s0) + 800005a0: 01043983 ld s3,16(s0) + 800005a4: 000a3483 ld s1,0(s4) + 800005a8: 00042903 lw s2,0(s0) + 800005ac: 40f989b3 sub s3,s3,a5 + 800005b0: 0019d993 srli s3,s3,0x1 + 800005b4: 00f989b3 add s3,s3,a5 + 800005b8: 0c048663 beqz s1,80000684 + 800005bc: 00090593 mv a1,s2 + 800005c0: 00048513 mv a0,s1 + 800005c4: 3d9000ef jal ra,8000119c <__umoddi3> + 800005c8: 02050063 beqz a0,800005e8 + 800005cc: 00148413 addi s0,s1,1 + 800005d0: 00040513 mv a0,s0 + 800005d4: 00090593 mv a1,s2 + 800005d8: 3c5000ef jal ra,8000119c <__umoddi3> + 800005dc: 00040493 mv s1,s0 + 800005e0: 00140413 addi s0,s0,1 + 800005e4: fe0516e3 bnez a0,800005d0 + 800005e8: 00990933 add s2,s2,s1 + 800005ec: 00f00613 li a2,15 + 800005f0: 00012597 auipc a1,0x12 + 800005f4: f6858593 addi a1,a1,-152 # 80012558 + 800005f8: 00048513 mv a0,s1 + 800005fc: 012a3023 sd s2,0(s4) + 80000600: 65c020ef jal ra,80002c5c + 80000604: 00300693 li a3,3 + 80000608: 00048613 mv a2,s1 + 8000060c: 00098593 mv a1,s3 + 80000610: 00012517 auipc a0,0x12 + 80000614: 37850513 addi a0,a0,888 # 80012988 + 80000618: 2d8010ef jal ra,800018f0 + 8000061c: 00048613 mv a2,s1 + 80000620: 00098593 mv a1,s3 + 80000624: 00003517 auipc a0,0x3 + 80000628: 62c50513 addi a0,a0,1580 # 80003c50 + 8000062c: 424020ef jal ra,80002a50 + 80000630: 00098693 mv a3,s3 + 80000634: 00012597 auipc a1,0x12 + 80000638: 37458593 addi a1,a1,884 # 800129a8 + 8000063c: 00013617 auipc a2,0x13 + 80000640: 36c60613 addi a2,a2,876 # 800139a8 + 80000644: 00012517 auipc a0,0x12 + 80000648: 34450513 addi a0,a0,836 # 80012988 + 8000064c: 2a8010ef jal ra,800018f4 + 80000650: 00050793 mv a5,a0 + 80000654: 00100513 li a0,1 + 80000658: 00096717 auipc a4,0x96 + 8000065c: 56f73023 sd a5,1376(a4) # 80096bb8 + 80000660: 128010ef jal ra,80001788 + 80000664: 03013403 ld s0,48(sp) + 80000668: 03813083 ld ra,56(sp) + 8000066c: 02813483 ld s1,40(sp) + 80000670: 02013903 ld s2,32(sp) + 80000674: 01813983 ld s3,24(sp) + 80000678: 01013a03 ld s4,16(sp) + 8000067c: 04010113 addi sp,sp,64 + 80000680: 0fc0106f j 8000177c + 80000684: 00012497 auipc s1,0x12 + 80000688: eec4b483 ld s1,-276(s1) # 80012570 + 8000068c: f31ff06f j 800005bc + 80000690: 03013403 ld s0,48(sp) + 80000694: 03813083 ld ra,56(sp) + 80000698: 02813483 ld s1,40(sp) + 8000069c: 02013903 ld s2,32(sp) + 800006a0: 01813983 ld s3,24(sp) + 800006a4: 01013a03 ld s4,16(sp) + 800006a8: 00003517 auipc a0,0x3 + 800006ac: 56050513 addi a0,a0,1376 # 80003c08 + 800006b0: 04010113 addi sp,sp,64 + 800006b4: 39c0206f j 80002a50 + +00000000800006b8 : + 800006b8: fa010113 addi sp,sp,-96 + 800006bc: 04913423 sd s1,72(sp) + 800006c0: 000f44b7 lui s1,0xf4 + 800006c4: 04813823 sd s0,80(sp) + 800006c8: 03313c23 sd s3,56(sp) + 800006cc: 03413823 sd s4,48(sp) + 800006d0: 03513423 sd s5,40(sp) + 800006d4: 03613023 sd s6,32(sp) + 800006d8: 04113c23 sd ra,88(sp) + 800006dc: 05213023 sd s2,64(sp) + 800006e0: 00100413 li s0,1 + 800006e4: 24048493 addi s1,s1,576 # f4240 <_entry_offset+0xf4240> + 800006e8: 00003a17 auipc s4,0x3 + 800006ec: 598a0a13 addi s4,s4,1432 # 80003c80 + 800006f0: 00100993 li s3,1 + 800006f4: 00003b17 auipc s6,0x3 + 800006f8: 5bcb0b13 addi s6,s6,1468 # 80003cb0 + 800006fc: 00003a97 auipc s5,0x3 + 80000700: 5a4a8a93 addi s5,s5,1444 # 80003ca0 + 80000704: 0004091b sext.w s2,s0 + 80000708: 00600513 li a0,6 + 8000070c: 00810593 addi a1,sp,8 + 80000710: 3d5000ef jal ra,800012e4 + 80000714: 00813503 ld a0,8(sp) + 80000718: 00048593 mv a1,s1 + 8000071c: 239000ef jal ra,80001154 <__udivdi3> + 80000720: fe8564e3 bltu a0,s0,80000708 + 80000724: 00810593 addi a1,sp,8 + 80000728: 00500513 li a0,5 + 8000072c: 3b9000ef jal ra,800012e4 + 80000730: 01c12803 lw a6,28(sp) + 80000734: 01812783 lw a5,24(sp) + 80000738: 01412703 lw a4,20(sp) + 8000073c: 01012683 lw a3,16(sp) + 80000740: 00c12603 lw a2,12(sp) + 80000744: 00812583 lw a1,8(sp) + 80000748: 000a0513 mv a0,s4 + 8000074c: 304020ef jal ra,80002a50 + 80000750: 01341c63 bne s0,s3,80000768 + 80000754: 00100593 li a1,1 + 80000758: 000a8513 mv a0,s5 + 8000075c: 2f4020ef jal ra,80002a50 + 80000760: 00140413 addi s0,s0,1 + 80000764: fa1ff06f j 80000704 + 80000768: 00090593 mv a1,s2 + 8000076c: 000b0513 mv a0,s6 + 80000770: 2e0020ef jal ra,80002a50 + 80000774: 00140413 addi s0,s0,1 + 80000778: f8dff06f j 80000704 + +000000008000077c : + 8000077c: f7010113 addi sp,sp,-144 + 80000780: 00010593 mv a1,sp + 80000784: 00900513 li a0,9 + 80000788: 08113423 sd ra,136(sp) + 8000078c: 07213823 sd s2,112(sp) + 80000790: 07413023 sd s4,96(sp) + 80000794: 08813023 sd s0,128(sp) + 80000798: 06913c23 sd s1,120(sp) + 8000079c: 07313423 sd s3,104(sp) + 800007a0: 05513c23 sd s5,88(sp) + 800007a4: 05613823 sd s6,80(sp) + 800007a8: 05713423 sd s7,72(sp) + 800007ac: 05813023 sd s8,64(sp) + 800007b0: 03913c23 sd s9,56(sp) + 800007b4: 03a13823 sd s10,48(sp) + 800007b8: 03b13423 sd s11,40(sp) + 800007bc: 329000ef jal ra,800012e4 + 800007c0: 00412783 lw a5,4(sp) + 800007c4: 00010593 mv a1,sp + 800007c8: 00900513 li a0,9 + 800007cc: 41f7da1b sraiw s4,a5,0x1f + 800007d0: 01ba5a1b srliw s4,s4,0x1b + 800007d4: 00fa0a3b addw s4,s4,a5 + 800007d8: 30d000ef jal ra,800012e4 + 800007dc: 00812783 lw a5,8(sp) + 800007e0: 405a5a1b sraiw s4,s4,0x5 + 800007e4: 000a0513 mv a0,s4 + 800007e8: 41f7d91b sraiw s2,a5,0x1f + 800007ec: 01b9591b srliw s2,s2,0x1b + 800007f0: 00f9093b addw s2,s2,a5 + 800007f4: 4059591b sraiw s2,s2,0x5 + 800007f8: 00090593 mv a1,s2 + 800007fc: 0ed000ef jal ra,800010e8 <__muldi3> + 80000800: 0005079b sext.w a5,a0 + 80000804: 40000713 li a4,1024 + 80000808: 0ef76e63 bltu a4,a5,80000904 + 8000080c: fff7841b addiw s0,a5,-1 + 80000810: 02041413 slli s0,s0,0x20 + 80000814: 00078a93 mv s5,a5 + 80000818: 01e45413 srli s0,s0,0x1e + 8000081c: 00014797 auipc a5,0x14 + 80000820: 19078793 addi a5,a5,400 # 800149ac + 80000824: 00013997 auipc s3,0x13 + 80000828: 20498993 addi s3,s3,516 # 80013a28 + 8000082c: 00014c17 auipc s8,0x14 + 80000830: 1fcc0c13 addi s8,s8,508 # 80014a28 + 80000834: 00014497 auipc s1,0x14 + 80000838: 17448493 addi s1,s1,372 # 800149a8 + 8000083c: 00f40433 add s0,s0,a5 + 80000840: 00000c93 li s9,0 + 80000844: 000a0b9b sext.w s7,s4 + 80000848: 000c8b1b sext.w s6,s9 + 8000084c: f8098d13 addi s10,s3,-128 + 80000850: 00000d93 li s11,0 + 80000854: 000a8c63 beqz s5,8000086c + 80000858: 000d2703 lw a4,0(s10) + 8000085c: 00048793 mv a5,s1 + 80000860: 00e7a023 sw a4,0(a5) + 80000864: 00478793 addi a5,a5,4 + 80000868: fe879ce3 bne a5,s0,80000860 + 8000086c: 004d0d13 addi s10,s10,4 + 80000870: 00010593 mv a1,sp + 80000874: 00b00513 li a0,11 + 80000878: 01b12023 sw s11,0(sp) + 8000087c: 01612223 sw s6,4(sp) + 80000880: 00913423 sd s1,8(sp) + 80000884: 01412823 sw s4,16(sp) + 80000888: 01212a23 sw s2,20(sp) + 8000088c: 00010c23 sb zero,24(sp) + 80000890: 017d8dbb addw s11,s11,s7 + 80000894: 26d000ef jal ra,80001300 + 80000898: fb3d1ee3 bne s10,s3,80000854 + 8000089c: 080d0993 addi s3,s10,128 + 800008a0: 01990cbb addw s9,s2,s9 + 800008a4: fb8992e3 bne s3,s8,80000848 + 800008a8: 00010593 mv a1,sp + 800008ac: 00100793 li a5,1 + 800008b0: 00b00513 li a0,11 + 800008b4: 00013023 sd zero,0(sp) + 800008b8: 00013423 sd zero,8(sp) + 800008bc: 00013823 sd zero,16(sp) + 800008c0: 00f10c23 sb a5,24(sp) + 800008c4: 23d000ef jal ra,80001300 + 800008c8: 08813083 ld ra,136(sp) + 800008cc: 08013403 ld s0,128(sp) + 800008d0: 07813483 ld s1,120(sp) + 800008d4: 07013903 ld s2,112(sp) + 800008d8: 06813983 ld s3,104(sp) + 800008dc: 06013a03 ld s4,96(sp) + 800008e0: 05813a83 ld s5,88(sp) + 800008e4: 05013b03 ld s6,80(sp) + 800008e8: 04813b83 ld s7,72(sp) + 800008ec: 04013c03 ld s8,64(sp) + 800008f0: 03813c83 ld s9,56(sp) + 800008f4: 03013d03 ld s10,48(sp) + 800008f8: 02813d83 ld s11,40(sp) + 800008fc: 09010113 addi sp,sp,144 + 80000900: 00008067 ret + 80000904: 01600613 li a2,22 + 80000908: 00003597 auipc a1,0x3 + 8000090c: 3b858593 addi a1,a1,952 # 80003cc0 + 80000910: 00003517 auipc a0,0x3 + 80000914: 2c050513 addi a0,a0,704 # 80003bd0 + 80000918: 138020ef jal ra,80002a50 + 8000091c: 00100513 li a0,1 + 80000920: 780000ef jal ra,800010a0 + +0000000080000924 : + 80000924: 00096617 auipc a2,0x96 + 80000928: 29c60613 addi a2,a2,668 # 80096bc0 + 8000092c: 00062783 lw a5,0(a2) + 80000930: fe010113 addi sp,sp,-32 + 80000934: 00813c23 sd s0,24(sp) + 80000938: 00913823 sd s1,16(sp) + 8000093c: 01213423 sd s2,8(sp) + 80000940: 01313023 sd s3,0(sp) + 80000944: 0017839b addiw t2,a5,1 + 80000948: 00015717 auipc a4,0x15 + 8000094c: 0e070713 addi a4,a4,224 # 80015a28 + 80000950: 00016697 auipc a3,0x16 + 80000954: 0d868693 addi a3,a3,216 # 80016a28 + 80000958: 00762023 sw t2,0(a2) + 8000095c: f8070793 addi a5,a4,-128 + 80000960: 0007a023 sw zero,0(a5) + 80000964: 00478793 addi a5,a5,4 + 80000968: fee79ce3 bne a5,a4,80000960 + 8000096c: 08078713 addi a4,a5,128 + 80000970: fee696e3 bne a3,a4,8000095c + 80000974: 0ff3f693 andi a3,t2,255 + 80000978: 0016979b slliw a5,a3,0x1 + 8000097c: 00d787bb addw a5,a5,a3 + 80000980: 0036971b slliw a4,a3,0x3 + 80000984: 40d7073b subw a4,a4,a3 + 80000988: 0017979b slliw a5,a5,0x1 + 8000098c: 0ff7f793 andi a5,a5,255 + 80000990: 0ff77713 andi a4,a4,255 + 80000994: 0087171b slliw a4,a4,0x8 + 80000998: 0107979b slliw a5,a5,0x10 + 8000099c: 00e7e7b3 or a5,a5,a4 + 800009a0: 0ff3f713 andi a4,t2,255 + 800009a4: 00e7e7b3 or a5,a5,a4 + 800009a8: 00013417 auipc s0,0x13 + 800009ac: 00040413 mv s0,s0 + 800009b0: 00015f97 auipc t6,0x15 + 800009b4: ff8f8f93 addi t6,t6,-8 # 800159a8 + 800009b8: 00f42023 sw a5,0(s0) # 800139a8 + 800009bc: 00100793 li a5,1 + 800009c0: 00ffa023 sw a5,0(t6) + 800009c4: 00100693 li a3,1 + 800009c8: 00000713 li a4,0 + 800009cc: 00100f13 li t5,1 + 800009d0: 00000593 li a1,0 + 800009d4: 00000e93 li t4,0 + 800009d8: 00000e13 li t3,0 + 800009dc: 01f00813 li a6,31 + 800009e0: 00003317 auipc t1,0x3 + 800009e4: 33030313 addi t1,t1,816 # 80003d10 + 800009e8: 00003897 auipc a7,0x3 + 800009ec: 33888893 addi a7,a7,824 # 80003d20 + 800009f0: 00100493 li s1,1 + 800009f4: 40000293 li t0,1024 + 800009f8: 00400613 li a2,4 + 800009fc: 00ee07bb addw a5,t3,a4 + 80000a00: fff6061b addiw a2,a2,-1 + 80000a04: 00158713 addi a4,a1,1 + 80000a08: 00de86bb addw a3,t4,a3 + 80000a0c: 02f86063 bltu a6,a5,80000a2c + 80000a10: 00579513 slli a0,a5,0x5 + 80000a14: 00d50533 add a0,a0,a3 + 80000a18: 00251513 slli a0,a0,0x2 + 80000a1c: 00af8933 add s2,t6,a0 + 80000a20: 00d86663 bltu a6,a3,80000a2c + 80000a24: 00092983 lw s3,0(s2) + 80000a28: 06098463 beqz s3,80000a90 + 80000a2c: 00377593 andi a1,a4,3 + 80000a30: 00259793 slli a5,a1,0x2 + 80000a34: 00f30733 add a4,t1,a5 + 80000a38: 00f887b3 add a5,a7,a5 + 80000a3c: 02060a63 beqz a2,80000a70 + 80000a40: 00072703 lw a4,0(a4) + 80000a44: 0007a683 lw a3,0(a5) + 80000a48: fff6061b addiw a2,a2,-1 + 80000a4c: 00ee07bb addw a5,t3,a4 + 80000a50: 00de86bb addw a3,t4,a3 + 80000a54: 00158713 addi a4,a1,1 + 80000a58: faf87ce3 bgeu a6,a5,80000a10 + 80000a5c: 00377593 andi a1,a4,3 + 80000a60: 00259793 slli a5,a1,0x2 + 80000a64: 00f30733 add a4,t1,a5 + 80000a68: 00f887b3 add a5,a7,a5 + 80000a6c: fc061ae3 bnez a2,80000a40 + 80000a70: 001f0f1b addiw t5,t5,1 + 80000a74: 065f0a63 beq t5,t0,80000ae8 + 80000a78: 00259793 slli a5,a1,0x2 + 80000a7c: 00f30733 add a4,t1,a5 + 80000a80: 00f887b3 add a5,a7,a5 + 80000a84: 00072703 lw a4,0(a4) + 80000a88: 0007a683 lw a3,0(a5) + 80000a8c: f6dff06f j 800009f8 + 80000a90: 401f5e1b sraiw t3,t5,0x1 + 80000a94: 007e0e3b addw t3,t3,t2 + 80000a98: 0ffe7e93 andi t4,t3,255 + 80000a9c: 001e971b slliw a4,t4,0x1 + 80000aa0: 01d7073b addw a4,a4,t4 + 80000aa4: 003e961b slliw a2,t4,0x3 + 80000aa8: 41d6063b subw a2,a2,t4 + 80000aac: 0017171b slliw a4,a4,0x1 + 80000ab0: 0ff77713 andi a4,a4,255 + 80000ab4: 0ff67613 andi a2,a2,255 + 80000ab8: 0107171b slliw a4,a4,0x10 + 80000abc: 0086161b slliw a2,a2,0x8 + 80000ac0: 0ffe7e13 andi t3,t3,255 + 80000ac4: 00c76733 or a4,a4,a2 + 80000ac8: 01c76733 or a4,a4,t3 + 80000acc: 00a40533 add a0,s0,a0 + 80000ad0: 00992023 sw s1,0(s2) + 80000ad4: 00e52023 sw a4,0(a0) + 80000ad8: 001f0f1b addiw t5,t5,1 + 80000adc: 00068e93 mv t4,a3 + 80000ae0: 00078e13 mv t3,a5 + 80000ae4: f85f1ae3 bne t5,t0,80000a78 + 80000ae8: 01813403 ld s0,24(sp) + 80000aec: 01013483 ld s1,16(sp) + 80000af0: 00813903 ld s2,8(sp) + 80000af4: 00013983 ld s3,0(sp) + 80000af8: 02010113 addi sp,sp,32 + 80000afc: 00008067 ret + +0000000080000b00 : + 80000b00: fb010113 addi sp,sp,-80 + 80000b04: 02913c23 sd s1,56(sp) + 80000b08: 03213823 sd s2,48(sp) + 80000b0c: 03313423 sd s3,40(sp) + 80000b10: 03413023 sd s4,32(sp) + 80000b14: 01513c23 sd s5,24(sp) + 80000b18: 04113423 sd ra,72(sp) + 80000b1c: 04813023 sd s0,64(sp) + 80000b20: 00000993 li s3,0 + 80000b24: 00000913 li s2,0 + 80000b28: 00000493 li s1,0 + 80000b2c: 02100a13 li s4,33 + 80000b30: 00003a97 auipc s5,0x3 + 80000b34: 1d0a8a93 addi s5,s5,464 # 80003d00 + 80000b38: 00810593 addi a1,sp,8 + 80000b3c: 00600513 li a0,6 + 80000b40: 7a4000ef jal ra,800012e4 + 80000b44: 00813503 ld a0,8(sp) + 80000b48: 3e800593 li a1,1000 + 80000b4c: 608000ef jal ra,80001154 <__udivdi3> + 80000b50: 409507b3 sub a5,a0,s1 + 80000b54: 00050413 mv s0,a0 + 80000b58: 02fa6663 bltu s4,a5,80000b84 + 80000b5c: 412407b3 sub a5,s0,s2 + 80000b60: 3e800713 li a4,1000 + 80000b64: fcf77ae3 bgeu a4,a5,80000b38 + 80000b68: 00098613 mv a2,s3 + 80000b6c: 00040593 mv a1,s0 + 80000b70: 000a8513 mv a0,s5 + 80000b74: 6dd010ef jal ra,80002a50 + 80000b78: 00040913 mv s2,s0 + 80000b7c: 00000993 li s3,0 + 80000b80: fb9ff06f j 80000b38 + 80000b84: da1ff0ef jal ra,80000924 + 80000b88: 0019899b addiw s3,s3,1 + 80000b8c: bf1ff0ef jal ra,8000077c + 80000b90: 00040493 mv s1,s0 + 80000b94: fc9ff06f j 80000b5c + +0000000080000b98 : + 80000b98: 00012797 auipc a5,0x12 + 80000b9c: 9d878793 addi a5,a5,-1576 # 80012570 + 80000ba0: 0007b583 ld a1,0(a5) + 80000ba4: 0087b603 ld a2,8(a5) + 80000ba8: fb010113 addi sp,sp,-80 + 80000bac: 00003517 auipc a0,0x3 + 80000bb0: 18450513 addi a0,a0,388 # 80003d30 + 80000bb4: 04113423 sd ra,72(sp) + 80000bb8: 02913c23 sd s1,56(sp) + 80000bbc: 04813023 sd s0,64(sp) + 80000bc0: 03213823 sd s2,48(sp) + 80000bc4: 03313423 sd s3,40(sp) + 80000bc8: 03413023 sd s4,32(sp) + 80000bcc: 685010ef jal ra,80002a50 + 80000bd0: 00003517 auipc a0,0x3 + 80000bd4: 17850513 addi a0,a0,376 # 80003d48 + 80000bd8: 679010ef jal ra,80002a50 + 80000bdc: 00810593 addi a1,sp,8 + 80000be0: 00600513 li a0,6 + 80000be4: 700000ef jal ra,800012e4 + 80000be8: 00813503 ld a0,8(sp) + 80000bec: 3e800593 li a1,1000 + 80000bf0: 564000ef jal ra,80001154 <__udivdi3> + 80000bf4: 00012223 sw zero,4(sp) + 80000bf8: 00412703 lw a4,4(sp) + 80000bfc: 009897b7 lui a5,0x989 + 80000c00: 67f78793 addi a5,a5,1663 # 98967f <_entry_offset+0x98967f> + 80000c04: 0007071b sext.w a4,a4 + 80000c08: 0005049b sext.w s1,a0 + 80000c0c: 00e7ce63 blt a5,a4,80000c28 + 80000c10: 00412703 lw a4,4(sp) + 80000c14: 0017071b addiw a4,a4,1 + 80000c18: 00e12223 sw a4,4(sp) + 80000c1c: 00412703 lw a4,4(sp) + 80000c20: 0007071b sext.w a4,a4 + 80000c24: fee7d6e3 bge a5,a4,80000c10 + 80000c28: 00810593 addi a1,sp,8 + 80000c2c: 00600513 li a0,6 + 80000c30: 6b4000ef jal ra,800012e4 + 80000c34: 00813503 ld a0,8(sp) + 80000c38: 3e800593 li a1,1000 + 80000c3c: 00016417 auipc s0,0x16 + 80000c40: 03c40413 addi s0,s0,60 # 80016c78 + 80000c44: 510000ef jal ra,80001154 <__udivdi3> + 80000c48: 409505bb subw a1,a0,s1 + 80000c4c: 00003517 auipc a0,0x3 + 80000c50: 11c50513 addi a0,a0,284 # 80003d68 + 80000c54: 5fd010ef jal ra,80002a50 + 80000c58: 00810593 addi a1,sp,8 + 80000c5c: 00900513 li a0,9 + 80000c60: 684000ef jal ra,800012e4 + 80000c64: 00c12983 lw s3,12(sp) + 80000c68: 01012903 lw s2,16(sp) + 80000c6c: 00003517 auipc a0,0x3 + 80000c70: 11c50513 addi a0,a0,284 # 80003d88 + 80000c74: 00098593 mv a1,s3 + 80000c78: 00090613 mv a2,s2 + 80000c7c: 5d5010ef jal ra,80002a50 + 80000c80: 00096497 auipc s1,0x96 + 80000c84: f2848493 addi s1,s1,-216 # 80096ba8 + 80000c88: 4b5000ef jal ra,8000193c + 80000c8c: 00a40023 sb a0,0(s0) + 80000c90: 00140413 addi s0,s0,1 + 80000c94: fe941ae3 bne s0,s1,80000c88 + 80000c98: 41f9d71b sraiw a4,s3,0x1f + 80000c9c: 01e7571b srliw a4,a4,0x1e + 80000ca0: 0137073b addw a4,a4,s3 + 80000ca4: 00016797 auipc a5,0x16 + 80000ca8: f0478793 addi a5,a5,-252 # 80016ba8 + 80000cac: 4027571b sraiw a4,a4,0x2 + 80000cb0: 00e79323 sh a4,6(a5) + 80000cb4: 00016717 auipc a4,0x16 + 80000cb8: ee071e23 sh zero,-260(a4) # 80016bb0 + 80000cbc: 01f9d69b srliw a3,s3,0x1f + 80000cc0: 02500713 li a4,37 + 80000cc4: ffff0637 lui a2,0xffff0 + 80000cc8: 00e79923 sh a4,18(a5) + 80000ccc: 013686bb addw a3,a3,s3 + 80000cd0: 0016061b addiw a2,a2,1 + 80000cd4: 00a00713 li a4,10 + 80000cd8: fff00813 li a6,-1 + 80000cdc: 4016d69b sraiw a3,a3,0x1 + 80000ce0: 00c7a023 sw a2,0(a5) + 80000ce4: 00e79a23 sh a4,20(a5) + 80000ce8: fff00613 li a2,-1 + 80000cec: 0d000713 li a4,208 + 80000cf0: f9c9091b addiw s2,s2,-100 + 80000cf4: 00e79b23 sh a4,22(a5) + 80000cf8: 00d79523 sh a3,10(a5) + 80000cfc: 01079723 sh a6,14(a5) + 80000d00: 01079823 sh a6,16(a5) + 80000d04: 00c79223 sh a2,4(a5) + 80000d08: 01279623 sh s2,12(a5) + 80000d0c: 00810593 addi a1,sp,8 + 80000d10: 00c00513 li a0,12 + 80000d14: 00f13823 sd a5,16(sp) + 80000d18: 000807b7 lui a5,0x80 + 80000d1c: 00f12c23 sw a5,24(sp) + 80000d20: 00016717 auipc a4,0x16 + 80000d24: ea071023 sh zero,-352(a4) # 80016bc0 + 80000d28: 00012423 sw zero,8(sp) + 80000d2c: 5d4000ef jal ra,80001300 + 80000d30: 00d00513 li a0,13 + 80000d34: 00810593 addi a1,sp,8 + 80000d38: 00012423 sw zero,8(sp) + 80000d3c: 5c4000ef jal ra,80001300 + 80000d40: 00810593 addi a1,sp,8 + 80000d44: 01200513 li a0,18 + 80000d48: 59c000ef jal ra,800012e4 + 80000d4c: 00c12483 lw s1,12(sp) + 80000d50: 01012583 lw a1,16(sp) + 80000d54: 00003517 auipc a0,0x3 + 80000d58: 04c50513 addi a0,a0,76 # 80003da0 + 80000d5c: 00048613 mv a2,s1 + 80000d60: 4f1010ef jal ra,80002a50 + 80000d64: 00016417 auipc s0,0x16 + 80000d68: c4440413 addi s0,s0,-956 # 800169a8 + 80000d6c: 00048593 mv a1,s1 + 80000d70: 20000513 li a0,512 + 80000d74: 00813823 sd s0,16(sp) + 80000d78: 00010423 sb zero,8(sp) + 80000d7c: 00012c23 sw zero,24(sp) + 80000d80: 3cc000ef jal ra,8000114c <__divdi3> + 80000d84: 00050793 mv a5,a0 + 80000d88: 00810593 addi a1,sp,8 + 80000d8c: 01400513 li a0,20 + 80000d90: 00f12e23 sw a5,28(sp) + 80000d94: 56c000ef jal ra,80001300 + 80000d98: 00144603 lbu a2,1(s0) + 80000d9c: 00044583 lbu a1,0(s0) + 80000da0: 00003517 auipc a0,0x3 + 80000da4: 03850513 addi a0,a0,56 # 80003dd8 + 80000da8: 00016417 auipc s0,0x16 + 80000dac: c0240413 addi s0,s0,-1022 # 800169aa + 80000db0: 4a1010ef jal ra,80002a50 + 80000db4: 00003917 auipc s2,0x3 + 80000db8: 02490913 addi s2,s2,36 # 80003dd8 + 80000dbc: 00400493 li s1,4 + 80000dc0: 00016997 auipc s3,0x16 + 80000dc4: de898993 addi s3,s3,-536 # 80016ba8 + 80000dc8: 408484bb subw s1,s1,s0 + 80000dcc: 00003a17 auipc s4,0x3 + 80000dd0: d6ca0a13 addi s4,s4,-660 # 80003b38 + 80000dd4: 00090513 mv a0,s2 + 80000dd8: 03340463 beq s0,s3,80000e00 + 80000ddc: 00144603 lbu a2,1(s0) + 80000de0: 00044583 lbu a1,0(s0) + 80000de4: 46d010ef jal ra,80002a50 + 80000de8: 008487bb addw a5,s1,s0 + 80000dec: 01f7f793 andi a5,a5,31 + 80000df0: 02078063 beqz a5,80000e10 + 80000df4: 00240413 addi s0,s0,2 + 80000df8: 00090513 mv a0,s2 + 80000dfc: ff3410e3 bne s0,s3,80000ddc + 80000e00: 00003517 auipc a0,0x3 + 80000e04: fe850513 addi a0,a0,-24 # 80003de8 + 80000e08: 449010ef jal ra,80002a50 + 80000e0c: 0000006f j 80000e0c + 80000e10: 000a0513 mv a0,s4 + 80000e14: 43d010ef jal ra,80002a50 + 80000e18: 00240413 addi s0,s0,2 + 80000e1c: fddff06f j 80000df8 + +0000000080000e20 : + 80000e20: fe010113 addi sp,sp,-32 + 80000e24: 00913423 sd s1,8(sp) + 80000e28: 00113c23 sd ra,24(sp) + 80000e2c: 00813823 sd s0,16(sp) + 80000e30: 00a00493 li s1,10 + 80000e34: 00003417 auipc s0,0x3 + 80000e38: fc440413 addi s0,s0,-60 # 80003df8 + 80000e3c: 04800513 li a0,72 + 80000e40: 00140413 addi s0,s0,1 + 80000e44: 250000ef jal ra,80001094 + 80000e48: 00044503 lbu a0,0(s0) + 80000e4c: fe051ae3 bnez a0,80000e40 + 80000e50: fff4849b addiw s1,s1,-1 + 80000e54: fe0490e3 bnez s1,80000e34 + 80000e58: 01813083 ld ra,24(sp) + 80000e5c: 01013403 ld s0,16(sp) + 80000e60: 00813483 ld s1,8(sp) + 80000e64: 02010113 addi sp,sp,32 + 80000e68: 00008067 ret + +0000000080000e6c : + 80000e6c: fb010113 addi sp,sp,-80 + 80000e70: 00003517 auipc a0,0x3 + 80000e74: fb850513 addi a0,a0,-72 # 80003e28 + 80000e78: 04113423 sd ra,72(sp) + 80000e7c: 04813023 sd s0,64(sp) + 80000e80: 02913c23 sd s1,56(sp) + 80000e84: 03213823 sd s2,48(sp) + 80000e88: 03313423 sd s3,40(sp) + 80000e8c: 03413023 sd s4,32(sp) + 80000e90: 01513c23 sd s5,24(sp) + 80000e94: 3bd010ef jal ra,80002a50 + 80000e98: 00810593 addi a1,sp,8 + 80000e9c: 00100513 li a0,1 + 80000ea0: 444000ef jal ra,800012e4 + 80000ea4: 00814783 lbu a5,8(sp) + 80000ea8: 00096497 auipc s1,0x96 + 80000eac: d1d48493 addi s1,s1,-739 # 80096bc5 + 80000eb0: 00810593 addi a1,sp,8 + 80000eb4: 00700513 li a0,7 + 80000eb8: 00f48023 sb a5,0(s1) + 80000ebc: 428000ef jal ra,800012e4 + 80000ec0: 00814783 lbu a5,8(sp) + 80000ec4: 00096417 auipc s0,0x96 + 80000ec8: d0040413 addi s0,s0,-768 # 80096bc4 + 80000ecc: 00003a97 auipc s5,0x3 + 80000ed0: 26ca8a93 addi s5,s5,620 # 80004138 + 80000ed4: 00f40023 sb a5,0(s0) + 80000ed8: 00003a17 auipc s4,0x3 + 80000edc: f40a0a13 addi s4,s4,-192 # 80003e18 + 80000ee0: 00003997 auipc s3,0x3 + 80000ee4: f4098993 addi s3,s3,-192 # 80003e20 + 80000ee8: 00003917 auipc s2,0x3 + 80000eec: f8890913 addi s2,s2,-120 # 80003e70 + 80000ef0: 0004c703 lbu a4,0(s1) + 80000ef4: 02070663 beqz a4,80000f20 + 80000ef8: 00003417 auipc s0,0x3 + 80000efc: f6040413 addi s0,s0,-160 # 80003e58 + 80000f00: 00810593 addi a1,sp,8 + 80000f04: 00300513 li a0,3 + 80000f08: 3dc000ef jal ra,800012e4 + 80000f0c: 00814603 lbu a2,8(sp) + 80000f10: 00040513 mv a0,s0 + 80000f14: 00060593 mv a1,a2 + 80000f18: 339010ef jal ra,80002a50 + 80000f1c: fe5ff06f j 80000f00 + 80000f20: 00079e63 bnez a5,80000f3c + 80000f24: 0000006f j 80000f24 + 80000f28: 0007b583 ld a1,0(a5) # 80000 <_entry_offset+0x80000> + 80000f2c: 000a0693 mv a3,s4 + 80000f30: 00071463 bnez a4,80000f38 + 80000f34: 00098693 mv a3,s3 + 80000f38: 319010ef jal ra,80002a50 + 80000f3c: 00800513 li a0,8 + 80000f40: 00810593 addi a1,sp,8 + 80000f44: 3a0000ef jal ra,800012e4 + 80000f48: 00c12603 lw a2,12(sp) + 80000f4c: 00814703 lbu a4,8(sp) + 80000f50: 00090513 mv a0,s2 + 80000f54: 00361793 slli a5,a2,0x3 + 80000f58: 00fa87b3 add a5,s5,a5 + 80000f5c: fc0616e3 bnez a2,80000f28 + 80000f60: 00044783 lbu a5,0(s0) + 80000f64: f8dff06f j 80000ef0 + +0000000080000f68 : + 80000f68: 00052783 lw a5,0(a0) + 80000f6c: ff010113 addi sp,sp,-16 + 80000f70: 00813023 sd s0,0(sp) + 80000f74: 00113423 sd ra,8(sp) + 80000f78: 00500713 li a4,5 + 80000f7c: 00058413 mv s0,a1 + 80000f80: 02e78463 beq a5,a4,80000fa8 + 80000f84: 00600713 li a4,6 + 80000f88: 04e78c63 beq a5,a4,80000fe0 + 80000f8c: 00100713 li a4,1 + 80000f90: 02e78a63 beq a5,a4,80000fc4 + 80000f94: 00813083 ld ra,8(sp) + 80000f98: 00040513 mv a0,s0 + 80000f9c: 00013403 ld s0,0(sp) + 80000fa0: 01010113 addi sp,sp,16 + 80000fa4: 00008067 ret + 80000fa8: 07400513 li a0,116 + 80000fac: 0e8000ef jal ra,80001094 + 80000fb0: 00813083 ld ra,8(sp) + 80000fb4: 00040513 mv a0,s0 + 80000fb8: 00013403 ld s0,0(sp) + 80000fbc: 01010113 addi sp,sp,16 + 80000fc0: 00008067 ret + 80000fc4: 07900513 li a0,121 + 80000fc8: 0cc000ef jal ra,80001094 + 80000fcc: 00813083 ld ra,8(sp) + 80000fd0: 00040513 mv a0,s0 + 80000fd4: 00013403 ld s0,0(sp) + 80000fd8: 01010113 addi sp,sp,16 + 80000fdc: 00008067 ret + 80000fe0: 06400513 li a0,100 + 80000fe4: 0b0000ef jal ra,80001094 + 80000fe8: 00813083 ld ra,8(sp) + 80000fec: 00040513 mv a0,s0 + 80000ff0: 00013403 ld s0,0(sp) + 80000ff4: 01010113 addi sp,sp,16 + 80000ff8: 00008067 ret + +0000000080000ffc : + 80000ffc: fe010113 addi sp,sp,-32 + 80001000: 00003517 auipc a0,0x3 + 80001004: df850513 addi a0,a0,-520 # 80003df8 + 80001008: 00113c23 sd ra,24(sp) + 8000100c: 00813823 sd s0,16(sp) + 80001010: 241010ef jal ra,80002a50 + 80001014: 00003517 auipc a0,0x3 + 80001018: 3bc50513 addi a0,a0,956 # 800043d0 + 8000101c: 235010ef jal ra,80002a50 + 80001020: 00810593 addi a1,sp,8 + 80001024: 00700513 li a0,7 + 80001028: 2bc000ef jal ra,800012e4 + 8000102c: 00100513 li a0,1 + 80001030: 00989437 lui s0,0x989 + 80001034: 754000ef jal ra,80001788 + 80001038: 67f40413 addi s0,s0,1663 # 98967f <_entry_offset+0x98967f> + 8000103c: 00012423 sw zero,8(sp) + 80001040: 00812783 lw a5,8(sp) + 80001044: 0007879b sext.w a5,a5 + 80001048: 00f44e63 blt s0,a5,80001064 + 8000104c: 00812783 lw a5,8(sp) + 80001050: 0017879b addiw a5,a5,1 + 80001054: 00f12423 sw a5,8(sp) + 80001058: 00812783 lw a5,8(sp) + 8000105c: 0007879b sext.w a5,a5 + 80001060: fef456e3 bge s0,a5,8000104c + 80001064: 718000ef jal ra,8000177c + 80001068: fd5ff06f j 8000103c + +000000008000106c : + 8000106c: ff010113 addi sp,sp,-16 + 80001070: 00813023 sd s0,0(sp) + 80001074: 00113423 sd ra,8(sp) + 80001078: 00003417 auipc s0,0x3 + 8000107c: 38040413 addi s0,s0,896 # 800043f8 + 80001080: 08d000ef jal ra,8000190c + 80001084: 00050593 mv a1,a0 + 80001088: 00040513 mv a0,s0 + 8000108c: 1c5010ef jal ra,80002a50 + 80001090: ff1ff06f j 80001080 + +0000000080001094 : + 80001094: 00050513 mv a0,a0 + 80001098: 0000007b 0x7b + 8000109c: 00008067 ret + +00000000800010a0 : + 800010a0: 00050513 mv a0,a0 + 800010a4: 0000006b 0x6b + 800010a8: 0000006f j 800010a8 + +00000000800010ac <_assert>: + 800010ac: 00051a63 bnez a0,800010c0 <_assert+0x14> + 800010b0: 00100793 li a5,1 + 800010b4: 00078513 mv a0,a5 + 800010b8: 0000006b 0x6b + 800010bc: 0000006f j 800010bc <_assert+0x10> + 800010c0: 00008067 ret + +00000000800010c4 <_trm_init>: + 800010c4: ff010113 addi sp,sp,-16 + 800010c8: 00113423 sd ra,8(sp) + 800010cc: 0d1000ef jal ra,8000199c + 800010d0: 00003517 auipc a0,0x3 + 800010d4: 66850513 addi a0,a0,1640 # 80004738 + 800010d8: f39fe0ef jal ra,80000010
+ 800010dc: 00050513 mv a0,a0 + 800010e0: 0000006b 0x6b + 800010e4: 0000006f j 800010e4 <_trm_init+0x20> + +00000000800010e8 <__muldi3>: + 800010e8: 00050613 mv a2,a0 + 800010ec: 00000513 li a0,0 + 800010f0: 0015f693 andi a3,a1,1 + 800010f4: 00068463 beqz a3,800010fc <__muldi3+0x14> + 800010f8: 00c50533 add a0,a0,a2 + 800010fc: 0015d593 srli a1,a1,0x1 + 80001100: 00161613 slli a2,a2,0x1 + 80001104: fe0596e3 bnez a1,800010f0 <__muldi3+0x8> + 80001108: 00008067 ret + +000000008000110c <__udivsi3>: + 8000110c: 02051513 slli a0,a0,0x20 + 80001110: 02059593 slli a1,a1,0x20 + 80001114: 00008293 mv t0,ra + 80001118: 03c000ef jal ra,80001154 <__udivdi3> + 8000111c: 0005051b sext.w a0,a0 + 80001120: 00028067 jr t0 + +0000000080001124 <__umodsi3>: + 80001124: 02051513 slli a0,a0,0x20 + 80001128: 02059593 slli a1,a1,0x20 + 8000112c: 02055513 srli a0,a0,0x20 + 80001130: 0205d593 srli a1,a1,0x20 + 80001134: 00008293 mv t0,ra + 80001138: 01c000ef jal ra,80001154 <__udivdi3> + 8000113c: 0005851b sext.w a0,a1 + 80001140: 00028067 jr t0 + +0000000080001144 <__divsi3>: + 80001144: fff00293 li t0,-1 + 80001148: 0a558c63 beq a1,t0,80001200 <__moddi3+0x30> + +000000008000114c <__divdi3>: + 8000114c: 06054063 bltz a0,800011ac <__umoddi3+0x10> + 80001150: 0605c663 bltz a1,800011bc <__umoddi3+0x20> + +0000000080001154 <__udivdi3>: + 80001154: 00058613 mv a2,a1 + 80001158: 00050593 mv a1,a0 + 8000115c: fff00513 li a0,-1 + 80001160: 02060c63 beqz a2,80001198 <__udivdi3+0x44> + 80001164: 00100693 li a3,1 + 80001168: 00b67a63 bgeu a2,a1,8000117c <__udivdi3+0x28> + 8000116c: 00c05863 blez a2,8000117c <__udivdi3+0x28> + 80001170: 00161613 slli a2,a2,0x1 + 80001174: 00169693 slli a3,a3,0x1 + 80001178: feb66ae3 bltu a2,a1,8000116c <__udivdi3+0x18> + 8000117c: 00000513 li a0,0 + 80001180: 00c5e663 bltu a1,a2,8000118c <__udivdi3+0x38> + 80001184: 40c585b3 sub a1,a1,a2 + 80001188: 00d56533 or a0,a0,a3 + 8000118c: 0016d693 srli a3,a3,0x1 + 80001190: 00165613 srli a2,a2,0x1 + 80001194: fe0696e3 bnez a3,80001180 <__udivdi3+0x2c> + 80001198: 00008067 ret + +000000008000119c <__umoddi3>: + 8000119c: 00008293 mv t0,ra + 800011a0: fb5ff0ef jal ra,80001154 <__udivdi3> + 800011a4: 00058513 mv a0,a1 + 800011a8: 00028067 jr t0 + 800011ac: 40a00533 neg a0,a0 + 800011b0: 00b04863 bgtz a1,800011c0 <__umoddi3+0x24> + 800011b4: 40b005b3 neg a1,a1 + 800011b8: f9dff06f j 80001154 <__udivdi3> + 800011bc: 40b005b3 neg a1,a1 + 800011c0: 00008293 mv t0,ra + 800011c4: f91ff0ef jal ra,80001154 <__udivdi3> + 800011c8: 40a00533 neg a0,a0 + 800011cc: 00028067 jr t0 + +00000000800011d0 <__moddi3>: + 800011d0: 00008293 mv t0,ra + 800011d4: 0005ca63 bltz a1,800011e8 <__moddi3+0x18> + 800011d8: 00054c63 bltz a0,800011f0 <__moddi3+0x20> + 800011dc: f79ff0ef jal ra,80001154 <__udivdi3> + 800011e0: 00058513 mv a0,a1 + 800011e4: 00028067 jr t0 + 800011e8: 40b005b3 neg a1,a1 + 800011ec: fe0558e3 bgez a0,800011dc <__moddi3+0xc> + 800011f0: 40a00533 neg a0,a0 + 800011f4: f61ff0ef jal ra,80001154 <__udivdi3> + 800011f8: 40b00533 neg a0,a1 + 800011fc: 00028067 jr t0 + 80001200: 01f29293 slli t0,t0,0x1f + 80001204: f45514e3 bne a0,t0,8000114c <__divdi3> + 80001208: 00008067 ret + +000000008000120c <__am_timer_config>: + 8000120c: 00100793 li a5,1 + 80001210: 00f50023 sb a5,0(a0) + 80001214: 00f500a3 sb a5,1(a0) + 80001218: 00008067 ret + +000000008000121c <__am_input_config>: + 8000121c: 00100793 li a5,1 + 80001220: 00f50023 sb a5,0(a0) + 80001224: 00008067 ret + +0000000080001228 : + 80001228: ff010113 addi sp,sp,-16 + 8000122c: 00813023 sd s0,0(sp) + 80001230: 00113423 sd ra,8(sp) + 80001234: 00003417 auipc s0,0x3 + 80001238: 1cc40413 addi s0,s0,460 # 80004400 + 8000123c: 04100513 li a0,65 + 80001240: 00140413 addi s0,s0,1 + 80001244: e51ff0ef jal ra,80001094 + 80001248: 00044503 lbu a0,0(s0) + 8000124c: fe051ae3 bnez a0,80001240 + 80001250: 00003417 auipc s0,0x3 + 80001254: 1c040413 addi s0,s0,448 # 80004410 + 80001258: 06100513 li a0,97 + 8000125c: 00140413 addi s0,s0,1 + 80001260: e35ff0ef jal ra,80001094 + 80001264: 00044503 lbu a0,0(s0) + 80001268: fe051ae3 bnez a0,8000125c + 8000126c: 00003417 auipc s0,0x3 + 80001270: 1c440413 addi s0,s0,452 # 80004430 + 80001274: 02000513 li a0,32 + 80001278: 00140413 addi s0,s0,1 + 8000127c: e19ff0ef jal ra,80001094 + 80001280: 00044503 lbu a0,0(s0) + 80001284: fe051ae3 bnez a0,80001278 + 80001288: 00100513 li a0,1 + 8000128c: e15ff0ef jal ra,800010a0 + +0000000080001290 : + 80001290: ff010113 addi sp,sp,-16 + 80001294: 00113423 sd ra,8(sp) + 80001298: 00011797 auipc a5,0x11 + 8000129c: 2e878793 addi a5,a5,744 # 80012580 + 800012a0: 00011697 auipc a3,0x11 + 800012a4: 6e068693 addi a3,a3,1760 # 80012980 + 800012a8: 00000617 auipc a2,0x0 + 800012ac: f8060613 addi a2,a2,-128 # 80001228 + 800012b0: 00c0006f j 800012bc + 800012b4: 00878793 addi a5,a5,8 + 800012b8: 00d78c63 beq a5,a3,800012d0 + 800012bc: 0007b703 ld a4,0(a5) + 800012c0: fe071ae3 bnez a4,800012b4 + 800012c4: 00c7b023 sd a2,0(a5) + 800012c8: 00878793 addi a5,a5,8 + 800012cc: fed798e3 bne a5,a3,800012bc + 800012d0: 034000ef jal ra,80001304 <__am_timer_init> + 800012d4: 00813083 ld ra,8(sp) + 800012d8: 00100513 li a0,1 + 800012dc: 01010113 addi sp,sp,16 + 800012e0: 00008067 ret + +00000000800012e4 : + 800012e4: 00351793 slli a5,a0,0x3 + 800012e8: 00011517 auipc a0,0x11 + 800012ec: 29850513 addi a0,a0,664 # 80012580 + 800012f0: 00f50533 add a0,a0,a5 + 800012f4: 00053303 ld t1,0(a0) + 800012f8: 00058513 mv a0,a1 + 800012fc: 00030067 jr t1 + +0000000080001300 : + 80001300: fe5ff06f j 800012e4 + +0000000080001304 <__am_timer_init>: + 80001304: 00008067 ret + +0000000080001308 <__am_timer_uptime>: + 80001308: fd010113 addi sp,sp,-48 + 8000130c: 00913c23 sd s1,24(sp) + 80001310: 02113423 sd ra,40(sp) + 80001314: 02813023 sd s0,32(sp) + 80001318: 01213823 sd s2,16(sp) + 8000131c: 01313423 sd s3,8(sp) + 80001320: 00050493 mv s1,a0 + 80001324: b0002973 csrr s2,mcycle + 80001328: 000f49b7 lui s3,0xf4 + 8000132c: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 80001330: 00090513 mv a0,s2 + 80001334: e21ff0ef jal ra,80001154 <__udivdi3> + 80001338: 00551413 slli s0,a0,0x5 + 8000133c: 40a407b3 sub a5,s0,a0 + 80001340: 00679413 slli s0,a5,0x6 + 80001344: 40f40433 sub s0,s0,a5 + 80001348: 00341413 slli s0,s0,0x3 + 8000134c: 00a40433 add s0,s0,a0 + 80001350: 24098593 addi a1,s3,576 + 80001354: 00090513 mv a0,s2 + 80001358: e45ff0ef jal ra,8000119c <__umoddi3> + 8000135c: 00641413 slli s0,s0,0x6 + 80001360: 00a40433 add s0,s0,a0 + 80001364: 0084b023 sd s0,0(s1) + 80001368: 02813083 ld ra,40(sp) + 8000136c: 02013403 ld s0,32(sp) + 80001370: 01813483 ld s1,24(sp) + 80001374: 01013903 ld s2,16(sp) + 80001378: 00813983 ld s3,8(sp) + 8000137c: 03010113 addi sp,sp,48 + 80001380: 00008067 ret + +0000000080001384 : + 80001384: fc010113 addi sp,sp,-64 + 80001388: 01313c23 sd s3,24(sp) + 8000138c: 00058993 mv s3,a1 + 80001390: 00050793 mv a5,a0 + 80001394: 03800613 li a2,56 + 80001398: 00000593 li a1,0 + 8000139c: 00098513 mv a0,s3 + 800013a0: 02813823 sd s0,48(sp) + 800013a4: 03213023 sd s2,32(sp) + 800013a8: 0007b403 ld s0,0(a5) + 800013ac: 01413823 sd s4,16(sp) + 800013b0: 02113c23 sd ra,56(sp) + 800013b4: 02913423 sd s1,40(sp) + 800013b8: 01513423 sd s5,8(sp) + 800013bc: 7c0010ef jal ra,80002b7c + 800013c0: 7b200793 li a5,1970 + 800013c4: 00f9aa23 sw a5,20(s3) + 800013c8: 7b200913 li s2,1970 + 800013cc: 00003a17 auipc s4,0x3 + 800013d0: 374a0a13 addi s4,s4,884 # 80004740 + 800013d4: 0100006f j 800013e4 + 800013d8: 40e40433 sub s0,s0,a4 + 800013dc: 00d9aa23 sw a3,20(s3) + 800013e0: 00068913 mv s2,a3 + 800013e4: 0009049b sext.w s1,s2 + 800013e8: 06400593 li a1,100 + 800013ec: 00048513 mv a0,s1 + 800013f0: de1ff0ef jal ra,800011d0 <__moddi3> + 800013f4: 00050793 mv a5,a0 + 800013f8: 0007879b sext.w a5,a5 + 800013fc: 00048513 mv a0,s1 + 80001400: 00397493 andi s1,s2,3 + 80001404: 19000593 li a1,400 + 80001408: 0014b493 seqz s1,s1 + 8000140c: 00079863 bnez a5,8000141c + 80001410: dc1ff0ef jal ra,800011d0 <__moddi3> + 80001414: 0005049b sext.w s1,a0 + 80001418: 0014b493 seqz s1,s1 + 8000141c: 00249793 slli a5,s1,0x2 + 80001420: 00fa07b3 add a5,s4,a5 + 80001424: 0007e703 lwu a4,0(a5) + 80001428: 0019069b addiw a3,s2,1 + 8000142c: fae456e3 bge s0,a4,800013d8 + 80001430: 000155b7 lui a1,0x15 + 80001434: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001438: 00040513 mv a0,s0 + 8000143c: d11ff0ef jal ra,8000114c <__divdi3> + 80001440: 00149793 slli a5,s1,0x1 + 80001444: 009784b3 add s1,a5,s1 + 80001448: 00449793 slli a5,s1,0x4 + 8000144c: 00003497 auipc s1,0x3 + 80001450: 02448493 addi s1,s1,36 # 80004470 + 80001454: 00f484b3 add s1,s1,a5 + 80001458: 0004e783 lwu a5,0(s1) + 8000145c: 0005051b sext.w a0,a0 + 80001460: 00a9ae23 sw a0,28(s3) + 80001464: 14f44463 blt s0,a5,800015ac + 80001468: 00100713 li a4,1 + 8000146c: 40f40433 sub s0,s0,a5 + 80001470: 0044e783 lwu a5,4(s1) + 80001474: 00070a1b sext.w s4,a4 + 80001478: 00448493 addi s1,s1,4 + 8000147c: 00170713 addi a4,a4,1 + 80001480: fef456e3 bge s0,a5,8000146c + 80001484: 000155b7 lui a1,0x15 + 80001488: 0149a823 sw s4,16(s3) + 8000148c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001490: 00040513 mv a0,s0 + 80001494: cb9ff0ef jal ra,8000114c <__divdi3> + 80001498: 0005051b sext.w a0,a0 + 8000149c: 0015079b addiw a5,a0,1 + 800014a0: 000155b7 lui a1,0x15 + 800014a4: 00f9a623 sw a5,12(s3) + 800014a8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800014ac: 00040513 mv a0,s0 + 800014b0: 00001ab7 lui s5,0x1 + 800014b4: 0007841b sext.w s0,a5 + 800014b8: d19ff0ef jal ra,800011d0 <__moddi3> + 800014bc: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 800014c0: 00050493 mv s1,a0 + 800014c4: c89ff0ef jal ra,8000114c <__divdi3> + 800014c8: e10a8593 addi a1,s5,-496 + 800014cc: 00a9a423 sw a0,8(s3) + 800014d0: 00048513 mv a0,s1 + 800014d4: cfdff0ef jal ra,800011d0 <__moddi3> + 800014d8: 03c00593 li a1,60 + 800014dc: 00050493 mv s1,a0 + 800014e0: c6dff0ef jal ra,8000114c <__divdi3> + 800014e4: 00a9a223 sw a0,4(s3) + 800014e8: 03c00593 li a1,60 + 800014ec: 00048513 mv a0,s1 + 800014f0: ce1ff0ef jal ra,800011d0 <__moddi3> + 800014f4: 001a0a1b addiw s4,s4,1 + 800014f8: 0ffa7793 andi a5,s4,255 + 800014fc: 0037b793 sltiu a5,a5,3 + 80001500: 40f9093b subw s2,s2,a5 + 80001504: 03091913 slli s2,s2,0x30 + 80001508: 03095913 srli s2,s2,0x30 + 8000150c: 00a9a023 sw a0,0(s3) + 80001510: 06400593 li a1,100 + 80001514: 00090513 mv a0,s2 + 80001518: c3dff0ef jal ra,80001154 <__udivdi3> + 8000151c: 0029549b srliw s1,s2,0x2 + 80001520: 0105179b slliw a5,a0,0x10 + 80001524: 0107d79b srliw a5,a5,0x10 + 80001528: 012484bb addw s1,s1,s2 + 8000152c: 19000593 li a1,400 + 80001530: 00090513 mv a0,s2 + 80001534: 0ffa7a13 andi s4,s4,255 + 80001538: 40f484bb subw s1,s1,a5 + 8000153c: fffa0a1b addiw s4,s4,-1 + 80001540: c15ff0ef jal ra,80001154 <__udivdi3> + 80001544: 00003797 auipc a5,0x3 + 80001548: f8c78793 addi a5,a5,-116 # 800044d0 + 8000154c: 002a1a13 slli s4,s4,0x2 + 80001550: 01478a33 add s4,a5,s4 + 80001554: 000a2783 lw a5,0(s4) + 80001558: 0105151b slliw a0,a0,0x10 + 8000155c: 0105551b srliw a0,a0,0x10 + 80001560: 00a484bb addw s1,s1,a0 + 80001564: 00f484bb addw s1,s1,a5 + 80001568: 0ff47513 andi a0,s0,255 + 8000156c: 00a4853b addw a0,s1,a0 + 80001570: 00700593 li a1,7 + 80001574: c5dff0ef jal ra,800011d0 <__moddi3> + 80001578: 03813083 ld ra,56(sp) + 8000157c: 03013403 ld s0,48(sp) + 80001580: 0ff57513 andi a0,a0,255 + 80001584: 00a9ac23 sw a0,24(s3) + 80001588: 0209a023 sw zero,32(s3) + 8000158c: 02813483 ld s1,40(sp) + 80001590: 02013903 ld s2,32(sp) + 80001594: 01013a03 ld s4,16(sp) + 80001598: 00813a83 ld s5,8(sp) + 8000159c: 00098513 mv a0,s3 + 800015a0: 01813983 ld s3,24(sp) + 800015a4: 04010113 addi sp,sp,64 + 800015a8: 00008067 ret + 800015ac: 00000a13 li s4,0 + 800015b0: eedff06f j 8000149c + +00000000800015b4 <__am_timer_rtc>: + 800015b4: f9010113 addi sp,sp,-112 + 800015b8: 06813023 sd s0,96(sp) + 800015bc: 06113423 sd ra,104(sp) + 800015c0: 04913c23 sd s1,88(sp) + 800015c4: 05213823 sd s2,80(sp) + 800015c8: 00050413 mv s0,a0 + 800015cc: b00024f3 csrr s1,mcycle + 800015d0: 000f4937 lui s2,0xf4 + 800015d4: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 800015d8: 00048513 mv a0,s1 + 800015dc: b79ff0ef jal ra,80001154 <__udivdi3> + 800015e0: 00050793 mv a5,a0 + 800015e4: 24090593 addi a1,s2,576 + 800015e8: 00048513 mv a0,s1 + 800015ec: 00f13423 sd a5,8(sp) + 800015f0: badff0ef jal ra,8000119c <__umoddi3> + 800015f4: 00050793 mv a5,a0 + 800015f8: 01810593 addi a1,sp,24 + 800015fc: 00810513 addi a0,sp,8 + 80001600: 00f13823 sd a5,16(sp) + 80001604: d81ff0ef jal ra,80001384 + 80001608: 01812703 lw a4,24(sp) + 8000160c: 02812783 lw a5,40(sp) + 80001610: 06813083 ld ra,104(sp) + 80001614: 00e42a23 sw a4,20(s0) + 80001618: 01c12703 lw a4,28(sp) + 8000161c: 0017879b addiw a5,a5,1 + 80001620: 00f42223 sw a5,4(s0) + 80001624: 00e42823 sw a4,16(s0) + 80001628: 02012703 lw a4,32(sp) + 8000162c: 02c12783 lw a5,44(sp) + 80001630: 05813483 ld s1,88(sp) + 80001634: 00e42623 sw a4,12(s0) + 80001638: 02412703 lw a4,36(sp) + 8000163c: 00f42023 sw a5,0(s0) + 80001640: 05013903 ld s2,80(sp) + 80001644: 00e42423 sw a4,8(s0) + 80001648: 06013403 ld s0,96(sp) + 8000164c: 07010113 addi sp,sp,112 + 80001650: 00008067 ret + +0000000080001654 <__am_input_keybrd>: + 80001654: 00050023 sb zero,0(a0) + 80001658: 00052223 sw zero,4(a0) + 8000165c: 00008067 ret + +0000000080001660 <__am_irq_handle>: + 80001660: 00095717 auipc a4,0x95 + 80001664: 56873703 ld a4,1384(a4) # 80096bc8 + 80001668: 00050593 mv a1,a0 + 8000166c: 0a070a63 beqz a4,80001720 <__am_irq_handle+0xc0> + 80001670: 10053683 ld a3,256(a0) + 80001674: fb010113 addi sp,sp,-80 + 80001678: 04113423 sd ra,72(sp) + 8000167c: 02013023 sd zero,32(sp) + 80001680: 02013423 sd zero,40(sp) + 80001684: 02013823 sd zero,48(sp) + 80001688: 02013c23 sd zero,56(sp) + 8000168c: 00b00793 li a5,11 + 80001690: 06f68c63 beq a3,a5,80001708 <__am_irq_handle+0xa8> + 80001694: fff00793 li a5,-1 + 80001698: 03f79793 slli a5,a5,0x3f + 8000169c: 00778793 addi a5,a5,7 + 800016a0: 04f69e63 bne a3,a5,800016fc <__am_irq_handle+0x9c> + 800016a4: 02004637 lui a2,0x2004 + 800016a8: 00063783 ld a5,0(a2) # 2004000 <_entry_offset+0x2004000> + 800016ac: 000f46b7 lui a3,0xf4 + 800016b0: 24068693 addi a3,a3,576 # f4240 <_entry_offset+0xf4240> + 800016b4: 00d787b3 add a5,a5,a3 + 800016b8: 00f63023 sd a5,0(a2) + 800016bc: 00500793 li a5,5 + 800016c0: 02f12023 sw a5,32(sp) + 800016c4: 02013783 ld a5,32(sp) + 800016c8: 00010513 mv a0,sp + 800016cc: 00f13023 sd a5,0(sp) + 800016d0: 02813783 ld a5,40(sp) + 800016d4: 00f13423 sd a5,8(sp) + 800016d8: 03013783 ld a5,48(sp) + 800016dc: 00f13823 sd a5,16(sp) + 800016e0: 03813783 ld a5,56(sp) + 800016e4: 00f13c23 sd a5,24(sp) + 800016e8: 000700e7 jalr a4 + 800016ec: 04050863 beqz a0,8000173c <__am_irq_handle+0xdc> + 800016f0: 04813083 ld ra,72(sp) + 800016f4: 05010113 addi sp,sp,80 + 800016f8: 00008067 ret + 800016fc: 00400793 li a5,4 + 80001700: 02f12023 sw a5,32(sp) + 80001704: fc1ff06f j 800016c4 <__am_irq_handle+0x64> + 80001708: 08853683 ld a3,136(a0) + 8000170c: fff00793 li a5,-1 + 80001710: 00f68a63 beq a3,a5,80001724 <__am_irq_handle+0xc4> + 80001714: 00200793 li a5,2 + 80001718: 02f12023 sw a5,32(sp) + 8000171c: fa9ff06f j 800016c4 <__am_irq_handle+0x64> + 80001720: 00008067 ret + 80001724: 11053783 ld a5,272(a0) + 80001728: 00100693 li a3,1 + 8000172c: 02d12023 sw a3,32(sp) + 80001730: 00478793 addi a5,a5,4 + 80001734: 10f53823 sd a5,272(a0) + 80001738: f8dff06f j 800016c4 <__am_irq_handle+0x64> + 8000173c: 02700613 li a2,39 + 80001740: 00003597 auipc a1,0x3 + 80001744: dc058593 addi a1,a1,-576 # 80004500 + 80001748: 00002517 auipc a0,0x2 + 8000174c: 48850513 addi a0,a0,1160 # 80003bd0 + 80001750: 300010ef jal ra,80002a50 + 80001754: 00100513 li a0,1 + 80001758: 949ff0ef jal ra,800010a0 + +000000008000175c : + 8000175c: 00050793 mv a5,a0 + 80001760: 00000717 auipc a4,0x0 + 80001764: 04c70713 addi a4,a4,76 # 800017ac <__am_asm_trap> + 80001768: 30571073 csrw mtvec,a4 + 8000176c: 00100513 li a0,1 + 80001770: 00095717 auipc a4,0x95 + 80001774: 44f73c23 sd a5,1112(a4) # 80096bc8 + 80001778: 00008067 ret + +000000008000177c : + 8000177c: fff00893 li a7,-1 + 80001780: 00000073 ecall + 80001784: 00008067 ret + +0000000080001788 : + 80001788: 00050a63 beqz a0,8000179c + 8000178c: 300467f3 csrrsi a5,mstatus,8 + 80001790: 08000793 li a5,128 + 80001794: 3047a7f3 csrrs a5,mie,a5 + 80001798: 00008067 ret + 8000179c: 300477f3 csrrci a5,mstatus,8 + 800017a0: 08000793 li a5,128 + 800017a4: 3047b7f3 csrrc a5,mie,a5 + 800017a8: 00008067 ret + +00000000800017ac <__am_asm_trap>: + 800017ac: ee810113 addi sp,sp,-280 + 800017b0: 00113423 sd ra,8(sp) + 800017b4: 00313c23 sd gp,24(sp) + 800017b8: 02413023 sd tp,32(sp) + 800017bc: 02513423 sd t0,40(sp) + 800017c0: 02613823 sd t1,48(sp) + 800017c4: 02713c23 sd t2,56(sp) + 800017c8: 04813023 sd s0,64(sp) + 800017cc: 04913423 sd s1,72(sp) + 800017d0: 04a13823 sd a0,80(sp) + 800017d4: 04b13c23 sd a1,88(sp) + 800017d8: 06c13023 sd a2,96(sp) + 800017dc: 06d13423 sd a3,104(sp) + 800017e0: 06e13823 sd a4,112(sp) + 800017e4: 06f13c23 sd a5,120(sp) + 800017e8: 09013023 sd a6,128(sp) + 800017ec: 09113423 sd a7,136(sp) + 800017f0: 09213823 sd s2,144(sp) + 800017f4: 09313c23 sd s3,152(sp) + 800017f8: 0b413023 sd s4,160(sp) + 800017fc: 0b513423 sd s5,168(sp) + 80001800: 0b613823 sd s6,176(sp) + 80001804: 0b713c23 sd s7,184(sp) + 80001808: 0d813023 sd s8,192(sp) + 8000180c: 0d913423 sd s9,200(sp) + 80001810: 0da13823 sd s10,208(sp) + 80001814: 0db13c23 sd s11,216(sp) + 80001818: 0fc13023 sd t3,224(sp) + 8000181c: 0fd13423 sd t4,232(sp) + 80001820: 0fe13823 sd t5,240(sp) + 80001824: 0ff13c23 sd t6,248(sp) + 80001828: 00010293 mv t0,sp + 8000182c: 11828293 addi t0,t0,280 + 80001830: 00513823 sd t0,16(sp) + 80001834: 342022f3 csrr t0,mcause + 80001838: 30002373 csrr t1,mstatus + 8000183c: 341023f3 csrr t2,mepc + 80001840: 10513023 sd t0,256(sp) + 80001844: 10613423 sd t1,264(sp) + 80001848: 10713823 sd t2,272(sp) + 8000184c: 00010513 mv a0,sp + 80001850: e11ff0ef jal ra,80001660 <__am_irq_handle> + 80001854: 10813303 ld t1,264(sp) + 80001858: 11013383 ld t2,272(sp) + 8000185c: 30031073 csrw mstatus,t1 + 80001860: 34139073 csrw mepc,t2 + 80001864: 00813083 ld ra,8(sp) + 80001868: 01813183 ld gp,24(sp) + 8000186c: 02013203 ld tp,32(sp) + 80001870: 02813283 ld t0,40(sp) + 80001874: 03013303 ld t1,48(sp) + 80001878: 03813383 ld t2,56(sp) + 8000187c: 04013403 ld s0,64(sp) + 80001880: 04813483 ld s1,72(sp) + 80001884: 05013503 ld a0,80(sp) + 80001888: 05813583 ld a1,88(sp) + 8000188c: 06013603 ld a2,96(sp) + 80001890: 06813683 ld a3,104(sp) + 80001894: 07013703 ld a4,112(sp) + 80001898: 07813783 ld a5,120(sp) + 8000189c: 08013803 ld a6,128(sp) + 800018a0: 08813883 ld a7,136(sp) + 800018a4: 09013903 ld s2,144(sp) + 800018a8: 09813983 ld s3,152(sp) + 800018ac: 0a013a03 ld s4,160(sp) + 800018b0: 0a813a83 ld s5,168(sp) + 800018b4: 0b013b03 ld s6,176(sp) + 800018b8: 0b813b83 ld s7,184(sp) + 800018bc: 0c013c03 ld s8,192(sp) + 800018c0: 0c813c83 ld s9,200(sp) + 800018c4: 0d013d03 ld s10,208(sp) + 800018c8: 0d813d83 ld s11,216(sp) + 800018cc: 0e013e03 ld t3,224(sp) + 800018d0: 0e813e83 ld t4,232(sp) + 800018d4: 0f013f03 ld t5,240(sp) + 800018d8: 0f813f83 ld t6,248(sp) + 800018dc: 11810113 addi sp,sp,280 + 800018e0: 30200073 mret + +00000000800018e4 : + 800018e4: 00000513 li a0,0 + 800018e8: 00008067 ret + +00000000800018ec : + 800018ec: 00008067 ret + +00000000800018f0 : + 800018f0: 00008067 ret + +00000000800018f4 : + 800018f4: ff010113 addi sp,sp,-16 + 800018f8: 00000513 li a0,0 + 800018fc: 01010113 addi sp,sp,16 + 80001900: 00008067 ret + +0000000080001904 : + 80001904: 00000513 li a0,0 + 80001908: 00008067 ret + +000000008000190c : + 8000190c: 00000513 li a0,0 + 80001910: 00008067 ret + +0000000080001914 : + 80001914: 00054783 lbu a5,0(a0) + 80001918: 00158593 addi a1,a1,1 + 8000191c: 00150513 addi a0,a0,1 + 80001920: fff5c703 lbu a4,-1(a1) + 80001924: 00078863 beqz a5,80001934 + 80001928: fee786e3 beq a5,a4,80001914 + 8000192c: 40e7853b subw a0,a5,a4 + 80001930: 00008067 ret + 80001934: 40e0053b negw a0,a4 + 80001938: 00008067 ret + +000000008000193c : + 8000193c: 00011617 auipc a2,0x11 + 80001940: 04460613 addi a2,a2,68 # 80012980 + 80001944: 00063683 ld a3,0(a2) + 80001948: 00869713 slli a4,a3,0x8 + 8000194c: 40d70733 sub a4,a4,a3 + 80001950: 00371713 slli a4,a4,0x3 + 80001954: 00d70733 add a4,a4,a3 + 80001958: 00771713 slli a4,a4,0x7 + 8000195c: 00d70733 add a4,a4,a3 + 80001960: 00371713 slli a4,a4,0x3 + 80001964: 40d70733 sub a4,a4,a3 + 80001968: 00571793 slli a5,a4,0x5 + 8000196c: 00f707b3 add a5,a4,a5 + 80001970: 00279793 slli a5,a5,0x2 + 80001974: 40d787b3 sub a5,a5,a3 + 80001978: 00279793 slli a5,a5,0x2 + 8000197c: 00003737 lui a4,0x3 + 80001980: 00d787b3 add a5,a5,a3 + 80001984: 03970713 addi a4,a4,57 # 3039 <_entry_offset+0x3039> + 80001988: 00e787b3 add a5,a5,a4 + 8000198c: 02179513 slli a0,a5,0x21 + 80001990: 00f63023 sd a5,0(a2) + 80001994: 03155513 srli a0,a0,0x31 + 80001998: 00008067 ret + +000000008000199c : + 8000199c: 00011797 auipc a5,0x11 + 800019a0: bd478793 addi a5,a5,-1068 # 80012570 + 800019a4: 0007b503 ld a0,0(a5) + 800019a8: 0087b583 ld a1,8(a5) + 800019ac: ff010113 addi sp,sp,-16 + 800019b0: 00000693 li a3,0 + 800019b4: 00000613 li a2,0 + 800019b8: 40a585b3 sub a1,a1,a0 + 800019bc: 00113423 sd ra,8(sp) + 800019c0: 378010ef jal ra,80002d38 + 800019c4: 00813083 ld ra,8(sp) + 800019c8: 00095797 auipc a5,0x95 + 800019cc: 20a7b423 sd a0,520(a5) # 80096bd0 + 800019d0: 01010113 addi sp,sp,16 + 800019d4: 00008067 ret + +00000000800019d8 <_out_null>: + 800019d8: 00008067 ret + +00000000800019dc <_ntoa_format>: + 800019dc: fa010113 addi sp,sp,-96 + 800019e0: 07012303 lw t1,112(sp) + 800019e4: 03313c23 sd s3,56(sp) + 800019e8: 03413823 sd s4,48(sp) + 800019ec: 03513423 sd s5,40(sp) + 800019f0: 03613023 sd s6,32(sp) + 800019f4: 01713c23 sd s7,24(sp) + 800019f8: 01813823 sd s8,16(sp) + 800019fc: 01913423 sd s9,8(sp) + 80001a00: 00237e13 andi t3,t1,2 + 80001a04: 01037e93 andi t4,t1,16 + 80001a08: 04113c23 sd ra,88(sp) + 80001a0c: 04813823 sd s0,80(sp) + 80001a10: 04913423 sd s1,72(sp) + 80001a14: 05213023 sd s2,64(sp) + 80001a18: 06012f03 lw t5,96(sp) + 80001a1c: 06812c03 lw s8,104(sp) + 80001a20: 00050a13 mv s4,a0 + 80001a24: 00058a93 mv s5,a1 + 80001a28: 00060b93 mv s7,a2 + 80001a2c: 00068b13 mv s6,a3 + 80001a30: 00070993 mv s3,a4 + 80001a34: 000e0c9b sext.w s9,t3 + 80001a38: 000e8e9b sext.w t4,t4 + 80001a3c: 060e1c63 bnez t3,80001ab4 <_ntoa_format+0xd8> + 80001a40: 020f1693 slli a3,t5,0x20 + 80001a44: 00137513 andi a0,t1,1 + 80001a48: 0206d693 srli a3,a3,0x20 + 80001a4c: 180c1e63 bnez s8,80001be8 <_ntoa_format+0x20c> + 80001a50: 02d7f663 bgeu a5,a3,80001a7c <_ntoa_format+0xa0> + 80001a54: 02000713 li a4,32 + 80001a58: 36e78e63 beq a5,a4,80001dd4 <_ntoa_format+0x3f8> + 80001a5c: 03000613 li a2,48 + 80001a60: 02000593 li a1,32 + 80001a64: 0080006f j 80001a6c <_ntoa_format+0x90> + 80001a68: 00b78a63 beq a5,a1,80001a7c <_ntoa_format+0xa0> + 80001a6c: 00178793 addi a5,a5,1 + 80001a70: 00f98733 add a4,s3,a5 + 80001a74: fec70fa3 sb a2,-1(a4) + 80001a78: fed7e8e3 bltu a5,a3,80001a68 <_ntoa_format+0x8c> + 80001a7c: 02050c63 beqz a0,80001ab4 <_ntoa_format+0xd8> + 80001a80: 020c1913 slli s2,s8,0x20 + 80001a84: 02095913 srli s2,s2,0x20 + 80001a88: 0327f663 bgeu a5,s2,80001ab4 <_ntoa_format+0xd8> + 80001a8c: 02000713 li a4,32 + 80001a90: 36e78863 beq a5,a4,80001e00 <_ntoa_format+0x424> + 80001a94: 03000693 li a3,48 + 80001a98: 02000613 li a2,32 + 80001a9c: 0080006f j 80001aa4 <_ntoa_format+0xc8> + 80001aa0: 16c78463 beq a5,a2,80001c08 <_ntoa_format+0x22c> + 80001aa4: 00178793 addi a5,a5,1 + 80001aa8: 00f98733 add a4,s3,a5 + 80001aac: fed70fa3 sb a3,-1(a4) + 80001ab0: ff2798e3 bne a5,s2,80001aa0 <_ntoa_format+0xc4> + 80001ab4: 1a0e8663 beqz t4,80001c60 <_ntoa_format+0x284> + 80001ab8: 40037713 andi a4,t1,1024 + 80001abc: 20071463 bnez a4,80001cc4 <_ntoa_format+0x2e8> + 80001ac0: 1e079663 bnez a5,80001cac <_ntoa_format+0x2d0> + 80001ac4: 01000793 li a5,16 + 80001ac8: 2af88863 beq a7,a5,80001d78 <_ntoa_format+0x39c> + 80001acc: 00200793 li a5,2 + 80001ad0: 2ef88a63 beq a7,a5,80001dc4 <_ntoa_format+0x3e8> + 80001ad4: 03000793 li a5,48 + 80001ad8: 00f98023 sb a5,0(s3) + 80001adc: 00100793 li a5,1 + 80001ae0: 1a080463 beqz a6,80001c88 <_ntoa_format+0x2ac> + 80001ae4: 00f98733 add a4,s3,a5 + 80001ae8: 00178413 addi s0,a5,1 + 80001aec: 02d00793 li a5,45 + 80001af0: 00f70023 sb a5,0(a4) + 80001af4: 00337313 andi t1,t1,3 + 80001af8: 20031263 bnez t1,80001cfc <_ntoa_format+0x320> + 80001afc: 020c1913 slli s2,s8,0x20 + 80001b00: 02095913 srli s2,s2,0x20 + 80001b04: 1f247c63 bgeu s0,s2,80001cfc <_ntoa_format+0x320> + 80001b08: 40890933 sub s2,s2,s0 + 80001b0c: 01790933 add s2,s2,s7 + 80001b10: 000b8493 mv s1,s7 + 80001b14: 00048613 mv a2,s1 + 80001b18: 000b0693 mv a3,s6 + 80001b1c: 00148493 addi s1,s1,1 + 80001b20: 000a8593 mv a1,s5 + 80001b24: 02000513 li a0,32 + 80001b28: 000a00e7 jalr s4 + 80001b2c: ff2494e3 bne s1,s2,80001b14 <_ntoa_format+0x138> + 80001b30: 02040663 beqz s0,80001b5c <_ntoa_format+0x180> + 80001b34: 01240933 add s2,s0,s2 + 80001b38: 012984b3 add s1,s3,s2 + 80001b3c: 00898433 add s0,s3,s0 + 80001b40: fff44503 lbu a0,-1(s0) + 80001b44: 40848633 sub a2,s1,s0 + 80001b48: 000b0693 mv a3,s6 + 80001b4c: fff40413 addi s0,s0,-1 + 80001b50: 000a8593 mv a1,s5 + 80001b54: 000a00e7 jalr s4 + 80001b58: fe8994e3 bne s3,s0,80001b40 <_ntoa_format+0x164> + 80001b5c: 040c8a63 beqz s9,80001bb0 <_ntoa_format+0x1d4> + 80001b60: 020c1c13 slli s8,s8,0x20 + 80001b64: 41790433 sub s0,s2,s7 + 80001b68: 020c5c13 srli s8,s8,0x20 + 80001b6c: 05847263 bgeu s0,s8,80001bb0 <_ntoa_format+0x1d4> + 80001b70: 01740633 add a2,s0,s7 + 80001b74: 000b0693 mv a3,s6 + 80001b78: 00140413 addi s0,s0,1 + 80001b7c: 000a8593 mv a1,s5 + 80001b80: 02000513 li a0,32 + 80001b84: 000a00e7 jalr s4 + 80001b88: ff8464e3 bltu s0,s8,80001b70 <_ntoa_format+0x194> + 80001b8c: 41790733 sub a4,s2,s7 + 80001b90: 00170713 addi a4,a4,1 + 80001b94: 00000793 li a5,0 + 80001b98: 00ec6863 bltu s8,a4,80001ba8 <_ntoa_format+0x1cc> + 80001b9c: fffb8793 addi a5,s7,-1 + 80001ba0: 01878c33 add s8,a5,s8 + 80001ba4: 412c07b3 sub a5,s8,s2 + 80001ba8: 00190913 addi s2,s2,1 + 80001bac: 00f90933 add s2,s2,a5 + 80001bb0: 05813083 ld ra,88(sp) + 80001bb4: 05013403 ld s0,80(sp) + 80001bb8: 04813483 ld s1,72(sp) + 80001bbc: 03813983 ld s3,56(sp) + 80001bc0: 03013a03 ld s4,48(sp) + 80001bc4: 02813a83 ld s5,40(sp) + 80001bc8: 02013b03 ld s6,32(sp) + 80001bcc: 01813b83 ld s7,24(sp) + 80001bd0: 01013c03 ld s8,16(sp) + 80001bd4: 00813c83 ld s9,8(sp) + 80001bd8: 00090513 mv a0,s2 + 80001bdc: 04013903 ld s2,64(sp) + 80001be0: 06010113 addi sp,sp,96 + 80001be4: 00008067 ret + 80001be8: 12050863 beqz a0,80001d18 <_ntoa_format+0x33c> + 80001bec: 00081663 bnez a6,80001bf8 <_ntoa_format+0x21c> + 80001bf0: 00c37713 andi a4,t1,12 + 80001bf4: 00070463 beqz a4,80001bfc <_ntoa_format+0x220> + 80001bf8: fffc0c1b addiw s8,s8,-1 + 80001bfc: e8d7f2e3 bgeu a5,a3,80001a80 <_ntoa_format+0xa4> + 80001c00: 02000713 li a4,32 + 80001c04: e4e79ce3 bne a5,a4,80001a5c <_ntoa_format+0x80> + 80001c08: 0e0e8063 beqz t4,80001ce8 <_ntoa_format+0x30c> + 80001c0c: 40037793 andi a5,t1,1024 + 80001c10: 04079c63 bnez a5,80001c68 <_ntoa_format+0x28c> + 80001c14: 02000793 li a5,32 + 80001c18: 0aff1063 bne t5,a5,80001cb8 <_ntoa_format+0x2dc> + 80001c1c: 02000793 li a5,32 + 80001c20: 01f00713 li a4,31 + 80001c24: 01000693 li a3,16 + 80001c28: 1ad88c63 beq a7,a3,80001de0 <_ntoa_format+0x404> + 80001c2c: 00200693 li a3,2 + 80001c30: 00070793 mv a5,a4 + 80001c34: 00d89e63 bne a7,a3,80001c50 <_ntoa_format+0x274> + 80001c38: 00e986b3 add a3,s3,a4 + 80001c3c: 00170793 addi a5,a4,1 + 80001c40: 06200713 li a4,98 + 80001c44: 00e68023 sb a4,0(a3) + 80001c48: 02000713 li a4,32 + 80001c4c: 00e78e63 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001c50: 00f98733 add a4,s3,a5 + 80001c54: 03000693 li a3,48 + 80001c58: 00d70023 sb a3,0(a4) + 80001c5c: 00178793 addi a5,a5,1 + 80001c60: 02000713 li a4,32 + 80001c64: e6e79ee3 bne a5,a4,80001ae0 <_ntoa_format+0x104> + 80001c68: 00337313 andi t1,t1,3 + 80001c6c: 08031263 bnez t1,80001cf0 <_ntoa_format+0x314> + 80001c70: 02000413 li s0,32 + 80001c74: 020c1913 slli s2,s8,0x20 + 80001c78: 02095913 srli s2,s2,0x20 + 80001c7c: e92466e3 bltu s0,s2,80001b08 <_ntoa_format+0x12c> + 80001c80: 000b8913 mv s2,s7 + 80001c84: eadff06f j 80001b30 <_ntoa_format+0x154> + 80001c88: 00437713 andi a4,t1,4 + 80001c8c: 06071c63 bnez a4,80001d04 <_ntoa_format+0x328> + 80001c90: 00837713 andi a4,t1,8 + 80001c94: 0c071863 bnez a4,80001d64 <_ntoa_format+0x388> + 80001c98: 00337313 andi t1,t1,3 + 80001c9c: 00078413 mv s0,a5 + 80001ca0: fc030ae3 beqz t1,80001c74 <_ntoa_format+0x298> + 80001ca4: 000b8913 mv s2,s7 + 80001ca8: e89ff06f j 80001b30 <_ntoa_format+0x154> + 80001cac: 020f1f13 slli t5,t5,0x20 + 80001cb0: 020f5f13 srli t5,t5,0x20 + 80001cb4: 0aff0263 beq t5,a5,80001d58 <_ntoa_format+0x37c> + 80001cb8: 020c1913 slli s2,s8,0x20 + 80001cbc: 02095913 srli s2,s2,0x20 + 80001cc0: 08f90c63 beq s2,a5,80001d58 <_ntoa_format+0x37c> + 80001cc4: 01000713 li a4,16 + 80001cc8: 06e88663 beq a7,a4,80001d34 <_ntoa_format+0x358> + 80001ccc: 00200713 li a4,2 + 80001cd0: f6e89ce3 bne a7,a4,80001c48 <_ntoa_format+0x26c> + 80001cd4: 02000713 li a4,32 + 80001cd8: f8e788e3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001cdc: 00f986b3 add a3,s3,a5 + 80001ce0: 00178793 addi a5,a5,1 + 80001ce4: f5dff06f j 80001c40 <_ntoa_format+0x264> + 80001ce8: 00337313 andi t1,t1,3 + 80001cec: 0c030063 beqz t1,80001dac <_ntoa_format+0x3d0> + 80001cf0: 000b8913 mv s2,s7 + 80001cf4: 02000413 li s0,32 + 80001cf8: e3dff06f j 80001b34 <_ntoa_format+0x158> + 80001cfc: 000b8913 mv s2,s7 + 80001d00: e35ff06f j 80001b34 <_ntoa_format+0x158> + 80001d04: 00f98733 add a4,s3,a5 + 80001d08: 00178413 addi s0,a5,1 + 80001d0c: 02b00793 li a5,43 + 80001d10: 00f70023 sb a5,0(a4) + 80001d14: de1ff06f j 80001af4 <_ntoa_format+0x118> + 80001d18: d8d7fee3 bgeu a5,a3,80001ab4 <_ntoa_format+0xd8> + 80001d1c: 02000713 li a4,32 + 80001d20: d2e79ee3 bne a5,a4,80001a5c <_ntoa_format+0x80> + 80001d24: 0e0e8c63 beqz t4,80001e1c <_ntoa_format+0x440> + 80001d28: 40037793 andi a5,t1,1024 + 80001d2c: f2079ee3 bnez a5,80001c68 <_ntoa_format+0x28c> + 80001d30: ee5ff06f j 80001c14 <_ntoa_format+0x238> + 80001d34: 02037713 andi a4,t1,32 + 80001d38: 04071c63 bnez a4,80001d90 <_ntoa_format+0x3b4> + 80001d3c: 02000713 li a4,32 + 80001d40: f2e784e3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001d44: 00f98733 add a4,s3,a5 + 80001d48: 00178793 addi a5,a5,1 + 80001d4c: 07800693 li a3,120 + 80001d50: 00d70023 sb a3,0(a4) + 80001d54: ef5ff06f j 80001c48 <_ntoa_format+0x26c> + 80001d58: fff78713 addi a4,a5,-1 + 80001d5c: d60704e3 beqz a4,80001ac4 <_ntoa_format+0xe8> + 80001d60: ec5ff06f j 80001c24 <_ntoa_format+0x248> + 80001d64: 00f98733 add a4,s3,a5 + 80001d68: 00178413 addi s0,a5,1 + 80001d6c: 02000793 li a5,32 + 80001d70: 00f70023 sb a5,0(a4) + 80001d74: d81ff06f j 80001af4 <_ntoa_format+0x118> + 80001d78: 02037793 andi a5,t1,32 + 80001d7c: 02079c63 bnez a5,80001db4 <_ntoa_format+0x3d8> + 80001d80: 07800793 li a5,120 + 80001d84: 00f98023 sb a5,0(s3) + 80001d88: 00100793 li a5,1 + 80001d8c: ec5ff06f j 80001c50 <_ntoa_format+0x274> + 80001d90: 02000713 li a4,32 + 80001d94: ece78ae3 beq a5,a4,80001c68 <_ntoa_format+0x28c> + 80001d98: 00f98733 add a4,s3,a5 + 80001d9c: 05800693 li a3,88 + 80001da0: 00d70023 sb a3,0(a4) + 80001da4: 00178793 addi a5,a5,1 + 80001da8: ea1ff06f j 80001c48 <_ntoa_format+0x26c> + 80001dac: 02000413 li s0,32 + 80001db0: d4dff06f j 80001afc <_ntoa_format+0x120> + 80001db4: 05800793 li a5,88 + 80001db8: 00f98023 sb a5,0(s3) + 80001dbc: 00100793 li a5,1 + 80001dc0: e91ff06f j 80001c50 <_ntoa_format+0x274> + 80001dc4: 06200793 li a5,98 + 80001dc8: 00f98023 sb a5,0(s3) + 80001dcc: 00100793 li a5,1 + 80001dd0: e81ff06f j 80001c50 <_ntoa_format+0x274> + 80001dd4: e2051ae3 bnez a0,80001c08 <_ntoa_format+0x22c> + 80001dd8: 000c8c13 mv s8,s9 + 80001ddc: f49ff06f j 80001d24 <_ntoa_format+0x348> + 80001de0: 02037693 andi a3,t1,32 + 80001de4: ffe78713 addi a4,a5,-2 + 80001de8: 00069863 bnez a3,80001df8 <_ntoa_format+0x41c> + 80001dec: 00e98733 add a4,s3,a4 + 80001df0: fff78793 addi a5,a5,-1 + 80001df4: f59ff06f j 80001d4c <_ntoa_format+0x370> + 80001df8: 00070793 mv a5,a4 + 80001dfc: f9dff06f j 80001d98 <_ntoa_format+0x3bc> + 80001e00: 000e8e63 beqz t4,80001e1c <_ntoa_format+0x440> + 80001e04: 40037713 andi a4,t1,1024 + 80001e08: 00071e63 bnez a4,80001e24 <_ntoa_format+0x448> + 80001e0c: 02ff0e63 beq t5,a5,80001e48 <_ntoa_format+0x46c> + 80001e10: e4fc1ce3 bne s8,a5,80001c68 <_ntoa_format+0x28c> + 80001e14: 01f00713 li a4,31 + 80001e18: e0dff06f j 80001c24 <_ntoa_format+0x248> + 80001e1c: 02000413 li s0,32 + 80001e20: cd5ff06f j 80001af4 <_ntoa_format+0x118> + 80001e24: 01000793 li a5,16 + 80001e28: 02f88c63 beq a7,a5,80001e60 <_ntoa_format+0x484> + 80001e2c: 00200793 li a5,2 + 80001e30: e2f88ce3 beq a7,a5,80001c68 <_ntoa_format+0x28c> + 80001e34: 00337313 andi t1,t1,3 + 80001e38: ea031ce3 bnez t1,80001cf0 <_ntoa_format+0x314> + 80001e3c: 02000413 li s0,32 + 80001e40: cd2464e3 bltu s0,s2,80001b08 <_ntoa_format+0x12c> + 80001e44: e3dff06f j 80001c80 <_ntoa_format+0x2a4> + 80001e48: 01000713 li a4,16 + 80001e4c: f8e88ae3 beq a7,a4,80001de0 <_ntoa_format+0x404> + 80001e50: 00200793 li a5,2 + 80001e54: 00f88c63 beq a7,a5,80001e6c <_ntoa_format+0x490> + 80001e58: 01f00793 li a5,31 + 80001e5c: df5ff06f j 80001c50 <_ntoa_format+0x274> + 80001e60: 02037793 andi a5,t1,32 + 80001e64: fc0788e3 beqz a5,80001e34 <_ntoa_format+0x458> + 80001e68: e01ff06f j 80001c68 <_ntoa_format+0x28c> + 80001e6c: 01f00713 li a4,31 + 80001e70: dc9ff06f j 80001c38 <_ntoa_format+0x25c> + +0000000080001e74 <_ntoa_long>: + 80001e74: f4010113 addi sp,sp,-192 + 80001e78: 09413823 sd s4,144(sp) + 80001e7c: 09513423 sd s5,136(sp) + 80001e80: 09613023 sd s6,128(sp) + 80001e84: 07713c23 sd s7,120(sp) + 80001e88: 07813823 sd s8,112(sp) + 80001e8c: 07913423 sd s9,104(sp) + 80001e90: 05b13c23 sd s11,88(sp) + 80001e94: 0a113c23 sd ra,184(sp) + 80001e98: 0a813823 sd s0,176(sp) + 80001e9c: 0a913423 sd s1,168(sp) + 80001ea0: 0b213023 sd s2,160(sp) + 80001ea4: 09313c23 sd s3,152(sp) + 80001ea8: 07a13023 sd s10,96(sp) + 80001eac: 02a13023 sd a0,32(sp) + 80001eb0: 03113423 sd a7,40(sp) + 80001eb4: 0c812a03 lw s4,200(sp) + 80001eb8: 00070d93 mv s11,a4 + 80001ebc: 00058b13 mv s6,a1 + 80001ec0: 00060b93 mv s7,a2 + 80001ec4: 00068c13 mv s8,a3 + 80001ec8: 00078c93 mv s9,a5 + 80001ecc: 00080a93 mv s5,a6 + 80001ed0: 00071863 bnez a4,80001ee0 <_ntoa_long+0x6c> + 80001ed4: 400a7793 andi a5,s4,1024 + 80001ed8: fefa7a13 andi s4,s4,-17 + 80001edc: 0e079663 bnez a5,80001fc8 <_ntoa_long+0x154> + 80001ee0: 020a7793 andi a5,s4,32 + 80001ee4: 06100413 li s0,97 + 80001ee8: 0c079c63 bnez a5,80001fc0 <_ntoa_long+0x14c> + 80001eec: 00000d13 li s10,0 + 80001ef0: 03010493 addi s1,sp,48 + 80001ef4: 00900913 li s2,9 + 80001ef8: ff64041b addiw s0,s0,-10 + 80001efc: 02000993 li s3,32 + 80001f00: 00c0006f j 80001f0c <_ntoa_long+0x98> + 80001f04: 053d0463 beq s10,s3,80001f4c <_ntoa_long+0xd8> + 80001f08: 00050d93 mv s11,a0 + 80001f0c: 000a8593 mv a1,s5 + 80001f10: 000d8513 mv a0,s11 + 80001f14: a88ff0ef jal ra,8000119c <__umoddi3> + 80001f18: 0ff57313 andi t1,a0,255 + 80001f1c: 0303071b addiw a4,t1,48 + 80001f20: 0064033b addw t1,s0,t1 + 80001f24: 0ff37313 andi t1,t1,255 + 80001f28: 00a96463 bltu s2,a0,80001f30 <_ntoa_long+0xbc> + 80001f2c: 0ff77313 andi t1,a4,255 + 80001f30: 001d0d13 addi s10,s10,1 + 80001f34: 01a48733 add a4,s1,s10 + 80001f38: 000d8513 mv a0,s11 + 80001f3c: 000a8593 mv a1,s5 + 80001f40: fe670fa3 sb t1,-1(a4) + 80001f44: a10ff0ef jal ra,80001154 <__udivdi3> + 80001f48: fb5dfee3 bgeu s11,s5,80001f04 <_ntoa_long+0x90> + 80001f4c: 0c012703 lw a4,192(sp) + 80001f50: 02813783 ld a5,40(sp) + 80001f54: 02013503 ld a0,32(sp) + 80001f58: 01413823 sd s4,16(sp) + 80001f5c: 00e13423 sd a4,8(sp) + 80001f60: 00f13023 sd a5,0(sp) + 80001f64: 000a889b sext.w a7,s5 + 80001f68: 000c8813 mv a6,s9 + 80001f6c: 000d0793 mv a5,s10 + 80001f70: 00048713 mv a4,s1 + 80001f74: 000c0693 mv a3,s8 + 80001f78: 000b8613 mv a2,s7 + 80001f7c: 000b0593 mv a1,s6 + 80001f80: a5dff0ef jal ra,800019dc <_ntoa_format> + 80001f84: 0b813083 ld ra,184(sp) + 80001f88: 0b013403 ld s0,176(sp) + 80001f8c: 0a813483 ld s1,168(sp) + 80001f90: 0a013903 ld s2,160(sp) + 80001f94: 09813983 ld s3,152(sp) + 80001f98: 09013a03 ld s4,144(sp) + 80001f9c: 08813a83 ld s5,136(sp) + 80001fa0: 08013b03 ld s6,128(sp) + 80001fa4: 07813b83 ld s7,120(sp) + 80001fa8: 07013c03 ld s8,112(sp) + 80001fac: 06813c83 ld s9,104(sp) + 80001fb0: 06013d03 ld s10,96(sp) + 80001fb4: 05813d83 ld s11,88(sp) + 80001fb8: 0c010113 addi sp,sp,192 + 80001fbc: 00008067 ret + 80001fc0: 04100413 li s0,65 + 80001fc4: f29ff06f j 80001eec <_ntoa_long+0x78> + 80001fc8: 00000d13 li s10,0 + 80001fcc: 03010493 addi s1,sp,48 + 80001fd0: f7dff06f j 80001f4c <_ntoa_long+0xd8> + +0000000080001fd4 <_ntoa_long_long>: + 80001fd4: f4010113 addi sp,sp,-192 + 80001fd8: 09413823 sd s4,144(sp) + 80001fdc: 09513423 sd s5,136(sp) + 80001fe0: 09613023 sd s6,128(sp) + 80001fe4: 07713c23 sd s7,120(sp) + 80001fe8: 07813823 sd s8,112(sp) + 80001fec: 07913423 sd s9,104(sp) + 80001ff0: 05b13c23 sd s11,88(sp) + 80001ff4: 0a113c23 sd ra,184(sp) + 80001ff8: 0a813823 sd s0,176(sp) + 80001ffc: 0a913423 sd s1,168(sp) + 80002000: 0b213023 sd s2,160(sp) + 80002004: 09313c23 sd s3,152(sp) + 80002008: 07a13023 sd s10,96(sp) + 8000200c: 02a13023 sd a0,32(sp) + 80002010: 03113423 sd a7,40(sp) + 80002014: 0c812a03 lw s4,200(sp) + 80002018: 00070d93 mv s11,a4 + 8000201c: 00058b13 mv s6,a1 + 80002020: 00060b93 mv s7,a2 + 80002024: 00068c13 mv s8,a3 + 80002028: 00078c93 mv s9,a5 + 8000202c: 00080a93 mv s5,a6 + 80002030: 00071863 bnez a4,80002040 <_ntoa_long_long+0x6c> + 80002034: 400a7793 andi a5,s4,1024 + 80002038: fefa7a13 andi s4,s4,-17 + 8000203c: 0e079663 bnez a5,80002128 <_ntoa_long_long+0x154> + 80002040: 020a7793 andi a5,s4,32 + 80002044: 06100413 li s0,97 + 80002048: 0c079c63 bnez a5,80002120 <_ntoa_long_long+0x14c> + 8000204c: 00000d13 li s10,0 + 80002050: 03010493 addi s1,sp,48 + 80002054: 00900913 li s2,9 + 80002058: ff64041b addiw s0,s0,-10 + 8000205c: 02000993 li s3,32 + 80002060: 00c0006f j 8000206c <_ntoa_long_long+0x98> + 80002064: 053d0463 beq s10,s3,800020ac <_ntoa_long_long+0xd8> + 80002068: 00050d93 mv s11,a0 + 8000206c: 000a8593 mv a1,s5 + 80002070: 000d8513 mv a0,s11 + 80002074: 928ff0ef jal ra,8000119c <__umoddi3> + 80002078: 0ff57313 andi t1,a0,255 + 8000207c: 0303071b addiw a4,t1,48 + 80002080: 0064033b addw t1,s0,t1 + 80002084: 0ff37313 andi t1,t1,255 + 80002088: 00a96463 bltu s2,a0,80002090 <_ntoa_long_long+0xbc> + 8000208c: 0ff77313 andi t1,a4,255 + 80002090: 001d0d13 addi s10,s10,1 + 80002094: 01a48733 add a4,s1,s10 + 80002098: 000d8513 mv a0,s11 + 8000209c: 000a8593 mv a1,s5 + 800020a0: fe670fa3 sb t1,-1(a4) + 800020a4: 8b0ff0ef jal ra,80001154 <__udivdi3> + 800020a8: fb5dfee3 bgeu s11,s5,80002064 <_ntoa_long_long+0x90> + 800020ac: 0c012703 lw a4,192(sp) + 800020b0: 02813783 ld a5,40(sp) + 800020b4: 02013503 ld a0,32(sp) + 800020b8: 01413823 sd s4,16(sp) + 800020bc: 00e13423 sd a4,8(sp) + 800020c0: 00f13023 sd a5,0(sp) + 800020c4: 000a889b sext.w a7,s5 + 800020c8: 000c8813 mv a6,s9 + 800020cc: 000d0793 mv a5,s10 + 800020d0: 00048713 mv a4,s1 + 800020d4: 000c0693 mv a3,s8 + 800020d8: 000b8613 mv a2,s7 + 800020dc: 000b0593 mv a1,s6 + 800020e0: 8fdff0ef jal ra,800019dc <_ntoa_format> + 800020e4: 0b813083 ld ra,184(sp) + 800020e8: 0b013403 ld s0,176(sp) + 800020ec: 0a813483 ld s1,168(sp) + 800020f0: 0a013903 ld s2,160(sp) + 800020f4: 09813983 ld s3,152(sp) + 800020f8: 09013a03 ld s4,144(sp) + 800020fc: 08813a83 ld s5,136(sp) + 80002100: 08013b03 ld s6,128(sp) + 80002104: 07813b83 ld s7,120(sp) + 80002108: 07013c03 ld s8,112(sp) + 8000210c: 06813c83 ld s9,104(sp) + 80002110: 06013d03 ld s10,96(sp) + 80002114: 05813d83 ld s11,88(sp) + 80002118: 0c010113 addi sp,sp,192 + 8000211c: 00008067 ret + 80002120: 04100413 li s0,65 + 80002124: f29ff06f j 8000204c <_ntoa_long_long+0x78> + 80002128: 00000d13 li s10,0 + 8000212c: 03010493 addi s1,sp,48 + 80002130: f7dff06f j 800020ac <_ntoa_long_long+0xd8> + +0000000080002134 <_vsnprintf>: + 80002134: f6010113 addi sp,sp,-160 + 80002138: 08913423 sd s1,136(sp) + 8000213c: 09213023 sd s2,128(sp) + 80002140: 07313c23 sd s3,120(sp) + 80002144: 05713c23 sd s7,88(sp) + 80002148: 05813823 sd s8,80(sp) + 8000214c: 08113c23 sd ra,152(sp) + 80002150: 08813823 sd s0,144(sp) + 80002154: 07413823 sd s4,112(sp) + 80002158: 07513423 sd s5,104(sp) + 8000215c: 07613023 sd s6,96(sp) + 80002160: 05913423 sd s9,72(sp) + 80002164: 05a13023 sd s10,64(sp) + 80002168: 03b13c23 sd s11,56(sp) + 8000216c: 00058493 mv s1,a1 + 80002170: 00060c13 mv s8,a2 + 80002174: 00068b93 mv s7,a3 + 80002178: 00070993 mv s3,a4 + 8000217c: 00000917 auipc s2,0x0 + 80002180: 85c90913 addi s2,s2,-1956 # 800019d8 <_out_null> + 80002184: 00058463 beqz a1,8000218c <_vsnprintf+0x58> + 80002188: 00050913 mv s2,a0 + 8000218c: 000bc503 lbu a0,0(s7) + 80002190: 00000d13 li s10,0 + 80002194: 66050663 beqz a0,80002800 <_vsnprintf+0x6cc> + 80002198: 000107b7 lui a5,0x10 + 8000219c: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800021a0: 02500a13 li s4,37 + 800021a4: 00002417 auipc s0,0x2 + 800021a8: 39040413 addi s0,s0,912 # 80004534 + 800021ac: 00f13c23 sd a5,24(sp) + 800021b0: 0200006f j 800021d0 <_vsnprintf+0x9c> + 800021b4: 000d0613 mv a2,s10 + 800021b8: 000c0693 mv a3,s8 + 800021bc: 00048593 mv a1,s1 + 800021c0: 001d0d13 addi s10,s10,1 + 800021c4: 000900e7 jalr s2 + 800021c8: 000bc503 lbu a0,0(s7) + 800021cc: 1c050263 beqz a0,80002390 <_vsnprintf+0x25c> + 800021d0: 001b8b93 addi s7,s7,1 + 800021d4: ff4510e3 bne a0,s4,800021b4 <_vsnprintf+0x80> + 800021d8: 00000593 li a1,0 + 800021dc: 01000813 li a6,16 + 800021e0: 000bc503 lbu a0,0(s7) + 800021e4: 001b8713 addi a4,s7,1 + 800021e8: 00070613 mv a2,a4 + 800021ec: fe05079b addiw a5,a0,-32 + 800021f0: 0ff7f793 andi a5,a5,255 + 800021f4: 00f86c63 bltu a6,a5,8000220c <_vsnprintf+0xd8> + 800021f8: 00279793 slli a5,a5,0x2 + 800021fc: 008787b3 add a5,a5,s0 + 80002200: 0007a783 lw a5,0(a5) + 80002204: 008787b3 add a5,a5,s0 + 80002208: 00078067 jr a5 + 8000220c: fd05079b addiw a5,a0,-48 + 80002210: 0ff7f793 andi a5,a5,255 + 80002214: 00900813 li a6,9 + 80002218: 0ef87c63 bgeu a6,a5,80002310 <_vsnprintf+0x1dc> + 8000221c: 02a00793 li a5,42 + 80002220: 20f50463 beq a0,a5,80002428 <_vsnprintf+0x2f4> + 80002224: 000b8613 mv a2,s7 + 80002228: 00000d93 li s11,0 + 8000222c: 00070b93 mv s7,a4 + 80002230: 02e00793 li a5,46 + 80002234: 00000c93 li s9,0 + 80002238: 12f50463 beq a0,a5,80002360 <_vsnprintf+0x22c> + 8000223c: f985079b addiw a5,a0,-104 + 80002240: 0ff7f793 andi a5,a5,255 + 80002244: 01200713 li a4,18 + 80002248: 08f76463 bltu a4,a5,800022d0 <_vsnprintf+0x19c> + 8000224c: 00002717 auipc a4,0x2 + 80002250: 32c70713 addi a4,a4,812 # 80004578 + 80002254: 00279793 slli a5,a5,0x2 + 80002258: 00e787b3 add a5,a5,a4 + 8000225c: 0007a783 lw a5,0(a5) + 80002260: 00e787b3 add a5,a5,a4 + 80002264: 00078067 jr a5 + 80002268: 0015e593 ori a1,a1,1 + 8000226c: 0005859b sext.w a1,a1 + 80002270: 00070b93 mv s7,a4 + 80002274: f6dff06f j 800021e0 <_vsnprintf+0xac> + 80002278: 0025e593 ori a1,a1,2 + 8000227c: 0005859b sext.w a1,a1 + 80002280: 00070b93 mv s7,a4 + 80002284: f5dff06f j 800021e0 <_vsnprintf+0xac> + 80002288: 0045e593 ori a1,a1,4 + 8000228c: 0005859b sext.w a1,a1 + 80002290: 00070b93 mv s7,a4 + 80002294: f4dff06f j 800021e0 <_vsnprintf+0xac> + 80002298: 0105e593 ori a1,a1,16 + 8000229c: 0005859b sext.w a1,a1 + 800022a0: 00070b93 mv s7,a4 + 800022a4: f3dff06f j 800021e0 <_vsnprintf+0xac> + 800022a8: 0085e593 ori a1,a1,8 + 800022ac: 0005859b sext.w a1,a1 + 800022b0: 00070b93 mv s7,a4 + 800022b4: f2dff06f j 800021e0 <_vsnprintf+0xac> + 800022b8: 00164503 lbu a0,1(a2) + 800022bc: 06800793 li a5,104 + 800022c0: 52f50663 beq a0,a5,800027ec <_vsnprintf+0x6b8> + 800022c4: 0805e593 ori a1,a1,128 + 800022c8: 0005859b sext.w a1,a1 + 800022cc: 001b8b93 addi s7,s7,1 + 800022d0: fdb5079b addiw a5,a0,-37 + 800022d4: 0ff7f793 andi a5,a5,255 + 800022d8: 05300713 li a4,83 + 800022dc: ecf76ce3 bltu a4,a5,800021b4 <_vsnprintf+0x80> + 800022e0: 00002717 auipc a4,0x2 + 800022e4: 2e470713 addi a4,a4,740 # 800045c4 + 800022e8: 00279793 slli a5,a5,0x2 + 800022ec: 00e787b3 add a5,a5,a4 + 800022f0: 0007a783 lw a5,0(a5) + 800022f4: 00e787b3 add a5,a5,a4 + 800022f8: 00078067 jr a5 + 800022fc: 00164503 lbu a0,1(a2) + 80002300: 1005e593 ori a1,a1,256 + 80002304: 0005859b sext.w a1,a1 + 80002308: 001b8b93 addi s7,s7,1 + 8000230c: fc5ff06f j 800022d0 <_vsnprintf+0x19c> + 80002310: 00000d93 li s11,0 + 80002314: 00900813 li a6,9 + 80002318: 0080006f j 80002320 <_vsnprintf+0x1ec> + 8000231c: 00170713 addi a4,a4,1 + 80002320: 002d979b slliw a5,s11,0x2 + 80002324: 01b787bb addw a5,a5,s11 + 80002328: 0017979b slliw a5,a5,0x1 + 8000232c: 00a787bb addw a5,a5,a0 + 80002330: 00074503 lbu a0,0(a4) + 80002334: 000b8893 mv a7,s7 + 80002338: fd078d9b addiw s11,a5,-48 + 8000233c: fd05061b addiw a2,a0,-48 + 80002340: 0ff67613 andi a2,a2,255 + 80002344: 00070b93 mv s7,a4 + 80002348: fcc87ae3 bgeu a6,a2,8000231c <_vsnprintf+0x1e8> + 8000234c: 02e00793 li a5,46 + 80002350: 00070613 mv a2,a4 + 80002354: 00288b93 addi s7,a7,2 + 80002358: 00000c93 li s9,0 + 8000235c: eef510e3 bne a0,a5,8000223c <_vsnprintf+0x108> + 80002360: 00164503 lbu a0,1(a2) + 80002364: 4005e593 ori a1,a1,1024 + 80002368: 00900713 li a4,9 + 8000236c: fd05079b addiw a5,a0,-48 + 80002370: 0ff7f793 andi a5,a5,255 + 80002374: 0005859b sext.w a1,a1 + 80002378: 06f77c63 bgeu a4,a5,800023f0 <_vsnprintf+0x2bc> + 8000237c: 02a00793 li a5,42 + 80002380: 32f50e63 beq a0,a5,800026bc <_vsnprintf+0x588> + 80002384: 000b8613 mv a2,s7 + 80002388: 001b8b93 addi s7,s7,1 + 8000238c: eb1ff06f j 8000223c <_vsnprintf+0x108> + 80002390: 000d041b sext.w s0,s10 + 80002394: 018d6463 bltu s10,s8,8000239c <_vsnprintf+0x268> + 80002398: fffc0d13 addi s10,s8,-1 + 8000239c: 000c0693 mv a3,s8 + 800023a0: 000d0613 mv a2,s10 + 800023a4: 00048593 mv a1,s1 + 800023a8: 00000513 li a0,0 + 800023ac: 000900e7 jalr s2 + 800023b0: 09813083 ld ra,152(sp) + 800023b4: 00040513 mv a0,s0 + 800023b8: 09013403 ld s0,144(sp) + 800023bc: 08813483 ld s1,136(sp) + 800023c0: 08013903 ld s2,128(sp) + 800023c4: 07813983 ld s3,120(sp) + 800023c8: 07013a03 ld s4,112(sp) + 800023cc: 06813a83 ld s5,104(sp) + 800023d0: 06013b03 ld s6,96(sp) + 800023d4: 05813b83 ld s7,88(sp) + 800023d8: 05013c03 ld s8,80(sp) + 800023dc: 04813c83 ld s9,72(sp) + 800023e0: 04013d03 ld s10,64(sp) + 800023e4: 03813d83 ld s11,56(sp) + 800023e8: 0a010113 addi sp,sp,160 + 800023ec: 00008067 ret + 800023f0: 002c979b slliw a5,s9,0x2 + 800023f4: 019788bb addw a7,a5,s9 + 800023f8: 000b8813 mv a6,s7 + 800023fc: 0018989b slliw a7,a7,0x1 + 80002400: 001b8b93 addi s7,s7,1 + 80002404: 00a888bb addw a7,a7,a0 + 80002408: 000bc503 lbu a0,0(s7) + 8000240c: fd088c9b addiw s9,a7,-48 + 80002410: fd05079b addiw a5,a0,-48 + 80002414: 0ff7f793 andi a5,a5,255 + 80002418: fcf77ce3 bgeu a4,a5,800023f0 <_vsnprintf+0x2bc> + 8000241c: 000b8613 mv a2,s7 + 80002420: 00280b93 addi s7,a6,2 + 80002424: e19ff06f j 8000223c <_vsnprintf+0x108> + 80002428: 0009a783 lw a5,0(s3) + 8000242c: 00898993 addi s3,s3,8 + 80002430: 00078d9b sext.w s11,a5 + 80002434: 0007c863 bltz a5,80002444 <_vsnprintf+0x310> + 80002438: 001bc503 lbu a0,1(s7) + 8000243c: 002b8b93 addi s7,s7,2 + 80002440: df1ff06f j 80002230 <_vsnprintf+0xfc> + 80002444: 0025e593 ori a1,a1,2 + 80002448: 001bc503 lbu a0,1(s7) + 8000244c: 0005859b sext.w a1,a1 + 80002450: 40f00dbb negw s11,a5 + 80002454: 002b8b93 addi s7,s7,2 + 80002458: dd9ff06f j 80002230 <_vsnprintf+0xfc> + 8000245c: 07800793 li a5,120 + 80002460: 00898a93 addi s5,s3,8 + 80002464: 56f50063 beq a0,a5,800029c4 <_vsnprintf+0x890> + 80002468: 05800793 li a5,88 + 8000246c: 28f50463 beq a0,a5,800026f4 <_vsnprintf+0x5c0> + 80002470: 06f00793 li a5,111 + 80002474: 4af50c63 beq a0,a5,8000292c <_vsnprintf+0x7f8> + 80002478: 06200793 li a5,98 + 8000247c: 54f50063 beq a0,a5,800029bc <_vsnprintf+0x888> + 80002480: fef5ff13 andi t5,a1,-17 + 80002484: 4005f793 andi a5,a1,1024 + 80002488: 06900713 li a4,105 + 8000248c: 000f0f1b sext.w t5,t5 + 80002490: 0007879b sext.w a5,a5 + 80002494: 5ae51063 bne a0,a4,80002a34 <_vsnprintf+0x900> + 80002498: 48079663 bnez a5,80002924 <_vsnprintf+0x7f0> + 8000249c: 2005f593 andi a1,a1,512 + 800024a0: 0005879b sext.w a5,a1 + 800024a4: 00a00813 li a6,10 + 800024a8: 42079663 bnez a5,800028d4 <_vsnprintf+0x7a0> + 800024ac: 100f7793 andi a5,t5,256 + 800024b0: 000f0613 mv a2,t5 + 800024b4: 4e079063 bnez a5,80002994 <_vsnprintf+0x860> + 800024b8: 040f7713 andi a4,t5,64 + 800024bc: 0009a783 lw a5,0(s3) + 800024c0: 4a071a63 bnez a4,80002974 <_vsnprintf+0x840> + 800024c4: 08067613 andi a2,a2,128 + 800024c8: 52060a63 beqz a2,800029fc <_vsnprintf+0x8c8> + 800024cc: 0107979b slliw a5,a5,0x10 + 800024d0: 4107d79b sraiw a5,a5,0x10 + 800024d4: 40f7d61b sraiw a2,a5,0xf + 800024d8: 00c7c733 xor a4,a5,a2 + 800024dc: 40c7073b subw a4,a4,a2 + 800024e0: 03071713 slli a4,a4,0x30 + 800024e4: 03075713 srli a4,a4,0x30 + 800024e8: 000d0613 mv a2,s10 + 800024ec: 01e13423 sd t5,8(sp) + 800024f0: 01b13023 sd s11,0(sp) + 800024f4: 000c8893 mv a7,s9 + 800024f8: 01f7d79b srliw a5,a5,0x1f + 800024fc: 000c0693 mv a3,s8 + 80002500: 00048593 mv a1,s1 + 80002504: 00090513 mv a0,s2 + 80002508: 96dff0ef jal ra,80001e74 <_ntoa_long> + 8000250c: 00050d13 mv s10,a0 + 80002510: 000a8993 mv s3,s5 + 80002514: cb5ff06f j 800021c8 <_vsnprintf+0x94> + 80002518: 00164503 lbu a0,1(a2) + 8000251c: 06c00793 li a5,108 + 80002520: def510e3 bne a0,a5,80002300 <_vsnprintf+0x1cc> + 80002524: 3005e593 ori a1,a1,768 + 80002528: 00264503 lbu a0,2(a2) + 8000252c: 0005859b sext.w a1,a1 + 80002530: 00360b93 addi s7,a2,3 + 80002534: d9dff06f j 800022d0 <_vsnprintf+0x19c> + 80002538: 00898793 addi a5,s3,8 + 8000253c: 001d0813 addi a6,s10,1 + 80002540: 0025f593 andi a1,a1,2 + 80002544: 02f13023 sd a5,32(sp) + 80002548: 00080b13 mv s6,a6 + 8000254c: 32058263 beqz a1,80002870 <_vsnprintf+0x73c> + 80002550: 0009c503 lbu a0,0(s3) + 80002554: 000c0693 mv a3,s8 + 80002558: 000d0613 mv a2,s10 + 8000255c: 00048593 mv a1,s1 + 80002560: 000900e7 jalr s2 + 80002564: 00100793 li a5,1 + 80002568: 43b7f063 bgeu a5,s11,80002988 <_vsnprintf+0x854> + 8000256c: ffed879b addiw a5,s11,-2 + 80002570: 02079a93 slli s5,a5,0x20 + 80002574: 020ada93 srli s5,s5,0x20 + 80002578: 002d0d13 addi s10,s10,2 + 8000257c: 015d0cb3 add s9,s10,s5 + 80002580: 000b0613 mv a2,s6 + 80002584: 000c0693 mv a3,s8 + 80002588: 001b0b13 addi s6,s6,1 + 8000258c: 00048593 mv a1,s1 + 80002590: 02000513 li a0,32 + 80002594: 000900e7 jalr s2 + 80002598: ff6c94e3 bne s9,s6,80002580 <_vsnprintf+0x44c> + 8000259c: 02013983 ld s3,32(sp) + 800025a0: 015d0d33 add s10,s10,s5 + 800025a4: c25ff06f j 800021c8 <_vsnprintf+0x94> + 800025a8: 000d0613 mv a2,s10 + 800025ac: 000c0693 mv a3,s8 + 800025b0: 00048593 mv a1,s1 + 800025b4: 02500513 li a0,37 + 800025b8: 001d0d13 addi s10,s10,1 + 800025bc: 000900e7 jalr s2 + 800025c0: c09ff06f j 800021c8 <_vsnprintf+0x94> + 800025c4: 0009ba83 ld s5,0(s3) + 800025c8: 00898793 addi a5,s3,8 + 800025cc: 02f13023 sd a5,32(sp) + 800025d0: 000ac503 lbu a0,0(s5) + 800025d4: 100c9663 bnez s9,800026e0 <_vsnprintf+0x5ac> + 800025d8: ffe00793 li a5,-2 + 800025dc: 42050863 beqz a0,80002a0c <_vsnprintf+0x8d8> + 800025e0: 00178693 addi a3,a5,1 + 800025e4: 00da8633 add a2,s5,a3 + 800025e8: 000a8793 mv a5,s5 + 800025ec: 0080006f j 800025f4 <_vsnprintf+0x4c0> + 800025f0: 1ec78863 beq a5,a2,800027e0 <_vsnprintf+0x6ac> + 800025f4: 0017c703 lbu a4,1(a5) + 800025f8: 00178793 addi a5,a5,1 + 800025fc: fe071ae3 bnez a4,800025f0 <_vsnprintf+0x4bc> + 80002600: 415787bb subw a5,a5,s5 + 80002604: 00f13823 sd a5,16(sp) + 80002608: 4005f793 andi a5,a1,1024 + 8000260c: 0007899b sext.w s3,a5 + 80002610: 00078c63 beqz a5,80002628 <_vsnprintf+0x4f4> + 80002614: 01013703 ld a4,16(sp) + 80002618: 000c879b sext.w a5,s9 + 8000261c: 01977463 bgeu a4,s9,80002624 <_vsnprintf+0x4f0> + 80002620: 0007079b sext.w a5,a4 + 80002624: 00f13823 sd a5,16(sp) + 80002628: 0025f593 andi a1,a1,2 + 8000262c: 0005879b sext.w a5,a1 + 80002630: 02f13423 sd a5,40(sp) + 80002634: 1c058a63 beqz a1,80002808 <_vsnprintf+0x6d4> + 80002638: 14050863 beqz a0,80002788 <_vsnprintf+0x654> + 8000263c: 000d0613 mv a2,s10 + 80002640: 00098863 beqz s3,80002650 <_vsnprintf+0x51c> + 80002644: fffc879b addiw a5,s9,-1 + 80002648: 120c8863 beqz s9,80002778 <_vsnprintf+0x644> + 8000264c: 00078c93 mv s9,a5 + 80002650: 000c0693 mv a3,s8 + 80002654: 00048593 mv a1,s1 + 80002658: 00160b13 addi s6,a2,1 + 8000265c: 000900e7 jalr s2 + 80002660: 41ab07b3 sub a5,s6,s10 + 80002664: 00fa87b3 add a5,s5,a5 + 80002668: 0007c503 lbu a0,0(a5) + 8000266c: 10050863 beqz a0,8000277c <_vsnprintf+0x648> + 80002670: 000b0613 mv a2,s6 + 80002674: fcdff06f j 80002640 <_vsnprintf+0x50c> + 80002678: 0009b703 ld a4,0(s3) + 8000267c: 0215e593 ori a1,a1,33 + 80002680: 0005859b sext.w a1,a1 + 80002684: 01000793 li a5,16 + 80002688: 000d0613 mv a2,s10 + 8000268c: 00b13423 sd a1,8(sp) + 80002690: 00f13023 sd a5,0(sp) + 80002694: 000c8893 mv a7,s9 + 80002698: 01000813 li a6,16 + 8000269c: 00000793 li a5,0 + 800026a0: 000c0693 mv a3,s8 + 800026a4: 00048593 mv a1,s1 + 800026a8: 00090513 mv a0,s2 + 800026ac: 929ff0ef jal ra,80001fd4 <_ntoa_long_long> + 800026b0: 00898993 addi s3,s3,8 + 800026b4: 00050d13 mv s10,a0 + 800026b8: b11ff06f j 800021c8 <_vsnprintf+0x94> + 800026bc: 0009a883 lw a7,0(s3) + 800026c0: 00264503 lbu a0,2(a2) + 800026c4: 00360b93 addi s7,a2,3 + 800026c8: fff8c793 not a5,a7 + 800026cc: 43f7d793 srai a5,a5,0x3f + 800026d0: 00f8fcb3 and s9,a7,a5 + 800026d4: 00898993 addi s3,s3,8 + 800026d8: 00260613 addi a2,a2,2 + 800026dc: b61ff06f j 8000223c <_vsnprintf+0x108> + 800026e0: 020c9793 slli a5,s9,0x20 + 800026e4: 0207d793 srli a5,a5,0x20 + 800026e8: 32050263 beqz a0,80002a0c <_vsnprintf+0x8d8> + 800026ec: fff78793 addi a5,a5,-1 + 800026f0: ef1ff06f j 800025e0 <_vsnprintf+0x4ac> + 800026f4: ff35ff13 andi t5,a1,-13 + 800026f8: 000f0f1b sext.w t5,t5 + 800026fc: 4005f793 andi a5,a1,1024 + 80002700: 020f6f13 ori t5,t5,32 + 80002704: 20078863 beqz a5,80002914 <_vsnprintf+0x7e0> + 80002708: 01000813 li a6,16 + 8000270c: ffef7f13 andi t5,t5,-2 + 80002710: 000f0f1b sext.w t5,t5 + 80002714: 200f7793 andi a5,t5,512 + 80002718: 06900713 li a4,105 + 8000271c: 0007879b sext.w a5,a5 + 80002720: d8e504e3 beq a0,a4,800024a8 <_vsnprintf+0x374> + 80002724: 06400713 li a4,100 + 80002728: d8e500e3 beq a0,a4,800024a8 <_vsnprintf+0x374> + 8000272c: 22079663 bnez a5,80002958 <_vsnprintf+0x824> + 80002730: 100f7793 andi a5,t5,256 + 80002734: 000f0713 mv a4,t5 + 80002738: 2a079463 bnez a5,800029e0 <_vsnprintf+0x8ac> + 8000273c: 040f7793 andi a5,t5,64 + 80002740: 24079063 bnez a5,80002980 <_vsnprintf+0x84c> + 80002744: 08077713 andi a4,a4,128 + 80002748: 2c070663 beqz a4,80002a14 <_vsnprintf+0x8e0> + 8000274c: 0009a703 lw a4,0(s3) + 80002750: 01813783 ld a5,24(sp) + 80002754: 00e7f733 and a4,a5,a4 + 80002758: 02071713 slli a4,a4,0x20 + 8000275c: 000d0613 mv a2,s10 + 80002760: 01e13423 sd t5,8(sp) + 80002764: 01b13023 sd s11,0(sp) + 80002768: 000c8893 mv a7,s9 + 8000276c: 00000793 li a5,0 + 80002770: 02075713 srli a4,a4,0x20 + 80002774: d89ff06f j 800024fc <_vsnprintf+0x3c8> + 80002778: 00060b13 mv s6,a2 + 8000277c: 02813783 ld a5,40(sp) + 80002780: 04078a63 beqz a5,800027d4 <_vsnprintf+0x6a0> + 80002784: 000b0d13 mv s10,s6 + 80002788: 01013703 ld a4,16(sp) + 8000278c: 0db77e63 bgeu a4,s11,80002868 <_vsnprintf+0x734> + 80002790: fffd879b addiw a5,s11,-1 + 80002794: 40e7873b subw a4,a5,a4 + 80002798: 02071713 slli a4,a4,0x20 + 8000279c: 02075713 srli a4,a4,0x20 + 800027a0: 001d0813 addi a6,s10,1 + 800027a4: 01070b33 add s6,a4,a6 + 800027a8: 0080006f j 800027b0 <_vsnprintf+0x67c> + 800027ac: 00180813 addi a6,a6,1 + 800027b0: 000d0613 mv a2,s10 + 800027b4: 01013823 sd a6,16(sp) + 800027b8: 000c0693 mv a3,s8 + 800027bc: 00048593 mv a1,s1 + 800027c0: 02000513 li a0,32 + 800027c4: 00080d13 mv s10,a6 + 800027c8: 000900e7 jalr s2 + 800027cc: 01013803 ld a6,16(sp) + 800027d0: fd0b1ee3 bne s6,a6,800027ac <_vsnprintf+0x678> + 800027d4: 02013983 ld s3,32(sp) + 800027d8: 000b0d13 mv s10,s6 + 800027dc: 9edff06f j 800021c8 <_vsnprintf+0x94> + 800027e0: 0006879b sext.w a5,a3 + 800027e4: 00f13823 sd a5,16(sp) + 800027e8: e21ff06f j 80002608 <_vsnprintf+0x4d4> + 800027ec: 0c05e593 ori a1,a1,192 + 800027f0: 00264503 lbu a0,2(a2) + 800027f4: 0005859b sext.w a1,a1 + 800027f8: 00360b93 addi s7,a2,3 + 800027fc: ad5ff06f j 800022d0 <_vsnprintf+0x19c> + 80002800: 00000413 li s0,0 + 80002804: b91ff06f j 80002394 <_vsnprintf+0x260> + 80002808: 01013703 ld a4,16(sp) + 8000280c: 0017079b addiw a5,a4,1 + 80002810: 21b77c63 bgeu a4,s11,80002a28 <_vsnprintf+0x8f4> + 80002814: fffd879b addiw a5,s11,-1 + 80002818: 40e787bb subw a5,a5,a4 + 8000281c: 02079793 slli a5,a5,0x20 + 80002820: 0207d793 srli a5,a5,0x20 + 80002824: 001d0813 addi a6,s10,1 + 80002828: 01078b33 add s6,a5,a6 + 8000282c: 00c0006f j 80002838 <_vsnprintf+0x704> + 80002830: 01013803 ld a6,16(sp) + 80002834: 00180813 addi a6,a6,1 + 80002838: 000d0613 mv a2,s10 + 8000283c: 000c0693 mv a3,s8 + 80002840: 00080d13 mv s10,a6 + 80002844: 01013823 sd a6,16(sp) + 80002848: 00048593 mv a1,s1 + 8000284c: 02000513 li a0,32 + 80002850: 000900e7 jalr s2 + 80002854: fd6d1ee3 bne s10,s6,80002830 <_vsnprintf+0x6fc> + 80002858: 000ac503 lbu a0,0(s5) + 8000285c: 001d879b addiw a5,s11,1 + 80002860: 00f13823 sd a5,16(sp) + 80002864: dc051ce3 bnez a0,8000263c <_vsnprintf+0x508> + 80002868: 000d0b13 mv s6,s10 + 8000286c: f69ff06f j 800027d4 <_vsnprintf+0x6a0> + 80002870: 00100793 li a5,1 + 80002874: 1bb7f463 bgeu a5,s11,80002a1c <_vsnprintf+0x8e8> + 80002878: ffed879b addiw a5,s11,-2 + 8000287c: 02079a93 slli s5,a5,0x20 + 80002880: 020ada93 srli s5,s5,0x20 + 80002884: 010a8ab3 add s5,s5,a6 + 80002888: 00c0006f j 80002894 <_vsnprintf+0x760> + 8000288c: 01013803 ld a6,16(sp) + 80002890: 00180813 addi a6,a6,1 + 80002894: 000d0613 mv a2,s10 + 80002898: 000c0693 mv a3,s8 + 8000289c: 00080d13 mv s10,a6 + 800028a0: 01013823 sd a6,16(sp) + 800028a4: 00048593 mv a1,s1 + 800028a8: 02000513 li a0,32 + 800028ac: 000900e7 jalr s2 + 800028b0: fdaa9ee3 bne s5,s10,8000288c <_vsnprintf+0x758> + 800028b4: 001a8d13 addi s10,s5,1 + 800028b8: 0009c503 lbu a0,0(s3) + 800028bc: 000c0693 mv a3,s8 + 800028c0: 000a8613 mv a2,s5 + 800028c4: 00048593 mv a1,s1 + 800028c8: 000900e7 jalr s2 + 800028cc: 02013983 ld s3,32(sp) + 800028d0: 8f9ff06f j 800021c8 <_vsnprintf+0x94> + 800028d4: 0009b783 ld a5,0(s3) + 800028d8: 000d0613 mv a2,s10 + 800028dc: 01e13423 sd t5,8(sp) + 800028e0: 43f7d713 srai a4,a5,0x3f + 800028e4: 00f745b3 xor a1,a4,a5 + 800028e8: 01b13023 sd s11,0(sp) + 800028ec: 000c8893 mv a7,s9 + 800028f0: 03f7d793 srli a5,a5,0x3f + 800028f4: 40e58733 sub a4,a1,a4 + 800028f8: 000c0693 mv a3,s8 + 800028fc: 00048593 mv a1,s1 + 80002900: 00090513 mv a0,s2 + 80002904: ed0ff0ef jal ra,80001fd4 <_ntoa_long_long> + 80002908: 00050d13 mv s10,a0 + 8000290c: 000a8993 mv s3,s5 + 80002910: 8b9ff06f j 800021c8 <_vsnprintf+0x94> + 80002914: 2005f793 andi a5,a1,512 + 80002918: 0007879b sext.w a5,a5 + 8000291c: 01000813 li a6,16 + 80002920: e0dff06f j 8000272c <_vsnprintf+0x5f8> + 80002924: 00a00813 li a6,10 + 80002928: de5ff06f j 8000270c <_vsnprintf+0x5d8> + 8000292c: 00800813 li a6,8 + 80002930: 00058f13 mv t5,a1 + 80002934: 400f7713 andi a4,t5,1024 + 80002938: 06400613 li a2,100 + 8000293c: 000f0793 mv a5,t5 + 80002940: 0007071b sext.w a4,a4 + 80002944: 0ec51c63 bne a0,a2,80002a3c <_vsnprintf+0x908> + 80002948: dc0712e3 bnez a4,8000270c <_vsnprintf+0x5d8> + 8000294c: 2007f793 andi a5,a5,512 + 80002950: 0007879b sext.w a5,a5 + 80002954: b55ff06f j 800024a8 <_vsnprintf+0x374> + 80002958: 0009b703 ld a4,0(s3) + 8000295c: 000d0613 mv a2,s10 + 80002960: 01e13423 sd t5,8(sp) + 80002964: 01b13023 sd s11,0(sp) + 80002968: 000c8893 mv a7,s9 + 8000296c: 00000793 li a5,0 + 80002970: f89ff06f j 800028f8 <_vsnprintf+0x7c4> + 80002974: 0ff7f793 andi a5,a5,255 + 80002978: 00078713 mv a4,a5 + 8000297c: b6dff06f j 800024e8 <_vsnprintf+0x3b4> + 80002980: 0009c703 lbu a4,0(s3) + 80002984: dd5ff06f j 80002758 <_vsnprintf+0x624> + 80002988: 02013983 ld s3,32(sp) + 8000298c: 000b0d13 mv s10,s6 + 80002990: 839ff06f j 800021c8 <_vsnprintf+0x94> + 80002994: 0009b783 ld a5,0(s3) + 80002998: 000d0613 mv a2,s10 + 8000299c: 01e13423 sd t5,8(sp) + 800029a0: 43f7d713 srai a4,a5,0x3f + 800029a4: 00f745b3 xor a1,a4,a5 + 800029a8: 01b13023 sd s11,0(sp) + 800029ac: 000c8893 mv a7,s9 + 800029b0: 03f7d793 srli a5,a5,0x3f + 800029b4: 40e58733 sub a4,a1,a4 + 800029b8: b45ff06f j 800024fc <_vsnprintf+0x3c8> + 800029bc: 00200813 li a6,2 + 800029c0: f71ff06f j 80002930 <_vsnprintf+0x7fc> + 800029c4: 4005f713 andi a4,a1,1024 + 800029c8: 0007071b sext.w a4,a4 + 800029cc: 01000813 li a6,16 + 800029d0: ff35f593 andi a1,a1,-13 + 800029d4: 00058f1b sext.w t5,a1 + 800029d8: d2071ae3 bnez a4,8000270c <_vsnprintf+0x5d8> + 800029dc: d39ff06f j 80002714 <_vsnprintf+0x5e0> + 800029e0: 0009b703 ld a4,0(s3) + 800029e4: 000d0613 mv a2,s10 + 800029e8: 01e13423 sd t5,8(sp) + 800029ec: 01b13023 sd s11,0(sp) + 800029f0: 000c8893 mv a7,s9 + 800029f4: 00000793 li a5,0 + 800029f8: b05ff06f j 800024fc <_vsnprintf+0x3c8> + 800029fc: 41f7d61b sraiw a2,a5,0x1f + 80002a00: 00c7c733 xor a4,a5,a2 + 80002a04: 40c7073b subw a4,a4,a2 + 80002a08: ae1ff06f j 800024e8 <_vsnprintf+0x3b4> + 80002a0c: 00013823 sd zero,16(sp) + 80002a10: bf9ff06f j 80002608 <_vsnprintf+0x4d4> + 80002a14: 0009a703 lw a4,0(s3) + 80002a18: d41ff06f j 80002758 <_vsnprintf+0x624> + 80002a1c: 000d0a93 mv s5,s10 + 80002a20: 00080d13 mv s10,a6 + 80002a24: e95ff06f j 800028b8 <_vsnprintf+0x784> + 80002a28: 00f13823 sd a5,16(sp) + 80002a2c: c00518e3 bnez a0,8000263c <_vsnprintf+0x508> + 80002a30: e39ff06f j 80002868 <_vsnprintf+0x734> + 80002a34: 00a00813 li a6,10 + 80002a38: efdff06f j 80002934 <_vsnprintf+0x800> + 80002a3c: 000f0593 mv a1,t5 + 80002a40: f91ff06f j 800029d0 <_vsnprintf+0x89c> + +0000000080002a44 <_out_char>: + 80002a44: 00051463 bnez a0,80002a4c <_out_char+0x8> + 80002a48: 00008067 ret + 80002a4c: e48fe06f j 80001094 + +0000000080002a50 : + 80002a50: fa010113 addi sp,sp,-96 + 80002a54: 02810313 addi t1,sp,40 + 80002a58: 02b13423 sd a1,40(sp) + 80002a5c: 02c13823 sd a2,48(sp) + 80002a60: 02d13c23 sd a3,56(sp) + 80002a64: 04e13023 sd a4,64(sp) + 80002a68: 00050693 mv a3,a0 + 80002a6c: 00010593 mv a1,sp + 80002a70: 00030713 mv a4,t1 + 80002a74: fff00613 li a2,-1 + 80002a78: 00000517 auipc a0,0x0 + 80002a7c: fcc50513 addi a0,a0,-52 # 80002a44 <_out_char> + 80002a80: 00113c23 sd ra,24(sp) + 80002a84: 04f13423 sd a5,72(sp) + 80002a88: 05013823 sd a6,80(sp) + 80002a8c: 05113c23 sd a7,88(sp) + 80002a90: 00613423 sd t1,8(sp) + 80002a94: ea0ff0ef jal ra,80002134 <_vsnprintf> + 80002a98: 01813083 ld ra,24(sp) + 80002a9c: 06010113 addi sp,sp,96 + 80002aa0: 00008067 ret + +0000000080002aa4 : + 80002aa4: 00300793 li a5,3 + 80002aa8: 06c7f863 bgeu a5,a2,80002b18 + 80002aac: 00054783 lbu a5,0(a0) + 80002ab0: ffc67693 andi a3,a2,-4 + 80002ab4: 0005c703 lbu a4,0(a1) + 80002ab8: 00d506b3 add a3,a0,a3 + 80002abc: 04078863 beqz a5,80002b0c + 80002ac0: 0ae79463 bne a5,a4,80002b68 + 80002ac4: 00154783 lbu a5,1(a0) + 80002ac8: 0015c703 lbu a4,1(a1) + 80002acc: 04078063 beqz a5,80002b0c + 80002ad0: 08e79c63 bne a5,a4,80002b68 + 80002ad4: 00254783 lbu a5,2(a0) + 80002ad8: 00450513 addi a0,a0,4 + 80002adc: 00458593 addi a1,a1,4 + 80002ae0: ffe5c703 lbu a4,-2(a1) + 80002ae4: 02078463 beqz a5,80002b0c + 80002ae8: 08e79063 bne a5,a4,80002b68 + 80002aec: fff54703 lbu a4,-1(a0) + 80002af0: fff5c783 lbu a5,-1(a1) + 80002af4: 04070a63 beqz a4,80002b48 + 80002af8: 04f71e63 bne a4,a5,80002b54 + 80002afc: 06d50c63 beq a0,a3,80002b74 + 80002b00: 00054783 lbu a5,0(a0) + 80002b04: 0005c703 lbu a4,0(a1) + 80002b08: fa079ce3 bnez a5,80002ac0 + 80002b0c: 00000793 li a5,0 + 80002b10: 40e7853b subw a0,a5,a4 + 80002b14: 00008067 ret + 80002b18: 00000793 li a5,0 + 80002b1c: 00000713 li a4,0 + 80002b20: 04060063 beqz a2,80002b60 + 80002b24: 00c50633 add a2,a0,a2 + 80002b28: 00c0006f j 80002b34 + 80002b2c: 02f71463 bne a4,a5,80002b54 + 80002b30: 02a60863 beq a2,a0,80002b60 + 80002b34: 00054703 lbu a4,0(a0) + 80002b38: 00158593 addi a1,a1,1 + 80002b3c: 00150513 addi a0,a0,1 + 80002b40: fff5c783 lbu a5,-1(a1) + 80002b44: fe0714e3 bnez a4,80002b2c + 80002b48: 00000513 li a0,0 + 80002b4c: 40f5053b subw a0,a0,a5 + 80002b50: 00008067 ret + 80002b54: 0007051b sext.w a0,a4 + 80002b58: 40f5053b subw a0,a0,a5 + 80002b5c: 00008067 ret + 80002b60: 40f7053b subw a0,a4,a5 + 80002b64: 00008067 ret + 80002b68: 0007879b sext.w a5,a5 + 80002b6c: 40e7853b subw a0,a5,a4 + 80002b70: 00008067 ret + 80002b74: 00367613 andi a2,a2,3 + 80002b78: fa9ff06f j 80002b20 + +0000000080002b7c : + 80002b7c: 00700713 li a4,7 + 80002b80: 00050793 mv a5,a0 + 80002b84: 0ac77a63 bgeu a4,a2,80002c38 + 80002b88: 0ff5f893 andi a7,a1,255 + 80002b8c: 00889693 slli a3,a7,0x8 + 80002b90: 0116e6b3 or a3,a3,a7 + 80002b94: 01069713 slli a4,a3,0x10 + 80002b98: 00d766b3 or a3,a4,a3 + 80002b9c: 02069713 slli a4,a3,0x20 + 80002ba0: 00757813 andi a6,a0,7 + 80002ba4: 00d76733 or a4,a4,a3 + 80002ba8: 0a080663 beqz a6,80002c54 + 80002bac: 00c50633 add a2,a0,a2 + 80002bb0: 01178023 sb a7,0(a5) + 80002bb4: 00178793 addi a5,a5,1 + 80002bb8: 0077f813 andi a6,a5,7 + 80002bbc: 40f606b3 sub a3,a2,a5 + 80002bc0: fe0818e3 bnez a6,80002bb0 + 80002bc4: 0066d813 srli a6,a3,0x6 + 80002bc8: 04080063 beqz a6,80002c08 + 80002bcc: 00681613 slli a2,a6,0x6 + 80002bd0: 00078893 mv a7,a5 + 80002bd4: 00f60633 add a2,a2,a5 + 80002bd8: 00e7b023 sd a4,0(a5) + 80002bdc: 00e7b423 sd a4,8(a5) + 80002be0: 00e7b823 sd a4,16(a5) + 80002be4: 00e7bc23 sd a4,24(a5) + 80002be8: 02e7b023 sd a4,32(a5) + 80002bec: 02e7b423 sd a4,40(a5) + 80002bf0: 02e7b823 sd a4,48(a5) + 80002bf4: 02e7bc23 sd a4,56(a5) + 80002bf8: 04078793 addi a5,a5,64 + 80002bfc: fcf61ee3 bne a2,a5,80002bd8 + 80002c00: 00681793 slli a5,a6,0x6 + 80002c04: 011787b3 add a5,a5,a7 + 80002c08: 0036d813 srli a6,a3,0x3 + 80002c0c: 00787813 andi a6,a6,7 + 80002c10: 02080263 beqz a6,80002c34 + 80002c14: 00381613 slli a2,a6,0x3 + 80002c18: 00078893 mv a7,a5 + 80002c1c: 00f60633 add a2,a2,a5 + 80002c20: 00e7b023 sd a4,0(a5) + 80002c24: 00878793 addi a5,a5,8 + 80002c28: fef61ce3 bne a2,a5,80002c20 + 80002c2c: 00381793 slli a5,a6,0x3 + 80002c30: 011787b3 add a5,a5,a7 + 80002c34: 0076f613 andi a2,a3,7 + 80002c38: 0ff5f593 andi a1,a1,255 + 80002c3c: 00c78733 add a4,a5,a2 + 80002c40: 00060863 beqz a2,80002c50 + 80002c44: 00b78023 sb a1,0(a5) + 80002c48: 00178793 addi a5,a5,1 + 80002c4c: fef71ce3 bne a4,a5,80002c44 + 80002c50: 00008067 ret + 80002c54: 00060693 mv a3,a2 + 80002c58: f6dff06f j 80002bc4 + +0000000080002c5c : + 80002c5c: fd010113 addi sp,sp,-48 + 80002c60: 02813023 sd s0,32(sp) + 80002c64: 01213823 sd s2,16(sp) + 80002c68: 02113423 sd ra,40(sp) + 80002c6c: 00913c23 sd s1,24(sp) + 80002c70: 01313423 sd s3,8(sp) + 80002c74: 00f00793 li a5,15 + 80002c78: 00050913 mv s2,a0 + 80002c7c: 00050713 mv a4,a0 + 80002c80: 00058413 mv s0,a1 + 80002c84: 04c7fe63 bgeu a5,a2,80002ce0 + 80002c88: 40a00533 neg a0,a0 + 80002c8c: 00757513 andi a0,a0,7 + 80002c90: 40a604b3 sub s1,a2,a0 + 80002c94: 08050e63 beqz a0,80002d30 + 80002c98: 012509b3 add s3,a0,s2 + 80002c9c: 00058793 mv a5,a1 + 80002ca0: 0007c683 lbu a3,0(a5) + 80002ca4: 00170713 addi a4,a4,1 + 80002ca8: 00178793 addi a5,a5,1 + 80002cac: fed70fa3 sb a3,-1(a4) + 80002cb0: fee998e3 bne s3,a4,80002ca0 + 80002cb4: 00a58433 add s0,a1,a0 + 80002cb8: 00747793 andi a5,s0,7 + 80002cbc: 0034d613 srli a2,s1,0x3 + 80002cc0: 00040593 mv a1,s0 + 80002cc4: 00098513 mv a0,s3 + 80002cc8: 06079063 bnez a5,80002d28 + 80002ccc: 240000ef jal ra,80002f0c <_wordcopy_fwd_aligned> + 80002cd0: ff84f713 andi a4,s1,-8 + 80002cd4: 00e40433 add s0,s0,a4 + 80002cd8: 0074f613 andi a2,s1,7 + 80002cdc: 01370733 add a4,a4,s3 + 80002ce0: fff70713 addi a4,a4,-1 + 80002ce4: 00040793 mv a5,s0 + 80002ce8: 008605b3 add a1,a2,s0 + 80002cec: 40870733 sub a4,a4,s0 + 80002cf0: 00060c63 beqz a2,80002d08 + 80002cf4: 0007c603 lbu a2,0(a5) + 80002cf8: 00178793 addi a5,a5,1 + 80002cfc: 00f706b3 add a3,a4,a5 + 80002d00: 00c68023 sb a2,0(a3) + 80002d04: fef598e3 bne a1,a5,80002cf4 + 80002d08: 02813083 ld ra,40(sp) + 80002d0c: 02013403 ld s0,32(sp) + 80002d10: 01813483 ld s1,24(sp) + 80002d14: 00813983 ld s3,8(sp) + 80002d18: 00090513 mv a0,s2 + 80002d1c: 01013903 ld s2,16(sp) + 80002d20: 03010113 addi sp,sp,48 + 80002d24: 00008067 ret + 80002d28: 32c000ef jal ra,80003054 <_wordcopy_fwd_dest_aligned> + 80002d2c: fa5ff06f j 80002cd0 + 80002d30: 00090993 mv s3,s2 + 80002d34: f85ff06f j 80002cb8 + +0000000080002d38 : + 80002d38: 1a050e63 beqz a0,80002ef4 + 80002d3c: fd010113 addi sp,sp,-48 + 80002d40: 02813023 sd s0,32(sp) + 80002d44: 00913c23 sd s1,24(sp) + 80002d48: 01f57793 andi a5,a0,31 + 80002d4c: 02113423 sd ra,40(sp) + 80002d50: 01213823 sd s2,16(sp) + 80002d54: 01313423 sd s3,8(sp) + 80002d58: 01413023 sd s4,0(sp) + 80002d5c: 00050493 mv s1,a0 + 80002d60: 00050413 mv s0,a0 + 80002d64: 00000513 li a0,0 + 80002d68: 14079a63 bnez a5,80002ebc + 80002d6c: 27f00713 li a4,639 + 80002d70: 00058913 mv s2,a1 + 80002d74: 00078513 mv a0,a5 + 80002d78: 14b77263 bgeu a4,a1,80002ebc + 80002d7c: 0074f513 andi a0,s1,7 + 80002d80: 00153513 seqz a0,a0 + 80002d84: 00060a13 mv s4,a2 + 80002d88: 00068993 mv s3,a3 + 80002d8c: b20fe0ef jal ra,800010ac <_assert> + 80002d90: 20048793 addi a5,s1,512 + 80002d94: 2004b023 sd zero,512(s1) + 80002d98: 2144b423 sd s4,520(s1) + 80002d9c: 2134b823 sd s3,528(s1) + 80002da0: 00043023 sd zero,0(s0) + 80002da4: 00840413 addi s0,s0,8 + 80002da8: fe879ce3 bne a5,s0,80002da0 + 80002dac: fff00793 li a5,-1 + 80002db0: dc090413 addi s0,s2,-576 + 80002db4: 03f79793 slli a5,a5,0x3f + 80002db8: 1287f863 bgeu a5,s0,80002ee8 + 80002dbc: 00078413 mv s0,a5 + 80002dc0: 00100513 li a0,1 + 80002dc4: ae8fe0ef jal ra,800010ac <_assert> + 80002dc8: f8300793 li a5,-125 + 80002dcc: 0017d793 srli a5,a5,0x1 + 80002dd0: fc040513 addi a0,s0,-64 + 80002dd4: 00f53533 sltu a0,a0,a5 + 80002dd8: ad4fe0ef jal ra,800010ac <_assert> + 80002ddc: 01f4f513 andi a0,s1,31 + 80002de0: 00153513 seqz a0,a0 + 80002de4: ac8fe0ef jal ra,800010ac <_assert> + 80002de8: 00100513 li a0,1 + 80002dec: 2404b023 sd zero,576(s1) + 80002df0: 2404b423 sd zero,584(s1) + 80002df4: 2484b823 sd s0,592(s1) + 80002df8: 24048c23 sb zero,600(s1) + 80002dfc: 2604b023 sd zero,608(s1) + 80002e00: 2604b423 sd zero,616(s1) + 80002e04: aa8fe0ef jal ra,800010ac <_assert> + 80002e08: 00100513 li a0,1 + 80002e0c: aa0fe0ef jal ra,800010ac <_assert> + 80002e10: 2504b503 ld a0,592(s1) + 80002e14: 24048a13 addi s4,s1,576 + 80002e18: 04053513 sltiu a0,a0,64 + 80002e1c: 00154513 xori a0,a0,1 + 80002e20: 00157513 andi a0,a0,1 + 80002e24: a88fe0ef jal ra,800010ac <_assert> + 80002e28: 2504b503 ld a0,592(s1) + 80002e2c: 03f57513 andi a0,a0,63 + 80002e30: 00153513 seqz a0,a0 + 80002e34: a78fe0ef jal ra,800010ac <_assert> + 80002e38: 2504b703 ld a4,592(s1) + 80002e3c: 00100793 li a5,1 + 80002e40: 00675713 srli a4,a4,0x6 + 80002e44: 0ae7fc63 bgeu a5,a4,80002efc + 80002e48: 00000793 li a5,0 + 80002e4c: 00100693 li a3,1 + 80002e50: 0017879b addiw a5,a5,1 + 80002e54: 00175713 srli a4,a4,0x1 + 80002e58: 0ff7f793 andi a5,a5,255 + 80002e5c: fed71ae3 bne a4,a3,80002e50 + 80002e60: 00078993 mv s3,a5 + 80002e64: 0407b513 sltiu a0,a5,64 + 80002e68: 00f71933 sll s2,a4,a5 + 80002e6c: a40fe0ef jal ra,800010ac <_assert> + 80002e70: 00399793 slli a5,s3,0x3 + 80002e74: 00f487b3 add a5,s1,a5 + 80002e78: 0007b703 ld a4,0(a5) + 80002e7c: 2604b423 sd zero,616(s1) + 80002e80: 26e4b023 sd a4,608(s1) + 80002e84: 00070463 beqz a4,80002e8c + 80002e88: 03473423 sd s4,40(a4) + 80002e8c: 0147b023 sd s4,0(a5) + 80002e90: 2004b503 ld a0,512(s1) + 80002e94: 00a96533 or a0,s2,a0 + 80002e98: 20a4b023 sd a0,512(s1) + 80002e9c: 00a03533 snez a0,a0 + 80002ea0: a0cfe0ef jal ra,800010ac <_assert> + 80002ea4: 00048513 mv a0,s1 + 80002ea8: 2084bc23 sd s0,536(s1) + 80002eac: 2204b023 sd zero,544(s1) + 80002eb0: 2204b423 sd zero,552(s1) + 80002eb4: 2204b823 sd zero,560(s1) + 80002eb8: 2204bc23 sd zero,568(s1) + 80002ebc: 02813083 ld ra,40(sp) + 80002ec0: 02013403 ld s0,32(sp) + 80002ec4: 01813483 ld s1,24(sp) + 80002ec8: 01013903 ld s2,16(sp) + 80002ecc: 00813983 ld s3,8(sp) + 80002ed0: 00013a03 ld s4,0(sp) + 80002ed4: 03010113 addi sp,sp,48 + 80002ed8: 00008067 ret + 80002edc: 00100513 li a0,1 + 80002ee0: fff40413 addi s0,s0,-1 + 80002ee4: 9c8fe0ef jal ra,800010ac <_assert> + 80002ee8: 03f47793 andi a5,s0,63 + 80002eec: fe0798e3 bnez a5,80002edc + 80002ef0: ed1ff06f j 80002dc0 + 80002ef4: 00000513 li a0,0 + 80002ef8: 00008067 ret + 80002efc: 00100913 li s2,1 + 80002f00: 00000993 li s3,0 + 80002f04: 00100513 li a0,1 + 80002f08: f65ff06f j 80002e6c + +0000000080002f0c <_wordcopy_fwd_aligned>: + 80002f0c: 00767793 andi a5,a2,7 + 80002f10: 00002717 auipc a4,0x2 + 80002f14: 80470713 addi a4,a4,-2044 # 80004714 + 80002f18: 00279793 slli a5,a5,0x2 + 80002f1c: 00e787b3 add a5,a5,a4 + 80002f20: 0007a783 lw a5,0(a5) + 80002f24: 00e787b3 add a5,a5,a4 + 80002f28: 00078067 jr a5 + 80002f2c: 0005b703 ld a4,0(a1) + 80002f30: ff050793 addi a5,a0,-16 + 80002f34: ff858593 addi a1,a1,-8 + 80002f38: 00160613 addi a2,a2,1 + 80002f3c: 0105b803 ld a6,16(a1) + 80002f40: 01878693 addi a3,a5,24 + 80002f44: 00e53023 sd a4,0(a0) + 80002f48: 0185b703 ld a4,24(a1) + 80002f4c: 02078513 addi a0,a5,32 + 80002f50: 0106b023 sd a6,0(a3) + 80002f54: 0205b803 ld a6,32(a1) + 80002f58: 02878693 addi a3,a5,40 + 80002f5c: 00e53023 sd a4,0(a0) + 80002f60: 0285b703 ld a4,40(a1) + 80002f64: 03078513 addi a0,a5,48 + 80002f68: 0106b023 sd a6,0(a3) + 80002f6c: 0305b803 ld a6,48(a1) + 80002f70: 03878693 addi a3,a5,56 + 80002f74: 00e53023 sd a4,0(a0) + 80002f78: 0385b703 ld a4,56(a1) + 80002f7c: 04078793 addi a5,a5,64 + 80002f80: 0106b023 sd a6,0(a3) + 80002f84: ff860613 addi a2,a2,-8 + 80002f88: 00078513 mv a0,a5 + 80002f8c: 04058593 addi a1,a1,64 + 80002f90: 02060063 beqz a2,80002fb0 <_wordcopy_fwd_aligned+0xa4> + 80002f94: 0005b803 ld a6,0(a1) + 80002f98: 00878693 addi a3,a5,8 + 80002f9c: 00e53023 sd a4,0(a0) + 80002fa0: 0085b703 ld a4,8(a1) + 80002fa4: 01078513 addi a0,a5,16 + 80002fa8: 0106b023 sd a6,0(a3) + 80002fac: f91ff06f j 80002f3c <_wordcopy_fwd_aligned+0x30> + 80002fb0: 00e53023 sd a4,0(a0) + 80002fb4: 00008067 ret + 80002fb8: fff60613 addi a2,a2,-1 + 80002fbc: 0005b703 ld a4,0(a1) + 80002fc0: fe0608e3 beqz a2,80002fb0 <_wordcopy_fwd_aligned+0xa4> + 80002fc4: 00858593 addi a1,a1,8 + 80002fc8: 00050793 mv a5,a0 + 80002fcc: fc9ff06f j 80002f94 <_wordcopy_fwd_aligned+0x88> + 80002fd0: 0005b803 ld a6,0(a1) + 80002fd4: 00050693 mv a3,a0 + 80002fd8: fd058593 addi a1,a1,-48 + 80002fdc: fc850793 addi a5,a0,-56 + 80002fe0: 00660613 addi a2,a2,6 + 80002fe4: f95ff06f j 80002f78 <_wordcopy_fwd_aligned+0x6c> + 80002fe8: 0005b703 ld a4,0(a1) + 80002fec: fd050793 addi a5,a0,-48 + 80002ff0: fd858593 addi a1,a1,-40 + 80002ff4: 00560613 addi a2,a2,5 + 80002ff8: f75ff06f j 80002f6c <_wordcopy_fwd_aligned+0x60> + 80002ffc: 0005b803 ld a6,0(a1) + 80003000: 00050693 mv a3,a0 + 80003004: fe058593 addi a1,a1,-32 + 80003008: fd850793 addi a5,a0,-40 + 8000300c: 00460613 addi a2,a2,4 + 80003010: f51ff06f j 80002f60 <_wordcopy_fwd_aligned+0x54> + 80003014: 0005b703 ld a4,0(a1) + 80003018: fe050793 addi a5,a0,-32 + 8000301c: fe858593 addi a1,a1,-24 + 80003020: 00360613 addi a2,a2,3 + 80003024: f31ff06f j 80002f54 <_wordcopy_fwd_aligned+0x48> + 80003028: 0005b803 ld a6,0(a1) + 8000302c: 00050693 mv a3,a0 + 80003030: ff058593 addi a1,a1,-16 + 80003034: fe850793 addi a5,a0,-24 + 80003038: 00260613 addi a2,a2,2 + 8000303c: f0dff06f j 80002f48 <_wordcopy_fwd_aligned+0x3c> + 80003040: f6060ae3 beqz a2,80002fb4 <_wordcopy_fwd_aligned+0xa8> + 80003044: 0005b803 ld a6,0(a1) + 80003048: 00050693 mv a3,a0 + 8000304c: ff850793 addi a5,a0,-8 + 80003050: f51ff06f j 80002fa0 <_wordcopy_fwd_aligned+0x94> + +0000000080003054 <_wordcopy_fwd_dest_aligned>: + 80003054: 0075f713 andi a4,a1,7 + 80003058: 0037179b slliw a5,a4,0x3 + 8000305c: 00371313 slli t1,a4,0x3 + 80003060: 00367693 andi a3,a2,3 + 80003064: 04000713 li a4,64 + 80003068: 00200813 li a6,2 + 8000306c: 40f707bb subw a5,a4,a5 + 80003070: ff85f593 andi a1,a1,-8 + 80003074: 0d068e63 beq a3,a6,80003150 <_wordcopy_fwd_dest_aligned+0xfc> + 80003078: 00300713 li a4,3 + 8000307c: 02e68c63 beq a3,a4,800030b4 <_wordcopy_fwd_dest_aligned+0x60> + 80003080: 00100713 li a4,1 + 80003084: 00e68663 beq a3,a4,80003090 <_wordcopy_fwd_dest_aligned+0x3c> + 80003088: 0e061863 bnez a2,80003178 <_wordcopy_fwd_dest_aligned+0x124> + 8000308c: 00008067 ret + 80003090: fff60613 addi a2,a2,-1 + 80003094: 0005b703 ld a4,0(a1) + 80003098: 0085b803 ld a6,8(a1) + 8000309c: 0c061863 bnez a2,8000316c <_wordcopy_fwd_dest_aligned+0x118> + 800030a0: 00675733 srl a4,a4,t1 + 800030a4: 00f817b3 sll a5,a6,a5 + 800030a8: 00f76733 or a4,a4,a5 + 800030ac: 00e53023 sd a4,0(a0) + 800030b0: 00008067 ret + 800030b4: 0005b703 ld a4,0(a1) + 800030b8: 0085b683 ld a3,8(a1) + 800030bc: 00058893 mv a7,a1 + 800030c0: 00160613 addi a2,a2,1 + 800030c4: ff050593 addi a1,a0,-16 + 800030c8: 0340006f j 800030fc <_wordcopy_fwd_dest_aligned+0xa8> + 800030cc: 006756b3 srl a3,a4,t1 + 800030d0: 00f81733 sll a4,a6,a5 + 800030d4: 00e6e6b3 or a3,a3,a4 + 800030d8: 00858e13 addi t3,a1,8 + 800030dc: 0008b703 ld a4,0(a7) + 800030e0: 00d53023 sd a3,0(a0) + 800030e4: 00f716b3 sll a3,a4,a5 + 800030e8: 00685833 srl a6,a6,t1 + 800030ec: 00d86833 or a6,a6,a3 + 800030f0: 01058513 addi a0,a1,16 + 800030f4: 0088b683 ld a3,8(a7) + 800030f8: 010e3023 sd a6,0(t3) + 800030fc: 00675733 srl a4,a4,t1 + 80003100: 00f69833 sll a6,a3,a5 + 80003104: 01076833 or a6,a4,a6 + 80003108: 01858e13 addi t3,a1,24 + 8000310c: 0108b703 ld a4,16(a7) + 80003110: 01053023 sd a6,0(a0) + 80003114: 00f71533 sll a0,a4,a5 + 80003118: 0066d6b3 srl a3,a3,t1 + 8000311c: 00a6e6b3 or a3,a3,a0 + 80003120: 0188b803 ld a6,24(a7) + 80003124: 02058593 addi a1,a1,32 + 80003128: 00de3023 sd a3,0(t3) + 8000312c: ffc60613 addi a2,a2,-4 + 80003130: 00058513 mv a0,a1 + 80003134: 02088893 addi a7,a7,32 + 80003138: f8061ae3 bnez a2,800030cc <_wordcopy_fwd_dest_aligned+0x78> + 8000313c: 00675733 srl a4,a4,t1 + 80003140: 00f817b3 sll a5,a6,a5 + 80003144: 00f76733 or a4,a4,a5 + 80003148: 00e53023 sd a4,0(a0) + 8000314c: f65ff06f j 800030b0 <_wordcopy_fwd_dest_aligned+0x5c> + 80003150: 0005b683 ld a3,0(a1) + 80003154: 0085b703 ld a4,8(a1) + 80003158: ff858893 addi a7,a1,-8 + 8000315c: 00050e13 mv t3,a0 + 80003160: fe850593 addi a1,a0,-24 + 80003164: 00260613 addi a2,a2,2 + 80003168: fadff06f j 80003114 <_wordcopy_fwd_dest_aligned+0xc0> + 8000316c: 01058893 addi a7,a1,16 + 80003170: 00050593 mv a1,a0 + 80003174: f59ff06f j 800030cc <_wordcopy_fwd_dest_aligned+0x78> + 80003178: 0005b803 ld a6,0(a1) + 8000317c: 0085b703 ld a4,8(a1) + 80003180: 00858893 addi a7,a1,8 + 80003184: 00050e13 mv t3,a0 + 80003188: ff850593 addi a1,a0,-8 + 8000318c: f59ff06f j 800030e4 <_wordcopy_fwd_dest_aligned+0x90> diff --git a/bin/custom-output/yield-test/amtest-yield-test.bin b/bin/custom-output/yield-test/amtest-yield-test.bin new file mode 100755 index 0000000..28efd0a Binary files /dev/null and b/bin/custom-output/yield-test/amtest-yield-test.bin differ diff --git a/bin/custom-output/yield-test/amtest-yield-test.elf b/bin/custom-output/yield-test/amtest-yield-test.elf new file mode 100755 index 0000000..aae8a1c Binary files /dev/null and b/bin/custom-output/yield-test/amtest-yield-test.elf differ diff --git a/bin/custom-output/yield-test/amtest-yield-test.txt b/bin/custom-output/yield-test/amtest-yield-test.txt new file mode 100755 index 0000000..789cdcf --- /dev/null +++ b/bin/custom-output/yield-test/amtest-yield-test.txt @@ -0,0 +1,3302 @@ + +/home/hzb/test/am-kernels/tests/am-tests/build/amtest-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0009f117 auipc sp,0x9f + 80000008: ffc10113 addi sp,sp,-4 # 8009f000 <_end> + 8000000c: 0b4010ef jal ra,800010c0 <_trm_init> + +0000000080000010
: + 80000010: fd010113 addi sp,sp,-48 + 80000014: 02113423 sd ra,40(sp) + 80000018: 02813023 sd s0,32(sp) + 8000001c: 00913c23 sd s1,24(sp) + 80000020: 01213823 sd s2,16(sp) + 80000024: 01313423 sd s3,8(sp) + 80000028: 00054783 lbu a5,0(a0) + 8000002c: 01500713 li a4,21 + 80000030: f9f7879b addiw a5,a5,-97 + 80000034: 0ff7f793 andi a5,a5,255 + 80000038: 02f76063 bltu a4,a5,80000058 + 8000003c: 00003717 auipc a4,0x3 + 80000040: 16070713 addi a4,a4,352 # 8000319c <_etext+0x30> + 80000044: 00279793 slli a5,a5,0x2 + 80000048: 00e787b3 add a5,a5,a4 + 8000004c: 0007a783 lw a5,0(a5) + 80000050: 00e787b3 add a5,a5,a4 + 80000054: 00078067 jr a5 + 80000058: 00003517 auipc a0,0x3 + 8000005c: 11850513 addi a0,a0,280 # 80003170 <_etext+0x4> + 80000060: 1cd020ef jal ra,80002a2c + 80000064: 00003497 auipc s1,0x3 + 80000068: 26448493 addi s1,s1,612 # 800032c8 + 8000006c: 00000413 li s0,0 + 80000070: 10000913 li s2,256 + 80000074: 00003997 auipc s3,0x3 + 80000078: 11c98993 addi s3,s3,284 # 80003190 <_etext+0x24> + 8000007c: 0014041b addiw s0,s0,1 + 80000080: 03240c63 beq s0,s2,800000b8 + 80000084: 0004b603 ld a2,0(s1) + 80000088: 00060863 beqz a2,80000098 + 8000008c: 00040593 mv a1,s0 + 80000090: 00098513 mv a0,s3 + 80000094: 199020ef jal ra,80002a2c + 80000098: 00848493 addi s1,s1,8 + 8000009c: fe1ff06f j 8000007c + 800000a0: 00000797 auipc a5,0x0 + 800000a4: 13c78793 addi a5,a5,316 # 800001dc + 800000a8: 00097717 auipc a4,0x97 + 800000ac: aef73023 sd a5,-1312(a4) # 80096b88 + 800000b0: 1dc010ef jal ra,8000128c + 800000b4: 128000ef jal ra,800001dc + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00000513 li a0,0 + 800000d0: 03010113 addi sp,sp,48 + 800000d4: 00008067 ret + 800000d8: 00001797 auipc a5,0x1 + 800000dc: ac078793 addi a5,a5,-1344 # 80000b98 + 800000e0: 00097717 auipc a4,0x97 + 800000e4: aaf73423 sd a5,-1368(a4) # 80096b88 + 800000e8: 1a4010ef jal ra,8000128c + 800000ec: 2ad000ef jal ra,80000b98 + 800000f0: fc9ff06f j 800000b8 + 800000f4: 00001797 auipc a5,0x1 + 800000f8: d2c78793 addi a5,a5,-724 # 80000e20 + 800000fc: 00097717 auipc a4,0x97 + 80000100: a8f73623 sd a5,-1396(a4) # 80096b88 + 80000104: 51d000ef jal ra,80000e20 + 80000108: fb1ff06f j 800000b8 + 8000010c: 00001797 auipc a5,0x1 + 80000110: ef078793 addi a5,a5,-272 # 80000ffc + 80000114: 00097717 auipc a4,0x97 + 80000118: a6f73a23 sd a5,-1420(a4) # 80096b88 + 8000011c: 170010ef jal ra,8000128c + 80000120: 00001517 auipc a0,0x1 + 80000124: e4850513 addi a0,a0,-440 # 80000f68 + 80000128: 630010ef jal ra,80001758 + 8000012c: 6d1000ef jal ra,80000ffc + 80000130: f89ff06f j 800000b8 + 80000134: 00001797 auipc a5,0x1 + 80000138: d3878793 addi a5,a5,-712 # 80000e6c + 8000013c: 00097717 auipc a4,0x97 + 80000140: a4f73623 sd a5,-1460(a4) # 80096b88 + 80000144: 148010ef jal ra,8000128c + 80000148: 525000ef jal ra,80000e6c + 8000014c: f6dff06f j 800000b8 + 80000150: 00001517 auipc a0,0x1 + 80000154: f1850513 addi a0,a0,-232 # 80001068 + 80000158: 00097797 auipc a5,0x97 + 8000015c: a2a7b823 sd a0,-1488(a5) # 80096b88 + 80000160: 780010ef jal ra,800018e0 + 80000164: 705000ef jal ra,80001068 + 80000168: f51ff06f j 800000b8 + 8000016c: 00000797 auipc a5,0x0 + 80000170: 3b478793 addi a5,a5,948 # 80000520 + 80000174: 00000517 auipc a0,0x0 + 80000178: 22c50513 addi a0,a0,556 # 800003a0 + 8000017c: 00097717 auipc a4,0x97 + 80000180: a0f73623 sd a5,-1524(a4) # 80096b88 + 80000184: 5d4010ef jal ra,80001758 + 80000188: 00000597 auipc a1,0x0 + 8000018c: 21458593 addi a1,a1,532 # 8000039c + 80000190: 00000517 auipc a0,0x0 + 80000194: 18450513 addi a0,a0,388 # 80000314 + 80000198: 728010ef jal ra,800018c0 + 8000019c: 384000ef jal ra,80000520 + 800001a0: f19ff06f j 800000b8 + 800001a4: 00000797 auipc a5,0x0 + 800001a8: 51478793 addi a5,a5,1300 # 800006b8 + 800001ac: 00097717 auipc a4,0x97 + 800001b0: 9cf73e23 sd a5,-1572(a4) # 80096b88 + 800001b4: 0d8010ef jal ra,8000128c + 800001b8: 500000ef jal ra,800006b8 + 800001bc: efdff06f j 800000b8 + 800001c0: 00001797 auipc a5,0x1 + 800001c4: 94078793 addi a5,a5,-1728 # 80000b00 + 800001c8: 00097717 auipc a4,0x97 + 800001cc: 9cf73023 sd a5,-1600(a4) # 80096b88 + 800001d0: 0bc010ef jal ra,8000128c + 800001d4: 12d000ef jal ra,80000b00 + 800001d8: ee1ff06f j 800000b8 + +00000000800001dc : + 800001dc: fc010113 addi sp,sp,-64 + 800001e0: 00010593 mv a1,sp + 800001e4: 00e00513 li a0,14 + 800001e8: 02113c23 sd ra,56(sp) + 800001ec: 02813823 sd s0,48(sp) + 800001f0: 02913423 sd s1,40(sp) + 800001f4: 03213023 sd s2,32(sp) + 800001f8: 01313c23 sd s3,24(sp) + 800001fc: 01413823 sd s4,16(sp) + 80000200: 0e0010ef jal ra,800012e0 + 80000204: 00014783 lbu a5,0(sp) + 80000208: 0c078c63 beqz a5,800002e0 + 8000020c: 040007b7 lui a5,0x4000 + 80000210: 07d78793 addi a5,a5,125 # 400007d <_entry_offset+0x400007d> + 80000214: 00679793 slli a5,a5,0x6 + 80000218: 00004497 auipc s1,0x4 + 8000021c: 51048493 addi s1,s1,1296 # 80004728 + 80000220: 00012917 auipc s2,0x12 + 80000224: 31490913 addi s2,s2,788 # 80012534 <_data> + 80000228: 00f13023 sd a5,0(sp) + 8000022c: 00010593 mv a1,sp + 80000230: 40000793 li a5,1024 + 80000234: 00f00513 li a0,15 + 80000238: 4099093b subw s2,s2,s1 + 8000023c: 00f12423 sw a5,8(sp) + 80000240: 0bc010ef jal ra,800012fc + 80000244: 06090463 beqz s2,800002ac + 80000248: 00000413 li s0,0 + 8000024c: 00000793 li a5,0 + 80000250: 00001a37 lui s4,0x1 + 80000254: 00004997 auipc s3,0x4 + 80000258: 8a498993 addi s3,s3,-1884 # 80003af8 + 8000025c: 40f907bb subw a5,s2,a5 + 80000260: 0007869b sext.w a3,a5 + 80000264: 00048713 mv a4,s1 + 80000268: 00010593 mv a1,sp + 8000026c: 01100513 li a0,17 + 80000270: 00da7463 bgeu s4,a3,80000278 + 80000274: 000017b7 lui a5,0x1 + 80000278: 02079693 slli a3,a5,0x20 + 8000027c: 0206d693 srli a3,a3,0x20 + 80000280: 00d484b3 add s1,s1,a3 + 80000284: 0087843b addw s0,a5,s0 + 80000288: 00e13023 sd a4,0(sp) + 8000028c: 00913423 sd s1,8(sp) + 80000290: 06c010ef jal ra,800012fc + 80000294: 00090613 mv a2,s2 + 80000298: 00040593 mv a1,s0 + 8000029c: 00098513 mv a0,s3 + 800002a0: 78c020ef jal ra,80002a2c + 800002a4: 00040793 mv a5,s0 + 800002a8: fb246ae3 bltu s0,s2,8000025c + 800002ac: 00010593 mv a1,sp + 800002b0: 01000513 li a0,16 + 800002b4: 02c010ef jal ra,800012e0 + 800002b8: 00012783 lw a5,0(sp) + 800002bc: fef048e3 bgtz a5,800002ac + 800002c0: 03813083 ld ra,56(sp) + 800002c4: 03013403 ld s0,48(sp) + 800002c8: 02813483 ld s1,40(sp) + 800002cc: 02013903 ld s2,32(sp) + 800002d0: 01813983 ld s3,24(sp) + 800002d4: 01013a03 ld s4,16(sp) + 800002d8: 04010113 addi sp,sp,64 + 800002dc: 00008067 ret + 800002e0: 00003597 auipc a1,0x3 + 800002e4: 7e058593 addi a1,a1,2016 # 80003ac0 + 800002e8: 00003517 auipc a0,0x3 + 800002ec: 7e850513 addi a0,a0,2024 # 80003ad0 + 800002f0: 73c020ef jal ra,80002a2c + 800002f4: 03813083 ld ra,56(sp) + 800002f8: 03013403 ld s0,48(sp) + 800002fc: 02813483 ld s1,40(sp) + 80000300: 02013903 ld s2,32(sp) + 80000304: 01813983 ld s3,24(sp) + 80000308: 01013a03 ld s4,16(sp) + 8000030c: 04010113 addi sp,sp,64 + 80000310: 00008067 ret + +0000000080000314 : + 80000314: fd010113 addi sp,sp,-48 + 80000318: 01313423 sd s3,8(sp) + 8000031c: 00097997 auipc s3,0x97 + 80000320: 87498993 addi s3,s3,-1932 # 80096b90 + 80000324: 01213823 sd s2,16(sp) + 80000328: 0009b903 ld s2,0(s3) + 8000032c: 00913c23 sd s1,24(sp) + 80000330: 02113423 sd ra,40(sp) + 80000334: 02813023 sd s0,32(sp) + 80000338: 00050493 mv s1,a0 + 8000033c: 00091663 bnez s2,80000348 + 80000340: 00012917 auipc s2,0x12 + 80000344: 21093903 ld s2,528(s2) # 80012550 + 80000348: 00048593 mv a1,s1 + 8000034c: 00090513 mv a0,s2 + 80000350: 649000ef jal ra,80001198 <__umoddi3> + 80000354: 02050063 beqz a0,80000374 + 80000358: 00190413 addi s0,s2,1 + 8000035c: 00040513 mv a0,s0 + 80000360: 00048593 mv a1,s1 + 80000364: 635000ef jal ra,80001198 <__umoddi3> + 80000368: 00040913 mv s2,s0 + 8000036c: 00140413 addi s0,s0,1 + 80000370: fe0516e3 bnez a0,8000035c + 80000374: 02813083 ld ra,40(sp) + 80000378: 02013403 ld s0,32(sp) + 8000037c: 012484b3 add s1,s1,s2 + 80000380: 0099b023 sd s1,0(s3) + 80000384: 00090513 mv a0,s2 + 80000388: 01813483 ld s1,24(sp) + 8000038c: 01013903 ld s2,16(sp) + 80000390: 00813983 ld s3,8(sp) + 80000394: 03010113 addi sp,sp,48 + 80000398: 00008067 ret + +000000008000039c : + 8000039c: 00008067 ret + +00000000800003a0 : + 800003a0: 00052783 lw a5,0(a0) + 800003a4: fe010113 addi sp,sp,-32 + 800003a8: 00813823 sd s0,16(sp) + 800003ac: 00113c23 sd ra,24(sp) + 800003b0: 00300713 li a4,3 + 800003b4: 00058413 mv s0,a1 + 800003b8: 0ce78663 beq a5,a4,80000484 + 800003bc: 08f76663 bltu a4,a5,80000448 + 800003c0: 00100713 li a4,1 + 800003c4: 0ae78063 beq a5,a4,80000464 + 800003c8: 00200713 li a4,2 + 800003cc: 12e79a63 bne a5,a4,80000500 + 800003d0: 00100513 li a0,1 + 800003d4: 3b0010ef jal ra,80001784 + 800003d8: 00012623 sw zero,12(sp) + 800003dc: 00c12783 lw a5,12(sp) + 800003e0: 000f4737 lui a4,0xf4 + 800003e4: 23f70713 addi a4,a4,575 # f423f <_entry_offset+0xf423f> + 800003e8: 0007879b sext.w a5,a5 + 800003ec: 00f74e63 blt a4,a5,80000408 + 800003f0: 00c12783 lw a5,12(sp) + 800003f4: 0017879b addiw a5,a5,1 + 800003f8: 00f12623 sw a5,12(sp) + 800003fc: 00c12783 lw a5,12(sp) + 80000400: 0007879b sext.w a5,a5 + 80000404: fef756e3 bge a4,a5,800003f0 + 80000408: 05043583 ld a1,80(s0) + 8000040c: 00003517 auipc a0,0x3 + 80000410: 76450513 addi a0,a0,1892 # 80003b70 + 80000414: 618020ef jal ra,80002a2c + 80000418: 00012797 auipc a5,0x12 + 8000041c: 1307a783 lw a5,304(a5) # 80012548 + 80000420: 00078a63 beqz a5,80000434 + 80000424: 00012797 auipc a5,0x12 + 80000428: 1207a223 sw zero,292(a5) # 80012548 + 8000042c: 00096417 auipc s0,0x96 + 80000430: 76c43403 ld s0,1900(s0) # 80096b98 + 80000434: 01813083 ld ra,24(sp) + 80000438: 00040513 mv a0,s0 + 8000043c: 01013403 ld s0,16(sp) + 80000440: 02010113 addi sp,sp,32 + 80000444: 00008067 ret + 80000448: ffb7879b addiw a5,a5,-5 + 8000044c: 00100713 li a4,1 + 80000450: 0af76863 bltu a4,a5,80000500 + 80000454: 01853583 ld a1,24(a0) + 80000458: 00003517 auipc a0,0x3 + 8000045c: 6e850513 addi a0,a0,1768 # 80003b40 + 80000460: 5cc020ef jal ra,80002a2c + 80000464: 00012797 auipc a5,0x12 + 80000468: 0e47a783 lw a5,228(a5) # 80012548 + 8000046c: fc0784e3 beqz a5,80000434 + 80000470: 00012797 auipc a5,0x12 + 80000474: 0c07ac23 sw zero,216(a5) # 80012548 + 80000478: 00096417 auipc s0,0x96 + 8000047c: 72043403 ld s0,1824(s0) # 80096b98 + 80000480: fb5ff06f j 80000434 + 80000484: 00853783 ld a5,8(a0) + 80000488: 01053583 ld a1,16(a0) + 8000048c: 00003697 auipc a3,0x3 + 80000490: 69468693 addi a3,a3,1684 # 80003b20 + 80000494: 0017f713 andi a4,a5,1 + 80000498: 04070663 beqz a4,800004e4 + 8000049c: 0027f793 andi a5,a5,2 + 800004a0: 00003717 auipc a4,0x3 + 800004a4: 69070713 addi a4,a4,1680 # 80003b30 + 800004a8: 00078e63 beqz a5,800004c4 + 800004ac: 00003617 auipc a2,0x3 + 800004b0: 71c60613 addi a2,a2,1820 # 80003bc8 + 800004b4: 00003517 auipc a0,0x3 + 800004b8: 6ac50513 addi a0,a0,1708 # 80003b60 + 800004bc: 570020ef jal ra,80002a2c + 800004c0: fa5ff06f j 80000464 + 800004c4: 00003717 auipc a4,0x3 + 800004c8: 70470713 addi a4,a4,1796 # 80003bc8 + 800004cc: 00003617 auipc a2,0x3 + 800004d0: 6fc60613 addi a2,a2,1788 # 80003bc8 + 800004d4: 00003517 auipc a0,0x3 + 800004d8: 68c50513 addi a0,a0,1676 # 80003b60 + 800004dc: 550020ef jal ra,80002a2c + 800004e0: f85ff06f j 80000464 + 800004e4: 0027f793 andi a5,a5,2 + 800004e8: 00003697 auipc a3,0x3 + 800004ec: 6e068693 addi a3,a3,1760 # 80003bc8 + 800004f0: 00003717 auipc a4,0x3 + 800004f4: 64070713 addi a4,a4,1600 # 80003b30 + 800004f8: fa079ae3 bnez a5,800004ac + 800004fc: fc9ff06f j 800004c4 + 80000500: 02800613 li a2,40 + 80000504: 00003597 auipc a1,0x3 + 80000508: 67458593 addi a1,a1,1652 # 80003b78 + 8000050c: 00003517 auipc a0,0x3 + 80000510: 6a450513 addi a0,a0,1700 # 80003bb0 + 80000514: 518020ef jal ra,80002a2c + 80000518: 00100513 li a0,1 + 8000051c: 381000ef jal ra,8000109c + +0000000080000520 : + 80000520: fc010113 addi sp,sp,-64 + 80000524: 00300613 li a2,3 + 80000528: 00003597 auipc a1,0x3 + 8000052c: 6a858593 addi a1,a1,1704 # 80003bd0 + 80000530: 00003517 auipc a0,0x3 + 80000534: 6a850513 addi a0,a0,1704 # 80003bd8 + 80000538: 02113c23 sd ra,56(sp) + 8000053c: 02813823 sd s0,48(sp) + 80000540: 02913423 sd s1,40(sp) + 80000544: 03213023 sd s2,32(sp) + 80000548: 01313c23 sd s3,24(sp) + 8000054c: 01413823 sd s4,16(sp) + 80000550: 530020ef jal ra,80002a80 + 80000554: 00050e63 beqz a0,80000570 + 80000558: 00003597 auipc a1,0x3 + 8000055c: 68858593 addi a1,a1,1672 # 80003be0 + 80000560: 00003517 auipc a0,0x3 + 80000564: 67850513 addi a0,a0,1656 # 80003bd8 + 80000568: 388010ef jal ra,800018f0 + 8000056c: 12051263 bnez a0,80000690 + 80000570: 00012417 auipc s0,0x12 + 80000574: 3f840413 addi s0,s0,1016 # 80012968 + 80000578: 00040513 mv a0,s0 + 8000057c: 34c010ef jal ra,800018c8 + 80000580: 01043603 ld a2,16(s0) + 80000584: 00843583 ld a1,8(s0) + 80000588: 00003517 auipc a0,0x3 + 8000058c: 68050513 addi a0,a0,1664 # 80003c08 + 80000590: 00096a17 auipc s4,0x96 + 80000594: 600a0a13 addi s4,s4,1536 # 80096b90 + 80000598: 494020ef jal ra,80002a2c + 8000059c: 00843783 ld a5,8(s0) + 800005a0: 01043983 ld s3,16(s0) + 800005a4: 000a3483 ld s1,0(s4) + 800005a8: 00042903 lw s2,0(s0) + 800005ac: 40f989b3 sub s3,s3,a5 + 800005b0: 0019d993 srli s3,s3,0x1 + 800005b4: 00f989b3 add s3,s3,a5 + 800005b8: 0c048663 beqz s1,80000684 + 800005bc: 00090593 mv a1,s2 + 800005c0: 00048513 mv a0,s1 + 800005c4: 3d5000ef jal ra,80001198 <__umoddi3> + 800005c8: 02050063 beqz a0,800005e8 + 800005cc: 00148413 addi s0,s1,1 + 800005d0: 00040513 mv a0,s0 + 800005d4: 00090593 mv a1,s2 + 800005d8: 3c1000ef jal ra,80001198 <__umoddi3> + 800005dc: 00040493 mv s1,s0 + 800005e0: 00140413 addi s0,s0,1 + 800005e4: fe0516e3 bnez a0,800005d0 + 800005e8: 00990933 add s2,s2,s1 + 800005ec: 00f00613 li a2,15 + 800005f0: 00012597 auipc a1,0x12 + 800005f4: f4858593 addi a1,a1,-184 # 80012538 + 800005f8: 00048513 mv a0,s1 + 800005fc: 012a3023 sd s2,0(s4) + 80000600: 638020ef jal ra,80002c38 + 80000604: 00300693 li a3,3 + 80000608: 00048613 mv a2,s1 + 8000060c: 00098593 mv a1,s3 + 80000610: 00012517 auipc a0,0x12 + 80000614: 35850513 addi a0,a0,856 # 80012968 + 80000618: 2b4010ef jal ra,800018cc + 8000061c: 00048613 mv a2,s1 + 80000620: 00098593 mv a1,s3 + 80000624: 00003517 auipc a0,0x3 + 80000628: 60c50513 addi a0,a0,1548 # 80003c30 + 8000062c: 400020ef jal ra,80002a2c + 80000630: 00098693 mv a3,s3 + 80000634: 00012597 auipc a1,0x12 + 80000638: 35458593 addi a1,a1,852 # 80012988 + 8000063c: 00013617 auipc a2,0x13 + 80000640: 34c60613 addi a2,a2,844 # 80013988 + 80000644: 00012517 auipc a0,0x12 + 80000648: 32450513 addi a0,a0,804 # 80012968 + 8000064c: 284010ef jal ra,800018d0 + 80000650: 00050793 mv a5,a0 + 80000654: 00100513 li a0,1 + 80000658: 00096717 auipc a4,0x96 + 8000065c: 54f73023 sd a5,1344(a4) # 80096b98 + 80000660: 124010ef jal ra,80001784 + 80000664: 03013403 ld s0,48(sp) + 80000668: 03813083 ld ra,56(sp) + 8000066c: 02813483 ld s1,40(sp) + 80000670: 02013903 ld s2,32(sp) + 80000674: 01813983 ld s3,24(sp) + 80000678: 01013a03 ld s4,16(sp) + 8000067c: 04010113 addi sp,sp,64 + 80000680: 0f80106f j 80001778 + 80000684: 00012497 auipc s1,0x12 + 80000688: ecc4b483 ld s1,-308(s1) # 80012550 + 8000068c: f31ff06f j 800005bc + 80000690: 03013403 ld s0,48(sp) + 80000694: 03813083 ld ra,56(sp) + 80000698: 02813483 ld s1,40(sp) + 8000069c: 02013903 ld s2,32(sp) + 800006a0: 01813983 ld s3,24(sp) + 800006a4: 01013a03 ld s4,16(sp) + 800006a8: 00003517 auipc a0,0x3 + 800006ac: 54050513 addi a0,a0,1344 # 80003be8 + 800006b0: 04010113 addi sp,sp,64 + 800006b4: 3780206f j 80002a2c + +00000000800006b8 : + 800006b8: fa010113 addi sp,sp,-96 + 800006bc: 04913423 sd s1,72(sp) + 800006c0: 000f44b7 lui s1,0xf4 + 800006c4: 04813823 sd s0,80(sp) + 800006c8: 03313c23 sd s3,56(sp) + 800006cc: 03413823 sd s4,48(sp) + 800006d0: 03513423 sd s5,40(sp) + 800006d4: 03613023 sd s6,32(sp) + 800006d8: 04113c23 sd ra,88(sp) + 800006dc: 05213023 sd s2,64(sp) + 800006e0: 00100413 li s0,1 + 800006e4: 24048493 addi s1,s1,576 # f4240 <_entry_offset+0xf4240> + 800006e8: 00003a17 auipc s4,0x3 + 800006ec: 578a0a13 addi s4,s4,1400 # 80003c60 + 800006f0: 00100993 li s3,1 + 800006f4: 00003b17 auipc s6,0x3 + 800006f8: 59cb0b13 addi s6,s6,1436 # 80003c90 + 800006fc: 00003a97 auipc s5,0x3 + 80000700: 584a8a93 addi s5,s5,1412 # 80003c80 + 80000704: 0004091b sext.w s2,s0 + 80000708: 00600513 li a0,6 + 8000070c: 00810593 addi a1,sp,8 + 80000710: 3d1000ef jal ra,800012e0 + 80000714: 00813503 ld a0,8(sp) + 80000718: 00048593 mv a1,s1 + 8000071c: 235000ef jal ra,80001150 <__udivdi3> + 80000720: fe8564e3 bltu a0,s0,80000708 + 80000724: 00810593 addi a1,sp,8 + 80000728: 00500513 li a0,5 + 8000072c: 3b5000ef jal ra,800012e0 + 80000730: 01c12803 lw a6,28(sp) + 80000734: 01812783 lw a5,24(sp) + 80000738: 01412703 lw a4,20(sp) + 8000073c: 01012683 lw a3,16(sp) + 80000740: 00c12603 lw a2,12(sp) + 80000744: 00812583 lw a1,8(sp) + 80000748: 000a0513 mv a0,s4 + 8000074c: 2e0020ef jal ra,80002a2c + 80000750: 01341c63 bne s0,s3,80000768 + 80000754: 00100593 li a1,1 + 80000758: 000a8513 mv a0,s5 + 8000075c: 2d0020ef jal ra,80002a2c + 80000760: 00140413 addi s0,s0,1 + 80000764: fa1ff06f j 80000704 + 80000768: 00090593 mv a1,s2 + 8000076c: 000b0513 mv a0,s6 + 80000770: 2bc020ef jal ra,80002a2c + 80000774: 00140413 addi s0,s0,1 + 80000778: f8dff06f j 80000704 + +000000008000077c : + 8000077c: f7010113 addi sp,sp,-144 + 80000780: 00010593 mv a1,sp + 80000784: 00900513 li a0,9 + 80000788: 08113423 sd ra,136(sp) + 8000078c: 07213823 sd s2,112(sp) + 80000790: 07413023 sd s4,96(sp) + 80000794: 08813023 sd s0,128(sp) + 80000798: 06913c23 sd s1,120(sp) + 8000079c: 07313423 sd s3,104(sp) + 800007a0: 05513c23 sd s5,88(sp) + 800007a4: 05613823 sd s6,80(sp) + 800007a8: 05713423 sd s7,72(sp) + 800007ac: 05813023 sd s8,64(sp) + 800007b0: 03913c23 sd s9,56(sp) + 800007b4: 03a13823 sd s10,48(sp) + 800007b8: 03b13423 sd s11,40(sp) + 800007bc: 325000ef jal ra,800012e0 + 800007c0: 00412783 lw a5,4(sp) + 800007c4: 00010593 mv a1,sp + 800007c8: 00900513 li a0,9 + 800007cc: 41f7da1b sraiw s4,a5,0x1f + 800007d0: 01ba5a1b srliw s4,s4,0x1b + 800007d4: 00fa0a3b addw s4,s4,a5 + 800007d8: 309000ef jal ra,800012e0 + 800007dc: 00812783 lw a5,8(sp) + 800007e0: 405a5a1b sraiw s4,s4,0x5 + 800007e4: 000a0513 mv a0,s4 + 800007e8: 41f7d91b sraiw s2,a5,0x1f + 800007ec: 01b9591b srliw s2,s2,0x1b + 800007f0: 00f9093b addw s2,s2,a5 + 800007f4: 4059591b sraiw s2,s2,0x5 + 800007f8: 00090593 mv a1,s2 + 800007fc: 0e9000ef jal ra,800010e4 <__muldi3> + 80000800: 0005079b sext.w a5,a0 + 80000804: 40000713 li a4,1024 + 80000808: 0ef76e63 bltu a4,a5,80000904 + 8000080c: fff7841b addiw s0,a5,-1 + 80000810: 02041413 slli s0,s0,0x20 + 80000814: 00078a93 mv s5,a5 + 80000818: 01e45413 srli s0,s0,0x1e + 8000081c: 00014797 auipc a5,0x14 + 80000820: 17078793 addi a5,a5,368 # 8001498c + 80000824: 00013997 auipc s3,0x13 + 80000828: 1e498993 addi s3,s3,484 # 80013a08 + 8000082c: 00014c17 auipc s8,0x14 + 80000830: 1dcc0c13 addi s8,s8,476 # 80014a08 + 80000834: 00014497 auipc s1,0x14 + 80000838: 15448493 addi s1,s1,340 # 80014988 + 8000083c: 00f40433 add s0,s0,a5 + 80000840: 00000c93 li s9,0 + 80000844: 000a0b9b sext.w s7,s4 + 80000848: 000c8b1b sext.w s6,s9 + 8000084c: f8098d13 addi s10,s3,-128 + 80000850: 00000d93 li s11,0 + 80000854: 000a8c63 beqz s5,8000086c + 80000858: 000d2703 lw a4,0(s10) + 8000085c: 00048793 mv a5,s1 + 80000860: 00e7a023 sw a4,0(a5) + 80000864: 00478793 addi a5,a5,4 + 80000868: fe879ce3 bne a5,s0,80000860 + 8000086c: 004d0d13 addi s10,s10,4 + 80000870: 00010593 mv a1,sp + 80000874: 00b00513 li a0,11 + 80000878: 01b12023 sw s11,0(sp) + 8000087c: 01612223 sw s6,4(sp) + 80000880: 00913423 sd s1,8(sp) + 80000884: 01412823 sw s4,16(sp) + 80000888: 01212a23 sw s2,20(sp) + 8000088c: 00010c23 sb zero,24(sp) + 80000890: 017d8dbb addw s11,s11,s7 + 80000894: 269000ef jal ra,800012fc + 80000898: fb3d1ee3 bne s10,s3,80000854 + 8000089c: 080d0993 addi s3,s10,128 + 800008a0: 01990cbb addw s9,s2,s9 + 800008a4: fb8992e3 bne s3,s8,80000848 + 800008a8: 00010593 mv a1,sp + 800008ac: 00100793 li a5,1 + 800008b0: 00b00513 li a0,11 + 800008b4: 00013023 sd zero,0(sp) + 800008b8: 00013423 sd zero,8(sp) + 800008bc: 00013823 sd zero,16(sp) + 800008c0: 00f10c23 sb a5,24(sp) + 800008c4: 239000ef jal ra,800012fc + 800008c8: 08813083 ld ra,136(sp) + 800008cc: 08013403 ld s0,128(sp) + 800008d0: 07813483 ld s1,120(sp) + 800008d4: 07013903 ld s2,112(sp) + 800008d8: 06813983 ld s3,104(sp) + 800008dc: 06013a03 ld s4,96(sp) + 800008e0: 05813a83 ld s5,88(sp) + 800008e4: 05013b03 ld s6,80(sp) + 800008e8: 04813b83 ld s7,72(sp) + 800008ec: 04013c03 ld s8,64(sp) + 800008f0: 03813c83 ld s9,56(sp) + 800008f4: 03013d03 ld s10,48(sp) + 800008f8: 02813d83 ld s11,40(sp) + 800008fc: 09010113 addi sp,sp,144 + 80000900: 00008067 ret + 80000904: 01600613 li a2,22 + 80000908: 00003597 auipc a1,0x3 + 8000090c: 39858593 addi a1,a1,920 # 80003ca0 + 80000910: 00003517 auipc a0,0x3 + 80000914: 2a050513 addi a0,a0,672 # 80003bb0 + 80000918: 114020ef jal ra,80002a2c + 8000091c: 00100513 li a0,1 + 80000920: 77c000ef jal ra,8000109c + +0000000080000924 : + 80000924: 00096617 auipc a2,0x96 + 80000928: 27c60613 addi a2,a2,636 # 80096ba0 + 8000092c: 00062783 lw a5,0(a2) + 80000930: fe010113 addi sp,sp,-32 + 80000934: 00813c23 sd s0,24(sp) + 80000938: 00913823 sd s1,16(sp) + 8000093c: 01213423 sd s2,8(sp) + 80000940: 01313023 sd s3,0(sp) + 80000944: 0017839b addiw t2,a5,1 + 80000948: 00015717 auipc a4,0x15 + 8000094c: 0c070713 addi a4,a4,192 # 80015a08 + 80000950: 00016697 auipc a3,0x16 + 80000954: 0b868693 addi a3,a3,184 # 80016a08 + 80000958: 00762023 sw t2,0(a2) + 8000095c: f8070793 addi a5,a4,-128 + 80000960: 0007a023 sw zero,0(a5) + 80000964: 00478793 addi a5,a5,4 + 80000968: fee79ce3 bne a5,a4,80000960 + 8000096c: 08078713 addi a4,a5,128 + 80000970: fee696e3 bne a3,a4,8000095c + 80000974: 0ff3f693 andi a3,t2,255 + 80000978: 0016979b slliw a5,a3,0x1 + 8000097c: 00d787bb addw a5,a5,a3 + 80000980: 0036971b slliw a4,a3,0x3 + 80000984: 40d7073b subw a4,a4,a3 + 80000988: 0017979b slliw a5,a5,0x1 + 8000098c: 0ff7f793 andi a5,a5,255 + 80000990: 0ff77713 andi a4,a4,255 + 80000994: 0087171b slliw a4,a4,0x8 + 80000998: 0107979b slliw a5,a5,0x10 + 8000099c: 00e7e7b3 or a5,a5,a4 + 800009a0: 0ff3f713 andi a4,t2,255 + 800009a4: 00e7e7b3 or a5,a5,a4 + 800009a8: 00013417 auipc s0,0x13 + 800009ac: fe040413 addi s0,s0,-32 # 80013988 + 800009b0: 00015f97 auipc t6,0x15 + 800009b4: fd8f8f93 addi t6,t6,-40 # 80015988 + 800009b8: 00f42023 sw a5,0(s0) + 800009bc: 00100793 li a5,1 + 800009c0: 00ffa023 sw a5,0(t6) + 800009c4: 00100693 li a3,1 + 800009c8: 00000713 li a4,0 + 800009cc: 00100f13 li t5,1 + 800009d0: 00000593 li a1,0 + 800009d4: 00000e93 li t4,0 + 800009d8: 00000e13 li t3,0 + 800009dc: 01f00813 li a6,31 + 800009e0: 00003317 auipc t1,0x3 + 800009e4: 31030313 addi t1,t1,784 # 80003cf0 + 800009e8: 00003897 auipc a7,0x3 + 800009ec: 31888893 addi a7,a7,792 # 80003d00 + 800009f0: 00100493 li s1,1 + 800009f4: 40000293 li t0,1024 + 800009f8: 00400613 li a2,4 + 800009fc: 00ee07bb addw a5,t3,a4 + 80000a00: fff6061b addiw a2,a2,-1 + 80000a04: 00158713 addi a4,a1,1 + 80000a08: 00de86bb addw a3,t4,a3 + 80000a0c: 02f86063 bltu a6,a5,80000a2c + 80000a10: 00579513 slli a0,a5,0x5 + 80000a14: 00d50533 add a0,a0,a3 + 80000a18: 00251513 slli a0,a0,0x2 + 80000a1c: 00af8933 add s2,t6,a0 + 80000a20: 00d86663 bltu a6,a3,80000a2c + 80000a24: 00092983 lw s3,0(s2) + 80000a28: 06098463 beqz s3,80000a90 + 80000a2c: 00377593 andi a1,a4,3 + 80000a30: 00259793 slli a5,a1,0x2 + 80000a34: 00f30733 add a4,t1,a5 + 80000a38: 00f887b3 add a5,a7,a5 + 80000a3c: 02060a63 beqz a2,80000a70 + 80000a40: 00072703 lw a4,0(a4) + 80000a44: 0007a683 lw a3,0(a5) + 80000a48: fff6061b addiw a2,a2,-1 + 80000a4c: 00ee07bb addw a5,t3,a4 + 80000a50: 00de86bb addw a3,t4,a3 + 80000a54: 00158713 addi a4,a1,1 + 80000a58: faf87ce3 bgeu a6,a5,80000a10 + 80000a5c: 00377593 andi a1,a4,3 + 80000a60: 00259793 slli a5,a1,0x2 + 80000a64: 00f30733 add a4,t1,a5 + 80000a68: 00f887b3 add a5,a7,a5 + 80000a6c: fc061ae3 bnez a2,80000a40 + 80000a70: 001f0f1b addiw t5,t5,1 + 80000a74: 065f0a63 beq t5,t0,80000ae8 + 80000a78: 00259793 slli a5,a1,0x2 + 80000a7c: 00f30733 add a4,t1,a5 + 80000a80: 00f887b3 add a5,a7,a5 + 80000a84: 00072703 lw a4,0(a4) + 80000a88: 0007a683 lw a3,0(a5) + 80000a8c: f6dff06f j 800009f8 + 80000a90: 401f5e1b sraiw t3,t5,0x1 + 80000a94: 007e0e3b addw t3,t3,t2 + 80000a98: 0ffe7e93 andi t4,t3,255 + 80000a9c: 001e971b slliw a4,t4,0x1 + 80000aa0: 01d7073b addw a4,a4,t4 + 80000aa4: 003e961b slliw a2,t4,0x3 + 80000aa8: 41d6063b subw a2,a2,t4 + 80000aac: 0017171b slliw a4,a4,0x1 + 80000ab0: 0ff77713 andi a4,a4,255 + 80000ab4: 0ff67613 andi a2,a2,255 + 80000ab8: 0107171b slliw a4,a4,0x10 + 80000abc: 0086161b slliw a2,a2,0x8 + 80000ac0: 0ffe7e13 andi t3,t3,255 + 80000ac4: 00c76733 or a4,a4,a2 + 80000ac8: 01c76733 or a4,a4,t3 + 80000acc: 00a40533 add a0,s0,a0 + 80000ad0: 00992023 sw s1,0(s2) + 80000ad4: 00e52023 sw a4,0(a0) + 80000ad8: 001f0f1b addiw t5,t5,1 + 80000adc: 00068e93 mv t4,a3 + 80000ae0: 00078e13 mv t3,a5 + 80000ae4: f85f1ae3 bne t5,t0,80000a78 + 80000ae8: 01813403 ld s0,24(sp) + 80000aec: 01013483 ld s1,16(sp) + 80000af0: 00813903 ld s2,8(sp) + 80000af4: 00013983 ld s3,0(sp) + 80000af8: 02010113 addi sp,sp,32 + 80000afc: 00008067 ret + +0000000080000b00 : + 80000b00: fb010113 addi sp,sp,-80 + 80000b04: 02913c23 sd s1,56(sp) + 80000b08: 03213823 sd s2,48(sp) + 80000b0c: 03313423 sd s3,40(sp) + 80000b10: 03413023 sd s4,32(sp) + 80000b14: 01513c23 sd s5,24(sp) + 80000b18: 04113423 sd ra,72(sp) + 80000b1c: 04813023 sd s0,64(sp) + 80000b20: 00000993 li s3,0 + 80000b24: 00000913 li s2,0 + 80000b28: 00000493 li s1,0 + 80000b2c: 02100a13 li s4,33 + 80000b30: 00003a97 auipc s5,0x3 + 80000b34: 1b0a8a93 addi s5,s5,432 # 80003ce0 + 80000b38: 00810593 addi a1,sp,8 + 80000b3c: 00600513 li a0,6 + 80000b40: 7a0000ef jal ra,800012e0 + 80000b44: 00813503 ld a0,8(sp) + 80000b48: 3e800593 li a1,1000 + 80000b4c: 604000ef jal ra,80001150 <__udivdi3> + 80000b50: 409507b3 sub a5,a0,s1 + 80000b54: 00050413 mv s0,a0 + 80000b58: 02fa6663 bltu s4,a5,80000b84 + 80000b5c: 412407b3 sub a5,s0,s2 + 80000b60: 3e800713 li a4,1000 + 80000b64: fcf77ae3 bgeu a4,a5,80000b38 + 80000b68: 00098613 mv a2,s3 + 80000b6c: 00040593 mv a1,s0 + 80000b70: 000a8513 mv a0,s5 + 80000b74: 6b9010ef jal ra,80002a2c + 80000b78: 00040913 mv s2,s0 + 80000b7c: 00000993 li s3,0 + 80000b80: fb9ff06f j 80000b38 + 80000b84: da1ff0ef jal ra,80000924 + 80000b88: 0019899b addiw s3,s3,1 + 80000b8c: bf1ff0ef jal ra,8000077c + 80000b90: 00040493 mv s1,s0 + 80000b94: fc9ff06f j 80000b5c + +0000000080000b98 : + 80000b98: 00012797 auipc a5,0x12 + 80000b9c: 9b878793 addi a5,a5,-1608 # 80012550 + 80000ba0: 0007b583 ld a1,0(a5) + 80000ba4: 0087b603 ld a2,8(a5) + 80000ba8: fb010113 addi sp,sp,-80 + 80000bac: 00003517 auipc a0,0x3 + 80000bb0: 16450513 addi a0,a0,356 # 80003d10 + 80000bb4: 04113423 sd ra,72(sp) + 80000bb8: 02913c23 sd s1,56(sp) + 80000bbc: 04813023 sd s0,64(sp) + 80000bc0: 03213823 sd s2,48(sp) + 80000bc4: 03313423 sd s3,40(sp) + 80000bc8: 03413023 sd s4,32(sp) + 80000bcc: 661010ef jal ra,80002a2c + 80000bd0: 00003517 auipc a0,0x3 + 80000bd4: 15850513 addi a0,a0,344 # 80003d28 + 80000bd8: 655010ef jal ra,80002a2c + 80000bdc: 00810593 addi a1,sp,8 + 80000be0: 00600513 li a0,6 + 80000be4: 6fc000ef jal ra,800012e0 + 80000be8: 00813503 ld a0,8(sp) + 80000bec: 3e800593 li a1,1000 + 80000bf0: 560000ef jal ra,80001150 <__udivdi3> + 80000bf4: 00012223 sw zero,4(sp) + 80000bf8: 00412703 lw a4,4(sp) + 80000bfc: 009897b7 lui a5,0x989 + 80000c00: 67f78793 addi a5,a5,1663 # 98967f <_entry_offset+0x98967f> + 80000c04: 0007071b sext.w a4,a4 + 80000c08: 0005049b sext.w s1,a0 + 80000c0c: 00e7ce63 blt a5,a4,80000c28 + 80000c10: 00412703 lw a4,4(sp) + 80000c14: 0017071b addiw a4,a4,1 + 80000c18: 00e12223 sw a4,4(sp) + 80000c1c: 00412703 lw a4,4(sp) + 80000c20: 0007071b sext.w a4,a4 + 80000c24: fee7d6e3 bge a5,a4,80000c10 + 80000c28: 00810593 addi a1,sp,8 + 80000c2c: 00600513 li a0,6 + 80000c30: 6b0000ef jal ra,800012e0 + 80000c34: 00813503 ld a0,8(sp) + 80000c38: 3e800593 li a1,1000 + 80000c3c: 00016417 auipc s0,0x16 + 80000c40: 01c40413 addi s0,s0,28 # 80016c58 + 80000c44: 50c000ef jal ra,80001150 <__udivdi3> + 80000c48: 409505bb subw a1,a0,s1 + 80000c4c: 00003517 auipc a0,0x3 + 80000c50: 0fc50513 addi a0,a0,252 # 80003d48 + 80000c54: 5d9010ef jal ra,80002a2c + 80000c58: 00810593 addi a1,sp,8 + 80000c5c: 00900513 li a0,9 + 80000c60: 680000ef jal ra,800012e0 + 80000c64: 00c12983 lw s3,12(sp) + 80000c68: 01012903 lw s2,16(sp) + 80000c6c: 00003517 auipc a0,0x3 + 80000c70: 0fc50513 addi a0,a0,252 # 80003d68 + 80000c74: 00098593 mv a1,s3 + 80000c78: 00090613 mv a2,s2 + 80000c7c: 5b1010ef jal ra,80002a2c + 80000c80: 00096497 auipc s1,0x96 + 80000c84: f0848493 addi s1,s1,-248 # 80096b88 + 80000c88: 491000ef jal ra,80001918 + 80000c8c: 00a40023 sb a0,0(s0) + 80000c90: 00140413 addi s0,s0,1 + 80000c94: fe941ae3 bne s0,s1,80000c88 + 80000c98: 41f9d71b sraiw a4,s3,0x1f + 80000c9c: 01e7571b srliw a4,a4,0x1e + 80000ca0: 0137073b addw a4,a4,s3 + 80000ca4: 00016797 auipc a5,0x16 + 80000ca8: ee478793 addi a5,a5,-284 # 80016b88 + 80000cac: 4027571b sraiw a4,a4,0x2 + 80000cb0: 00e79323 sh a4,6(a5) + 80000cb4: 00016717 auipc a4,0x16 + 80000cb8: ec071e23 sh zero,-292(a4) # 80016b90 + 80000cbc: 01f9d69b srliw a3,s3,0x1f + 80000cc0: 02500713 li a4,37 + 80000cc4: ffff0637 lui a2,0xffff0 + 80000cc8: 00e79923 sh a4,18(a5) + 80000ccc: 013686bb addw a3,a3,s3 + 80000cd0: 0016061b addiw a2,a2,1 + 80000cd4: 00a00713 li a4,10 + 80000cd8: fff00813 li a6,-1 + 80000cdc: 4016d69b sraiw a3,a3,0x1 + 80000ce0: 00c7a023 sw a2,0(a5) + 80000ce4: 00e79a23 sh a4,20(a5) + 80000ce8: fff00613 li a2,-1 + 80000cec: 0d000713 li a4,208 + 80000cf0: f9c9091b addiw s2,s2,-100 + 80000cf4: 00e79b23 sh a4,22(a5) + 80000cf8: 00d79523 sh a3,10(a5) + 80000cfc: 01079723 sh a6,14(a5) + 80000d00: 01079823 sh a6,16(a5) + 80000d04: 00c79223 sh a2,4(a5) + 80000d08: 01279623 sh s2,12(a5) + 80000d0c: 00810593 addi a1,sp,8 + 80000d10: 00c00513 li a0,12 + 80000d14: 00f13823 sd a5,16(sp) + 80000d18: 000807b7 lui a5,0x80 + 80000d1c: 00f12c23 sw a5,24(sp) + 80000d20: 00016717 auipc a4,0x16 + 80000d24: e8071023 sh zero,-384(a4) # 80016ba0 + 80000d28: 00012423 sw zero,8(sp) + 80000d2c: 5d0000ef jal ra,800012fc + 80000d30: 00d00513 li a0,13 + 80000d34: 00810593 addi a1,sp,8 + 80000d38: 00012423 sw zero,8(sp) + 80000d3c: 5c0000ef jal ra,800012fc + 80000d40: 00810593 addi a1,sp,8 + 80000d44: 01200513 li a0,18 + 80000d48: 598000ef jal ra,800012e0 + 80000d4c: 00c12483 lw s1,12(sp) + 80000d50: 01012583 lw a1,16(sp) + 80000d54: 00003517 auipc a0,0x3 + 80000d58: 02c50513 addi a0,a0,44 # 80003d80 + 80000d5c: 00048613 mv a2,s1 + 80000d60: 4cd010ef jal ra,80002a2c + 80000d64: 00016417 auipc s0,0x16 + 80000d68: c2440413 addi s0,s0,-988 # 80016988 + 80000d6c: 00048593 mv a1,s1 + 80000d70: 20000513 li a0,512 + 80000d74: 00813823 sd s0,16(sp) + 80000d78: 00010423 sb zero,8(sp) + 80000d7c: 00012c23 sw zero,24(sp) + 80000d80: 3c8000ef jal ra,80001148 <__divdi3> + 80000d84: 00050793 mv a5,a0 + 80000d88: 00810593 addi a1,sp,8 + 80000d8c: 01400513 li a0,20 + 80000d90: 00f12e23 sw a5,28(sp) + 80000d94: 568000ef jal ra,800012fc + 80000d98: 00144603 lbu a2,1(s0) + 80000d9c: 00044583 lbu a1,0(s0) + 80000da0: 00003517 auipc a0,0x3 + 80000da4: 01850513 addi a0,a0,24 # 80003db8 + 80000da8: 00016417 auipc s0,0x16 + 80000dac: be240413 addi s0,s0,-1054 # 8001698a + 80000db0: 47d010ef jal ra,80002a2c + 80000db4: 00003917 auipc s2,0x3 + 80000db8: 00490913 addi s2,s2,4 # 80003db8 + 80000dbc: 00400493 li s1,4 + 80000dc0: 00016997 auipc s3,0x16 + 80000dc4: dc898993 addi s3,s3,-568 # 80016b88 + 80000dc8: 408484bb subw s1,s1,s0 + 80000dcc: 00003a17 auipc s4,0x3 + 80000dd0: d4ca0a13 addi s4,s4,-692 # 80003b18 + 80000dd4: 00090513 mv a0,s2 + 80000dd8: 03340463 beq s0,s3,80000e00 + 80000ddc: 00144603 lbu a2,1(s0) + 80000de0: 00044583 lbu a1,0(s0) + 80000de4: 449010ef jal ra,80002a2c + 80000de8: 008487bb addw a5,s1,s0 + 80000dec: 01f7f793 andi a5,a5,31 + 80000df0: 02078063 beqz a5,80000e10 + 80000df4: 00240413 addi s0,s0,2 + 80000df8: 00090513 mv a0,s2 + 80000dfc: ff3410e3 bne s0,s3,80000ddc + 80000e00: 00003517 auipc a0,0x3 + 80000e04: fc850513 addi a0,a0,-56 # 80003dc8 + 80000e08: 425010ef jal ra,80002a2c + 80000e0c: 0000006f j 80000e0c + 80000e10: 000a0513 mv a0,s4 + 80000e14: 419010ef jal ra,80002a2c + 80000e18: 00240413 addi s0,s0,2 + 80000e1c: fddff06f j 80000df8 + +0000000080000e20 : + 80000e20: fe010113 addi sp,sp,-32 + 80000e24: 00913423 sd s1,8(sp) + 80000e28: 00113c23 sd ra,24(sp) + 80000e2c: 00813823 sd s0,16(sp) + 80000e30: 00a00493 li s1,10 + 80000e34: 00003417 auipc s0,0x3 + 80000e38: fa440413 addi s0,s0,-92 # 80003dd8 + 80000e3c: 04800513 li a0,72 + 80000e40: 00140413 addi s0,s0,1 + 80000e44: 24c000ef jal ra,80001090 + 80000e48: 00044503 lbu a0,0(s0) + 80000e4c: fe051ae3 bnez a0,80000e40 + 80000e50: fff4849b addiw s1,s1,-1 + 80000e54: fe0490e3 bnez s1,80000e34 + 80000e58: 01813083 ld ra,24(sp) + 80000e5c: 01013403 ld s0,16(sp) + 80000e60: 00813483 ld s1,8(sp) + 80000e64: 02010113 addi sp,sp,32 + 80000e68: 00008067 ret + +0000000080000e6c : + 80000e6c: fb010113 addi sp,sp,-80 + 80000e70: 00003517 auipc a0,0x3 + 80000e74: f9850513 addi a0,a0,-104 # 80003e08 + 80000e78: 04113423 sd ra,72(sp) + 80000e7c: 04813023 sd s0,64(sp) + 80000e80: 02913c23 sd s1,56(sp) + 80000e84: 03213823 sd s2,48(sp) + 80000e88: 03313423 sd s3,40(sp) + 80000e8c: 03413023 sd s4,32(sp) + 80000e90: 01513c23 sd s5,24(sp) + 80000e94: 399010ef jal ra,80002a2c + 80000e98: 00810593 addi a1,sp,8 + 80000e9c: 00100513 li a0,1 + 80000ea0: 440000ef jal ra,800012e0 + 80000ea4: 00814783 lbu a5,8(sp) + 80000ea8: 00096497 auipc s1,0x96 + 80000eac: cfd48493 addi s1,s1,-771 # 80096ba5 + 80000eb0: 00810593 addi a1,sp,8 + 80000eb4: 00700513 li a0,7 + 80000eb8: 00f48023 sb a5,0(s1) + 80000ebc: 424000ef jal ra,800012e0 + 80000ec0: 00814783 lbu a5,8(sp) + 80000ec4: 00096417 auipc s0,0x96 + 80000ec8: ce040413 addi s0,s0,-800 # 80096ba4 + 80000ecc: 00003a97 auipc s5,0x3 + 80000ed0: 24ca8a93 addi s5,s5,588 # 80004118 + 80000ed4: 00f40023 sb a5,0(s0) + 80000ed8: 00003a17 auipc s4,0x3 + 80000edc: f20a0a13 addi s4,s4,-224 # 80003df8 + 80000ee0: 00003997 auipc s3,0x3 + 80000ee4: f2098993 addi s3,s3,-224 # 80003e00 + 80000ee8: 00003917 auipc s2,0x3 + 80000eec: f6890913 addi s2,s2,-152 # 80003e50 + 80000ef0: 0004c703 lbu a4,0(s1) + 80000ef4: 02070663 beqz a4,80000f20 + 80000ef8: 00003417 auipc s0,0x3 + 80000efc: f4040413 addi s0,s0,-192 # 80003e38 + 80000f00: 00810593 addi a1,sp,8 + 80000f04: 00300513 li a0,3 + 80000f08: 3d8000ef jal ra,800012e0 + 80000f0c: 00814603 lbu a2,8(sp) + 80000f10: 00040513 mv a0,s0 + 80000f14: 00060593 mv a1,a2 + 80000f18: 315010ef jal ra,80002a2c + 80000f1c: fe5ff06f j 80000f00 + 80000f20: 00079e63 bnez a5,80000f3c + 80000f24: 0000006f j 80000f24 + 80000f28: 0007b583 ld a1,0(a5) # 80000 <_entry_offset+0x80000> + 80000f2c: 000a0693 mv a3,s4 + 80000f30: 00071463 bnez a4,80000f38 + 80000f34: 00098693 mv a3,s3 + 80000f38: 2f5010ef jal ra,80002a2c + 80000f3c: 00800513 li a0,8 + 80000f40: 00810593 addi a1,sp,8 + 80000f44: 39c000ef jal ra,800012e0 + 80000f48: 00c12603 lw a2,12(sp) + 80000f4c: 00814703 lbu a4,8(sp) + 80000f50: 00090513 mv a0,s2 + 80000f54: 00361793 slli a5,a2,0x3 + 80000f58: 00fa87b3 add a5,s5,a5 + 80000f5c: fc0616e3 bnez a2,80000f28 + 80000f60: 00044783 lbu a5,0(s0) + 80000f64: f8dff06f j 80000ef0 + +0000000080000f68 : + 80000f68: 00052783 lw a5,0(a0) + 80000f6c: ff010113 addi sp,sp,-16 + 80000f70: 00813023 sd s0,0(sp) + 80000f74: 00113423 sd ra,8(sp) + 80000f78: 00500713 li a4,5 + 80000f7c: 00058413 mv s0,a1 + 80000f80: 02e78463 beq a5,a4,80000fa8 + 80000f84: 00600713 li a4,6 + 80000f88: 04e78c63 beq a5,a4,80000fe0 + 80000f8c: 00100713 li a4,1 + 80000f90: 02e78a63 beq a5,a4,80000fc4 + 80000f94: 00813083 ld ra,8(sp) + 80000f98: 00040513 mv a0,s0 + 80000f9c: 00013403 ld s0,0(sp) + 80000fa0: 01010113 addi sp,sp,16 + 80000fa4: 00008067 ret + 80000fa8: 07400513 li a0,116 + 80000fac: 0e4000ef jal ra,80001090 + 80000fb0: 00813083 ld ra,8(sp) + 80000fb4: 00040513 mv a0,s0 + 80000fb8: 00013403 ld s0,0(sp) + 80000fbc: 01010113 addi sp,sp,16 + 80000fc0: 00008067 ret + 80000fc4: 07900513 li a0,121 + 80000fc8: 0c8000ef jal ra,80001090 + 80000fcc: 00813083 ld ra,8(sp) + 80000fd0: 00040513 mv a0,s0 + 80000fd4: 00013403 ld s0,0(sp) + 80000fd8: 01010113 addi sp,sp,16 + 80000fdc: 00008067 ret + 80000fe0: 06400513 li a0,100 + 80000fe4: 0ac000ef jal ra,80001090 + 80000fe8: 00813083 ld ra,8(sp) + 80000fec: 00040513 mv a0,s0 + 80000ff0: 00013403 ld s0,0(sp) + 80000ff4: 01010113 addi sp,sp,16 + 80000ff8: 00008067 ret + +0000000080000ffc : + 80000ffc: fe010113 addi sp,sp,-32 + 80001000: 00003517 auipc a0,0x3 + 80001004: dd850513 addi a0,a0,-552 # 80003dd8 + 80001008: 00113c23 sd ra,24(sp) + 8000100c: 00813823 sd s0,16(sp) + 80001010: 21d010ef jal ra,80002a2c + 80001014: 00003517 auipc a0,0x3 + 80001018: 39c50513 addi a0,a0,924 # 800043b0 + 8000101c: 211010ef jal ra,80002a2c + 80001020: 00810593 addi a1,sp,8 + 80001024: 00700513 li a0,7 + 80001028: 2b8000ef jal ra,800012e0 + 8000102c: 00100513 li a0,1 + 80001030: 754000ef jal ra,80001784 + 80001034: 3e700413 li s0,999 + 80001038: 00012423 sw zero,8(sp) + 8000103c: 00812783 lw a5,8(sp) + 80001040: 0007879b sext.w a5,a5 + 80001044: 00f44e63 blt s0,a5,80001060 + 80001048: 00812783 lw a5,8(sp) + 8000104c: 0017879b addiw a5,a5,1 + 80001050: 00f12423 sw a5,8(sp) + 80001054: 00812783 lw a5,8(sp) + 80001058: 0007879b sext.w a5,a5 + 8000105c: fef456e3 bge s0,a5,80001048 + 80001060: 718000ef jal ra,80001778 + 80001064: fd5ff06f j 80001038 + +0000000080001068 : + 80001068: ff010113 addi sp,sp,-16 + 8000106c: 00813023 sd s0,0(sp) + 80001070: 00113423 sd ra,8(sp) + 80001074: 00003417 auipc s0,0x3 + 80001078: 36440413 addi s0,s0,868 # 800043d8 + 8000107c: 06d000ef jal ra,800018e8 + 80001080: 00050593 mv a1,a0 + 80001084: 00040513 mv a0,s0 + 80001088: 1a5010ef jal ra,80002a2c + 8000108c: ff1ff06f j 8000107c + +0000000080001090 : + 80001090: 00050513 mv a0,a0 + 80001094: 0000007b 0x7b + 80001098: 00008067 ret + +000000008000109c : + 8000109c: 00050513 mv a0,a0 + 800010a0: 0000006b 0x6b + 800010a4: 0000006f j 800010a4 + +00000000800010a8 <_assert>: + 800010a8: 00051a63 bnez a0,800010bc <_assert+0x14> + 800010ac: 00100793 li a5,1 + 800010b0: 00078513 mv a0,a5 + 800010b4: 0000006b 0x6b + 800010b8: 0000006f j 800010b8 <_assert+0x10> + 800010bc: 00008067 ret + +00000000800010c0 <_trm_init>: + 800010c0: ff010113 addi sp,sp,-16 + 800010c4: 00113423 sd ra,8(sp) + 800010c8: 0b1000ef jal ra,80001978 + 800010cc: 00003517 auipc a0,0x3 + 800010d0: 64c50513 addi a0,a0,1612 # 80004718 + 800010d4: f3dfe0ef jal ra,80000010
+ 800010d8: 00050513 mv a0,a0 + 800010dc: 0000006b 0x6b + 800010e0: 0000006f j 800010e0 <_trm_init+0x20> + +00000000800010e4 <__muldi3>: + 800010e4: 00050613 mv a2,a0 + 800010e8: 00000513 li a0,0 + 800010ec: 0015f693 andi a3,a1,1 + 800010f0: 00068463 beqz a3,800010f8 <__muldi3+0x14> + 800010f4: 00c50533 add a0,a0,a2 + 800010f8: 0015d593 srli a1,a1,0x1 + 800010fc: 00161613 slli a2,a2,0x1 + 80001100: fe0596e3 bnez a1,800010ec <__muldi3+0x8> + 80001104: 00008067 ret + +0000000080001108 <__udivsi3>: + 80001108: 02051513 slli a0,a0,0x20 + 8000110c: 02059593 slli a1,a1,0x20 + 80001110: 00008293 mv t0,ra + 80001114: 03c000ef jal ra,80001150 <__udivdi3> + 80001118: 0005051b sext.w a0,a0 + 8000111c: 00028067 jr t0 + +0000000080001120 <__umodsi3>: + 80001120: 02051513 slli a0,a0,0x20 + 80001124: 02059593 slli a1,a1,0x20 + 80001128: 02055513 srli a0,a0,0x20 + 8000112c: 0205d593 srli a1,a1,0x20 + 80001130: 00008293 mv t0,ra + 80001134: 01c000ef jal ra,80001150 <__udivdi3> + 80001138: 0005851b sext.w a0,a1 + 8000113c: 00028067 jr t0 + +0000000080001140 <__divsi3>: + 80001140: fff00293 li t0,-1 + 80001144: 0a558c63 beq a1,t0,800011fc <__moddi3+0x30> + +0000000080001148 <__divdi3>: + 80001148: 06054063 bltz a0,800011a8 <__umoddi3+0x10> + 8000114c: 0605c663 bltz a1,800011b8 <__umoddi3+0x20> + +0000000080001150 <__udivdi3>: + 80001150: 00058613 mv a2,a1 + 80001154: 00050593 mv a1,a0 + 80001158: fff00513 li a0,-1 + 8000115c: 02060c63 beqz a2,80001194 <__udivdi3+0x44> + 80001160: 00100693 li a3,1 + 80001164: 00b67a63 bgeu a2,a1,80001178 <__udivdi3+0x28> + 80001168: 00c05863 blez a2,80001178 <__udivdi3+0x28> + 8000116c: 00161613 slli a2,a2,0x1 + 80001170: 00169693 slli a3,a3,0x1 + 80001174: feb66ae3 bltu a2,a1,80001168 <__udivdi3+0x18> + 80001178: 00000513 li a0,0 + 8000117c: 00c5e663 bltu a1,a2,80001188 <__udivdi3+0x38> + 80001180: 40c585b3 sub a1,a1,a2 + 80001184: 00d56533 or a0,a0,a3 + 80001188: 0016d693 srli a3,a3,0x1 + 8000118c: 00165613 srli a2,a2,0x1 + 80001190: fe0696e3 bnez a3,8000117c <__udivdi3+0x2c> + 80001194: 00008067 ret + +0000000080001198 <__umoddi3>: + 80001198: 00008293 mv t0,ra + 8000119c: fb5ff0ef jal ra,80001150 <__udivdi3> + 800011a0: 00058513 mv a0,a1 + 800011a4: 00028067 jr t0 + 800011a8: 40a00533 neg a0,a0 + 800011ac: 00b04863 bgtz a1,800011bc <__umoddi3+0x24> + 800011b0: 40b005b3 neg a1,a1 + 800011b4: f9dff06f j 80001150 <__udivdi3> + 800011b8: 40b005b3 neg a1,a1 + 800011bc: 00008293 mv t0,ra + 800011c0: f91ff0ef jal ra,80001150 <__udivdi3> + 800011c4: 40a00533 neg a0,a0 + 800011c8: 00028067 jr t0 + +00000000800011cc <__moddi3>: + 800011cc: 00008293 mv t0,ra + 800011d0: 0005ca63 bltz a1,800011e4 <__moddi3+0x18> + 800011d4: 00054c63 bltz a0,800011ec <__moddi3+0x20> + 800011d8: f79ff0ef jal ra,80001150 <__udivdi3> + 800011dc: 00058513 mv a0,a1 + 800011e0: 00028067 jr t0 + 800011e4: 40b005b3 neg a1,a1 + 800011e8: fe0558e3 bgez a0,800011d8 <__moddi3+0xc> + 800011ec: 40a00533 neg a0,a0 + 800011f0: f61ff0ef jal ra,80001150 <__udivdi3> + 800011f4: 40b00533 neg a0,a1 + 800011f8: 00028067 jr t0 + 800011fc: 01f29293 slli t0,t0,0x1f + 80001200: f45514e3 bne a0,t0,80001148 <__divdi3> + 80001204: 00008067 ret + +0000000080001208 <__am_timer_config>: + 80001208: 00100793 li a5,1 + 8000120c: 00f50023 sb a5,0(a0) + 80001210: 00f500a3 sb a5,1(a0) + 80001214: 00008067 ret + +0000000080001218 <__am_input_config>: + 80001218: 00100793 li a5,1 + 8000121c: 00f50023 sb a5,0(a0) + 80001220: 00008067 ret + +0000000080001224 : + 80001224: ff010113 addi sp,sp,-16 + 80001228: 00813023 sd s0,0(sp) + 8000122c: 00113423 sd ra,8(sp) + 80001230: 00003417 auipc s0,0x3 + 80001234: 1b040413 addi s0,s0,432 # 800043e0 + 80001238: 04100513 li a0,65 + 8000123c: 00140413 addi s0,s0,1 + 80001240: e51ff0ef jal ra,80001090 + 80001244: 00044503 lbu a0,0(s0) + 80001248: fe051ae3 bnez a0,8000123c + 8000124c: 00003417 auipc s0,0x3 + 80001250: 1a440413 addi s0,s0,420 # 800043f0 + 80001254: 06100513 li a0,97 + 80001258: 00140413 addi s0,s0,1 + 8000125c: e35ff0ef jal ra,80001090 + 80001260: 00044503 lbu a0,0(s0) + 80001264: fe051ae3 bnez a0,80001258 + 80001268: 00003417 auipc s0,0x3 + 8000126c: 1a840413 addi s0,s0,424 # 80004410 + 80001270: 02000513 li a0,32 + 80001274: 00140413 addi s0,s0,1 + 80001278: e19ff0ef jal ra,80001090 + 8000127c: 00044503 lbu a0,0(s0) + 80001280: fe051ae3 bnez a0,80001274 + 80001284: 00100513 li a0,1 + 80001288: e15ff0ef jal ra,8000109c + +000000008000128c : + 8000128c: ff010113 addi sp,sp,-16 + 80001290: 00113423 sd ra,8(sp) + 80001294: 00011797 auipc a5,0x11 + 80001298: 2cc78793 addi a5,a5,716 # 80012560 + 8000129c: 00011697 auipc a3,0x11 + 800012a0: 6c468693 addi a3,a3,1732 # 80012960 + 800012a4: 00000617 auipc a2,0x0 + 800012a8: f8060613 addi a2,a2,-128 # 80001224 + 800012ac: 00c0006f j 800012b8 + 800012b0: 00878793 addi a5,a5,8 + 800012b4: 00d78c63 beq a5,a3,800012cc + 800012b8: 0007b703 ld a4,0(a5) + 800012bc: fe071ae3 bnez a4,800012b0 + 800012c0: 00c7b023 sd a2,0(a5) + 800012c4: 00878793 addi a5,a5,8 + 800012c8: fed798e3 bne a5,a3,800012b8 + 800012cc: 034000ef jal ra,80001300 <__am_timer_init> + 800012d0: 00813083 ld ra,8(sp) + 800012d4: 00100513 li a0,1 + 800012d8: 01010113 addi sp,sp,16 + 800012dc: 00008067 ret + +00000000800012e0 : + 800012e0: 00351793 slli a5,a0,0x3 + 800012e4: 00011517 auipc a0,0x11 + 800012e8: 27c50513 addi a0,a0,636 # 80012560 + 800012ec: 00f50533 add a0,a0,a5 + 800012f0: 00053303 ld t1,0(a0) + 800012f4: 00058513 mv a0,a1 + 800012f8: 00030067 jr t1 + +00000000800012fc : + 800012fc: fe5ff06f j 800012e0 + +0000000080001300 <__am_timer_init>: + 80001300: 00008067 ret + +0000000080001304 <__am_timer_uptime>: + 80001304: fd010113 addi sp,sp,-48 + 80001308: 00913c23 sd s1,24(sp) + 8000130c: 02113423 sd ra,40(sp) + 80001310: 02813023 sd s0,32(sp) + 80001314: 01213823 sd s2,16(sp) + 80001318: 01313423 sd s3,8(sp) + 8000131c: 00050493 mv s1,a0 + 80001320: b0002973 csrr s2,mcycle + 80001324: 000f49b7 lui s3,0xf4 + 80001328: 24098593 addi a1,s3,576 # f4240 <_entry_offset+0xf4240> + 8000132c: 00090513 mv a0,s2 + 80001330: e21ff0ef jal ra,80001150 <__udivdi3> + 80001334: 00551413 slli s0,a0,0x5 + 80001338: 40a407b3 sub a5,s0,a0 + 8000133c: 00679413 slli s0,a5,0x6 + 80001340: 40f40433 sub s0,s0,a5 + 80001344: 00341413 slli s0,s0,0x3 + 80001348: 00a40433 add s0,s0,a0 + 8000134c: 24098593 addi a1,s3,576 + 80001350: 00090513 mv a0,s2 + 80001354: e45ff0ef jal ra,80001198 <__umoddi3> + 80001358: 00641413 slli s0,s0,0x6 + 8000135c: 00a40433 add s0,s0,a0 + 80001360: 0084b023 sd s0,0(s1) + 80001364: 02813083 ld ra,40(sp) + 80001368: 02013403 ld s0,32(sp) + 8000136c: 01813483 ld s1,24(sp) + 80001370: 01013903 ld s2,16(sp) + 80001374: 00813983 ld s3,8(sp) + 80001378: 03010113 addi sp,sp,48 + 8000137c: 00008067 ret + +0000000080001380 : + 80001380: fc010113 addi sp,sp,-64 + 80001384: 01313c23 sd s3,24(sp) + 80001388: 00058993 mv s3,a1 + 8000138c: 00050793 mv a5,a0 + 80001390: 03800613 li a2,56 + 80001394: 00000593 li a1,0 + 80001398: 00098513 mv a0,s3 + 8000139c: 02813823 sd s0,48(sp) + 800013a0: 03213023 sd s2,32(sp) + 800013a4: 0007b403 ld s0,0(a5) + 800013a8: 01413823 sd s4,16(sp) + 800013ac: 02113c23 sd ra,56(sp) + 800013b0: 02913423 sd s1,40(sp) + 800013b4: 01513423 sd s5,8(sp) + 800013b8: 7a0010ef jal ra,80002b58 + 800013bc: 7b200793 li a5,1970 + 800013c0: 00f9aa23 sw a5,20(s3) + 800013c4: 7b200913 li s2,1970 + 800013c8: 00003a17 auipc s4,0x3 + 800013cc: 358a0a13 addi s4,s4,856 # 80004720 + 800013d0: 0100006f j 800013e0 + 800013d4: 40e40433 sub s0,s0,a4 + 800013d8: 00d9aa23 sw a3,20(s3) + 800013dc: 00068913 mv s2,a3 + 800013e0: 0009049b sext.w s1,s2 + 800013e4: 06400593 li a1,100 + 800013e8: 00048513 mv a0,s1 + 800013ec: de1ff0ef jal ra,800011cc <__moddi3> + 800013f0: 00050793 mv a5,a0 + 800013f4: 0007879b sext.w a5,a5 + 800013f8: 00048513 mv a0,s1 + 800013fc: 00397493 andi s1,s2,3 + 80001400: 19000593 li a1,400 + 80001404: 0014b493 seqz s1,s1 + 80001408: 00079863 bnez a5,80001418 + 8000140c: dc1ff0ef jal ra,800011cc <__moddi3> + 80001410: 0005049b sext.w s1,a0 + 80001414: 0014b493 seqz s1,s1 + 80001418: 00249793 slli a5,s1,0x2 + 8000141c: 00fa07b3 add a5,s4,a5 + 80001420: 0007e703 lwu a4,0(a5) + 80001424: 0019069b addiw a3,s2,1 + 80001428: fae456e3 bge s0,a4,800013d4 + 8000142c: 000155b7 lui a1,0x15 + 80001430: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80001434: 00040513 mv a0,s0 + 80001438: d11ff0ef jal ra,80001148 <__divdi3> + 8000143c: 00149793 slli a5,s1,0x1 + 80001440: 009784b3 add s1,a5,s1 + 80001444: 00449793 slli a5,s1,0x4 + 80001448: 00003497 auipc s1,0x3 + 8000144c: 00848493 addi s1,s1,8 # 80004450 + 80001450: 00f484b3 add s1,s1,a5 + 80001454: 0004e783 lwu a5,0(s1) + 80001458: 0005051b sext.w a0,a0 + 8000145c: 00a9ae23 sw a0,28(s3) + 80001460: 14f44463 blt s0,a5,800015a8 + 80001464: 00100713 li a4,1 + 80001468: 40f40433 sub s0,s0,a5 + 8000146c: 0044e783 lwu a5,4(s1) + 80001470: 00070a1b sext.w s4,a4 + 80001474: 00448493 addi s1,s1,4 + 80001478: 00170713 addi a4,a4,1 + 8000147c: fef456e3 bge s0,a5,80001468 + 80001480: 000155b7 lui a1,0x15 + 80001484: 0149a823 sw s4,16(s3) + 80001488: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 8000148c: 00040513 mv a0,s0 + 80001490: cb9ff0ef jal ra,80001148 <__divdi3> + 80001494: 0005051b sext.w a0,a0 + 80001498: 0015079b addiw a5,a0,1 + 8000149c: 000155b7 lui a1,0x15 + 800014a0: 00f9a623 sw a5,12(s3) + 800014a4: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800014a8: 00040513 mv a0,s0 + 800014ac: 00001ab7 lui s5,0x1 + 800014b0: 0007841b sext.w s0,a5 + 800014b4: d19ff0ef jal ra,800011cc <__moddi3> + 800014b8: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 800014bc: 00050493 mv s1,a0 + 800014c0: c89ff0ef jal ra,80001148 <__divdi3> + 800014c4: e10a8593 addi a1,s5,-496 + 800014c8: 00a9a423 sw a0,8(s3) + 800014cc: 00048513 mv a0,s1 + 800014d0: cfdff0ef jal ra,800011cc <__moddi3> + 800014d4: 03c00593 li a1,60 + 800014d8: 00050493 mv s1,a0 + 800014dc: c6dff0ef jal ra,80001148 <__divdi3> + 800014e0: 00a9a223 sw a0,4(s3) + 800014e4: 03c00593 li a1,60 + 800014e8: 00048513 mv a0,s1 + 800014ec: ce1ff0ef jal ra,800011cc <__moddi3> + 800014f0: 001a0a1b addiw s4,s4,1 + 800014f4: 0ffa7793 andi a5,s4,255 + 800014f8: 0037b793 sltiu a5,a5,3 + 800014fc: 40f9093b subw s2,s2,a5 + 80001500: 03091913 slli s2,s2,0x30 + 80001504: 03095913 srli s2,s2,0x30 + 80001508: 00a9a023 sw a0,0(s3) + 8000150c: 06400593 li a1,100 + 80001510: 00090513 mv a0,s2 + 80001514: c3dff0ef jal ra,80001150 <__udivdi3> + 80001518: 0029549b srliw s1,s2,0x2 + 8000151c: 0105179b slliw a5,a0,0x10 + 80001520: 0107d79b srliw a5,a5,0x10 + 80001524: 012484bb addw s1,s1,s2 + 80001528: 19000593 li a1,400 + 8000152c: 00090513 mv a0,s2 + 80001530: 0ffa7a13 andi s4,s4,255 + 80001534: 40f484bb subw s1,s1,a5 + 80001538: fffa0a1b addiw s4,s4,-1 + 8000153c: c15ff0ef jal ra,80001150 <__udivdi3> + 80001540: 00003797 auipc a5,0x3 + 80001544: f7078793 addi a5,a5,-144 # 800044b0 + 80001548: 002a1a13 slli s4,s4,0x2 + 8000154c: 01478a33 add s4,a5,s4 + 80001550: 000a2783 lw a5,0(s4) + 80001554: 0105151b slliw a0,a0,0x10 + 80001558: 0105551b srliw a0,a0,0x10 + 8000155c: 00a484bb addw s1,s1,a0 + 80001560: 00f484bb addw s1,s1,a5 + 80001564: 0ff47513 andi a0,s0,255 + 80001568: 00a4853b addw a0,s1,a0 + 8000156c: 00700593 li a1,7 + 80001570: c5dff0ef jal ra,800011cc <__moddi3> + 80001574: 03813083 ld ra,56(sp) + 80001578: 03013403 ld s0,48(sp) + 8000157c: 0ff57513 andi a0,a0,255 + 80001580: 00a9ac23 sw a0,24(s3) + 80001584: 0209a023 sw zero,32(s3) + 80001588: 02813483 ld s1,40(sp) + 8000158c: 02013903 ld s2,32(sp) + 80001590: 01013a03 ld s4,16(sp) + 80001594: 00813a83 ld s5,8(sp) + 80001598: 00098513 mv a0,s3 + 8000159c: 01813983 ld s3,24(sp) + 800015a0: 04010113 addi sp,sp,64 + 800015a4: 00008067 ret + 800015a8: 00000a13 li s4,0 + 800015ac: eedff06f j 80001498 + +00000000800015b0 <__am_timer_rtc>: + 800015b0: f9010113 addi sp,sp,-112 + 800015b4: 06813023 sd s0,96(sp) + 800015b8: 06113423 sd ra,104(sp) + 800015bc: 04913c23 sd s1,88(sp) + 800015c0: 05213823 sd s2,80(sp) + 800015c4: 00050413 mv s0,a0 + 800015c8: b00024f3 csrr s1,mcycle + 800015cc: 000f4937 lui s2,0xf4 + 800015d0: 24090593 addi a1,s2,576 # f4240 <_entry_offset+0xf4240> + 800015d4: 00048513 mv a0,s1 + 800015d8: b79ff0ef jal ra,80001150 <__udivdi3> + 800015dc: 00050793 mv a5,a0 + 800015e0: 24090593 addi a1,s2,576 + 800015e4: 00048513 mv a0,s1 + 800015e8: 00f13423 sd a5,8(sp) + 800015ec: badff0ef jal ra,80001198 <__umoddi3> + 800015f0: 00050793 mv a5,a0 + 800015f4: 01810593 addi a1,sp,24 + 800015f8: 00810513 addi a0,sp,8 + 800015fc: 00f13823 sd a5,16(sp) + 80001600: d81ff0ef jal ra,80001380 + 80001604: 01812703 lw a4,24(sp) + 80001608: 02812783 lw a5,40(sp) + 8000160c: 06813083 ld ra,104(sp) + 80001610: 00e42a23 sw a4,20(s0) + 80001614: 01c12703 lw a4,28(sp) + 80001618: 0017879b addiw a5,a5,1 + 8000161c: 00f42223 sw a5,4(s0) + 80001620: 00e42823 sw a4,16(s0) + 80001624: 02012703 lw a4,32(sp) + 80001628: 02c12783 lw a5,44(sp) + 8000162c: 05813483 ld s1,88(sp) + 80001630: 00e42623 sw a4,12(s0) + 80001634: 02412703 lw a4,36(sp) + 80001638: 00f42023 sw a5,0(s0) + 8000163c: 05013903 ld s2,80(sp) + 80001640: 00e42423 sw a4,8(s0) + 80001644: 06013403 ld s0,96(sp) + 80001648: 07010113 addi sp,sp,112 + 8000164c: 00008067 ret + +0000000080001650 <__am_input_keybrd>: + 80001650: 00050023 sb zero,0(a0) + 80001654: 00052223 sw zero,4(a0) + 80001658: 00008067 ret + +000000008000165c <__am_irq_handle>: + 8000165c: 00095717 auipc a4,0x95 + 80001660: 54c73703 ld a4,1356(a4) # 80096ba8 + 80001664: 00050593 mv a1,a0 + 80001668: 0a070a63 beqz a4,8000171c <__am_irq_handle+0xc0> + 8000166c: 10053683 ld a3,256(a0) + 80001670: fb010113 addi sp,sp,-80 + 80001674: 04113423 sd ra,72(sp) + 80001678: 02013023 sd zero,32(sp) + 8000167c: 02013423 sd zero,40(sp) + 80001680: 02013823 sd zero,48(sp) + 80001684: 02013c23 sd zero,56(sp) + 80001688: 00b00793 li a5,11 + 8000168c: 06f68c63 beq a3,a5,80001704 <__am_irq_handle+0xa8> + 80001690: fff00793 li a5,-1 + 80001694: 03f79793 slli a5,a5,0x3f + 80001698: 00778793 addi a5,a5,7 + 8000169c: 04f69e63 bne a3,a5,800016f8 <__am_irq_handle+0x9c> + 800016a0: 02004637 lui a2,0x2004 + 800016a4: 00063783 ld a5,0(a2) # 2004000 <_entry_offset+0x2004000> + 800016a8: 000f46b7 lui a3,0xf4 + 800016ac: 24068693 addi a3,a3,576 # f4240 <_entry_offset+0xf4240> + 800016b0: 00d787b3 add a5,a5,a3 + 800016b4: 00f63023 sd a5,0(a2) + 800016b8: 00500793 li a5,5 + 800016bc: 02f12023 sw a5,32(sp) + 800016c0: 02013783 ld a5,32(sp) + 800016c4: 00010513 mv a0,sp + 800016c8: 00f13023 sd a5,0(sp) + 800016cc: 02813783 ld a5,40(sp) + 800016d0: 00f13423 sd a5,8(sp) + 800016d4: 03013783 ld a5,48(sp) + 800016d8: 00f13823 sd a5,16(sp) + 800016dc: 03813783 ld a5,56(sp) + 800016e0: 00f13c23 sd a5,24(sp) + 800016e4: 000700e7 jalr a4 + 800016e8: 04050863 beqz a0,80001738 <__am_irq_handle+0xdc> + 800016ec: 04813083 ld ra,72(sp) + 800016f0: 05010113 addi sp,sp,80 + 800016f4: 00008067 ret + 800016f8: 00400793 li a5,4 + 800016fc: 02f12023 sw a5,32(sp) + 80001700: fc1ff06f j 800016c0 <__am_irq_handle+0x64> + 80001704: 08853683 ld a3,136(a0) + 80001708: fff00793 li a5,-1 + 8000170c: 00f68a63 beq a3,a5,80001720 <__am_irq_handle+0xc4> + 80001710: 00200793 li a5,2 + 80001714: 02f12023 sw a5,32(sp) + 80001718: fa9ff06f j 800016c0 <__am_irq_handle+0x64> + 8000171c: 00008067 ret + 80001720: 11053783 ld a5,272(a0) + 80001724: 00100693 li a3,1 + 80001728: 02d12023 sw a3,32(sp) + 8000172c: 00478793 addi a5,a5,4 + 80001730: 10f53823 sd a5,272(a0) + 80001734: f8dff06f j 800016c0 <__am_irq_handle+0x64> + 80001738: 02700613 li a2,39 + 8000173c: 00003597 auipc a1,0x3 + 80001740: da458593 addi a1,a1,-604 # 800044e0 + 80001744: 00002517 auipc a0,0x2 + 80001748: 46c50513 addi a0,a0,1132 # 80003bb0 + 8000174c: 2e0010ef jal ra,80002a2c + 80001750: 00100513 li a0,1 + 80001754: 949ff0ef jal ra,8000109c + +0000000080001758 : + 80001758: 00050793 mv a5,a0 + 8000175c: 00000717 auipc a4,0x0 + 80001760: 02c70713 addi a4,a4,44 # 80001788 <__am_asm_trap> + 80001764: 30571073 csrw mtvec,a4 + 80001768: 00100513 li a0,1 + 8000176c: 00095717 auipc a4,0x95 + 80001770: 42f73e23 sd a5,1084(a4) # 80096ba8 + 80001774: 00008067 ret + +0000000080001778 : + 80001778: fff00893 li a7,-1 + 8000177c: 00000073 ecall + 80001780: 00008067 ret + +0000000080001784 : + 80001784: 00008067 ret + +0000000080001788 <__am_asm_trap>: + 80001788: ee810113 addi sp,sp,-280 + 8000178c: 00113423 sd ra,8(sp) + 80001790: 00313c23 sd gp,24(sp) + 80001794: 02413023 sd tp,32(sp) + 80001798: 02513423 sd t0,40(sp) + 8000179c: 02613823 sd t1,48(sp) + 800017a0: 02713c23 sd t2,56(sp) + 800017a4: 04813023 sd s0,64(sp) + 800017a8: 04913423 sd s1,72(sp) + 800017ac: 04a13823 sd a0,80(sp) + 800017b0: 04b13c23 sd a1,88(sp) + 800017b4: 06c13023 sd a2,96(sp) + 800017b8: 06d13423 sd a3,104(sp) + 800017bc: 06e13823 sd a4,112(sp) + 800017c0: 06f13c23 sd a5,120(sp) + 800017c4: 09013023 sd a6,128(sp) + 800017c8: 09113423 sd a7,136(sp) + 800017cc: 09213823 sd s2,144(sp) + 800017d0: 09313c23 sd s3,152(sp) + 800017d4: 0b413023 sd s4,160(sp) + 800017d8: 0b513423 sd s5,168(sp) + 800017dc: 0b613823 sd s6,176(sp) + 800017e0: 0b713c23 sd s7,184(sp) + 800017e4: 0d813023 sd s8,192(sp) + 800017e8: 0d913423 sd s9,200(sp) + 800017ec: 0da13823 sd s10,208(sp) + 800017f0: 0db13c23 sd s11,216(sp) + 800017f4: 0fc13023 sd t3,224(sp) + 800017f8: 0fd13423 sd t4,232(sp) + 800017fc: 0fe13823 sd t5,240(sp) + 80001800: 0ff13c23 sd t6,248(sp) + 80001804: 00010293 mv t0,sp + 80001808: 11828293 addi t0,t0,280 + 8000180c: 00513823 sd t0,16(sp) + 80001810: 342022f3 csrr t0,mcause + 80001814: 30002373 csrr t1,mstatus + 80001818: 341023f3 csrr t2,mepc + 8000181c: 10513023 sd t0,256(sp) + 80001820: 10613423 sd t1,264(sp) + 80001824: 10713823 sd t2,272(sp) + 80001828: 00010513 mv a0,sp + 8000182c: e31ff0ef jal ra,8000165c <__am_irq_handle> + 80001830: 10813303 ld t1,264(sp) + 80001834: 11013383 ld t2,272(sp) + 80001838: 30031073 csrw mstatus,t1 + 8000183c: 34139073 csrw mepc,t2 + 80001840: 00813083 ld ra,8(sp) + 80001844: 01813183 ld gp,24(sp) + 80001848: 02013203 ld tp,32(sp) + 8000184c: 02813283 ld t0,40(sp) + 80001850: 03013303 ld t1,48(sp) + 80001854: 03813383 ld t2,56(sp) + 80001858: 04013403 ld s0,64(sp) + 8000185c: 04813483 ld s1,72(sp) + 80001860: 05013503 ld a0,80(sp) + 80001864: 05813583 ld a1,88(sp) + 80001868: 06013603 ld a2,96(sp) + 8000186c: 06813683 ld a3,104(sp) + 80001870: 07013703 ld a4,112(sp) + 80001874: 07813783 ld a5,120(sp) + 80001878: 08013803 ld a6,128(sp) + 8000187c: 08813883 ld a7,136(sp) + 80001880: 09013903 ld s2,144(sp) + 80001884: 09813983 ld s3,152(sp) + 80001888: 0a013a03 ld s4,160(sp) + 8000188c: 0a813a83 ld s5,168(sp) + 80001890: 0b013b03 ld s6,176(sp) + 80001894: 0b813b83 ld s7,184(sp) + 80001898: 0c013c03 ld s8,192(sp) + 8000189c: 0c813c83 ld s9,200(sp) + 800018a0: 0d013d03 ld s10,208(sp) + 800018a4: 0d813d83 ld s11,216(sp) + 800018a8: 0e013e03 ld t3,224(sp) + 800018ac: 0e813e83 ld t4,232(sp) + 800018b0: 0f013f03 ld t5,240(sp) + 800018b4: 0f813f83 ld t6,248(sp) + 800018b8: 11810113 addi sp,sp,280 + 800018bc: 30200073 mret + +00000000800018c0 : + 800018c0: 00000513 li a0,0 + 800018c4: 00008067 ret + +00000000800018c8 : + 800018c8: 00008067 ret + +00000000800018cc : + 800018cc: 00008067 ret + +00000000800018d0 : + 800018d0: ff010113 addi sp,sp,-16 + 800018d4: 00000513 li a0,0 + 800018d8: 01010113 addi sp,sp,16 + 800018dc: 00008067 ret + +00000000800018e0 : + 800018e0: 00000513 li a0,0 + 800018e4: 00008067 ret + +00000000800018e8 : + 800018e8: 00000513 li a0,0 + 800018ec: 00008067 ret + +00000000800018f0 : + 800018f0: 00054783 lbu a5,0(a0) + 800018f4: 00158593 addi a1,a1,1 + 800018f8: 00150513 addi a0,a0,1 + 800018fc: fff5c703 lbu a4,-1(a1) + 80001900: 00078863 beqz a5,80001910 + 80001904: fee786e3 beq a5,a4,800018f0 + 80001908: 40e7853b subw a0,a5,a4 + 8000190c: 00008067 ret + 80001910: 40e0053b negw a0,a4 + 80001914: 00008067 ret + +0000000080001918 : + 80001918: 00011617 auipc a2,0x11 + 8000191c: 04860613 addi a2,a2,72 # 80012960 + 80001920: 00063683 ld a3,0(a2) + 80001924: 00869713 slli a4,a3,0x8 + 80001928: 40d70733 sub a4,a4,a3 + 8000192c: 00371713 slli a4,a4,0x3 + 80001930: 00d70733 add a4,a4,a3 + 80001934: 00771713 slli a4,a4,0x7 + 80001938: 00d70733 add a4,a4,a3 + 8000193c: 00371713 slli a4,a4,0x3 + 80001940: 40d70733 sub a4,a4,a3 + 80001944: 00571793 slli a5,a4,0x5 + 80001948: 00f707b3 add a5,a4,a5 + 8000194c: 00279793 slli a5,a5,0x2 + 80001950: 40d787b3 sub a5,a5,a3 + 80001954: 00279793 slli a5,a5,0x2 + 80001958: 00003737 lui a4,0x3 + 8000195c: 00d787b3 add a5,a5,a3 + 80001960: 03970713 addi a4,a4,57 # 3039 <_entry_offset+0x3039> + 80001964: 00e787b3 add a5,a5,a4 + 80001968: 02179513 slli a0,a5,0x21 + 8000196c: 00f63023 sd a5,0(a2) + 80001970: 03155513 srli a0,a0,0x31 + 80001974: 00008067 ret + +0000000080001978 : + 80001978: 00011797 auipc a5,0x11 + 8000197c: bd878793 addi a5,a5,-1064 # 80012550 + 80001980: 0007b503 ld a0,0(a5) + 80001984: 0087b583 ld a1,8(a5) + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00000693 li a3,0 + 80001990: 00000613 li a2,0 + 80001994: 40a585b3 sub a1,a1,a0 + 80001998: 00113423 sd ra,8(sp) + 8000199c: 378010ef jal ra,80002d14 + 800019a0: 00813083 ld ra,8(sp) + 800019a4: 00095797 auipc a5,0x95 + 800019a8: 20a7b623 sd a0,524(a5) # 80096bb0 + 800019ac: 01010113 addi sp,sp,16 + 800019b0: 00008067 ret + +00000000800019b4 <_out_null>: + 800019b4: 00008067 ret + +00000000800019b8 <_ntoa_format>: + 800019b8: fa010113 addi sp,sp,-96 + 800019bc: 07012303 lw t1,112(sp) + 800019c0: 03313c23 sd s3,56(sp) + 800019c4: 03413823 sd s4,48(sp) + 800019c8: 03513423 sd s5,40(sp) + 800019cc: 03613023 sd s6,32(sp) + 800019d0: 01713c23 sd s7,24(sp) + 800019d4: 01813823 sd s8,16(sp) + 800019d8: 01913423 sd s9,8(sp) + 800019dc: 00237e13 andi t3,t1,2 + 800019e0: 01037e93 andi t4,t1,16 + 800019e4: 04113c23 sd ra,88(sp) + 800019e8: 04813823 sd s0,80(sp) + 800019ec: 04913423 sd s1,72(sp) + 800019f0: 05213023 sd s2,64(sp) + 800019f4: 06012f03 lw t5,96(sp) + 800019f8: 06812c03 lw s8,104(sp) + 800019fc: 00050a13 mv s4,a0 + 80001a00: 00058a93 mv s5,a1 + 80001a04: 00060b93 mv s7,a2 + 80001a08: 00068b13 mv s6,a3 + 80001a0c: 00070993 mv s3,a4 + 80001a10: 000e0c9b sext.w s9,t3 + 80001a14: 000e8e9b sext.w t4,t4 + 80001a18: 060e1c63 bnez t3,80001a90 <_ntoa_format+0xd8> + 80001a1c: 020f1693 slli a3,t5,0x20 + 80001a20: 00137513 andi a0,t1,1 + 80001a24: 0206d693 srli a3,a3,0x20 + 80001a28: 180c1e63 bnez s8,80001bc4 <_ntoa_format+0x20c> + 80001a2c: 02d7f663 bgeu a5,a3,80001a58 <_ntoa_format+0xa0> + 80001a30: 02000713 li a4,32 + 80001a34: 36e78e63 beq a5,a4,80001db0 <_ntoa_format+0x3f8> + 80001a38: 03000613 li a2,48 + 80001a3c: 02000593 li a1,32 + 80001a40: 0080006f j 80001a48 <_ntoa_format+0x90> + 80001a44: 00b78a63 beq a5,a1,80001a58 <_ntoa_format+0xa0> + 80001a48: 00178793 addi a5,a5,1 + 80001a4c: 00f98733 add a4,s3,a5 + 80001a50: fec70fa3 sb a2,-1(a4) + 80001a54: fed7e8e3 bltu a5,a3,80001a44 <_ntoa_format+0x8c> + 80001a58: 02050c63 beqz a0,80001a90 <_ntoa_format+0xd8> + 80001a5c: 020c1913 slli s2,s8,0x20 + 80001a60: 02095913 srli s2,s2,0x20 + 80001a64: 0327f663 bgeu a5,s2,80001a90 <_ntoa_format+0xd8> + 80001a68: 02000713 li a4,32 + 80001a6c: 36e78863 beq a5,a4,80001ddc <_ntoa_format+0x424> + 80001a70: 03000693 li a3,48 + 80001a74: 02000613 li a2,32 + 80001a78: 0080006f j 80001a80 <_ntoa_format+0xc8> + 80001a7c: 16c78463 beq a5,a2,80001be4 <_ntoa_format+0x22c> + 80001a80: 00178793 addi a5,a5,1 + 80001a84: 00f98733 add a4,s3,a5 + 80001a88: fed70fa3 sb a3,-1(a4) + 80001a8c: ff2798e3 bne a5,s2,80001a7c <_ntoa_format+0xc4> + 80001a90: 1a0e8663 beqz t4,80001c3c <_ntoa_format+0x284> + 80001a94: 40037713 andi a4,t1,1024 + 80001a98: 20071463 bnez a4,80001ca0 <_ntoa_format+0x2e8> + 80001a9c: 1e079663 bnez a5,80001c88 <_ntoa_format+0x2d0> + 80001aa0: 01000793 li a5,16 + 80001aa4: 2af88863 beq a7,a5,80001d54 <_ntoa_format+0x39c> + 80001aa8: 00200793 li a5,2 + 80001aac: 2ef88a63 beq a7,a5,80001da0 <_ntoa_format+0x3e8> + 80001ab0: 03000793 li a5,48 + 80001ab4: 00f98023 sb a5,0(s3) + 80001ab8: 00100793 li a5,1 + 80001abc: 1a080463 beqz a6,80001c64 <_ntoa_format+0x2ac> + 80001ac0: 00f98733 add a4,s3,a5 + 80001ac4: 00178413 addi s0,a5,1 + 80001ac8: 02d00793 li a5,45 + 80001acc: 00f70023 sb a5,0(a4) + 80001ad0: 00337313 andi t1,t1,3 + 80001ad4: 20031263 bnez t1,80001cd8 <_ntoa_format+0x320> + 80001ad8: 020c1913 slli s2,s8,0x20 + 80001adc: 02095913 srli s2,s2,0x20 + 80001ae0: 1f247c63 bgeu s0,s2,80001cd8 <_ntoa_format+0x320> + 80001ae4: 40890933 sub s2,s2,s0 + 80001ae8: 01790933 add s2,s2,s7 + 80001aec: 000b8493 mv s1,s7 + 80001af0: 00048613 mv a2,s1 + 80001af4: 000b0693 mv a3,s6 + 80001af8: 00148493 addi s1,s1,1 + 80001afc: 000a8593 mv a1,s5 + 80001b00: 02000513 li a0,32 + 80001b04: 000a00e7 jalr s4 + 80001b08: ff2494e3 bne s1,s2,80001af0 <_ntoa_format+0x138> + 80001b0c: 02040663 beqz s0,80001b38 <_ntoa_format+0x180> + 80001b10: 01240933 add s2,s0,s2 + 80001b14: 012984b3 add s1,s3,s2 + 80001b18: 00898433 add s0,s3,s0 + 80001b1c: fff44503 lbu a0,-1(s0) + 80001b20: 40848633 sub a2,s1,s0 + 80001b24: 000b0693 mv a3,s6 + 80001b28: fff40413 addi s0,s0,-1 + 80001b2c: 000a8593 mv a1,s5 + 80001b30: 000a00e7 jalr s4 + 80001b34: fe8994e3 bne s3,s0,80001b1c <_ntoa_format+0x164> + 80001b38: 040c8a63 beqz s9,80001b8c <_ntoa_format+0x1d4> + 80001b3c: 020c1c13 slli s8,s8,0x20 + 80001b40: 41790433 sub s0,s2,s7 + 80001b44: 020c5c13 srli s8,s8,0x20 + 80001b48: 05847263 bgeu s0,s8,80001b8c <_ntoa_format+0x1d4> + 80001b4c: 01740633 add a2,s0,s7 + 80001b50: 000b0693 mv a3,s6 + 80001b54: 00140413 addi s0,s0,1 + 80001b58: 000a8593 mv a1,s5 + 80001b5c: 02000513 li a0,32 + 80001b60: 000a00e7 jalr s4 + 80001b64: ff8464e3 bltu s0,s8,80001b4c <_ntoa_format+0x194> + 80001b68: 41790733 sub a4,s2,s7 + 80001b6c: 00170713 addi a4,a4,1 + 80001b70: 00000793 li a5,0 + 80001b74: 00ec6863 bltu s8,a4,80001b84 <_ntoa_format+0x1cc> + 80001b78: fffb8793 addi a5,s7,-1 + 80001b7c: 01878c33 add s8,a5,s8 + 80001b80: 412c07b3 sub a5,s8,s2 + 80001b84: 00190913 addi s2,s2,1 + 80001b88: 00f90933 add s2,s2,a5 + 80001b8c: 05813083 ld ra,88(sp) + 80001b90: 05013403 ld s0,80(sp) + 80001b94: 04813483 ld s1,72(sp) + 80001b98: 03813983 ld s3,56(sp) + 80001b9c: 03013a03 ld s4,48(sp) + 80001ba0: 02813a83 ld s5,40(sp) + 80001ba4: 02013b03 ld s6,32(sp) + 80001ba8: 01813b83 ld s7,24(sp) + 80001bac: 01013c03 ld s8,16(sp) + 80001bb0: 00813c83 ld s9,8(sp) + 80001bb4: 00090513 mv a0,s2 + 80001bb8: 04013903 ld s2,64(sp) + 80001bbc: 06010113 addi sp,sp,96 + 80001bc0: 00008067 ret + 80001bc4: 12050863 beqz a0,80001cf4 <_ntoa_format+0x33c> + 80001bc8: 00081663 bnez a6,80001bd4 <_ntoa_format+0x21c> + 80001bcc: 00c37713 andi a4,t1,12 + 80001bd0: 00070463 beqz a4,80001bd8 <_ntoa_format+0x220> + 80001bd4: fffc0c1b addiw s8,s8,-1 + 80001bd8: e8d7f2e3 bgeu a5,a3,80001a5c <_ntoa_format+0xa4> + 80001bdc: 02000713 li a4,32 + 80001be0: e4e79ce3 bne a5,a4,80001a38 <_ntoa_format+0x80> + 80001be4: 0e0e8063 beqz t4,80001cc4 <_ntoa_format+0x30c> + 80001be8: 40037793 andi a5,t1,1024 + 80001bec: 04079c63 bnez a5,80001c44 <_ntoa_format+0x28c> + 80001bf0: 02000793 li a5,32 + 80001bf4: 0aff1063 bne t5,a5,80001c94 <_ntoa_format+0x2dc> + 80001bf8: 02000793 li a5,32 + 80001bfc: 01f00713 li a4,31 + 80001c00: 01000693 li a3,16 + 80001c04: 1ad88c63 beq a7,a3,80001dbc <_ntoa_format+0x404> + 80001c08: 00200693 li a3,2 + 80001c0c: 00070793 mv a5,a4 + 80001c10: 00d89e63 bne a7,a3,80001c2c <_ntoa_format+0x274> + 80001c14: 00e986b3 add a3,s3,a4 + 80001c18: 00170793 addi a5,a4,1 + 80001c1c: 06200713 li a4,98 + 80001c20: 00e68023 sb a4,0(a3) + 80001c24: 02000713 li a4,32 + 80001c28: 00e78e63 beq a5,a4,80001c44 <_ntoa_format+0x28c> + 80001c2c: 00f98733 add a4,s3,a5 + 80001c30: 03000693 li a3,48 + 80001c34: 00d70023 sb a3,0(a4) + 80001c38: 00178793 addi a5,a5,1 + 80001c3c: 02000713 li a4,32 + 80001c40: e6e79ee3 bne a5,a4,80001abc <_ntoa_format+0x104> + 80001c44: 00337313 andi t1,t1,3 + 80001c48: 08031263 bnez t1,80001ccc <_ntoa_format+0x314> + 80001c4c: 02000413 li s0,32 + 80001c50: 020c1913 slli s2,s8,0x20 + 80001c54: 02095913 srli s2,s2,0x20 + 80001c58: e92466e3 bltu s0,s2,80001ae4 <_ntoa_format+0x12c> + 80001c5c: 000b8913 mv s2,s7 + 80001c60: eadff06f j 80001b0c <_ntoa_format+0x154> + 80001c64: 00437713 andi a4,t1,4 + 80001c68: 06071c63 bnez a4,80001ce0 <_ntoa_format+0x328> + 80001c6c: 00837713 andi a4,t1,8 + 80001c70: 0c071863 bnez a4,80001d40 <_ntoa_format+0x388> + 80001c74: 00337313 andi t1,t1,3 + 80001c78: 00078413 mv s0,a5 + 80001c7c: fc030ae3 beqz t1,80001c50 <_ntoa_format+0x298> + 80001c80: 000b8913 mv s2,s7 + 80001c84: e89ff06f j 80001b0c <_ntoa_format+0x154> + 80001c88: 020f1f13 slli t5,t5,0x20 + 80001c8c: 020f5f13 srli t5,t5,0x20 + 80001c90: 0aff0263 beq t5,a5,80001d34 <_ntoa_format+0x37c> + 80001c94: 020c1913 slli s2,s8,0x20 + 80001c98: 02095913 srli s2,s2,0x20 + 80001c9c: 08f90c63 beq s2,a5,80001d34 <_ntoa_format+0x37c> + 80001ca0: 01000713 li a4,16 + 80001ca4: 06e88663 beq a7,a4,80001d10 <_ntoa_format+0x358> + 80001ca8: 00200713 li a4,2 + 80001cac: f6e89ce3 bne a7,a4,80001c24 <_ntoa_format+0x26c> + 80001cb0: 02000713 li a4,32 + 80001cb4: f8e788e3 beq a5,a4,80001c44 <_ntoa_format+0x28c> + 80001cb8: 00f986b3 add a3,s3,a5 + 80001cbc: 00178793 addi a5,a5,1 + 80001cc0: f5dff06f j 80001c1c <_ntoa_format+0x264> + 80001cc4: 00337313 andi t1,t1,3 + 80001cc8: 0c030063 beqz t1,80001d88 <_ntoa_format+0x3d0> + 80001ccc: 000b8913 mv s2,s7 + 80001cd0: 02000413 li s0,32 + 80001cd4: e3dff06f j 80001b10 <_ntoa_format+0x158> + 80001cd8: 000b8913 mv s2,s7 + 80001cdc: e35ff06f j 80001b10 <_ntoa_format+0x158> + 80001ce0: 00f98733 add a4,s3,a5 + 80001ce4: 00178413 addi s0,a5,1 + 80001ce8: 02b00793 li a5,43 + 80001cec: 00f70023 sb a5,0(a4) + 80001cf0: de1ff06f j 80001ad0 <_ntoa_format+0x118> + 80001cf4: d8d7fee3 bgeu a5,a3,80001a90 <_ntoa_format+0xd8> + 80001cf8: 02000713 li a4,32 + 80001cfc: d2e79ee3 bne a5,a4,80001a38 <_ntoa_format+0x80> + 80001d00: 0e0e8c63 beqz t4,80001df8 <_ntoa_format+0x440> + 80001d04: 40037793 andi a5,t1,1024 + 80001d08: f2079ee3 bnez a5,80001c44 <_ntoa_format+0x28c> + 80001d0c: ee5ff06f j 80001bf0 <_ntoa_format+0x238> + 80001d10: 02037713 andi a4,t1,32 + 80001d14: 04071c63 bnez a4,80001d6c <_ntoa_format+0x3b4> + 80001d18: 02000713 li a4,32 + 80001d1c: f2e784e3 beq a5,a4,80001c44 <_ntoa_format+0x28c> + 80001d20: 00f98733 add a4,s3,a5 + 80001d24: 00178793 addi a5,a5,1 + 80001d28: 07800693 li a3,120 + 80001d2c: 00d70023 sb a3,0(a4) + 80001d30: ef5ff06f j 80001c24 <_ntoa_format+0x26c> + 80001d34: fff78713 addi a4,a5,-1 + 80001d38: d60704e3 beqz a4,80001aa0 <_ntoa_format+0xe8> + 80001d3c: ec5ff06f j 80001c00 <_ntoa_format+0x248> + 80001d40: 00f98733 add a4,s3,a5 + 80001d44: 00178413 addi s0,a5,1 + 80001d48: 02000793 li a5,32 + 80001d4c: 00f70023 sb a5,0(a4) + 80001d50: d81ff06f j 80001ad0 <_ntoa_format+0x118> + 80001d54: 02037793 andi a5,t1,32 + 80001d58: 02079c63 bnez a5,80001d90 <_ntoa_format+0x3d8> + 80001d5c: 07800793 li a5,120 + 80001d60: 00f98023 sb a5,0(s3) + 80001d64: 00100793 li a5,1 + 80001d68: ec5ff06f j 80001c2c <_ntoa_format+0x274> + 80001d6c: 02000713 li a4,32 + 80001d70: ece78ae3 beq a5,a4,80001c44 <_ntoa_format+0x28c> + 80001d74: 00f98733 add a4,s3,a5 + 80001d78: 05800693 li a3,88 + 80001d7c: 00d70023 sb a3,0(a4) + 80001d80: 00178793 addi a5,a5,1 + 80001d84: ea1ff06f j 80001c24 <_ntoa_format+0x26c> + 80001d88: 02000413 li s0,32 + 80001d8c: d4dff06f j 80001ad8 <_ntoa_format+0x120> + 80001d90: 05800793 li a5,88 + 80001d94: 00f98023 sb a5,0(s3) + 80001d98: 00100793 li a5,1 + 80001d9c: e91ff06f j 80001c2c <_ntoa_format+0x274> + 80001da0: 06200793 li a5,98 + 80001da4: 00f98023 sb a5,0(s3) + 80001da8: 00100793 li a5,1 + 80001dac: e81ff06f j 80001c2c <_ntoa_format+0x274> + 80001db0: e2051ae3 bnez a0,80001be4 <_ntoa_format+0x22c> + 80001db4: 000c8c13 mv s8,s9 + 80001db8: f49ff06f j 80001d00 <_ntoa_format+0x348> + 80001dbc: 02037693 andi a3,t1,32 + 80001dc0: ffe78713 addi a4,a5,-2 + 80001dc4: 00069863 bnez a3,80001dd4 <_ntoa_format+0x41c> + 80001dc8: 00e98733 add a4,s3,a4 + 80001dcc: fff78793 addi a5,a5,-1 + 80001dd0: f59ff06f j 80001d28 <_ntoa_format+0x370> + 80001dd4: 00070793 mv a5,a4 + 80001dd8: f9dff06f j 80001d74 <_ntoa_format+0x3bc> + 80001ddc: 000e8e63 beqz t4,80001df8 <_ntoa_format+0x440> + 80001de0: 40037713 andi a4,t1,1024 + 80001de4: 00071e63 bnez a4,80001e00 <_ntoa_format+0x448> + 80001de8: 02ff0e63 beq t5,a5,80001e24 <_ntoa_format+0x46c> + 80001dec: e4fc1ce3 bne s8,a5,80001c44 <_ntoa_format+0x28c> + 80001df0: 01f00713 li a4,31 + 80001df4: e0dff06f j 80001c00 <_ntoa_format+0x248> + 80001df8: 02000413 li s0,32 + 80001dfc: cd5ff06f j 80001ad0 <_ntoa_format+0x118> + 80001e00: 01000793 li a5,16 + 80001e04: 02f88c63 beq a7,a5,80001e3c <_ntoa_format+0x484> + 80001e08: 00200793 li a5,2 + 80001e0c: e2f88ce3 beq a7,a5,80001c44 <_ntoa_format+0x28c> + 80001e10: 00337313 andi t1,t1,3 + 80001e14: ea031ce3 bnez t1,80001ccc <_ntoa_format+0x314> + 80001e18: 02000413 li s0,32 + 80001e1c: cd2464e3 bltu s0,s2,80001ae4 <_ntoa_format+0x12c> + 80001e20: e3dff06f j 80001c5c <_ntoa_format+0x2a4> + 80001e24: 01000713 li a4,16 + 80001e28: f8e88ae3 beq a7,a4,80001dbc <_ntoa_format+0x404> + 80001e2c: 00200793 li a5,2 + 80001e30: 00f88c63 beq a7,a5,80001e48 <_ntoa_format+0x490> + 80001e34: 01f00793 li a5,31 + 80001e38: df5ff06f j 80001c2c <_ntoa_format+0x274> + 80001e3c: 02037793 andi a5,t1,32 + 80001e40: fc0788e3 beqz a5,80001e10 <_ntoa_format+0x458> + 80001e44: e01ff06f j 80001c44 <_ntoa_format+0x28c> + 80001e48: 01f00713 li a4,31 + 80001e4c: dc9ff06f j 80001c14 <_ntoa_format+0x25c> + +0000000080001e50 <_ntoa_long>: + 80001e50: f4010113 addi sp,sp,-192 + 80001e54: 09413823 sd s4,144(sp) + 80001e58: 09513423 sd s5,136(sp) + 80001e5c: 09613023 sd s6,128(sp) + 80001e60: 07713c23 sd s7,120(sp) + 80001e64: 07813823 sd s8,112(sp) + 80001e68: 07913423 sd s9,104(sp) + 80001e6c: 05b13c23 sd s11,88(sp) + 80001e70: 0a113c23 sd ra,184(sp) + 80001e74: 0a813823 sd s0,176(sp) + 80001e78: 0a913423 sd s1,168(sp) + 80001e7c: 0b213023 sd s2,160(sp) + 80001e80: 09313c23 sd s3,152(sp) + 80001e84: 07a13023 sd s10,96(sp) + 80001e88: 02a13023 sd a0,32(sp) + 80001e8c: 03113423 sd a7,40(sp) + 80001e90: 0c812a03 lw s4,200(sp) + 80001e94: 00070d93 mv s11,a4 + 80001e98: 00058b13 mv s6,a1 + 80001e9c: 00060b93 mv s7,a2 + 80001ea0: 00068c13 mv s8,a3 + 80001ea4: 00078c93 mv s9,a5 + 80001ea8: 00080a93 mv s5,a6 + 80001eac: 00071863 bnez a4,80001ebc <_ntoa_long+0x6c> + 80001eb0: 400a7793 andi a5,s4,1024 + 80001eb4: fefa7a13 andi s4,s4,-17 + 80001eb8: 0e079663 bnez a5,80001fa4 <_ntoa_long+0x154> + 80001ebc: 020a7793 andi a5,s4,32 + 80001ec0: 06100413 li s0,97 + 80001ec4: 0c079c63 bnez a5,80001f9c <_ntoa_long+0x14c> + 80001ec8: 00000d13 li s10,0 + 80001ecc: 03010493 addi s1,sp,48 + 80001ed0: 00900913 li s2,9 + 80001ed4: ff64041b addiw s0,s0,-10 + 80001ed8: 02000993 li s3,32 + 80001edc: 00c0006f j 80001ee8 <_ntoa_long+0x98> + 80001ee0: 053d0463 beq s10,s3,80001f28 <_ntoa_long+0xd8> + 80001ee4: 00050d93 mv s11,a0 + 80001ee8: 000a8593 mv a1,s5 + 80001eec: 000d8513 mv a0,s11 + 80001ef0: aa8ff0ef jal ra,80001198 <__umoddi3> + 80001ef4: 0ff57313 andi t1,a0,255 + 80001ef8: 0303071b addiw a4,t1,48 + 80001efc: 0064033b addw t1,s0,t1 + 80001f00: 0ff37313 andi t1,t1,255 + 80001f04: 00a96463 bltu s2,a0,80001f0c <_ntoa_long+0xbc> + 80001f08: 0ff77313 andi t1,a4,255 + 80001f0c: 001d0d13 addi s10,s10,1 + 80001f10: 01a48733 add a4,s1,s10 + 80001f14: 000d8513 mv a0,s11 + 80001f18: 000a8593 mv a1,s5 + 80001f1c: fe670fa3 sb t1,-1(a4) + 80001f20: a30ff0ef jal ra,80001150 <__udivdi3> + 80001f24: fb5dfee3 bgeu s11,s5,80001ee0 <_ntoa_long+0x90> + 80001f28: 0c012703 lw a4,192(sp) + 80001f2c: 02813783 ld a5,40(sp) + 80001f30: 02013503 ld a0,32(sp) + 80001f34: 01413823 sd s4,16(sp) + 80001f38: 00e13423 sd a4,8(sp) + 80001f3c: 00f13023 sd a5,0(sp) + 80001f40: 000a889b sext.w a7,s5 + 80001f44: 000c8813 mv a6,s9 + 80001f48: 000d0793 mv a5,s10 + 80001f4c: 00048713 mv a4,s1 + 80001f50: 000c0693 mv a3,s8 + 80001f54: 000b8613 mv a2,s7 + 80001f58: 000b0593 mv a1,s6 + 80001f5c: a5dff0ef jal ra,800019b8 <_ntoa_format> + 80001f60: 0b813083 ld ra,184(sp) + 80001f64: 0b013403 ld s0,176(sp) + 80001f68: 0a813483 ld s1,168(sp) + 80001f6c: 0a013903 ld s2,160(sp) + 80001f70: 09813983 ld s3,152(sp) + 80001f74: 09013a03 ld s4,144(sp) + 80001f78: 08813a83 ld s5,136(sp) + 80001f7c: 08013b03 ld s6,128(sp) + 80001f80: 07813b83 ld s7,120(sp) + 80001f84: 07013c03 ld s8,112(sp) + 80001f88: 06813c83 ld s9,104(sp) + 80001f8c: 06013d03 ld s10,96(sp) + 80001f90: 05813d83 ld s11,88(sp) + 80001f94: 0c010113 addi sp,sp,192 + 80001f98: 00008067 ret + 80001f9c: 04100413 li s0,65 + 80001fa0: f29ff06f j 80001ec8 <_ntoa_long+0x78> + 80001fa4: 00000d13 li s10,0 + 80001fa8: 03010493 addi s1,sp,48 + 80001fac: f7dff06f j 80001f28 <_ntoa_long+0xd8> + +0000000080001fb0 <_ntoa_long_long>: + 80001fb0: f4010113 addi sp,sp,-192 + 80001fb4: 09413823 sd s4,144(sp) + 80001fb8: 09513423 sd s5,136(sp) + 80001fbc: 09613023 sd s6,128(sp) + 80001fc0: 07713c23 sd s7,120(sp) + 80001fc4: 07813823 sd s8,112(sp) + 80001fc8: 07913423 sd s9,104(sp) + 80001fcc: 05b13c23 sd s11,88(sp) + 80001fd0: 0a113c23 sd ra,184(sp) + 80001fd4: 0a813823 sd s0,176(sp) + 80001fd8: 0a913423 sd s1,168(sp) + 80001fdc: 0b213023 sd s2,160(sp) + 80001fe0: 09313c23 sd s3,152(sp) + 80001fe4: 07a13023 sd s10,96(sp) + 80001fe8: 02a13023 sd a0,32(sp) + 80001fec: 03113423 sd a7,40(sp) + 80001ff0: 0c812a03 lw s4,200(sp) + 80001ff4: 00070d93 mv s11,a4 + 80001ff8: 00058b13 mv s6,a1 + 80001ffc: 00060b93 mv s7,a2 + 80002000: 00068c13 mv s8,a3 + 80002004: 00078c93 mv s9,a5 + 80002008: 00080a93 mv s5,a6 + 8000200c: 00071863 bnez a4,8000201c <_ntoa_long_long+0x6c> + 80002010: 400a7793 andi a5,s4,1024 + 80002014: fefa7a13 andi s4,s4,-17 + 80002018: 0e079663 bnez a5,80002104 <_ntoa_long_long+0x154> + 8000201c: 020a7793 andi a5,s4,32 + 80002020: 06100413 li s0,97 + 80002024: 0c079c63 bnez a5,800020fc <_ntoa_long_long+0x14c> + 80002028: 00000d13 li s10,0 + 8000202c: 03010493 addi s1,sp,48 + 80002030: 00900913 li s2,9 + 80002034: ff64041b addiw s0,s0,-10 + 80002038: 02000993 li s3,32 + 8000203c: 00c0006f j 80002048 <_ntoa_long_long+0x98> + 80002040: 053d0463 beq s10,s3,80002088 <_ntoa_long_long+0xd8> + 80002044: 00050d93 mv s11,a0 + 80002048: 000a8593 mv a1,s5 + 8000204c: 000d8513 mv a0,s11 + 80002050: 948ff0ef jal ra,80001198 <__umoddi3> + 80002054: 0ff57313 andi t1,a0,255 + 80002058: 0303071b addiw a4,t1,48 + 8000205c: 0064033b addw t1,s0,t1 + 80002060: 0ff37313 andi t1,t1,255 + 80002064: 00a96463 bltu s2,a0,8000206c <_ntoa_long_long+0xbc> + 80002068: 0ff77313 andi t1,a4,255 + 8000206c: 001d0d13 addi s10,s10,1 + 80002070: 01a48733 add a4,s1,s10 + 80002074: 000d8513 mv a0,s11 + 80002078: 000a8593 mv a1,s5 + 8000207c: fe670fa3 sb t1,-1(a4) + 80002080: 8d0ff0ef jal ra,80001150 <__udivdi3> + 80002084: fb5dfee3 bgeu s11,s5,80002040 <_ntoa_long_long+0x90> + 80002088: 0c012703 lw a4,192(sp) + 8000208c: 02813783 ld a5,40(sp) + 80002090: 02013503 ld a0,32(sp) + 80002094: 01413823 sd s4,16(sp) + 80002098: 00e13423 sd a4,8(sp) + 8000209c: 00f13023 sd a5,0(sp) + 800020a0: 000a889b sext.w a7,s5 + 800020a4: 000c8813 mv a6,s9 + 800020a8: 000d0793 mv a5,s10 + 800020ac: 00048713 mv a4,s1 + 800020b0: 000c0693 mv a3,s8 + 800020b4: 000b8613 mv a2,s7 + 800020b8: 000b0593 mv a1,s6 + 800020bc: 8fdff0ef jal ra,800019b8 <_ntoa_format> + 800020c0: 0b813083 ld ra,184(sp) + 800020c4: 0b013403 ld s0,176(sp) + 800020c8: 0a813483 ld s1,168(sp) + 800020cc: 0a013903 ld s2,160(sp) + 800020d0: 09813983 ld s3,152(sp) + 800020d4: 09013a03 ld s4,144(sp) + 800020d8: 08813a83 ld s5,136(sp) + 800020dc: 08013b03 ld s6,128(sp) + 800020e0: 07813b83 ld s7,120(sp) + 800020e4: 07013c03 ld s8,112(sp) + 800020e8: 06813c83 ld s9,104(sp) + 800020ec: 06013d03 ld s10,96(sp) + 800020f0: 05813d83 ld s11,88(sp) + 800020f4: 0c010113 addi sp,sp,192 + 800020f8: 00008067 ret + 800020fc: 04100413 li s0,65 + 80002100: f29ff06f j 80002028 <_ntoa_long_long+0x78> + 80002104: 00000d13 li s10,0 + 80002108: 03010493 addi s1,sp,48 + 8000210c: f7dff06f j 80002088 <_ntoa_long_long+0xd8> + +0000000080002110 <_vsnprintf>: + 80002110: f6010113 addi sp,sp,-160 + 80002114: 08913423 sd s1,136(sp) + 80002118: 09213023 sd s2,128(sp) + 8000211c: 07313c23 sd s3,120(sp) + 80002120: 05713c23 sd s7,88(sp) + 80002124: 05813823 sd s8,80(sp) + 80002128: 08113c23 sd ra,152(sp) + 8000212c: 08813823 sd s0,144(sp) + 80002130: 07413823 sd s4,112(sp) + 80002134: 07513423 sd s5,104(sp) + 80002138: 07613023 sd s6,96(sp) + 8000213c: 05913423 sd s9,72(sp) + 80002140: 05a13023 sd s10,64(sp) + 80002144: 03b13c23 sd s11,56(sp) + 80002148: 00058493 mv s1,a1 + 8000214c: 00060c13 mv s8,a2 + 80002150: 00068b93 mv s7,a3 + 80002154: 00070993 mv s3,a4 + 80002158: 00000917 auipc s2,0x0 + 8000215c: 85c90913 addi s2,s2,-1956 # 800019b4 <_out_null> + 80002160: 00058463 beqz a1,80002168 <_vsnprintf+0x58> + 80002164: 00050913 mv s2,a0 + 80002168: 000bc503 lbu a0,0(s7) + 8000216c: 00000d13 li s10,0 + 80002170: 66050663 beqz a0,800027dc <_vsnprintf+0x6cc> + 80002174: 000107b7 lui a5,0x10 + 80002178: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 8000217c: 02500a13 li s4,37 + 80002180: 00002417 auipc s0,0x2 + 80002184: 39440413 addi s0,s0,916 # 80004514 + 80002188: 00f13c23 sd a5,24(sp) + 8000218c: 0200006f j 800021ac <_vsnprintf+0x9c> + 80002190: 000d0613 mv a2,s10 + 80002194: 000c0693 mv a3,s8 + 80002198: 00048593 mv a1,s1 + 8000219c: 001d0d13 addi s10,s10,1 + 800021a0: 000900e7 jalr s2 + 800021a4: 000bc503 lbu a0,0(s7) + 800021a8: 1c050263 beqz a0,8000236c <_vsnprintf+0x25c> + 800021ac: 001b8b93 addi s7,s7,1 + 800021b0: ff4510e3 bne a0,s4,80002190 <_vsnprintf+0x80> + 800021b4: 00000593 li a1,0 + 800021b8: 01000813 li a6,16 + 800021bc: 000bc503 lbu a0,0(s7) + 800021c0: 001b8713 addi a4,s7,1 + 800021c4: 00070613 mv a2,a4 + 800021c8: fe05079b addiw a5,a0,-32 + 800021cc: 0ff7f793 andi a5,a5,255 + 800021d0: 00f86c63 bltu a6,a5,800021e8 <_vsnprintf+0xd8> + 800021d4: 00279793 slli a5,a5,0x2 + 800021d8: 008787b3 add a5,a5,s0 + 800021dc: 0007a783 lw a5,0(a5) + 800021e0: 008787b3 add a5,a5,s0 + 800021e4: 00078067 jr a5 + 800021e8: fd05079b addiw a5,a0,-48 + 800021ec: 0ff7f793 andi a5,a5,255 + 800021f0: 00900813 li a6,9 + 800021f4: 0ef87c63 bgeu a6,a5,800022ec <_vsnprintf+0x1dc> + 800021f8: 02a00793 li a5,42 + 800021fc: 20f50463 beq a0,a5,80002404 <_vsnprintf+0x2f4> + 80002200: 000b8613 mv a2,s7 + 80002204: 00000d93 li s11,0 + 80002208: 00070b93 mv s7,a4 + 8000220c: 02e00793 li a5,46 + 80002210: 00000c93 li s9,0 + 80002214: 12f50463 beq a0,a5,8000233c <_vsnprintf+0x22c> + 80002218: f985079b addiw a5,a0,-104 + 8000221c: 0ff7f793 andi a5,a5,255 + 80002220: 01200713 li a4,18 + 80002224: 08f76463 bltu a4,a5,800022ac <_vsnprintf+0x19c> + 80002228: 00002717 auipc a4,0x2 + 8000222c: 33070713 addi a4,a4,816 # 80004558 + 80002230: 00279793 slli a5,a5,0x2 + 80002234: 00e787b3 add a5,a5,a4 + 80002238: 0007a783 lw a5,0(a5) + 8000223c: 00e787b3 add a5,a5,a4 + 80002240: 00078067 jr a5 + 80002244: 0015e593 ori a1,a1,1 + 80002248: 0005859b sext.w a1,a1 + 8000224c: 00070b93 mv s7,a4 + 80002250: f6dff06f j 800021bc <_vsnprintf+0xac> + 80002254: 0025e593 ori a1,a1,2 + 80002258: 0005859b sext.w a1,a1 + 8000225c: 00070b93 mv s7,a4 + 80002260: f5dff06f j 800021bc <_vsnprintf+0xac> + 80002264: 0045e593 ori a1,a1,4 + 80002268: 0005859b sext.w a1,a1 + 8000226c: 00070b93 mv s7,a4 + 80002270: f4dff06f j 800021bc <_vsnprintf+0xac> + 80002274: 0105e593 ori a1,a1,16 + 80002278: 0005859b sext.w a1,a1 + 8000227c: 00070b93 mv s7,a4 + 80002280: f3dff06f j 800021bc <_vsnprintf+0xac> + 80002284: 0085e593 ori a1,a1,8 + 80002288: 0005859b sext.w a1,a1 + 8000228c: 00070b93 mv s7,a4 + 80002290: f2dff06f j 800021bc <_vsnprintf+0xac> + 80002294: 00164503 lbu a0,1(a2) + 80002298: 06800793 li a5,104 + 8000229c: 52f50663 beq a0,a5,800027c8 <_vsnprintf+0x6b8> + 800022a0: 0805e593 ori a1,a1,128 + 800022a4: 0005859b sext.w a1,a1 + 800022a8: 001b8b93 addi s7,s7,1 + 800022ac: fdb5079b addiw a5,a0,-37 + 800022b0: 0ff7f793 andi a5,a5,255 + 800022b4: 05300713 li a4,83 + 800022b8: ecf76ce3 bltu a4,a5,80002190 <_vsnprintf+0x80> + 800022bc: 00002717 auipc a4,0x2 + 800022c0: 2e870713 addi a4,a4,744 # 800045a4 + 800022c4: 00279793 slli a5,a5,0x2 + 800022c8: 00e787b3 add a5,a5,a4 + 800022cc: 0007a783 lw a5,0(a5) + 800022d0: 00e787b3 add a5,a5,a4 + 800022d4: 00078067 jr a5 + 800022d8: 00164503 lbu a0,1(a2) + 800022dc: 1005e593 ori a1,a1,256 + 800022e0: 0005859b sext.w a1,a1 + 800022e4: 001b8b93 addi s7,s7,1 + 800022e8: fc5ff06f j 800022ac <_vsnprintf+0x19c> + 800022ec: 00000d93 li s11,0 + 800022f0: 00900813 li a6,9 + 800022f4: 0080006f j 800022fc <_vsnprintf+0x1ec> + 800022f8: 00170713 addi a4,a4,1 + 800022fc: 002d979b slliw a5,s11,0x2 + 80002300: 01b787bb addw a5,a5,s11 + 80002304: 0017979b slliw a5,a5,0x1 + 80002308: 00a787bb addw a5,a5,a0 + 8000230c: 00074503 lbu a0,0(a4) + 80002310: 000b8893 mv a7,s7 + 80002314: fd078d9b addiw s11,a5,-48 + 80002318: fd05061b addiw a2,a0,-48 + 8000231c: 0ff67613 andi a2,a2,255 + 80002320: 00070b93 mv s7,a4 + 80002324: fcc87ae3 bgeu a6,a2,800022f8 <_vsnprintf+0x1e8> + 80002328: 02e00793 li a5,46 + 8000232c: 00070613 mv a2,a4 + 80002330: 00288b93 addi s7,a7,2 + 80002334: 00000c93 li s9,0 + 80002338: eef510e3 bne a0,a5,80002218 <_vsnprintf+0x108> + 8000233c: 00164503 lbu a0,1(a2) + 80002340: 4005e593 ori a1,a1,1024 + 80002344: 00900713 li a4,9 + 80002348: fd05079b addiw a5,a0,-48 + 8000234c: 0ff7f793 andi a5,a5,255 + 80002350: 0005859b sext.w a1,a1 + 80002354: 06f77c63 bgeu a4,a5,800023cc <_vsnprintf+0x2bc> + 80002358: 02a00793 li a5,42 + 8000235c: 32f50e63 beq a0,a5,80002698 <_vsnprintf+0x588> + 80002360: 000b8613 mv a2,s7 + 80002364: 001b8b93 addi s7,s7,1 + 80002368: eb1ff06f j 80002218 <_vsnprintf+0x108> + 8000236c: 000d041b sext.w s0,s10 + 80002370: 018d6463 bltu s10,s8,80002378 <_vsnprintf+0x268> + 80002374: fffc0d13 addi s10,s8,-1 + 80002378: 000c0693 mv a3,s8 + 8000237c: 000d0613 mv a2,s10 + 80002380: 00048593 mv a1,s1 + 80002384: 00000513 li a0,0 + 80002388: 000900e7 jalr s2 + 8000238c: 09813083 ld ra,152(sp) + 80002390: 00040513 mv a0,s0 + 80002394: 09013403 ld s0,144(sp) + 80002398: 08813483 ld s1,136(sp) + 8000239c: 08013903 ld s2,128(sp) + 800023a0: 07813983 ld s3,120(sp) + 800023a4: 07013a03 ld s4,112(sp) + 800023a8: 06813a83 ld s5,104(sp) + 800023ac: 06013b03 ld s6,96(sp) + 800023b0: 05813b83 ld s7,88(sp) + 800023b4: 05013c03 ld s8,80(sp) + 800023b8: 04813c83 ld s9,72(sp) + 800023bc: 04013d03 ld s10,64(sp) + 800023c0: 03813d83 ld s11,56(sp) + 800023c4: 0a010113 addi sp,sp,160 + 800023c8: 00008067 ret + 800023cc: 002c979b slliw a5,s9,0x2 + 800023d0: 019788bb addw a7,a5,s9 + 800023d4: 000b8813 mv a6,s7 + 800023d8: 0018989b slliw a7,a7,0x1 + 800023dc: 001b8b93 addi s7,s7,1 + 800023e0: 00a888bb addw a7,a7,a0 + 800023e4: 000bc503 lbu a0,0(s7) + 800023e8: fd088c9b addiw s9,a7,-48 + 800023ec: fd05079b addiw a5,a0,-48 + 800023f0: 0ff7f793 andi a5,a5,255 + 800023f4: fcf77ce3 bgeu a4,a5,800023cc <_vsnprintf+0x2bc> + 800023f8: 000b8613 mv a2,s7 + 800023fc: 00280b93 addi s7,a6,2 + 80002400: e19ff06f j 80002218 <_vsnprintf+0x108> + 80002404: 0009a783 lw a5,0(s3) + 80002408: 00898993 addi s3,s3,8 + 8000240c: 00078d9b sext.w s11,a5 + 80002410: 0007c863 bltz a5,80002420 <_vsnprintf+0x310> + 80002414: 001bc503 lbu a0,1(s7) + 80002418: 002b8b93 addi s7,s7,2 + 8000241c: df1ff06f j 8000220c <_vsnprintf+0xfc> + 80002420: 0025e593 ori a1,a1,2 + 80002424: 001bc503 lbu a0,1(s7) + 80002428: 0005859b sext.w a1,a1 + 8000242c: 40f00dbb negw s11,a5 + 80002430: 002b8b93 addi s7,s7,2 + 80002434: dd9ff06f j 8000220c <_vsnprintf+0xfc> + 80002438: 07800793 li a5,120 + 8000243c: 00898a93 addi s5,s3,8 + 80002440: 56f50063 beq a0,a5,800029a0 <_vsnprintf+0x890> + 80002444: 05800793 li a5,88 + 80002448: 28f50463 beq a0,a5,800026d0 <_vsnprintf+0x5c0> + 8000244c: 06f00793 li a5,111 + 80002450: 4af50c63 beq a0,a5,80002908 <_vsnprintf+0x7f8> + 80002454: 06200793 li a5,98 + 80002458: 54f50063 beq a0,a5,80002998 <_vsnprintf+0x888> + 8000245c: fef5ff13 andi t5,a1,-17 + 80002460: 4005f793 andi a5,a1,1024 + 80002464: 06900713 li a4,105 + 80002468: 000f0f1b sext.w t5,t5 + 8000246c: 0007879b sext.w a5,a5 + 80002470: 5ae51063 bne a0,a4,80002a10 <_vsnprintf+0x900> + 80002474: 48079663 bnez a5,80002900 <_vsnprintf+0x7f0> + 80002478: 2005f593 andi a1,a1,512 + 8000247c: 0005879b sext.w a5,a1 + 80002480: 00a00813 li a6,10 + 80002484: 42079663 bnez a5,800028b0 <_vsnprintf+0x7a0> + 80002488: 100f7793 andi a5,t5,256 + 8000248c: 000f0613 mv a2,t5 + 80002490: 4e079063 bnez a5,80002970 <_vsnprintf+0x860> + 80002494: 040f7713 andi a4,t5,64 + 80002498: 0009a783 lw a5,0(s3) + 8000249c: 4a071a63 bnez a4,80002950 <_vsnprintf+0x840> + 800024a0: 08067613 andi a2,a2,128 + 800024a4: 52060a63 beqz a2,800029d8 <_vsnprintf+0x8c8> + 800024a8: 0107979b slliw a5,a5,0x10 + 800024ac: 4107d79b sraiw a5,a5,0x10 + 800024b0: 40f7d61b sraiw a2,a5,0xf + 800024b4: 00c7c733 xor a4,a5,a2 + 800024b8: 40c7073b subw a4,a4,a2 + 800024bc: 03071713 slli a4,a4,0x30 + 800024c0: 03075713 srli a4,a4,0x30 + 800024c4: 000d0613 mv a2,s10 + 800024c8: 01e13423 sd t5,8(sp) + 800024cc: 01b13023 sd s11,0(sp) + 800024d0: 000c8893 mv a7,s9 + 800024d4: 01f7d79b srliw a5,a5,0x1f + 800024d8: 000c0693 mv a3,s8 + 800024dc: 00048593 mv a1,s1 + 800024e0: 00090513 mv a0,s2 + 800024e4: 96dff0ef jal ra,80001e50 <_ntoa_long> + 800024e8: 00050d13 mv s10,a0 + 800024ec: 000a8993 mv s3,s5 + 800024f0: cb5ff06f j 800021a4 <_vsnprintf+0x94> + 800024f4: 00164503 lbu a0,1(a2) + 800024f8: 06c00793 li a5,108 + 800024fc: def510e3 bne a0,a5,800022dc <_vsnprintf+0x1cc> + 80002500: 3005e593 ori a1,a1,768 + 80002504: 00264503 lbu a0,2(a2) + 80002508: 0005859b sext.w a1,a1 + 8000250c: 00360b93 addi s7,a2,3 + 80002510: d9dff06f j 800022ac <_vsnprintf+0x19c> + 80002514: 00898793 addi a5,s3,8 + 80002518: 001d0813 addi a6,s10,1 + 8000251c: 0025f593 andi a1,a1,2 + 80002520: 02f13023 sd a5,32(sp) + 80002524: 00080b13 mv s6,a6 + 80002528: 32058263 beqz a1,8000284c <_vsnprintf+0x73c> + 8000252c: 0009c503 lbu a0,0(s3) + 80002530: 000c0693 mv a3,s8 + 80002534: 000d0613 mv a2,s10 + 80002538: 00048593 mv a1,s1 + 8000253c: 000900e7 jalr s2 + 80002540: 00100793 li a5,1 + 80002544: 43b7f063 bgeu a5,s11,80002964 <_vsnprintf+0x854> + 80002548: ffed879b addiw a5,s11,-2 + 8000254c: 02079a93 slli s5,a5,0x20 + 80002550: 020ada93 srli s5,s5,0x20 + 80002554: 002d0d13 addi s10,s10,2 + 80002558: 015d0cb3 add s9,s10,s5 + 8000255c: 000b0613 mv a2,s6 + 80002560: 000c0693 mv a3,s8 + 80002564: 001b0b13 addi s6,s6,1 + 80002568: 00048593 mv a1,s1 + 8000256c: 02000513 li a0,32 + 80002570: 000900e7 jalr s2 + 80002574: ff6c94e3 bne s9,s6,8000255c <_vsnprintf+0x44c> + 80002578: 02013983 ld s3,32(sp) + 8000257c: 015d0d33 add s10,s10,s5 + 80002580: c25ff06f j 800021a4 <_vsnprintf+0x94> + 80002584: 000d0613 mv a2,s10 + 80002588: 000c0693 mv a3,s8 + 8000258c: 00048593 mv a1,s1 + 80002590: 02500513 li a0,37 + 80002594: 001d0d13 addi s10,s10,1 + 80002598: 000900e7 jalr s2 + 8000259c: c09ff06f j 800021a4 <_vsnprintf+0x94> + 800025a0: 0009ba83 ld s5,0(s3) + 800025a4: 00898793 addi a5,s3,8 + 800025a8: 02f13023 sd a5,32(sp) + 800025ac: 000ac503 lbu a0,0(s5) + 800025b0: 100c9663 bnez s9,800026bc <_vsnprintf+0x5ac> + 800025b4: ffe00793 li a5,-2 + 800025b8: 42050863 beqz a0,800029e8 <_vsnprintf+0x8d8> + 800025bc: 00178693 addi a3,a5,1 + 800025c0: 00da8633 add a2,s5,a3 + 800025c4: 000a8793 mv a5,s5 + 800025c8: 0080006f j 800025d0 <_vsnprintf+0x4c0> + 800025cc: 1ec78863 beq a5,a2,800027bc <_vsnprintf+0x6ac> + 800025d0: 0017c703 lbu a4,1(a5) + 800025d4: 00178793 addi a5,a5,1 + 800025d8: fe071ae3 bnez a4,800025cc <_vsnprintf+0x4bc> + 800025dc: 415787bb subw a5,a5,s5 + 800025e0: 00f13823 sd a5,16(sp) + 800025e4: 4005f793 andi a5,a1,1024 + 800025e8: 0007899b sext.w s3,a5 + 800025ec: 00078c63 beqz a5,80002604 <_vsnprintf+0x4f4> + 800025f0: 01013703 ld a4,16(sp) + 800025f4: 000c879b sext.w a5,s9 + 800025f8: 01977463 bgeu a4,s9,80002600 <_vsnprintf+0x4f0> + 800025fc: 0007079b sext.w a5,a4 + 80002600: 00f13823 sd a5,16(sp) + 80002604: 0025f593 andi a1,a1,2 + 80002608: 0005879b sext.w a5,a1 + 8000260c: 02f13423 sd a5,40(sp) + 80002610: 1c058a63 beqz a1,800027e4 <_vsnprintf+0x6d4> + 80002614: 14050863 beqz a0,80002764 <_vsnprintf+0x654> + 80002618: 000d0613 mv a2,s10 + 8000261c: 00098863 beqz s3,8000262c <_vsnprintf+0x51c> + 80002620: fffc879b addiw a5,s9,-1 + 80002624: 120c8863 beqz s9,80002754 <_vsnprintf+0x644> + 80002628: 00078c93 mv s9,a5 + 8000262c: 000c0693 mv a3,s8 + 80002630: 00048593 mv a1,s1 + 80002634: 00160b13 addi s6,a2,1 + 80002638: 000900e7 jalr s2 + 8000263c: 41ab07b3 sub a5,s6,s10 + 80002640: 00fa87b3 add a5,s5,a5 + 80002644: 0007c503 lbu a0,0(a5) + 80002648: 10050863 beqz a0,80002758 <_vsnprintf+0x648> + 8000264c: 000b0613 mv a2,s6 + 80002650: fcdff06f j 8000261c <_vsnprintf+0x50c> + 80002654: 0009b703 ld a4,0(s3) + 80002658: 0215e593 ori a1,a1,33 + 8000265c: 0005859b sext.w a1,a1 + 80002660: 01000793 li a5,16 + 80002664: 000d0613 mv a2,s10 + 80002668: 00b13423 sd a1,8(sp) + 8000266c: 00f13023 sd a5,0(sp) + 80002670: 000c8893 mv a7,s9 + 80002674: 01000813 li a6,16 + 80002678: 00000793 li a5,0 + 8000267c: 000c0693 mv a3,s8 + 80002680: 00048593 mv a1,s1 + 80002684: 00090513 mv a0,s2 + 80002688: 929ff0ef jal ra,80001fb0 <_ntoa_long_long> + 8000268c: 00898993 addi s3,s3,8 + 80002690: 00050d13 mv s10,a0 + 80002694: b11ff06f j 800021a4 <_vsnprintf+0x94> + 80002698: 0009a883 lw a7,0(s3) + 8000269c: 00264503 lbu a0,2(a2) + 800026a0: 00360b93 addi s7,a2,3 + 800026a4: fff8c793 not a5,a7 + 800026a8: 43f7d793 srai a5,a5,0x3f + 800026ac: 00f8fcb3 and s9,a7,a5 + 800026b0: 00898993 addi s3,s3,8 + 800026b4: 00260613 addi a2,a2,2 + 800026b8: b61ff06f j 80002218 <_vsnprintf+0x108> + 800026bc: 020c9793 slli a5,s9,0x20 + 800026c0: 0207d793 srli a5,a5,0x20 + 800026c4: 32050263 beqz a0,800029e8 <_vsnprintf+0x8d8> + 800026c8: fff78793 addi a5,a5,-1 + 800026cc: ef1ff06f j 800025bc <_vsnprintf+0x4ac> + 800026d0: ff35ff13 andi t5,a1,-13 + 800026d4: 000f0f1b sext.w t5,t5 + 800026d8: 4005f793 andi a5,a1,1024 + 800026dc: 020f6f13 ori t5,t5,32 + 800026e0: 20078863 beqz a5,800028f0 <_vsnprintf+0x7e0> + 800026e4: 01000813 li a6,16 + 800026e8: ffef7f13 andi t5,t5,-2 + 800026ec: 000f0f1b sext.w t5,t5 + 800026f0: 200f7793 andi a5,t5,512 + 800026f4: 06900713 li a4,105 + 800026f8: 0007879b sext.w a5,a5 + 800026fc: d8e504e3 beq a0,a4,80002484 <_vsnprintf+0x374> + 80002700: 06400713 li a4,100 + 80002704: d8e500e3 beq a0,a4,80002484 <_vsnprintf+0x374> + 80002708: 22079663 bnez a5,80002934 <_vsnprintf+0x824> + 8000270c: 100f7793 andi a5,t5,256 + 80002710: 000f0713 mv a4,t5 + 80002714: 2a079463 bnez a5,800029bc <_vsnprintf+0x8ac> + 80002718: 040f7793 andi a5,t5,64 + 8000271c: 24079063 bnez a5,8000295c <_vsnprintf+0x84c> + 80002720: 08077713 andi a4,a4,128 + 80002724: 2c070663 beqz a4,800029f0 <_vsnprintf+0x8e0> + 80002728: 0009a703 lw a4,0(s3) + 8000272c: 01813783 ld a5,24(sp) + 80002730: 00e7f733 and a4,a5,a4 + 80002734: 02071713 slli a4,a4,0x20 + 80002738: 000d0613 mv a2,s10 + 8000273c: 01e13423 sd t5,8(sp) + 80002740: 01b13023 sd s11,0(sp) + 80002744: 000c8893 mv a7,s9 + 80002748: 00000793 li a5,0 + 8000274c: 02075713 srli a4,a4,0x20 + 80002750: d89ff06f j 800024d8 <_vsnprintf+0x3c8> + 80002754: 00060b13 mv s6,a2 + 80002758: 02813783 ld a5,40(sp) + 8000275c: 04078a63 beqz a5,800027b0 <_vsnprintf+0x6a0> + 80002760: 000b0d13 mv s10,s6 + 80002764: 01013703 ld a4,16(sp) + 80002768: 0db77e63 bgeu a4,s11,80002844 <_vsnprintf+0x734> + 8000276c: fffd879b addiw a5,s11,-1 + 80002770: 40e7873b subw a4,a5,a4 + 80002774: 02071713 slli a4,a4,0x20 + 80002778: 02075713 srli a4,a4,0x20 + 8000277c: 001d0813 addi a6,s10,1 + 80002780: 01070b33 add s6,a4,a6 + 80002784: 0080006f j 8000278c <_vsnprintf+0x67c> + 80002788: 00180813 addi a6,a6,1 + 8000278c: 000d0613 mv a2,s10 + 80002790: 01013823 sd a6,16(sp) + 80002794: 000c0693 mv a3,s8 + 80002798: 00048593 mv a1,s1 + 8000279c: 02000513 li a0,32 + 800027a0: 00080d13 mv s10,a6 + 800027a4: 000900e7 jalr s2 + 800027a8: 01013803 ld a6,16(sp) + 800027ac: fd0b1ee3 bne s6,a6,80002788 <_vsnprintf+0x678> + 800027b0: 02013983 ld s3,32(sp) + 800027b4: 000b0d13 mv s10,s6 + 800027b8: 9edff06f j 800021a4 <_vsnprintf+0x94> + 800027bc: 0006879b sext.w a5,a3 + 800027c0: 00f13823 sd a5,16(sp) + 800027c4: e21ff06f j 800025e4 <_vsnprintf+0x4d4> + 800027c8: 0c05e593 ori a1,a1,192 + 800027cc: 00264503 lbu a0,2(a2) + 800027d0: 0005859b sext.w a1,a1 + 800027d4: 00360b93 addi s7,a2,3 + 800027d8: ad5ff06f j 800022ac <_vsnprintf+0x19c> + 800027dc: 00000413 li s0,0 + 800027e0: b91ff06f j 80002370 <_vsnprintf+0x260> + 800027e4: 01013703 ld a4,16(sp) + 800027e8: 0017079b addiw a5,a4,1 + 800027ec: 21b77c63 bgeu a4,s11,80002a04 <_vsnprintf+0x8f4> + 800027f0: fffd879b addiw a5,s11,-1 + 800027f4: 40e787bb subw a5,a5,a4 + 800027f8: 02079793 slli a5,a5,0x20 + 800027fc: 0207d793 srli a5,a5,0x20 + 80002800: 001d0813 addi a6,s10,1 + 80002804: 01078b33 add s6,a5,a6 + 80002808: 00c0006f j 80002814 <_vsnprintf+0x704> + 8000280c: 01013803 ld a6,16(sp) + 80002810: 00180813 addi a6,a6,1 + 80002814: 000d0613 mv a2,s10 + 80002818: 000c0693 mv a3,s8 + 8000281c: 00080d13 mv s10,a6 + 80002820: 01013823 sd a6,16(sp) + 80002824: 00048593 mv a1,s1 + 80002828: 02000513 li a0,32 + 8000282c: 000900e7 jalr s2 + 80002830: fd6d1ee3 bne s10,s6,8000280c <_vsnprintf+0x6fc> + 80002834: 000ac503 lbu a0,0(s5) + 80002838: 001d879b addiw a5,s11,1 + 8000283c: 00f13823 sd a5,16(sp) + 80002840: dc051ce3 bnez a0,80002618 <_vsnprintf+0x508> + 80002844: 000d0b13 mv s6,s10 + 80002848: f69ff06f j 800027b0 <_vsnprintf+0x6a0> + 8000284c: 00100793 li a5,1 + 80002850: 1bb7f463 bgeu a5,s11,800029f8 <_vsnprintf+0x8e8> + 80002854: ffed879b addiw a5,s11,-2 + 80002858: 02079a93 slli s5,a5,0x20 + 8000285c: 020ada93 srli s5,s5,0x20 + 80002860: 010a8ab3 add s5,s5,a6 + 80002864: 00c0006f j 80002870 <_vsnprintf+0x760> + 80002868: 01013803 ld a6,16(sp) + 8000286c: 00180813 addi a6,a6,1 + 80002870: 000d0613 mv a2,s10 + 80002874: 000c0693 mv a3,s8 + 80002878: 00080d13 mv s10,a6 + 8000287c: 01013823 sd a6,16(sp) + 80002880: 00048593 mv a1,s1 + 80002884: 02000513 li a0,32 + 80002888: 000900e7 jalr s2 + 8000288c: fdaa9ee3 bne s5,s10,80002868 <_vsnprintf+0x758> + 80002890: 001a8d13 addi s10,s5,1 + 80002894: 0009c503 lbu a0,0(s3) + 80002898: 000c0693 mv a3,s8 + 8000289c: 000a8613 mv a2,s5 + 800028a0: 00048593 mv a1,s1 + 800028a4: 000900e7 jalr s2 + 800028a8: 02013983 ld s3,32(sp) + 800028ac: 8f9ff06f j 800021a4 <_vsnprintf+0x94> + 800028b0: 0009b783 ld a5,0(s3) + 800028b4: 000d0613 mv a2,s10 + 800028b8: 01e13423 sd t5,8(sp) + 800028bc: 43f7d713 srai a4,a5,0x3f + 800028c0: 00f745b3 xor a1,a4,a5 + 800028c4: 01b13023 sd s11,0(sp) + 800028c8: 000c8893 mv a7,s9 + 800028cc: 03f7d793 srli a5,a5,0x3f + 800028d0: 40e58733 sub a4,a1,a4 + 800028d4: 000c0693 mv a3,s8 + 800028d8: 00048593 mv a1,s1 + 800028dc: 00090513 mv a0,s2 + 800028e0: ed0ff0ef jal ra,80001fb0 <_ntoa_long_long> + 800028e4: 00050d13 mv s10,a0 + 800028e8: 000a8993 mv s3,s5 + 800028ec: 8b9ff06f j 800021a4 <_vsnprintf+0x94> + 800028f0: 2005f793 andi a5,a1,512 + 800028f4: 0007879b sext.w a5,a5 + 800028f8: 01000813 li a6,16 + 800028fc: e0dff06f j 80002708 <_vsnprintf+0x5f8> + 80002900: 00a00813 li a6,10 + 80002904: de5ff06f j 800026e8 <_vsnprintf+0x5d8> + 80002908: 00800813 li a6,8 + 8000290c: 00058f13 mv t5,a1 + 80002910: 400f7713 andi a4,t5,1024 + 80002914: 06400613 li a2,100 + 80002918: 000f0793 mv a5,t5 + 8000291c: 0007071b sext.w a4,a4 + 80002920: 0ec51c63 bne a0,a2,80002a18 <_vsnprintf+0x908> + 80002924: dc0712e3 bnez a4,800026e8 <_vsnprintf+0x5d8> + 80002928: 2007f793 andi a5,a5,512 + 8000292c: 0007879b sext.w a5,a5 + 80002930: b55ff06f j 80002484 <_vsnprintf+0x374> + 80002934: 0009b703 ld a4,0(s3) + 80002938: 000d0613 mv a2,s10 + 8000293c: 01e13423 sd t5,8(sp) + 80002940: 01b13023 sd s11,0(sp) + 80002944: 000c8893 mv a7,s9 + 80002948: 00000793 li a5,0 + 8000294c: f89ff06f j 800028d4 <_vsnprintf+0x7c4> + 80002950: 0ff7f793 andi a5,a5,255 + 80002954: 00078713 mv a4,a5 + 80002958: b6dff06f j 800024c4 <_vsnprintf+0x3b4> + 8000295c: 0009c703 lbu a4,0(s3) + 80002960: dd5ff06f j 80002734 <_vsnprintf+0x624> + 80002964: 02013983 ld s3,32(sp) + 80002968: 000b0d13 mv s10,s6 + 8000296c: 839ff06f j 800021a4 <_vsnprintf+0x94> + 80002970: 0009b783 ld a5,0(s3) + 80002974: 000d0613 mv a2,s10 + 80002978: 01e13423 sd t5,8(sp) + 8000297c: 43f7d713 srai a4,a5,0x3f + 80002980: 00f745b3 xor a1,a4,a5 + 80002984: 01b13023 sd s11,0(sp) + 80002988: 000c8893 mv a7,s9 + 8000298c: 03f7d793 srli a5,a5,0x3f + 80002990: 40e58733 sub a4,a1,a4 + 80002994: b45ff06f j 800024d8 <_vsnprintf+0x3c8> + 80002998: 00200813 li a6,2 + 8000299c: f71ff06f j 8000290c <_vsnprintf+0x7fc> + 800029a0: 4005f713 andi a4,a1,1024 + 800029a4: 0007071b sext.w a4,a4 + 800029a8: 01000813 li a6,16 + 800029ac: ff35f593 andi a1,a1,-13 + 800029b0: 00058f1b sext.w t5,a1 + 800029b4: d2071ae3 bnez a4,800026e8 <_vsnprintf+0x5d8> + 800029b8: d39ff06f j 800026f0 <_vsnprintf+0x5e0> + 800029bc: 0009b703 ld a4,0(s3) + 800029c0: 000d0613 mv a2,s10 + 800029c4: 01e13423 sd t5,8(sp) + 800029c8: 01b13023 sd s11,0(sp) + 800029cc: 000c8893 mv a7,s9 + 800029d0: 00000793 li a5,0 + 800029d4: b05ff06f j 800024d8 <_vsnprintf+0x3c8> + 800029d8: 41f7d61b sraiw a2,a5,0x1f + 800029dc: 00c7c733 xor a4,a5,a2 + 800029e0: 40c7073b subw a4,a4,a2 + 800029e4: ae1ff06f j 800024c4 <_vsnprintf+0x3b4> + 800029e8: 00013823 sd zero,16(sp) + 800029ec: bf9ff06f j 800025e4 <_vsnprintf+0x4d4> + 800029f0: 0009a703 lw a4,0(s3) + 800029f4: d41ff06f j 80002734 <_vsnprintf+0x624> + 800029f8: 000d0a93 mv s5,s10 + 800029fc: 00080d13 mv s10,a6 + 80002a00: e95ff06f j 80002894 <_vsnprintf+0x784> + 80002a04: 00f13823 sd a5,16(sp) + 80002a08: c00518e3 bnez a0,80002618 <_vsnprintf+0x508> + 80002a0c: e39ff06f j 80002844 <_vsnprintf+0x734> + 80002a10: 00a00813 li a6,10 + 80002a14: efdff06f j 80002910 <_vsnprintf+0x800> + 80002a18: 000f0593 mv a1,t5 + 80002a1c: f91ff06f j 800029ac <_vsnprintf+0x89c> + +0000000080002a20 <_out_char>: + 80002a20: 00051463 bnez a0,80002a28 <_out_char+0x8> + 80002a24: 00008067 ret + 80002a28: e68fe06f j 80001090 + +0000000080002a2c : + 80002a2c: fa010113 addi sp,sp,-96 + 80002a30: 02810313 addi t1,sp,40 + 80002a34: 02b13423 sd a1,40(sp) + 80002a38: 02c13823 sd a2,48(sp) + 80002a3c: 02d13c23 sd a3,56(sp) + 80002a40: 04e13023 sd a4,64(sp) + 80002a44: 00050693 mv a3,a0 + 80002a48: 00010593 mv a1,sp + 80002a4c: 00030713 mv a4,t1 + 80002a50: fff00613 li a2,-1 + 80002a54: 00000517 auipc a0,0x0 + 80002a58: fcc50513 addi a0,a0,-52 # 80002a20 <_out_char> + 80002a5c: 00113c23 sd ra,24(sp) + 80002a60: 04f13423 sd a5,72(sp) + 80002a64: 05013823 sd a6,80(sp) + 80002a68: 05113c23 sd a7,88(sp) + 80002a6c: 00613423 sd t1,8(sp) + 80002a70: ea0ff0ef jal ra,80002110 <_vsnprintf> + 80002a74: 01813083 ld ra,24(sp) + 80002a78: 06010113 addi sp,sp,96 + 80002a7c: 00008067 ret + +0000000080002a80 : + 80002a80: 00300793 li a5,3 + 80002a84: 06c7f863 bgeu a5,a2,80002af4 + 80002a88: 00054783 lbu a5,0(a0) + 80002a8c: ffc67693 andi a3,a2,-4 + 80002a90: 0005c703 lbu a4,0(a1) + 80002a94: 00d506b3 add a3,a0,a3 + 80002a98: 04078863 beqz a5,80002ae8 + 80002a9c: 0ae79463 bne a5,a4,80002b44 + 80002aa0: 00154783 lbu a5,1(a0) + 80002aa4: 0015c703 lbu a4,1(a1) + 80002aa8: 04078063 beqz a5,80002ae8 + 80002aac: 08e79c63 bne a5,a4,80002b44 + 80002ab0: 00254783 lbu a5,2(a0) + 80002ab4: 00450513 addi a0,a0,4 + 80002ab8: 00458593 addi a1,a1,4 + 80002abc: ffe5c703 lbu a4,-2(a1) + 80002ac0: 02078463 beqz a5,80002ae8 + 80002ac4: 08e79063 bne a5,a4,80002b44 + 80002ac8: fff54703 lbu a4,-1(a0) + 80002acc: fff5c783 lbu a5,-1(a1) + 80002ad0: 04070a63 beqz a4,80002b24 + 80002ad4: 04f71e63 bne a4,a5,80002b30 + 80002ad8: 06d50c63 beq a0,a3,80002b50 + 80002adc: 00054783 lbu a5,0(a0) + 80002ae0: 0005c703 lbu a4,0(a1) + 80002ae4: fa079ce3 bnez a5,80002a9c + 80002ae8: 00000793 li a5,0 + 80002aec: 40e7853b subw a0,a5,a4 + 80002af0: 00008067 ret + 80002af4: 00000793 li a5,0 + 80002af8: 00000713 li a4,0 + 80002afc: 04060063 beqz a2,80002b3c + 80002b00: 00c50633 add a2,a0,a2 + 80002b04: 00c0006f j 80002b10 + 80002b08: 02f71463 bne a4,a5,80002b30 + 80002b0c: 02a60863 beq a2,a0,80002b3c + 80002b10: 00054703 lbu a4,0(a0) + 80002b14: 00158593 addi a1,a1,1 + 80002b18: 00150513 addi a0,a0,1 + 80002b1c: fff5c783 lbu a5,-1(a1) + 80002b20: fe0714e3 bnez a4,80002b08 + 80002b24: 00000513 li a0,0 + 80002b28: 40f5053b subw a0,a0,a5 + 80002b2c: 00008067 ret + 80002b30: 0007051b sext.w a0,a4 + 80002b34: 40f5053b subw a0,a0,a5 + 80002b38: 00008067 ret + 80002b3c: 40f7053b subw a0,a4,a5 + 80002b40: 00008067 ret + 80002b44: 0007879b sext.w a5,a5 + 80002b48: 40e7853b subw a0,a5,a4 + 80002b4c: 00008067 ret + 80002b50: 00367613 andi a2,a2,3 + 80002b54: fa9ff06f j 80002afc + +0000000080002b58 : + 80002b58: 00700713 li a4,7 + 80002b5c: 00050793 mv a5,a0 + 80002b60: 0ac77a63 bgeu a4,a2,80002c14 + 80002b64: 0ff5f893 andi a7,a1,255 + 80002b68: 00889693 slli a3,a7,0x8 + 80002b6c: 0116e6b3 or a3,a3,a7 + 80002b70: 01069713 slli a4,a3,0x10 + 80002b74: 00d766b3 or a3,a4,a3 + 80002b78: 02069713 slli a4,a3,0x20 + 80002b7c: 00757813 andi a6,a0,7 + 80002b80: 00d76733 or a4,a4,a3 + 80002b84: 0a080663 beqz a6,80002c30 + 80002b88: 00c50633 add a2,a0,a2 + 80002b8c: 01178023 sb a7,0(a5) + 80002b90: 00178793 addi a5,a5,1 + 80002b94: 0077f813 andi a6,a5,7 + 80002b98: 40f606b3 sub a3,a2,a5 + 80002b9c: fe0818e3 bnez a6,80002b8c + 80002ba0: 0066d813 srli a6,a3,0x6 + 80002ba4: 04080063 beqz a6,80002be4 + 80002ba8: 00681613 slli a2,a6,0x6 + 80002bac: 00078893 mv a7,a5 + 80002bb0: 00f60633 add a2,a2,a5 + 80002bb4: 00e7b023 sd a4,0(a5) + 80002bb8: 00e7b423 sd a4,8(a5) + 80002bbc: 00e7b823 sd a4,16(a5) + 80002bc0: 00e7bc23 sd a4,24(a5) + 80002bc4: 02e7b023 sd a4,32(a5) + 80002bc8: 02e7b423 sd a4,40(a5) + 80002bcc: 02e7b823 sd a4,48(a5) + 80002bd0: 02e7bc23 sd a4,56(a5) + 80002bd4: 04078793 addi a5,a5,64 + 80002bd8: fcf61ee3 bne a2,a5,80002bb4 + 80002bdc: 00681793 slli a5,a6,0x6 + 80002be0: 011787b3 add a5,a5,a7 + 80002be4: 0036d813 srli a6,a3,0x3 + 80002be8: 00787813 andi a6,a6,7 + 80002bec: 02080263 beqz a6,80002c10 + 80002bf0: 00381613 slli a2,a6,0x3 + 80002bf4: 00078893 mv a7,a5 + 80002bf8: 00f60633 add a2,a2,a5 + 80002bfc: 00e7b023 sd a4,0(a5) + 80002c00: 00878793 addi a5,a5,8 + 80002c04: fef61ce3 bne a2,a5,80002bfc + 80002c08: 00381793 slli a5,a6,0x3 + 80002c0c: 011787b3 add a5,a5,a7 + 80002c10: 0076f613 andi a2,a3,7 + 80002c14: 0ff5f593 andi a1,a1,255 + 80002c18: 00c78733 add a4,a5,a2 + 80002c1c: 00060863 beqz a2,80002c2c + 80002c20: 00b78023 sb a1,0(a5) + 80002c24: 00178793 addi a5,a5,1 + 80002c28: fef71ce3 bne a4,a5,80002c20 + 80002c2c: 00008067 ret + 80002c30: 00060693 mv a3,a2 + 80002c34: f6dff06f j 80002ba0 + +0000000080002c38 : + 80002c38: fd010113 addi sp,sp,-48 + 80002c3c: 02813023 sd s0,32(sp) + 80002c40: 01213823 sd s2,16(sp) + 80002c44: 02113423 sd ra,40(sp) + 80002c48: 00913c23 sd s1,24(sp) + 80002c4c: 01313423 sd s3,8(sp) + 80002c50: 00f00793 li a5,15 + 80002c54: 00050913 mv s2,a0 + 80002c58: 00050713 mv a4,a0 + 80002c5c: 00058413 mv s0,a1 + 80002c60: 04c7fe63 bgeu a5,a2,80002cbc + 80002c64: 40a00533 neg a0,a0 + 80002c68: 00757513 andi a0,a0,7 + 80002c6c: 40a604b3 sub s1,a2,a0 + 80002c70: 08050e63 beqz a0,80002d0c + 80002c74: 012509b3 add s3,a0,s2 + 80002c78: 00058793 mv a5,a1 + 80002c7c: 0007c683 lbu a3,0(a5) + 80002c80: 00170713 addi a4,a4,1 + 80002c84: 00178793 addi a5,a5,1 + 80002c88: fed70fa3 sb a3,-1(a4) + 80002c8c: fee998e3 bne s3,a4,80002c7c + 80002c90: 00a58433 add s0,a1,a0 + 80002c94: 00747793 andi a5,s0,7 + 80002c98: 0034d613 srli a2,s1,0x3 + 80002c9c: 00040593 mv a1,s0 + 80002ca0: 00098513 mv a0,s3 + 80002ca4: 06079063 bnez a5,80002d04 + 80002ca8: 240000ef jal ra,80002ee8 <_wordcopy_fwd_aligned> + 80002cac: ff84f713 andi a4,s1,-8 + 80002cb0: 00e40433 add s0,s0,a4 + 80002cb4: 0074f613 andi a2,s1,7 + 80002cb8: 01370733 add a4,a4,s3 + 80002cbc: fff70713 addi a4,a4,-1 + 80002cc0: 00040793 mv a5,s0 + 80002cc4: 008605b3 add a1,a2,s0 + 80002cc8: 40870733 sub a4,a4,s0 + 80002ccc: 00060c63 beqz a2,80002ce4 + 80002cd0: 0007c603 lbu a2,0(a5) + 80002cd4: 00178793 addi a5,a5,1 + 80002cd8: 00f706b3 add a3,a4,a5 + 80002cdc: 00c68023 sb a2,0(a3) + 80002ce0: fef598e3 bne a1,a5,80002cd0 + 80002ce4: 02813083 ld ra,40(sp) + 80002ce8: 02013403 ld s0,32(sp) + 80002cec: 01813483 ld s1,24(sp) + 80002cf0: 00813983 ld s3,8(sp) + 80002cf4: 00090513 mv a0,s2 + 80002cf8: 01013903 ld s2,16(sp) + 80002cfc: 03010113 addi sp,sp,48 + 80002d00: 00008067 ret + 80002d04: 32c000ef jal ra,80003030 <_wordcopy_fwd_dest_aligned> + 80002d08: fa5ff06f j 80002cac + 80002d0c: 00090993 mv s3,s2 + 80002d10: f85ff06f j 80002c94 + +0000000080002d14 : + 80002d14: 1a050e63 beqz a0,80002ed0 + 80002d18: fd010113 addi sp,sp,-48 + 80002d1c: 02813023 sd s0,32(sp) + 80002d20: 00913c23 sd s1,24(sp) + 80002d24: 01f57793 andi a5,a0,31 + 80002d28: 02113423 sd ra,40(sp) + 80002d2c: 01213823 sd s2,16(sp) + 80002d30: 01313423 sd s3,8(sp) + 80002d34: 01413023 sd s4,0(sp) + 80002d38: 00050493 mv s1,a0 + 80002d3c: 00050413 mv s0,a0 + 80002d40: 00000513 li a0,0 + 80002d44: 14079a63 bnez a5,80002e98 + 80002d48: 27f00713 li a4,639 + 80002d4c: 00058913 mv s2,a1 + 80002d50: 00078513 mv a0,a5 + 80002d54: 14b77263 bgeu a4,a1,80002e98 + 80002d58: 0074f513 andi a0,s1,7 + 80002d5c: 00153513 seqz a0,a0 + 80002d60: 00060a13 mv s4,a2 + 80002d64: 00068993 mv s3,a3 + 80002d68: b40fe0ef jal ra,800010a8 <_assert> + 80002d6c: 20048793 addi a5,s1,512 + 80002d70: 2004b023 sd zero,512(s1) + 80002d74: 2144b423 sd s4,520(s1) + 80002d78: 2134b823 sd s3,528(s1) + 80002d7c: 00043023 sd zero,0(s0) + 80002d80: 00840413 addi s0,s0,8 + 80002d84: fe879ce3 bne a5,s0,80002d7c + 80002d88: fff00793 li a5,-1 + 80002d8c: dc090413 addi s0,s2,-576 + 80002d90: 03f79793 slli a5,a5,0x3f + 80002d94: 1287f863 bgeu a5,s0,80002ec4 + 80002d98: 00078413 mv s0,a5 + 80002d9c: 00100513 li a0,1 + 80002da0: b08fe0ef jal ra,800010a8 <_assert> + 80002da4: f8300793 li a5,-125 + 80002da8: 0017d793 srli a5,a5,0x1 + 80002dac: fc040513 addi a0,s0,-64 + 80002db0: 00f53533 sltu a0,a0,a5 + 80002db4: af4fe0ef jal ra,800010a8 <_assert> + 80002db8: 01f4f513 andi a0,s1,31 + 80002dbc: 00153513 seqz a0,a0 + 80002dc0: ae8fe0ef jal ra,800010a8 <_assert> + 80002dc4: 00100513 li a0,1 + 80002dc8: 2404b023 sd zero,576(s1) + 80002dcc: 2404b423 sd zero,584(s1) + 80002dd0: 2484b823 sd s0,592(s1) + 80002dd4: 24048c23 sb zero,600(s1) + 80002dd8: 2604b023 sd zero,608(s1) + 80002ddc: 2604b423 sd zero,616(s1) + 80002de0: ac8fe0ef jal ra,800010a8 <_assert> + 80002de4: 00100513 li a0,1 + 80002de8: ac0fe0ef jal ra,800010a8 <_assert> + 80002dec: 2504b503 ld a0,592(s1) + 80002df0: 24048a13 addi s4,s1,576 + 80002df4: 04053513 sltiu a0,a0,64 + 80002df8: 00154513 xori a0,a0,1 + 80002dfc: 00157513 andi a0,a0,1 + 80002e00: aa8fe0ef jal ra,800010a8 <_assert> + 80002e04: 2504b503 ld a0,592(s1) + 80002e08: 03f57513 andi a0,a0,63 + 80002e0c: 00153513 seqz a0,a0 + 80002e10: a98fe0ef jal ra,800010a8 <_assert> + 80002e14: 2504b703 ld a4,592(s1) + 80002e18: 00100793 li a5,1 + 80002e1c: 00675713 srli a4,a4,0x6 + 80002e20: 0ae7fc63 bgeu a5,a4,80002ed8 + 80002e24: 00000793 li a5,0 + 80002e28: 00100693 li a3,1 + 80002e2c: 0017879b addiw a5,a5,1 + 80002e30: 00175713 srli a4,a4,0x1 + 80002e34: 0ff7f793 andi a5,a5,255 + 80002e38: fed71ae3 bne a4,a3,80002e2c + 80002e3c: 00078993 mv s3,a5 + 80002e40: 0407b513 sltiu a0,a5,64 + 80002e44: 00f71933 sll s2,a4,a5 + 80002e48: a60fe0ef jal ra,800010a8 <_assert> + 80002e4c: 00399793 slli a5,s3,0x3 + 80002e50: 00f487b3 add a5,s1,a5 + 80002e54: 0007b703 ld a4,0(a5) + 80002e58: 2604b423 sd zero,616(s1) + 80002e5c: 26e4b023 sd a4,608(s1) + 80002e60: 00070463 beqz a4,80002e68 + 80002e64: 03473423 sd s4,40(a4) + 80002e68: 0147b023 sd s4,0(a5) + 80002e6c: 2004b503 ld a0,512(s1) + 80002e70: 00a96533 or a0,s2,a0 + 80002e74: 20a4b023 sd a0,512(s1) + 80002e78: 00a03533 snez a0,a0 + 80002e7c: a2cfe0ef jal ra,800010a8 <_assert> + 80002e80: 00048513 mv a0,s1 + 80002e84: 2084bc23 sd s0,536(s1) + 80002e88: 2204b023 sd zero,544(s1) + 80002e8c: 2204b423 sd zero,552(s1) + 80002e90: 2204b823 sd zero,560(s1) + 80002e94: 2204bc23 sd zero,568(s1) + 80002e98: 02813083 ld ra,40(sp) + 80002e9c: 02013403 ld s0,32(sp) + 80002ea0: 01813483 ld s1,24(sp) + 80002ea4: 01013903 ld s2,16(sp) + 80002ea8: 00813983 ld s3,8(sp) + 80002eac: 00013a03 ld s4,0(sp) + 80002eb0: 03010113 addi sp,sp,48 + 80002eb4: 00008067 ret + 80002eb8: 00100513 li a0,1 + 80002ebc: fff40413 addi s0,s0,-1 + 80002ec0: 9e8fe0ef jal ra,800010a8 <_assert> + 80002ec4: 03f47793 andi a5,s0,63 + 80002ec8: fe0798e3 bnez a5,80002eb8 + 80002ecc: ed1ff06f j 80002d9c + 80002ed0: 00000513 li a0,0 + 80002ed4: 00008067 ret + 80002ed8: 00100913 li s2,1 + 80002edc: 00000993 li s3,0 + 80002ee0: 00100513 li a0,1 + 80002ee4: f65ff06f j 80002e48 + +0000000080002ee8 <_wordcopy_fwd_aligned>: + 80002ee8: 00767793 andi a5,a2,7 + 80002eec: 00002717 auipc a4,0x2 + 80002ef0: 80870713 addi a4,a4,-2040 # 800046f4 + 80002ef4: 00279793 slli a5,a5,0x2 + 80002ef8: 00e787b3 add a5,a5,a4 + 80002efc: 0007a783 lw a5,0(a5) + 80002f00: 00e787b3 add a5,a5,a4 + 80002f04: 00078067 jr a5 + 80002f08: 0005b703 ld a4,0(a1) + 80002f0c: ff050793 addi a5,a0,-16 + 80002f10: ff858593 addi a1,a1,-8 + 80002f14: 00160613 addi a2,a2,1 + 80002f18: 0105b803 ld a6,16(a1) + 80002f1c: 01878693 addi a3,a5,24 + 80002f20: 00e53023 sd a4,0(a0) + 80002f24: 0185b703 ld a4,24(a1) + 80002f28: 02078513 addi a0,a5,32 + 80002f2c: 0106b023 sd a6,0(a3) + 80002f30: 0205b803 ld a6,32(a1) + 80002f34: 02878693 addi a3,a5,40 + 80002f38: 00e53023 sd a4,0(a0) + 80002f3c: 0285b703 ld a4,40(a1) + 80002f40: 03078513 addi a0,a5,48 + 80002f44: 0106b023 sd a6,0(a3) + 80002f48: 0305b803 ld a6,48(a1) + 80002f4c: 03878693 addi a3,a5,56 + 80002f50: 00e53023 sd a4,0(a0) + 80002f54: 0385b703 ld a4,56(a1) + 80002f58: 04078793 addi a5,a5,64 + 80002f5c: 0106b023 sd a6,0(a3) + 80002f60: ff860613 addi a2,a2,-8 + 80002f64: 00078513 mv a0,a5 + 80002f68: 04058593 addi a1,a1,64 + 80002f6c: 02060063 beqz a2,80002f8c <_wordcopy_fwd_aligned+0xa4> + 80002f70: 0005b803 ld a6,0(a1) + 80002f74: 00878693 addi a3,a5,8 + 80002f78: 00e53023 sd a4,0(a0) + 80002f7c: 0085b703 ld a4,8(a1) + 80002f80: 01078513 addi a0,a5,16 + 80002f84: 0106b023 sd a6,0(a3) + 80002f88: f91ff06f j 80002f18 <_wordcopy_fwd_aligned+0x30> + 80002f8c: 00e53023 sd a4,0(a0) + 80002f90: 00008067 ret + 80002f94: fff60613 addi a2,a2,-1 + 80002f98: 0005b703 ld a4,0(a1) + 80002f9c: fe0608e3 beqz a2,80002f8c <_wordcopy_fwd_aligned+0xa4> + 80002fa0: 00858593 addi a1,a1,8 + 80002fa4: 00050793 mv a5,a0 + 80002fa8: fc9ff06f j 80002f70 <_wordcopy_fwd_aligned+0x88> + 80002fac: 0005b803 ld a6,0(a1) + 80002fb0: 00050693 mv a3,a0 + 80002fb4: fd058593 addi a1,a1,-48 + 80002fb8: fc850793 addi a5,a0,-56 + 80002fbc: 00660613 addi a2,a2,6 + 80002fc0: f95ff06f j 80002f54 <_wordcopy_fwd_aligned+0x6c> + 80002fc4: 0005b703 ld a4,0(a1) + 80002fc8: fd050793 addi a5,a0,-48 + 80002fcc: fd858593 addi a1,a1,-40 + 80002fd0: 00560613 addi a2,a2,5 + 80002fd4: f75ff06f j 80002f48 <_wordcopy_fwd_aligned+0x60> + 80002fd8: 0005b803 ld a6,0(a1) + 80002fdc: 00050693 mv a3,a0 + 80002fe0: fe058593 addi a1,a1,-32 + 80002fe4: fd850793 addi a5,a0,-40 + 80002fe8: 00460613 addi a2,a2,4 + 80002fec: f51ff06f j 80002f3c <_wordcopy_fwd_aligned+0x54> + 80002ff0: 0005b703 ld a4,0(a1) + 80002ff4: fe050793 addi a5,a0,-32 + 80002ff8: fe858593 addi a1,a1,-24 + 80002ffc: 00360613 addi a2,a2,3 + 80003000: f31ff06f j 80002f30 <_wordcopy_fwd_aligned+0x48> + 80003004: 0005b803 ld a6,0(a1) + 80003008: 00050693 mv a3,a0 + 8000300c: ff058593 addi a1,a1,-16 + 80003010: fe850793 addi a5,a0,-24 + 80003014: 00260613 addi a2,a2,2 + 80003018: f0dff06f j 80002f24 <_wordcopy_fwd_aligned+0x3c> + 8000301c: f6060ae3 beqz a2,80002f90 <_wordcopy_fwd_aligned+0xa8> + 80003020: 0005b803 ld a6,0(a1) + 80003024: 00050693 mv a3,a0 + 80003028: ff850793 addi a5,a0,-8 + 8000302c: f51ff06f j 80002f7c <_wordcopy_fwd_aligned+0x94> + +0000000080003030 <_wordcopy_fwd_dest_aligned>: + 80003030: 0075f713 andi a4,a1,7 + 80003034: 0037179b slliw a5,a4,0x3 + 80003038: 00371313 slli t1,a4,0x3 + 8000303c: 00367693 andi a3,a2,3 + 80003040: 04000713 li a4,64 + 80003044: 00200813 li a6,2 + 80003048: 40f707bb subw a5,a4,a5 + 8000304c: ff85f593 andi a1,a1,-8 + 80003050: 0d068e63 beq a3,a6,8000312c <_wordcopy_fwd_dest_aligned+0xfc> + 80003054: 00300713 li a4,3 + 80003058: 02e68c63 beq a3,a4,80003090 <_wordcopy_fwd_dest_aligned+0x60> + 8000305c: 00100713 li a4,1 + 80003060: 00e68663 beq a3,a4,8000306c <_wordcopy_fwd_dest_aligned+0x3c> + 80003064: 0e061863 bnez a2,80003154 <_wordcopy_fwd_dest_aligned+0x124> + 80003068: 00008067 ret + 8000306c: fff60613 addi a2,a2,-1 + 80003070: 0005b703 ld a4,0(a1) + 80003074: 0085b803 ld a6,8(a1) + 80003078: 0c061863 bnez a2,80003148 <_wordcopy_fwd_dest_aligned+0x118> + 8000307c: 00675733 srl a4,a4,t1 + 80003080: 00f817b3 sll a5,a6,a5 + 80003084: 00f76733 or a4,a4,a5 + 80003088: 00e53023 sd a4,0(a0) + 8000308c: 00008067 ret + 80003090: 0005b703 ld a4,0(a1) + 80003094: 0085b683 ld a3,8(a1) + 80003098: 00058893 mv a7,a1 + 8000309c: 00160613 addi a2,a2,1 + 800030a0: ff050593 addi a1,a0,-16 + 800030a4: 0340006f j 800030d8 <_wordcopy_fwd_dest_aligned+0xa8> + 800030a8: 006756b3 srl a3,a4,t1 + 800030ac: 00f81733 sll a4,a6,a5 + 800030b0: 00e6e6b3 or a3,a3,a4 + 800030b4: 00858e13 addi t3,a1,8 + 800030b8: 0008b703 ld a4,0(a7) + 800030bc: 00d53023 sd a3,0(a0) + 800030c0: 00f716b3 sll a3,a4,a5 + 800030c4: 00685833 srl a6,a6,t1 + 800030c8: 00d86833 or a6,a6,a3 + 800030cc: 01058513 addi a0,a1,16 + 800030d0: 0088b683 ld a3,8(a7) + 800030d4: 010e3023 sd a6,0(t3) + 800030d8: 00675733 srl a4,a4,t1 + 800030dc: 00f69833 sll a6,a3,a5 + 800030e0: 01076833 or a6,a4,a6 + 800030e4: 01858e13 addi t3,a1,24 + 800030e8: 0108b703 ld a4,16(a7) + 800030ec: 01053023 sd a6,0(a0) + 800030f0: 00f71533 sll a0,a4,a5 + 800030f4: 0066d6b3 srl a3,a3,t1 + 800030f8: 00a6e6b3 or a3,a3,a0 + 800030fc: 0188b803 ld a6,24(a7) + 80003100: 02058593 addi a1,a1,32 + 80003104: 00de3023 sd a3,0(t3) + 80003108: ffc60613 addi a2,a2,-4 + 8000310c: 00058513 mv a0,a1 + 80003110: 02088893 addi a7,a7,32 + 80003114: f8061ae3 bnez a2,800030a8 <_wordcopy_fwd_dest_aligned+0x78> + 80003118: 00675733 srl a4,a4,t1 + 8000311c: 00f817b3 sll a5,a6,a5 + 80003120: 00f76733 or a4,a4,a5 + 80003124: 00e53023 sd a4,0(a0) + 80003128: f65ff06f j 8000308c <_wordcopy_fwd_dest_aligned+0x5c> + 8000312c: 0005b683 ld a3,0(a1) + 80003130: 0085b703 ld a4,8(a1) + 80003134: ff858893 addi a7,a1,-8 + 80003138: 00050e13 mv t3,a0 + 8000313c: fe850593 addi a1,a0,-24 + 80003140: 00260613 addi a2,a2,2 + 80003144: fadff06f j 800030f0 <_wordcopy_fwd_dest_aligned+0xc0> + 80003148: 01058893 addi a7,a1,16 + 8000314c: 00050593 mv a1,a0 + 80003150: f59ff06f j 800030a8 <_wordcopy_fwd_dest_aligned+0x78> + 80003154: 0005b803 ld a6,0(a1) + 80003158: 0085b703 ld a4,8(a1) + 8000315c: 00858893 addi a7,a1,8 + 80003160: 00050e13 mv t3,a0 + 80003164: ff850593 addi a1,a0,-8 + 80003168: f59ff06f j 800030c0 <_wordcopy_fwd_dest_aligned+0x90> diff --git a/bin/non-output/coremark/coremark.bin b/bin/non-output/coremark/coremark.bin new file mode 100755 index 0000000..2a08d7b Binary files /dev/null and b/bin/non-output/coremark/coremark.bin differ diff --git a/bin/non-output/coremark/coremark.elf b/bin/non-output/coremark/coremark.elf new file mode 100755 index 0000000..97f63b6 Binary files /dev/null and b/bin/non-output/coremark/coremark.elf differ diff --git a/bin/non-output/coremark/coremark.txt b/bin/non-output/coremark/coremark.txt new file mode 100755 index 0000000..2f8b5a6 --- /dev/null +++ b/bin/non-output/coremark/coremark.txt @@ -0,0 +1,3909 @@ + +/home/hzb/test/am-kernels/benchmarks/coremark/build/coremark-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0000d117 auipc sp,0xd + 80000008: ffc10113 addi sp,sp,-4 # 8000d000 <_end> + 8000000c: 25c020ef jal ra,80002268 <_trm_init> + +0000000080000010 : + 80000010: 00060a63 beqz a2,80000024 + 80000014: 00251503 lh a0,2(a0) + 80000018: 00259783 lh a5,2(a1) + 8000001c: 40f5053b subw a0,a0,a5 + 80000020: 00008067 ret + 80000024: 00051783 lh a5,0(a0) + 80000028: 0087d713 srli a4,a5,0x8 + 8000002c: 0ff77713 andi a4,a4,255 + 80000030: f007f793 andi a5,a5,-256 + 80000034: 00e7e7b3 or a5,a5,a4 + 80000038: 00f51023 sh a5,0(a0) + 8000003c: 00059783 lh a5,0(a1) + 80000040: 00251503 lh a0,2(a0) + 80000044: 0087d713 srli a4,a5,0x8 + 80000048: 0ff77713 andi a4,a4,255 + 8000004c: f007f793 andi a5,a5,-256 + 80000050: 00e7e7b3 or a5,a5,a4 + 80000054: 00f59023 sh a5,0(a1) + 80000058: 00259783 lh a5,2(a1) + 8000005c: 40f5053b subw a0,a0,a5 + 80000060: 00008067 ret + +0000000080000064 : + 80000064: fd010113 addi sp,sp,-48 + 80000068: 02813023 sd s0,32(sp) + 8000006c: 00051403 lh s0,0(a0) + 80000070: 02113423 sd ra,40(sp) + 80000074: 00913c23 sd s1,24(sp) + 80000078: 4074579b sraiw a5,s0,0x7 + 8000007c: 01213823 sd s2,16(sp) + 80000080: 01313423 sd s3,8(sp) + 80000084: 0017f793 andi a5,a5,1 + 80000088: 02078263 beqz a5,800000ac + 8000008c: 02813083 ld ra,40(sp) + 80000090: 07f47513 andi a0,s0,127 + 80000094: 02013403 ld s0,32(sp) + 80000098: 01813483 ld s1,24(sp) + 8000009c: 01013903 ld s2,16(sp) + 800000a0: 00813983 ld s3,8(sp) + 800000a4: 03010113 addi sp,sp,48 + 800000a8: 00008067 ret + 800000ac: 00058493 mv s1,a1 + 800000b0: 4034559b sraiw a1,s0,0x3 + 800000b4: 00f5f593 andi a1,a1,15 + 800000b8: 00459713 slli a4,a1,0x4 + 800000bc: 00747693 andi a3,s0,7 + 800000c0: 0604d783 lhu a5,96(s1) + 800000c4: 00050993 mv s3,a0 + 800000c8: 00b765b3 or a1,a4,a1 + 800000cc: 08068063 beqz a3,8000014c + 800000d0: 00100713 li a4,1 + 800000d4: 04e68863 beq a3,a4,80000124 + 800000d8: 03041513 slli a0,s0,0x30 + 800000dc: 03055513 srli a0,a0,0x30 + 800000e0: 00040913 mv s2,s0 + 800000e4: 00078593 mv a1,a5 + 800000e8: 730000ef jal ra,80000818 + 800000ec: 00050793 mv a5,a0 + 800000f0: f0047413 andi s0,s0,-256 + 800000f4: 07f97513 andi a0,s2,127 + 800000f8: 00856433 or s0,a0,s0 + 800000fc: 06f49023 sh a5,96(s1) + 80000100: 08046413 ori s0,s0,128 + 80000104: 00899023 sh s0,0(s3) + 80000108: 02813083 ld ra,40(sp) + 8000010c: 02013403 ld s0,32(sp) + 80000110: 01813483 ld s1,24(sp) + 80000114: 01013903 ld s2,16(sp) + 80000118: 00813983 ld s3,8(sp) + 8000011c: 03010113 addi sp,sp,48 + 80000120: 00008067 ret + 80000124: 00078613 mv a2,a5 + 80000128: 04048513 addi a0,s1,64 + 8000012c: 158010ef jal ra,80001284 + 80000130: 0644d783 lhu a5,100(s1) + 80000134: 0105191b slliw s2,a0,0x10 + 80000138: 4109591b sraiw s2,s2,0x10 + 8000013c: 04079a63 bnez a5,80000190 + 80000140: 0604d783 lhu a5,96(s1) + 80000144: 06a49223 sh a0,100(s1) + 80000148: f9dff06f j 800000e4 + 8000014c: 02200693 li a3,34 + 80000150: 00058713 mv a4,a1 + 80000154: 00d5d463 bge a1,a3,8000015c + 80000158: 02200713 li a4,34 + 8000015c: 00249683 lh a3,2(s1) + 80000160: 00049603 lh a2,0(s1) + 80000164: 0204b583 ld a1,32(s1) + 80000168: 0284a503 lw a0,40(s1) + 8000016c: 0ff77713 andi a4,a4,255 + 80000170: 608010ef jal ra,80001778 + 80000174: 0664d783 lhu a5,102(s1) + 80000178: 0105191b slliw s2,a0,0x10 + 8000017c: 4109591b sraiw s2,s2,0x10 + 80000180: 00079863 bnez a5,80000190 + 80000184: 0604d783 lhu a5,96(s1) + 80000188: 06a49323 sh a0,102(s1) + 8000018c: f59ff06f j 800000e4 + 80000190: 0604d783 lhu a5,96(s1) + 80000194: f51ff06f j 800000e4 + +0000000080000198 : + 80000198: fe010113 addi sp,sp,-32 + 8000019c: 00913423 sd s1,8(sp) + 800001a0: 00058493 mv s1,a1 + 800001a4: 00060593 mv a1,a2 + 800001a8: 00113c23 sd ra,24(sp) + 800001ac: 00813823 sd s0,16(sp) + 800001b0: 00060413 mv s0,a2 + 800001b4: eb1ff0ef jal ra,80000064 + 800001b8: 00050793 mv a5,a0 + 800001bc: 00040593 mv a1,s0 + 800001c0: 00048513 mv a0,s1 + 800001c4: 00078413 mv s0,a5 + 800001c8: e9dff0ef jal ra,80000064 + 800001cc: 01813083 ld ra,24(sp) + 800001d0: 40a4053b subw a0,s0,a0 + 800001d4: 01013403 ld s0,16(sp) + 800001d8: 00813483 ld s1,8(sp) + 800001dc: 02010113 addi sp,sp,32 + 800001e0: 00008067 ret + +00000000800001e4 : + 800001e4: 00259703 lh a4,2(a1) + 800001e8: 02074263 bltz a4,8000020c + 800001ec: 00051863 bnez a0,800001fc + 800001f0: 0480006f j 80000238 + 800001f4: 00053503 ld a0,0(a0) + 800001f8: 02050c63 beqz a0,80000230 + 800001fc: 00853783 ld a5,8(a0) + 80000200: 00279783 lh a5,2(a5) + 80000204: fee798e3 bne a5,a4,800001f4 + 80000208: 00008067 ret + 8000020c: 02050263 beqz a0,80000230 + 80000210: 00059703 lh a4,0(a1) + 80000214: 00c0006f j 80000220 + 80000218: 00053503 ld a0,0(a0) + 8000021c: 00050c63 beqz a0,80000234 + 80000220: 00853783 ld a5,8(a0) + 80000224: 0007c783 lbu a5,0(a5) + 80000228: fee798e3 bne a5,a4,80000218 + 8000022c: 00008067 ret + 80000230: 00000513 li a0,0 + 80000234: 00008067 ret + 80000238: 00008067 ret + +000000008000023c : + 8000023c: fa010113 addi sp,sp,-96 + 80000240: 04913423 sd s1,72(sp) + 80000244: 03513423 sd s5,40(sp) + 80000248: 01713c23 sd s7,24(sp) + 8000024c: 01913423 sd s9,8(sp) + 80000250: 01a13023 sd s10,0(sp) + 80000254: 04113c23 sd ra,88(sp) + 80000258: 04813823 sd s0,80(sp) + 8000025c: 05213023 sd s2,64(sp) + 80000260: 03313c23 sd s3,56(sp) + 80000264: 03413823 sd s4,48(sp) + 80000268: 03613023 sd s6,32(sp) + 8000026c: 01813823 sd s8,16(sp) + 80000270: 00050493 mv s1,a0 + 80000274: 00058b93 mv s7,a1 + 80000278: 00060d13 mv s10,a2 + 8000027c: 00100a93 li s5,1 + 80000280: 00100c93 li s9,1 + 80000284: 0c048063 beqz s1,80000344 + 80000288: 00000c13 li s8,0 + 8000028c: 00000913 li s2,0 + 80000290: 00000b13 li s6,0 + 80000294: 001c0c1b addiw s8,s8,1 + 80000298: 00048793 mv a5,s1 + 8000029c: 00000413 li s0,0 + 800002a0: 0007b783 ld a5,0(a5) + 800002a4: 0014041b addiw s0,s0,1 + 800002a8: 00078463 beqz a5,800002b0 + 800002ac: fe8a9ae3 bne s5,s0,800002a0 + 800002b0: 00048a13 mv s4,s1 + 800002b4: 000a8993 mv s3,s5 + 800002b8: 00078493 mv s1,a5 + 800002bc: 02040e63 beqz s0,800002f8 + 800002c0: 04098e63 beqz s3,8000031c + 800002c4: 04048c63 beqz s1,8000031c + 800002c8: 0084b583 ld a1,8(s1) + 800002cc: 008a3503 ld a0,8(s4) + 800002d0: 000d0613 mv a2,s10 + 800002d4: 000b80e7 jalr s7 + 800002d8: 04a05263 blez a0,8000031c + 800002dc: 00048793 mv a5,s1 + 800002e0: 0004b483 ld s1,0(s1) + 800002e4: fff9899b addiw s3,s3,-1 + 800002e8: 02090463 beqz s2,80000310 + 800002ec: 00f93023 sd a5,0(s2) + 800002f0: 00078913 mv s2,a5 + 800002f4: fc0416e3 bnez s0,800002c0 + 800002f8: 02098a63 beqz s3,8000032c + 800002fc: 02048a63 beqz s1,80000330 + 80000300: 00048793 mv a5,s1 + 80000304: fff9899b addiw s3,s3,-1 + 80000308: 0004b483 ld s1,0(s1) + 8000030c: fe0910e3 bnez s2,800002ec + 80000310: 00078b13 mv s6,a5 + 80000314: 00078913 mv s2,a5 + 80000318: fddff06f j 800002f4 + 8000031c: 000a0793 mv a5,s4 + 80000320: fff4041b addiw s0,s0,-1 + 80000324: 000a3a03 ld s4,0(s4) + 80000328: fc1ff06f j 800002e8 + 8000032c: f60494e3 bnez s1,80000294 + 80000330: 00093023 sd zero,0(s2) + 80000334: 019c0c63 beq s8,s9,8000034c + 80000338: 001a9a9b slliw s5,s5,0x1 + 8000033c: 000b0493 mv s1,s6 + 80000340: f45ff06f j 80000284 + 80000344: 00003023 sd zero,0(zero) # 0 <_entry_offset> + 80000348: 00100073 ebreak + 8000034c: 05813083 ld ra,88(sp) + 80000350: 05013403 ld s0,80(sp) + 80000354: 04813483 ld s1,72(sp) + 80000358: 04013903 ld s2,64(sp) + 8000035c: 03813983 ld s3,56(sp) + 80000360: 03013a03 ld s4,48(sp) + 80000364: 02813a83 ld s5,40(sp) + 80000368: 01813b83 ld s7,24(sp) + 8000036c: 01013c03 ld s8,16(sp) + 80000370: 00813c83 ld s9,8(sp) + 80000374: 00013d03 ld s10,0(sp) + 80000378: 000b0513 mv a0,s6 + 8000037c: 02013b03 ld s6,32(sp) + 80000380: 06010113 addi sp,sp,96 + 80000384: 00008067 ret + +0000000080000388 : + 80000388: f9010113 addi sp,sp,-112 + 8000038c: 03813023 sd s8,32(sp) + 80000390: 00451c03 lh s8,4(a0) + 80000394: 06813023 sd s0,96(sp) + 80000398: 05413023 sd s4,64(sp) + 8000039c: 03513c23 sd s5,56(sp) + 800003a0: 06113423 sd ra,104(sp) + 800003a4: 04913c23 sd s1,88(sp) + 800003a8: 05213823 sd s2,80(sp) + 800003ac: 05313423 sd s3,72(sp) + 800003b0: 03613823 sd s6,48(sp) + 800003b4: 03713423 sd s7,40(sp) + 800003b8: 01913c23 sd s9,24(sp) + 800003bc: 00011423 sh zero,8(sp) + 800003c0: 00b11523 sh a1,10(sp) + 800003c4: 03853403 ld s0,56(a0) + 800003c8: 00050a93 mv s5,a0 + 800003cc: 00058a13 mv s4,a1 + 800003d0: 21805a63 blez s8,800005e4 + 800003d4: 00058c93 mv s9,a1 + 800003d8: 00000913 li s2,0 + 800003dc: 00000b93 li s7,0 + 800003e0: 00000493 li s1,0 + 800003e4: 00000b13 li s6,0 + 800003e8: 00810993 addi s3,sp,8 + 800003ec: 0ff97793 andi a5,s2,255 + 800003f0: 00098593 mv a1,s3 + 800003f4: 00040513 mv a0,s0 + 800003f8: 00f11423 sh a5,8(sp) + 800003fc: de9ff0ef jal ra,800001e4 + 80000400: 02040063 beqz s0,80000420 + 80000404: 00000713 li a4,0 + 80000408: 0080006f j 80000410 + 8000040c: 00078413 mv s0,a5 + 80000410: 00043783 ld a5,0(s0) + 80000414: 00e43023 sd a4,0(s0) + 80000418: 00040713 mv a4,s0 + 8000041c: fe0798e3 bnez a5,8000040c + 80000420: 06050a63 beqz a0,80000494 + 80000424: 00853783 ld a5,8(a0) + 80000428: 0014849b addiw s1,s1,1 + 8000042c: 03049493 slli s1,s1,0x30 + 80000430: 00079783 lh a5,0(a5) + 80000434: 0304d493 srli s1,s1,0x30 + 80000438: 0017f713 andi a4,a5,1 + 8000043c: 00070c63 beqz a4,80000454 + 80000440: 4097d79b sraiw a5,a5,0x9 + 80000444: 0017f793 andi a5,a5,1 + 80000448: 01678b3b addw s6,a5,s6 + 8000044c: 030b1b13 slli s6,s6,0x30 + 80000450: 030b5b13 srli s6,s6,0x30 + 80000454: 00053783 ld a5,0(a0) + 80000458: 00078c63 beqz a5,80000470 + 8000045c: 0007b703 ld a4,0(a5) + 80000460: 00e53023 sd a4,0(a0) + 80000464: 00043703 ld a4,0(s0) + 80000468: 00e7b023 sd a4,0(a5) + 8000046c: 00f43023 sd a5,0(s0) + 80000470: 000cc663 bltz s9,8000047c + 80000474: 001c8c9b addiw s9,s9,1 + 80000478: 01911523 sh s9,10(sp) + 8000047c: 0019091b addiw s2,s2,1 + 80000480: 0109191b slliw s2,s2,0x10 + 80000484: 4109591b sraiw s2,s2,0x10 + 80000488: 032c0c63 beq s8,s2,800004c0 + 8000048c: 00a11c83 lh s9,10(sp) + 80000490: f5dff06f j 800003ec + 80000494: 00043783 ld a5,0(s0) + 80000498: 001b8b9b addiw s7,s7,1 + 8000049c: 030b9b93 slli s7,s7,0x30 + 800004a0: 0087b783 ld a5,8(a5) + 800004a4: 030bdb93 srli s7,s7,0x30 + 800004a8: 00178783 lb a5,1(a5) + 800004ac: 0017f793 andi a5,a5,1 + 800004b0: 01678b3b addw s6,a5,s6 + 800004b4: 030b1b13 slli s6,s6,0x30 + 800004b8: 030b5b13 srli s6,s6,0x30 + 800004bc: fb5ff06f j 80000470 + 800004c0: 0024949b slliw s1,s1,0x2 + 800004c4: 417484bb subw s1,s1,s7 + 800004c8: 016484bb addw s1,s1,s6 + 800004cc: 03049493 slli s1,s1,0x30 + 800004d0: 0304d493 srli s1,s1,0x30 + 800004d4: 01405e63 blez s4,800004f0 + 800004d8: 00040513 mv a0,s0 + 800004dc: 000a8613 mv a2,s5 + 800004e0: 00000597 auipc a1,0x0 + 800004e4: cb858593 addi a1,a1,-840 # 80000198 + 800004e8: d55ff0ef jal ra,8000023c + 800004ec: 00050413 mv s0,a0 + 800004f0: 00043783 ld a5,0(s0) + 800004f4: 00098593 mv a1,s3 + 800004f8: 00040513 mv a0,s0 + 800004fc: 0007b983 ld s3,0(a5) + 80000500: 0087ba03 ld s4,8(a5) + 80000504: 0089b683 ld a3,8(s3) + 80000508: 0009b703 ld a4,0(s3) + 8000050c: 00d7b423 sd a3,8(a5) + 80000510: 0149b423 sd s4,8(s3) + 80000514: 00e7b023 sd a4,0(a5) + 80000518: 0009b023 sd zero,0(s3) + 8000051c: cc9ff0ef jal ra,800001e4 + 80000520: 00050913 mv s2,a0 + 80000524: 0a050a63 beqz a0,800005d8 + 80000528: 00843783 ld a5,8(s0) + 8000052c: 00048593 mv a1,s1 + 80000530: 00079503 lh a0,0(a5) + 80000534: 39c000ef jal ra,800008d0 + 80000538: 00093903 ld s2,0(s2) + 8000053c: 00050493 mv s1,a0 + 80000540: fe0914e3 bnez s2,80000528 + 80000544: 00043903 ld s2,0(s0) + 80000548: 0089ba03 ld s4,8(s3) + 8000054c: 00893703 ld a4,8(s2) + 80000550: 00093783 ld a5,0(s2) + 80000554: 00040513 mv a0,s0 + 80000558: 00e9b423 sd a4,8(s3) + 8000055c: 01493423 sd s4,8(s2) + 80000560: 00f9b023 sd a5,0(s3) + 80000564: 01393023 sd s3,0(s2) + 80000568: 00000613 li a2,0 + 8000056c: 00000597 auipc a1,0x0 + 80000570: aa458593 addi a1,a1,-1372 # 80000010 + 80000574: cc9ff0ef jal ra,8000023c + 80000578: 00053403 ld s0,0(a0) + 8000057c: 00050913 mv s2,a0 + 80000580: 02040063 beqz s0,800005a0 + 80000584: 00893783 ld a5,8(s2) + 80000588: 00048593 mv a1,s1 + 8000058c: 00079503 lh a0,0(a5) + 80000590: 340000ef jal ra,800008d0 + 80000594: 00043403 ld s0,0(s0) + 80000598: 00050493 mv s1,a0 + 8000059c: fe0414e3 bnez s0,80000584 + 800005a0: 06813083 ld ra,104(sp) + 800005a4: 06013403 ld s0,96(sp) + 800005a8: 05013903 ld s2,80(sp) + 800005ac: 04813983 ld s3,72(sp) + 800005b0: 04013a03 ld s4,64(sp) + 800005b4: 03813a83 ld s5,56(sp) + 800005b8: 03013b03 ld s6,48(sp) + 800005bc: 02813b83 ld s7,40(sp) + 800005c0: 02013c03 ld s8,32(sp) + 800005c4: 01813c83 ld s9,24(sp) + 800005c8: 00048513 mv a0,s1 + 800005cc: 05813483 ld s1,88(sp) + 800005d0: 07010113 addi sp,sp,112 + 800005d4: 00008067 ret + 800005d8: 00043903 ld s2,0(s0) + 800005dc: f40916e3 bnez s2,80000528 + 800005e0: f6dff06f j 8000054c + 800005e4: 00000493 li s1,0 + 800005e8: 00810993 addi s3,sp,8 + 800005ec: ee9ff06f j 800004d4 + +00000000800005f0 : + 800005f0: fd010113 addi sp,sp,-48 + 800005f4: 02051513 slli a0,a0,0x20 + 800005f8: 01213823 sd s2,16(sp) + 800005fc: 02055513 srli a0,a0,0x20 + 80000600: 00058913 mv s2,a1 + 80000604: 01400593 li a1,20 + 80000608: 02813023 sd s0,32(sp) + 8000060c: 01313423 sd s3,8(sp) + 80000610: 02113423 sd ra,40(sp) + 80000614: 00060993 mv s3,a2 + 80000618: 00913c23 sd s1,24(sp) + 8000061c: 4dd010ef jal ra,800022f8 <__udivdi3> + 80000620: ffe50e1b addiw t3,a0,-2 + 80000624: 020e1513 slli a0,t3,0x20 + 80000628: 02055513 srli a0,a0,0x20 + 8000062c: 00451613 slli a2,a0,0x4 + 80000630: 00c90633 add a2,s2,a2 + 80000634: ffff87b7 lui a5,0xffff8 + 80000638: 00093023 sd zero,0(s2) + 8000063c: 00c93423 sd a2,8(s2) + 80000640: 0807871b addiw a4,a5,128 + 80000644: 00251e93 slli t4,a0,0x2 + 80000648: 00e61023 sh a4,0(a2) + 8000064c: 00061123 sh zero,2(a2) + 80000650: 02090693 addi a3,s2,32 + 80000654: 01d60eb3 add t4,a2,t4 + 80000658: 01090413 addi s0,s2,16 + 8000065c: 00460713 addi a4,a2,4 + 80000660: 14c6f063 bgeu a3,a2,800007a0 + 80000664: 00860893 addi a7,a2,8 + 80000668: 13d8fc63 bgeu a7,t4,800007a0 + 8000066c: 00e93c23 sd a4,24(s2) + 80000670: 00093823 sd zero,16(s2) + 80000674: 00893023 sd s0,0(s2) + 80000678: fff7c793 not a5,a5 + 8000067c: fff00713 li a4,-1 + 80000680: 00e61223 sh a4,4(a2) + 80000684: 00f61323 sh a5,6(a2) + 80000688: 060e0a63 beqz t3,800006fc + 8000068c: 03099f13 slli t5,s3,0x30 + 80000690: ffff8fb7 lui t6,0xffff8 + 80000694: 030f5f13 srli t5,t5,0x30 + 80000698: 00000813 li a6,0 + 8000069c: ffffcf93 not t6,t6 + 800006a0: 03081713 slli a4,a6,0x30 + 800006a4: 03075713 srli a4,a4,0x30 + 800006a8: 00ef47b3 xor a5,t5,a4 + 800006ac: 00379793 slli a5,a5,0x3 + 800006b0: 00777713 andi a4,a4,7 + 800006b4: 0787f793 andi a5,a5,120 + 800006b8: 00e7e7b3 or a5,a5,a4 + 800006bc: 00879593 slli a1,a5,0x8 + 800006c0: 01068713 addi a4,a3,16 + 800006c4: 0018081b addiw a6,a6,1 + 800006c8: 00488313 addi t1,a7,4 + 800006cc: 00b7e7b3 or a5,a5,a1 + 800006d0: 02c77463 bgeu a4,a2,800006f8 + 800006d4: 03d37263 bgeu t1,t4,800006f8 + 800006d8: 0086b023 sd s0,0(a3) + 800006dc: 00d93023 sd a3,0(s2) + 800006e0: 0116b423 sd a7,8(a3) + 800006e4: 00f89023 sh a5,0(a7) + 800006e8: 01f89123 sh t6,2(a7) + 800006ec: 00068413 mv s0,a3 + 800006f0: 00030893 mv a7,t1 + 800006f4: 00070693 mv a3,a4 + 800006f8: fb0e14e3 bne t3,a6,800006a0 + 800006fc: 00043483 ld s1,0(s0) + 80000700: 06048a63 beqz s1,80000774 + 80000704: 00500593 li a1,5 + 80000708: 3f1010ef jal ra,800022f8 <__udivdi3> + 8000070c: 00004637 lui a2,0x4 + 80000710: 0005051b sext.w a0,a0 + 80000714: 00100693 li a3,1 + 80000718: fff60613 addi a2,a2,-1 # 3fff <_entry_offset+0x3fff> + 8000071c: 01c0006f j 80000738 + 80000720: 0004b783 ld a5,0(s1) + 80000724: 00d71123 sh a3,2(a4) + 80000728: 00048413 mv s0,s1 + 8000072c: 0016869b addiw a3,a3,1 + 80000730: 04078263 beqz a5,80000774 + 80000734: 00078493 mv s1,a5 + 80000738: 03069713 slli a4,a3,0x30 + 8000073c: 03075713 srli a4,a4,0x30 + 80000740: 0017079b addiw a5,a4,1 + 80000744: 0087979b slliw a5,a5,0x8 + 80000748: 01374733 xor a4,a4,s3 + 8000074c: 7007f793 andi a5,a5,1792 + 80000750: 00e7e7b3 or a5,a5,a4 + 80000754: 00c7f7b3 and a5,a5,a2 + 80000758: 00843703 ld a4,8(s0) + 8000075c: fca6e2e3 bltu a3,a0,80000720 + 80000760: 00f71123 sh a5,2(a4) + 80000764: 0004b783 ld a5,0(s1) + 80000768: 00048413 mv s0,s1 + 8000076c: 0016869b addiw a3,a3,1 + 80000770: fc0792e3 bnez a5,80000734 + 80000774: 02013403 ld s0,32(sp) + 80000778: 02813083 ld ra,40(sp) + 8000077c: 01813483 ld s1,24(sp) + 80000780: 00813983 ld s3,8(sp) + 80000784: 00090513 mv a0,s2 + 80000788: 01013903 ld s2,16(sp) + 8000078c: 00000613 li a2,0 + 80000790: 00000597 auipc a1,0x0 + 80000794: 88058593 addi a1,a1,-1920 # 80000010 + 80000798: 03010113 addi sp,sp,48 + 8000079c: aa1ff06f j 8000023c + 800007a0: 00040693 mv a3,s0 + 800007a4: 00070893 mv a7,a4 + 800007a8: 00000413 li s0,0 + 800007ac: eddff06f j 80000688 + +00000000800007b0 : + 800007b0: 00500793 li a5,5 + 800007b4: 04a7ee63 bltu a5,a0,80000810 + 800007b8: 00003717 auipc a4,0x3 + 800007bc: 35870713 addi a4,a4,856 # 80003b10 <_etext> + 800007c0: 00251513 slli a0,a0,0x2 + 800007c4: 00e50533 add a0,a0,a4 + 800007c8: 00052783 lw a5,0(a0) + 800007cc: 00e787b3 add a5,a5,a4 + 800007d0: 00078067 jr a5 # ffffffffffff8000 <_end+0xffffffff7ffeb000> + 800007d4: 00004517 auipc a0,0x4 + 800007d8: fec52503 lw a0,-20(a0) # 800047c0 + 800007dc: 00008067 ret + 800007e0: 00004517 auipc a0,0x4 + 800007e4: fd852503 lw a0,-40(a0) # 800047b8 + 800007e8: 00008067 ret + 800007ec: 00004517 auipc a0,0x4 + 800007f0: fd052503 lw a0,-48(a0) # 800047bc + 800007f4: 00008067 ret + 800007f8: 00004517 auipc a0,0x4 + 800007fc: ba452503 lw a0,-1116(a0) # 8000439c + 80000800: 00008067 ret + 80000804: 00004517 auipc a0,0x4 + 80000808: b9c52503 lw a0,-1124(a0) # 800043a0 + 8000080c: 00008067 ret + 80000810: 00000513 li a0,0 + 80000814: 00008067 ret + +0000000080000818 : + 80000818: 00050693 mv a3,a0 + 8000081c: ffffa837 lui a6,0xffffa + 80000820: 00058513 mv a0,a1 + 80000824: 0ff6f613 andi a2,a3,255 + 80000828: 00800793 li a5,8 + 8000082c: 00180813 addi a6,a6,1 # ffffffffffffa001 <_end+0xffffffff7ffed001> + 80000830: 00c54733 xor a4,a0,a2 + 80000834: fff7879b addiw a5,a5,-1 + 80000838: 00155513 srli a0,a0,0x1 + 8000083c: 00177713 andi a4,a4,1 + 80000840: 0ff7f793 andi a5,a5,255 + 80000844: 010545b3 xor a1,a0,a6 + 80000848: 00165613 srli a2,a2,0x1 + 8000084c: 00070663 beqz a4,80000858 + 80000850: 03059513 slli a0,a1,0x30 + 80000854: 03055513 srli a0,a0,0x30 + 80000858: fc079ce3 bnez a5,80000830 + 8000085c: ffffa5b7 lui a1,0xffffa + 80000860: 0086d693 srli a3,a3,0x8 + 80000864: 00800793 li a5,8 + 80000868: 00158593 addi a1,a1,1 # ffffffffffffa001 <_end+0xffffffff7ffed001> + 8000086c: 00d54733 xor a4,a0,a3 + 80000870: fff7879b addiw a5,a5,-1 + 80000874: 00155513 srli a0,a0,0x1 + 80000878: 00177713 andi a4,a4,1 + 8000087c: 0ff7f793 andi a5,a5,255 + 80000880: 00b54633 xor a2,a0,a1 + 80000884: 0016d693 srli a3,a3,0x1 + 80000888: 00070663 beqz a4,80000894 + 8000088c: 03061513 slli a0,a2,0x30 + 80000890: 03055513 srli a0,a0,0x30 + 80000894: fc079ce3 bnez a5,8000086c + 80000898: 00008067 ret + +000000008000089c : + 8000089c: ff010113 addi sp,sp,-16 + 800008a0: 00813023 sd s0,0(sp) + 800008a4: 00050413 mv s0,a0 + 800008a8: 03051513 slli a0,a0,0x30 + 800008ac: 03055513 srli a0,a0,0x30 + 800008b0: 00113423 sd ra,8(sp) + 800008b4: f65ff0ef jal ra,80000818 + 800008b8: 00050593 mv a1,a0 + 800008bc: 0104551b srliw a0,s0,0x10 + 800008c0: 00013403 ld s0,0(sp) + 800008c4: 00813083 ld ra,8(sp) + 800008c8: 01010113 addi sp,sp,16 + 800008cc: f4dff06f j 80000818 + +00000000800008d0 : + 800008d0: 03051513 slli a0,a0,0x30 + 800008d4: 03055513 srli a0,a0,0x30 + 800008d8: f41ff06f j 80000818 + +00000000800008dc : + 800008dc: 00000513 li a0,0 + 800008e0: 00008067 ret + +00000000800008e4 : + 800008e4: f7010113 addi sp,sp,-144 + 800008e8: 07413023 sd s4,96(sp) + 800008ec: 03b13423 sd s11,40(sp) + 800008f0: 08113423 sd ra,136(sp) + 800008f4: 08813023 sd s0,128(sp) + 800008f8: 06913c23 sd s1,120(sp) + 800008fc: 07213823 sd s2,112(sp) + 80000900: 07313423 sd s3,104(sp) + 80000904: 05513c23 sd s5,88(sp) + 80000908: 05613823 sd s6,80(sp) + 8000090c: 05713423 sd s7,72(sp) + 80000910: 05813023 sd s8,64(sp) + 80000914: 03913c23 sd s9,56(sp) + 80000918: 03a13823 sd s10,48(sp) + 8000091c: 00d13c23 sd a3,24(sp) + 80000920: 00050a13 mv s4,a0 + 80000924: 00100d93 li s11,1 + 80000928: 00060463 beqz a2,80000930 + 8000092c: 00060d93 mv s11,a2 + 80000930: fff58593 addi a1,a1,-1 + 80000934: ffc5f493 andi s1,a1,-4 + 80000938: 00448993 addi s3,s1,4 + 8000093c: 00000913 li s2,0 + 80000940: 160a0663 beqz s4,80000aac + 80000944: 0019079b addiw a5,s2,1 + 80000948: 00078593 mv a1,a5 + 8000094c: 00078513 mv a0,a5 + 80000950: 0009041b sext.w s0,s2 + 80000954: 0007891b sext.w s2,a5 + 80000958: 135010ef jal ra,8000228c <__muldi3> + 8000095c: 0035179b slliw a5,a0,0x3 + 80000960: ff47e2e3 bltu a5,s4,80000944 + 80000964: 00040593 mv a1,s0 + 80000968: 00040513 mv a0,s0 + 8000096c: 121010ef jal ra,8000228c <__muldi3> + 80000970: 02051c13 slli s8,a0,0x20 + 80000974: 01fc5793 srli a5,s8,0x1f + 80000978: 00f13823 sd a5,16(sp) + 8000097c: 00f984b3 add s1,s3,a5 + 80000980: 0004079b sext.w a5,s0 + 80000984: 00f13423 sd a5,8(sp) + 80000988: 14040063 beqz s0,80000ac8 + 8000098c: 00010a37 lui s4,0x10 + 80000990: 00000b93 li s7,0 + 80000994: 00000b13 li s6,0 + 80000998: 00100a93 li s5,1 + 8000099c: fffa0a13 addi s4,s4,-1 # ffff <_entry_offset+0xffff> + 800009a0: fff40d1b addiw s10,s0,-1 + 800009a4: 000a8c93 mv s9,s5 + 800009a8: 00000c13 li s8,0 + 800009ac: 415b893b subw s2,s7,s5 + 800009b0: 000c8593 mv a1,s9 + 800009b4: 000d8513 mv a0,s11 + 800009b8: 0d5010ef jal ra,8000228c <__muldi3> + 800009bc: 0005051b sext.w a0,a0 + 800009c0: 41f5561b sraiw a2,a0,0x1f + 800009c4: 0106561b srliw a2,a2,0x10 + 800009c8: 00a6053b addw a0,a2,a0 + 800009cc: 030c9713 slli a4,s9,0x30 + 800009d0: 01457533 and a0,a0,s4 + 800009d4: 03075713 srli a4,a4,0x30 + 800009d8: 019907bb addw a5,s2,s9 + 800009dc: 40c50dbb subw s11,a0,a2 + 800009e0: 00ed863b addw a2,s11,a4 + 800009e4: 02079793 slli a5,a5,0x20 + 800009e8: 0207d793 srli a5,a5,0x20 + 800009ec: 03061613 slli a2,a2,0x30 + 800009f0: 03065613 srli a2,a2,0x30 + 800009f4: 00179793 slli a5,a5,0x1 + 800009f8: 00f48833 add a6,s1,a5 + 800009fc: 00e6073b addw a4,a2,a4 + 80000a00: 00c81023 sh a2,0(a6) + 80000a04: 00f987b3 add a5,s3,a5 + 80000a08: 0ff77713 andi a4,a4,255 + 80000a0c: 00e79023 sh a4,0(a5) + 80000a10: 001c0c1b addiw s8,s8,1 + 80000a14: 001c8c9b addiw s9,s9,1 + 80000a18: f88c6ce3 bltu s8,s0,800009b0 + 80000a1c: 001a8a9b addiw s5,s5,1 + 80000a20: 00000793 li a5,0 + 80000a24: 00040463 beqz s0,80000a2c + 80000a28: 000d0793 mv a5,s10 + 80000a2c: 001b0b1b addiw s6,s6,1 + 80000a30: 01578abb addw s5,a5,s5 + 80000a34: 01740bbb addw s7,s0,s7 + 80000a38: f68b66e3 bltu s6,s0,800009a4 + 80000a3c: 01013783 ld a5,16(sp) + 80000a40: 01813703 ld a4,24(sp) + 80000a44: 08813083 ld ra,136(sp) + 80000a48: 00f487b3 add a5,s1,a5 + 80000a4c: fff78793 addi a5,a5,-1 + 80000a50: ffc7f793 andi a5,a5,-4 + 80000a54: 00478793 addi a5,a5,4 + 80000a58: 01373423 sd s3,8(a4) + 80000a5c: 00973823 sd s1,16(a4) + 80000a60: 00f73c23 sd a5,24(a4) + 80000a64: 00070793 mv a5,a4 + 80000a68: 00813703 ld a4,8(sp) + 80000a6c: 00040513 mv a0,s0 + 80000a70: 08013403 ld s0,128(sp) + 80000a74: 00e7a023 sw a4,0(a5) + 80000a78: 07813483 ld s1,120(sp) + 80000a7c: 07013903 ld s2,112(sp) + 80000a80: 06813983 ld s3,104(sp) + 80000a84: 06013a03 ld s4,96(sp) + 80000a88: 05813a83 ld s5,88(sp) + 80000a8c: 05013b03 ld s6,80(sp) + 80000a90: 04813b83 ld s7,72(sp) + 80000a94: 04013c03 ld s8,64(sp) + 80000a98: 03813c83 ld s9,56(sp) + 80000a9c: 03013d03 ld s10,48(sp) + 80000aa0: 02813d83 ld s11,40(sp) + 80000aa4: 09010113 addi sp,sp,144 + 80000aa8: 00008067 ret + 80000aac: fff00793 li a5,-1 + 80000ab0: 00f13423 sd a5,8(sp) + 80000ab4: 00200793 li a5,2 + 80000ab8: 00648493 addi s1,s1,6 + 80000abc: fff00413 li s0,-1 + 80000ac0: 00f13823 sd a5,16(sp) + 80000ac4: ec9ff06f j 8000098c + 80000ac8: 00013423 sd zero,8(sp) + 80000acc: f71ff06f j 80000a3c + +0000000080000ad0 : + 80000ad0: 0c050e63 beqz a0,80000bac + 80000ad4: fa010113 addi sp,sp,-96 + 80000ad8: 01713c23 sd s7,24(sp) + 80000adc: fff50b9b addiw s7,a0,-1 + 80000ae0: 020b9b93 slli s7,s7,0x20 + 80000ae4: 01ebdb93 srli s7,s7,0x1e + 80000ae8: 00458793 addi a5,a1,4 + 80000aec: 05213023 sd s2,64(sp) + 80000af0: 03313c23 sd s3,56(sp) + 80000af4: 03413823 sd s4,48(sp) + 80000af8: 03513423 sd s5,40(sp) + 80000afc: 03613023 sd s6,32(sp) + 80000b00: 01813823 sd s8,16(sp) + 80000b04: 04113c23 sd ra,88(sp) + 80000b08: 04813823 sd s0,80(sp) + 80000b0c: 04913423 sd s1,72(sp) + 80000b10: 01913423 sd s9,8(sp) + 80000b14: 00050b13 mv s6,a0 + 80000b18: 00060993 mv s3,a2 + 80000b1c: 00068c13 mv s8,a3 + 80000b20: 00058a13 mv s4,a1 + 80000b24: 00fb8bb3 add s7,s7,a5 + 80000b28: 00050913 mv s2,a0 + 80000b2c: 00000a93 li s5,0 + 80000b30: 000c0493 mv s1,s8 + 80000b34: 000a8413 mv s0,s5 + 80000b38: 00000c93 li s9,0 + 80000b3c: 02041793 slli a5,s0,0x20 + 80000b40: 01f7d793 srli a5,a5,0x1f + 80000b44: 00f987b3 add a5,s3,a5 + 80000b48: 00049583 lh a1,0(s1) + 80000b4c: 00079503 lh a0,0(a5) + 80000b50: 0014041b addiw s0,s0,1 + 80000b54: 00248493 addi s1,s1,2 + 80000b58: 734010ef jal ra,8000228c <__muldi3> + 80000b5c: 01950cbb addw s9,a0,s9 + 80000b60: fc891ee3 bne s2,s0,80000b3c + 80000b64: 019a2023 sw s9,0(s4) + 80000b68: 004a0a13 addi s4,s4,4 + 80000b6c: 015b0abb addw s5,s6,s5 + 80000b70: 012b093b addw s2,s6,s2 + 80000b74: fb4b9ee3 bne s7,s4,80000b30 + 80000b78: 05813083 ld ra,88(sp) + 80000b7c: 05013403 ld s0,80(sp) + 80000b80: 04813483 ld s1,72(sp) + 80000b84: 04013903 ld s2,64(sp) + 80000b88: 03813983 ld s3,56(sp) + 80000b8c: 03013a03 ld s4,48(sp) + 80000b90: 02813a83 ld s5,40(sp) + 80000b94: 02013b03 ld s6,32(sp) + 80000b98: 01813b83 ld s7,24(sp) + 80000b9c: 01013c03 ld s8,16(sp) + 80000ba0: 00813c83 ld s9,8(sp) + 80000ba4: 06010113 addi sp,sp,96 + 80000ba8: 00008067 ret + 80000bac: 00008067 ret + +0000000080000bb0 : + 80000bb0: 10050e63 beqz a0,80000ccc + 80000bb4: f9010113 addi sp,sp,-112 + 80000bb8: 06813023 sd s0,96(sp) + 80000bbc: 04913c23 sd s1,88(sp) + 80000bc0: 05213823 sd s2,80(sp) + 80000bc4: 05313423 sd s3,72(sp) + 80000bc8: 03613823 sd s6,48(sp) + 80000bcc: 03713423 sd s7,40(sp) + 80000bd0: 03813023 sd s8,32(sp) + 80000bd4: 06113423 sd ra,104(sp) + 80000bd8: 05413023 sd s4,64(sp) + 80000bdc: 03513c23 sd s5,56(sp) + 80000be0: 01913c23 sd s9,24(sp) + 80000be4: 01a13823 sd s10,16(sp) + 80000be8: 01b13423 sd s11,8(sp) + 80000bec: 00050413 mv s0,a0 + 80000bf0: 00058b93 mv s7,a1 + 80000bf4: 00060993 mv s3,a2 + 80000bf8: 00068913 mv s2,a3 + 80000bfc: 00050493 mv s1,a0 + 80000c00: 00000b13 li s6,0 + 80000c04: 00000c13 li s8,0 + 80000c08: 00000a93 li s5,0 + 80000c0c: 016a8a3b addw s4,s5,s6 + 80000c10: 020a1a13 slli s4,s4,0x20 + 80000c14: 01ea5a13 srli s4,s4,0x1e + 80000c18: 014b8a33 add s4,s7,s4 + 80000c1c: 000a8d13 mv s10,s5 + 80000c20: 000b0c93 mv s9,s6 + 80000c24: 00000d93 li s11,0 + 80000c28: 020c9793 slli a5,s9,0x20 + 80000c2c: 020d1713 slli a4,s10,0x20 + 80000c30: 0207d793 srli a5,a5,0x20 + 80000c34: 02075713 srli a4,a4,0x20 + 80000c38: 00179793 slli a5,a5,0x1 + 80000c3c: 00171713 slli a4,a4,0x1 + 80000c40: 00f987b3 add a5,s3,a5 + 80000c44: 00e90733 add a4,s2,a4 + 80000c48: 00071583 lh a1,0(a4) + 80000c4c: 00079503 lh a0,0(a5) + 80000c50: 001c8c9b addiw s9,s9,1 + 80000c54: 01a40d3b addw s10,s0,s10 + 80000c58: 634010ef jal ra,8000228c <__muldi3> + 80000c5c: 01b50dbb addw s11,a0,s11 + 80000c60: fd9494e3 bne s1,s9,80000c28 + 80000c64: 01ba2023 sw s11,0(s4) + 80000c68: 001a879b addiw a5,s5,1 + 80000c6c: 00f40663 beq s0,a5,80000c78 + 80000c70: 00078a93 mv s5,a5 + 80000c74: f99ff06f j 80000c0c + 80000c78: 001c079b addiw a5,s8,1 + 80000c7c: 01640b3b addw s6,s0,s6 + 80000c80: 009404bb addw s1,s0,s1 + 80000c84: 015c0663 beq s8,s5,80000c90 + 80000c88: 00078c13 mv s8,a5 + 80000c8c: f7dff06f j 80000c08 + 80000c90: 06813083 ld ra,104(sp) + 80000c94: 06013403 ld s0,96(sp) + 80000c98: 05813483 ld s1,88(sp) + 80000c9c: 05013903 ld s2,80(sp) + 80000ca0: 04813983 ld s3,72(sp) + 80000ca4: 04013a03 ld s4,64(sp) + 80000ca8: 03813a83 ld s5,56(sp) + 80000cac: 03013b03 ld s6,48(sp) + 80000cb0: 02813b83 ld s7,40(sp) + 80000cb4: 02013c03 ld s8,32(sp) + 80000cb8: 01813c83 ld s9,24(sp) + 80000cbc: 01013d03 ld s10,16(sp) + 80000cc0: 00813d83 ld s11,8(sp) + 80000cc4: 07010113 addi sp,sp,112 + 80000cc8: 00008067 ret + 80000ccc: 00008067 ret + +0000000080000cd0 : + 80000cd0: 12050863 beqz a0,80000e00 + 80000cd4: f9010113 addi sp,sp,-112 + 80000cd8: 06813023 sd s0,96(sp) + 80000cdc: 04913c23 sd s1,88(sp) + 80000ce0: 05213823 sd s2,80(sp) + 80000ce4: 05313423 sd s3,72(sp) + 80000ce8: 03613823 sd s6,48(sp) + 80000cec: 03713423 sd s7,40(sp) + 80000cf0: 03813023 sd s8,32(sp) + 80000cf4: 06113423 sd ra,104(sp) + 80000cf8: 05413023 sd s4,64(sp) + 80000cfc: 03513c23 sd s5,56(sp) + 80000d00: 01913c23 sd s9,24(sp) + 80000d04: 01a13823 sd s10,16(sp) + 80000d08: 01b13423 sd s11,8(sp) + 80000d0c: 00050413 mv s0,a0 + 80000d10: 00058b93 mv s7,a1 + 80000d14: 00060993 mv s3,a2 + 80000d18: 00068913 mv s2,a3 + 80000d1c: 00050493 mv s1,a0 + 80000d20: 00000b13 li s6,0 + 80000d24: 00000c13 li s8,0 + 80000d28: 00000a93 li s5,0 + 80000d2c: 016a8a3b addw s4,s5,s6 + 80000d30: 020a1a13 slli s4,s4,0x20 + 80000d34: 01ea5a13 srli s4,s4,0x1e + 80000d38: 014b8a33 add s4,s7,s4 + 80000d3c: 000a8d13 mv s10,s5 + 80000d40: 000b0c93 mv s9,s6 + 80000d44: 00000d93 li s11,0 + 80000d48: 020c9793 slli a5,s9,0x20 + 80000d4c: 020d1713 slli a4,s10,0x20 + 80000d50: 0207d793 srli a5,a5,0x20 + 80000d54: 02075713 srli a4,a4,0x20 + 80000d58: 00179793 slli a5,a5,0x1 + 80000d5c: 00171713 slli a4,a4,0x1 + 80000d60: 00f987b3 add a5,s3,a5 + 80000d64: 00e90733 add a4,s2,a4 + 80000d68: 00071583 lh a1,0(a4) + 80000d6c: 00079503 lh a0,0(a5) + 80000d70: 001c8c9b addiw s9,s9,1 + 80000d74: 01a40d3b addw s10,s0,s10 + 80000d78: 514010ef jal ra,8000228c <__muldi3> + 80000d7c: 4025579b sraiw a5,a0,0x2 + 80000d80: 4055559b sraiw a1,a0,0x5 + 80000d84: 07f5f593 andi a1,a1,127 + 80000d88: 00f7f513 andi a0,a5,15 + 80000d8c: 500010ef jal ra,8000228c <__muldi3> + 80000d90: 01b50dbb addw s11,a0,s11 + 80000d94: fb949ae3 bne s1,s9,80000d48 + 80000d98: 01ba2023 sw s11,0(s4) + 80000d9c: 001a879b addiw a5,s5,1 + 80000da0: 00f40663 beq s0,a5,80000dac + 80000da4: 00078a93 mv s5,a5 + 80000da8: f85ff06f j 80000d2c + 80000dac: 001c079b addiw a5,s8,1 + 80000db0: 01640b3b addw s6,s0,s6 + 80000db4: 009404bb addw s1,s0,s1 + 80000db8: 015c0663 beq s8,s5,80000dc4 + 80000dbc: 00078c13 mv s8,a5 + 80000dc0: f69ff06f j 80000d28 + 80000dc4: 06813083 ld ra,104(sp) + 80000dc8: 06013403 ld s0,96(sp) + 80000dcc: 05813483 ld s1,88(sp) + 80000dd0: 05013903 ld s2,80(sp) + 80000dd4: 04813983 ld s3,72(sp) + 80000dd8: 04013a03 ld s4,64(sp) + 80000ddc: 03813a83 ld s5,56(sp) + 80000de0: 03013b03 ld s6,48(sp) + 80000de4: 02813b83 ld s7,40(sp) + 80000de8: 02013c03 ld s8,32(sp) + 80000dec: 01813c83 ld s9,24(sp) + 80000df0: 01013d03 ld s10,16(sp) + 80000df4: 00813d83 ld s11,8(sp) + 80000df8: 07010113 addi sp,sp,112 + 80000dfc: 00008067 ret + 80000e00: 00008067 ret + +0000000080000e04 : + 80000e04: f8010113 addi sp,sp,-128 + 80000e08: 05313c23 sd s3,88(sp) + 80000e0c: fffff9b7 lui s3,0xfffff + 80000e10: 013767b3 or a5,a4,s3 + 80000e14: 05413823 sd s4,80(sp) + 80000e18: 05613023 sd s6,64(sp) + 80000e1c: 01b13c23 sd s11,24(sp) + 80000e20: 06113c23 sd ra,120(sp) + 80000e24: 06813823 sd s0,112(sp) + 80000e28: 06913423 sd s1,104(sp) + 80000e2c: 07213023 sd s2,96(sp) + 80000e30: 05513423 sd s5,72(sp) + 80000e34: 03713c23 sd s7,56(sp) + 80000e38: 03813823 sd s8,48(sp) + 80000e3c: 03913423 sd s9,40(sp) + 80000e40: 03a13023 sd s10,32(sp) + 80000e44: 00f13423 sd a5,8(sp) + 80000e48: 00058d93 mv s11,a1 + 80000e4c: 00060a13 mv s4,a2 + 80000e50: 00068b13 mv s6,a3 + 80000e54: 3a050c63 beqz a0,8000120c + 80000e58: 03071413 slli s0,a4,0x30 + 80000e5c: 00050813 mv a6,a0 + 80000e60: 00050593 mv a1,a0 + 80000e64: 03045413 srli s0,s0,0x30 + 80000e68: 00000513 li a0,0 + 80000e6c: 00000c13 li s8,0 + 80000e70: 00050693 mv a3,a0 + 80000e74: 02069793 slli a5,a3,0x20 + 80000e78: 01f7d793 srli a5,a5,0x1f + 80000e7c: 00fa07b3 add a5,s4,a5 + 80000e80: 0007d603 lhu a2,0(a5) + 80000e84: 0016869b addiw a3,a3,1 + 80000e88: 0086063b addw a2,a2,s0 + 80000e8c: 00c79023 sh a2,0(a5) + 80000e90: fed592e3 bne a1,a3,80000e74 + 80000e94: 001c091b addiw s2,s8,1 + 80000e98: 00a8053b addw a0,a6,a0 + 80000e9c: 00b805bb addw a1,a6,a1 + 80000ea0: 01280663 beq a6,s2,80000eac + 80000ea4: 00090c13 mv s8,s2 + 80000ea8: fc9ff06f j 80000e70 + 80000eac: 00070b9b sext.w s7,a4 + 80000eb0: 00090a93 mv s5,s2 + 80000eb4: 00000c93 li s9,0 + 80000eb8: 00000d13 li s10,0 + 80000ebc: 000c8993 mv s3,s9 + 80000ec0: 02099793 slli a5,s3,0x20 + 80000ec4: 0207d793 srli a5,a5,0x20 + 80000ec8: 00179693 slli a3,a5,0x1 + 80000ecc: 00da06b3 add a3,s4,a3 + 80000ed0: 00069583 lh a1,0(a3) + 80000ed4: 00279793 slli a5,a5,0x2 + 80000ed8: 000b8513 mv a0,s7 + 80000edc: 00fd84b3 add s1,s11,a5 + 80000ee0: 3ac010ef jal ra,8000228c <__muldi3> + 80000ee4: 00a4a023 sw a0,0(s1) + 80000ee8: 0019899b addiw s3,s3,1 + 80000eec: fd3a9ae3 bne s5,s3,80000ec0 + 80000ef0: 001d079b addiw a5,s10,1 + 80000ef4: 01990cbb addw s9,s2,s9 + 80000ef8: 01590abb addw s5,s2,s5 + 80000efc: 018d0663 beq s10,s8,80000f08 + 80000f00: 00078d13 mv s10,a5 + 80000f04: fb9ff06f j 80000ebc + 80000f08: 00812983 lw s3,8(sp) + 80000f0c: 00090813 mv a6,s2 + 80000f10: 00000893 li a7,0 + 80000f14: 00000513 li a0,0 + 80000f18: 00000613 li a2,0 + 80000f1c: 00000593 li a1,0 + 80000f20: 00000313 li t1,0 + 80000f24: 00088693 mv a3,a7 + 80000f28: 0180006f j 80000f40 + 80000f2c: 0107951b slliw a0,a5,0x10 + 80000f30: 0016869b addiw a3,a3,1 + 80000f34: 4105551b sraiw a0,a0,0x10 + 80000f38: 00000593 li a1,0 + 80000f3c: 04d80263 beq a6,a3,80000f80 + 80000f40: 02069793 slli a5,a3,0x20 + 80000f44: 01e7d793 srli a5,a5,0x1e + 80000f48: 00fd87b3 add a5,s11,a5 + 80000f4c: 00060713 mv a4,a2 + 80000f50: 0007a603 lw a2,0(a5) + 80000f54: 03051513 slli a0,a0,0x30 + 80000f58: 03055513 srli a0,a0,0x30 + 80000f5c: 00c72733 slt a4,a4,a2 + 80000f60: 00b605bb addw a1,a2,a1 + 80000f64: 00a5079b addiw a5,a0,10 + 80000f68: 00a7073b addw a4,a4,a0 + 80000f6c: fcb9c0e3 blt s3,a1,80000f2c + 80000f70: 0107151b slliw a0,a4,0x10 + 80000f74: 0016869b addiw a3,a3,1 + 80000f78: 4105551b sraiw a0,a0,0x10 + 80000f7c: fcd812e3 bne a6,a3,80000f40 + 80000f80: 0013079b addiw a5,t1,1 + 80000f84: 0109083b addw a6,s2,a6 + 80000f88: 011908bb addw a7,s2,a7 + 80000f8c: 01830663 beq t1,s8,80000f98 + 80000f90: 00078313 mv t1,a5 + 80000f94: f91ff06f j 80000f24 + 80000f98: 00000593 li a1,0 + 80000f9c: 935ff0ef jal ra,800008d0 + 80000fa0: 000a0613 mv a2,s4 + 80000fa4: 000d8593 mv a1,s11 + 80000fa8: 00050493 mv s1,a0 + 80000fac: 000b0693 mv a3,s6 + 80000fb0: 00090513 mv a0,s2 + 80000fb4: b1dff0ef jal ra,80000ad0 + 80000fb8: 00090813 mv a6,s2 + 80000fbc: 00000893 li a7,0 + 80000fc0: 00000513 li a0,0 + 80000fc4: 00000613 li a2,0 + 80000fc8: 00000593 li a1,0 + 80000fcc: 00000313 li t1,0 + 80000fd0: 00088693 mv a3,a7 + 80000fd4: 0180006f j 80000fec + 80000fd8: 0107951b slliw a0,a5,0x10 + 80000fdc: 0016869b addiw a3,a3,1 + 80000fe0: 4105551b sraiw a0,a0,0x10 + 80000fe4: 00000593 li a1,0 + 80000fe8: 04d80263 beq a6,a3,8000102c + 80000fec: 02069793 slli a5,a3,0x20 + 80000ff0: 01e7d793 srli a5,a5,0x1e + 80000ff4: 00fd87b3 add a5,s11,a5 + 80000ff8: 00060713 mv a4,a2 + 80000ffc: 0007a603 lw a2,0(a5) + 80001000: 03051513 slli a0,a0,0x30 + 80001004: 03055513 srli a0,a0,0x30 + 80001008: 00c72733 slt a4,a4,a2 + 8000100c: 00b605bb addw a1,a2,a1 + 80001010: 00a5079b addiw a5,a0,10 + 80001014: 00a7073b addw a4,a4,a0 + 80001018: fcb9c0e3 blt s3,a1,80000fd8 + 8000101c: 0107151b slliw a0,a4,0x10 + 80001020: 0016869b addiw a3,a3,1 + 80001024: 4105551b sraiw a0,a0,0x10 + 80001028: fcd812e3 bne a6,a3,80000fec + 8000102c: 0013079b addiw a5,t1,1 + 80001030: 0109083b addw a6,s2,a6 + 80001034: 011908bb addw a7,s2,a7 + 80001038: 01830663 beq t1,s8,80001044 + 8000103c: 00078313 mv t1,a5 + 80001040: f91ff06f j 80000fd0 + 80001044: 00048593 mv a1,s1 + 80001048: 889ff0ef jal ra,800008d0 + 8000104c: 000a0613 mv a2,s4 + 80001050: 000d8593 mv a1,s11 + 80001054: 00050493 mv s1,a0 + 80001058: 000b0693 mv a3,s6 + 8000105c: 00090513 mv a0,s2 + 80001060: b51ff0ef jal ra,80000bb0 + 80001064: 00000813 li a6,0 + 80001068: 00000513 li a0,0 + 8000106c: 00000613 li a2,0 + 80001070: 00000593 li a1,0 + 80001074: 00000893 li a7,0 + 80001078: 00000693 li a3,0 + 8000107c: 0180006f j 80001094 + 80001080: 0107951b slliw a0,a5,0x10 + 80001084: 0016869b addiw a3,a3,1 + 80001088: 4105551b sraiw a0,a0,0x10 + 8000108c: 00000593 li a1,0 + 80001090: 0526f463 bgeu a3,s2,800010d8 + 80001094: 010687bb addw a5,a3,a6 + 80001098: 02079793 slli a5,a5,0x20 + 8000109c: 01e7d793 srli a5,a5,0x1e + 800010a0: 00fd87b3 add a5,s11,a5 + 800010a4: 00060713 mv a4,a2 + 800010a8: 0007a603 lw a2,0(a5) + 800010ac: 03051513 slli a0,a0,0x30 + 800010b0: 03055513 srli a0,a0,0x30 + 800010b4: 00c72733 slt a4,a4,a2 + 800010b8: 00b605bb addw a1,a2,a1 + 800010bc: 00a5079b addiw a5,a0,10 + 800010c0: 00a7073b addw a4,a4,a0 + 800010c4: fab9cee3 blt s3,a1,80001080 + 800010c8: 0107151b slliw a0,a4,0x10 + 800010cc: 0016869b addiw a3,a3,1 + 800010d0: 4105551b sraiw a0,a0,0x10 + 800010d4: fd26e0e3 bltu a3,s2,80001094 + 800010d8: 0018889b addiw a7,a7,1 + 800010dc: 0109083b addw a6,s2,a6 + 800010e0: f928ece3 bltu a7,s2,80001078 + 800010e4: 00048593 mv a1,s1 + 800010e8: fe8ff0ef jal ra,800008d0 + 800010ec: 000a0613 mv a2,s4 + 800010f0: 000d8593 mv a1,s11 + 800010f4: 00050493 mv s1,a0 + 800010f8: 000b0693 mv a3,s6 + 800010fc: 00090513 mv a0,s2 + 80001100: bd1ff0ef jal ra,80000cd0 + 80001104: 00000813 li a6,0 + 80001108: 00000513 li a0,0 + 8000110c: 00000613 li a2,0 + 80001110: 00000593 li a1,0 + 80001114: 00000893 li a7,0 + 80001118: 00000693 li a3,0 + 8000111c: 0180006f j 80001134 + 80001120: 0107951b slliw a0,a5,0x10 + 80001124: 0016869b addiw a3,a3,1 + 80001128: 4105551b sraiw a0,a0,0x10 + 8000112c: 00000593 li a1,0 + 80001130: 0526f463 bgeu a3,s2,80001178 + 80001134: 010687bb addw a5,a3,a6 + 80001138: 02079793 slli a5,a5,0x20 + 8000113c: 01e7d793 srli a5,a5,0x1e + 80001140: 00fd87b3 add a5,s11,a5 + 80001144: 00060713 mv a4,a2 + 80001148: 0007a603 lw a2,0(a5) + 8000114c: 03051513 slli a0,a0,0x30 + 80001150: 03055513 srli a0,a0,0x30 + 80001154: 00c72733 slt a4,a4,a2 + 80001158: 00b605bb addw a1,a2,a1 + 8000115c: 00a5079b addiw a5,a0,10 + 80001160: 00a7073b addw a4,a4,a0 + 80001164: fab9cee3 blt s3,a1,80001120 + 80001168: 0107151b slliw a0,a4,0x10 + 8000116c: 0016869b addiw a3,a3,1 + 80001170: 4105551b sraiw a0,a0,0x10 + 80001174: fd26e0e3 bltu a3,s2,80001134 + 80001178: 0018889b addiw a7,a7,1 + 8000117c: 0109083b addw a6,s2,a6 + 80001180: f928ece3 bltu a7,s2,80001118 + 80001184: 00048593 mv a1,s1 + 80001188: f48ff0ef jal ra,800008d0 + 8000118c: 00000613 li a2,0 + 80001190: 00000593 li a1,0 + 80001194: 00000713 li a4,0 + 80001198: 00c707bb addw a5,a4,a2 + 8000119c: 02079793 slli a5,a5,0x20 + 800011a0: 01f7d793 srli a5,a5,0x1f + 800011a4: 00fa07b3 add a5,s4,a5 + 800011a8: 0007d683 lhu a3,0(a5) + 800011ac: 0017071b addiw a4,a4,1 + 800011b0: 408686bb subw a3,a3,s0 + 800011b4: 00d79023 sh a3,0(a5) + 800011b8: ff2760e3 bltu a4,s2,80001198 + 800011bc: 0015859b addiw a1,a1,1 + 800011c0: 00c9063b addw a2,s2,a2 + 800011c4: fd25e8e3 bltu a1,s2,80001194 + 800011c8: 07813083 ld ra,120(sp) + 800011cc: 07013403 ld s0,112(sp) + 800011d0: 0105151b slliw a0,a0,0x10 + 800011d4: 06813483 ld s1,104(sp) + 800011d8: 06013903 ld s2,96(sp) + 800011dc: 05813983 ld s3,88(sp) + 800011e0: 05013a03 ld s4,80(sp) + 800011e4: 04813a83 ld s5,72(sp) + 800011e8: 04013b03 ld s6,64(sp) + 800011ec: 03813b83 ld s7,56(sp) + 800011f0: 03013c03 ld s8,48(sp) + 800011f4: 02813c83 ld s9,40(sp) + 800011f8: 02013d03 ld s10,32(sp) + 800011fc: 01813d83 ld s11,24(sp) + 80001200: 4105551b sraiw a0,a0,0x10 + 80001204: 08010113 addi sp,sp,128 + 80001208: 00008067 ret + 8000120c: 00000593 li a1,0 + 80001210: ec0ff0ef jal ra,800008d0 + 80001214: 000b0693 mv a3,s6 + 80001218: 000a0613 mv a2,s4 + 8000121c: 00050413 mv s0,a0 + 80001220: 000d8593 mv a1,s11 + 80001224: 00000513 li a0,0 + 80001228: 8a9ff0ef jal ra,80000ad0 + 8000122c: 00040593 mv a1,s0 + 80001230: 00000513 li a0,0 + 80001234: e9cff0ef jal ra,800008d0 + 80001238: 000b0693 mv a3,s6 + 8000123c: 000a0613 mv a2,s4 + 80001240: 00050413 mv s0,a0 + 80001244: 000d8593 mv a1,s11 + 80001248: 00000513 li a0,0 + 8000124c: 965ff0ef jal ra,80000bb0 + 80001250: 00040593 mv a1,s0 + 80001254: 00000513 li a0,0 + 80001258: e78ff0ef jal ra,800008d0 + 8000125c: 00050413 mv s0,a0 + 80001260: 000d8593 mv a1,s11 + 80001264: 000b0693 mv a3,s6 + 80001268: 000a0613 mv a2,s4 + 8000126c: 00000513 li a0,0 + 80001270: a61ff0ef jal ra,80000cd0 + 80001274: 00040593 mv a1,s0 + 80001278: 00000513 li a0,0 + 8000127c: e54ff0ef jal ra,800008d0 + 80001280: f49ff06f j 800011c8 + +0000000080001284 : + 80001284: ff010113 addi sp,sp,-16 + 80001288: 00813023 sd s0,0(sp) + 8000128c: 01053683 ld a3,16(a0) + 80001290: 00060413 mv s0,a2 + 80001294: 00058713 mv a4,a1 + 80001298: 00853603 ld a2,8(a0) + 8000129c: 01853583 ld a1,24(a0) + 800012a0: 00052503 lw a0,0(a0) + 800012a4: 00113423 sd ra,8(sp) + 800012a8: b5dff0ef jal ra,80000e04 + 800012ac: 00040593 mv a1,s0 + 800012b0: 00013403 ld s0,0(sp) + 800012b4: 00813083 ld ra,8(sp) + 800012b8: 01010113 addi sp,sp,16 + 800012bc: e14ff06f j 800008d0 + +00000000800012c0 : + 800012c0: fd010113 addi sp,sp,-48 + 800012c4: fff5081b addiw a6,a0,-1 + 800012c8: 02813423 sd s0,40(sp) + 800012cc: 02913023 sd s1,32(sp) + 800012d0: 01213c23 sd s2,24(sp) + 800012d4: 01313823 sd s3,16(sp) + 800012d8: 01413423 sd s4,8(sp) + 800012dc: 00100713 li a4,1 + 800012e0: 00080e93 mv t4,a6 + 800012e4: 17077a63 bgeu a4,a6,80001458 + 800012e8: 0015859b addiw a1,a1,1 + 800012ec: 03059693 slli a3,a1,0x30 + 800012f0: 0306d693 srli a3,a3,0x30 + 800012f4: 0036d793 srli a5,a3,0x3 + 800012f8: 00700313 li t1,7 + 800012fc: 0076f493 andi s1,a3,7 + 80001300: 00000413 li s0,0 + 80001304: 00003397 auipc t2,0x3 + 80001308: 90438393 addi t2,t2,-1788 # 80003c08 + 8000130c: 00400893 li a7,4 + 80001310: 00003297 auipc t0,0x3 + 80001314: 95828293 addi t0,t0,-1704 # 80003c68 + 80001318: 00100593 li a1,1 + 8000131c: 00003f97 auipc t6,0x3 + 80001320: 90cf8f93 addi t6,t6,-1780 # 80003c28 + 80001324: 00003f17 auipc t5,0x3 + 80001328: 924f0f13 addi t5,t5,-1756 # 80003c48 + 8000132c: 02c00e13 li t3,44 + 80001330: 0037f793 andi a5,a5,3 + 80001334: 08648663 beq s1,t1,800013c0 + 80001338: 1098e463 bltu a7,s1,80001440 + 8000133c: ffd4871b addiw a4,s1,-3 + 80001340: 03071713 slli a4,a4,0x30 + 80001344: 03075713 srli a4,a4,0x30 + 80001348: 00379793 slli a5,a5,0x3 + 8000134c: 0ee5e063 bltu a1,a4,8000142c + 80001350: 00ff87b3 add a5,t6,a5 + 80001354: 0007b783 ld a5,0(a5) + 80001358: 00900913 li s2,9 + 8000135c: 00800493 li s1,8 + 80001360: 0124093b addw s2,s0,s2 + 80001364: 07097c63 bgeu s2,a6,800013dc + 80001368: 02041a13 slli s4,s0,0x20 + 8000136c: 020a5a13 srli s4,s4,0x20 + 80001370: 02049413 slli s0,s1,0x20 + 80001374: 01460733 add a4,a2,s4 + 80001378: 02045413 srli s0,s0,0x20 + 8000137c: 009784b3 add s1,a5,s1 + 80001380: 0007c983 lbu s3,0(a5) + 80001384: 00178793 addi a5,a5,1 + 80001388: 00170713 addi a4,a4,1 + 8000138c: ff370fa3 sb s3,-1(a4) + 80001390: fef498e3 bne s1,a5,80001380 + 80001394: 0016869b addiw a3,a3,1 + 80001398: 008607b3 add a5,a2,s0 + 8000139c: 03069693 slli a3,a3,0x30 + 800013a0: 01478433 add s0,a5,s4 + 800013a4: 0306d693 srli a3,a3,0x30 + 800013a8: 01c40023 sb t3,0(s0) + 800013ac: 0036d793 srli a5,a3,0x3 + 800013b0: 0076f493 andi s1,a3,7 + 800013b4: 00090413 mv s0,s2 + 800013b8: 0037f793 andi a5,a5,3 + 800013bc: f6649ee3 bne s1,t1,80001338 + 800013c0: 00379793 slli a5,a5,0x3 + 800013c4: 00900913 li s2,9 + 800013c8: 00f387b3 add a5,t2,a5 + 800013cc: 0124093b addw s2,s0,s2 + 800013d0: 0007b783 ld a5,0(a5) + 800013d4: 00800493 li s1,8 + 800013d8: f90968e3 bltu s2,a6,80001368 + 800013dc: 02a47a63 bgeu s0,a0,80001410 + 800013e0: 02041793 slli a5,s0,0x20 + 800013e4: 408e8ebb subw t4,t4,s0 + 800013e8: 0207d793 srli a5,a5,0x20 + 800013ec: 00160713 addi a4,a2,1 + 800013f0: 020e9e93 slli t4,t4,0x20 + 800013f4: 00f70733 add a4,a4,a5 + 800013f8: 020ede93 srli t4,t4,0x20 + 800013fc: 00f607b3 add a5,a2,a5 + 80001400: 01d70733 add a4,a4,t4 + 80001404: 00078023 sb zero,0(a5) + 80001408: 00178793 addi a5,a5,1 + 8000140c: fef71ce3 bne a4,a5,80001404 + 80001410: 02813403 ld s0,40(sp) + 80001414: 02013483 ld s1,32(sp) + 80001418: 01813903 ld s2,24(sp) + 8000141c: 01013983 ld s3,16(sp) + 80001420: 00813a03 ld s4,8(sp) + 80001424: 03010113 addi sp,sp,48 + 80001428: 00008067 ret + 8000142c: 00ff07b3 add a5,t5,a5 + 80001430: 0007b783 ld a5,0(a5) + 80001434: 00500913 li s2,5 + 80001438: 00400493 li s1,4 + 8000143c: f25ff06f j 80001360 + 80001440: 00379793 slli a5,a5,0x3 + 80001444: 00f287b3 add a5,t0,a5 + 80001448: 0007b783 ld a5,0(a5) + 8000144c: 00900913 li s2,9 + 80001450: 00800493 li s1,8 + 80001454: f0dff06f j 80001360 + 80001458: 00000413 li s0,0 + 8000145c: f85ff06f j 800013e0 + +0000000080001460 : + 80001460: 00053783 ld a5,0(a0) + 80001464: 00050e13 mv t3,a0 + 80001468: 00000513 li a0,0 + 8000146c: 0007c703 lbu a4,0(a5) + 80001470: 00078813 mv a6,a5 + 80001474: 0c070c63 beqz a4,8000154c + 80001478: 02c00693 li a3,44 + 8000147c: 00178813 addi a6,a5,1 + 80001480: 2ad70863 beq a4,a3,80001730 + 80001484: 0005a503 lw a0,0(a1) + 80001488: fd07061b addiw a2,a4,-48 + 8000148c: 0ff67613 andi a2,a2,255 + 80001490: 00900893 li a7,9 + 80001494: 0015051b addiw a0,a0,1 + 80001498: 0ac8ee63 bltu a7,a2,80001554 + 8000149c: 00a5a023 sw a0,0(a1) + 800014a0: 0017c883 lbu a7,1(a5) + 800014a4: 16088063 beqz a7,80001604 + 800014a8: 00278713 addi a4,a5,2 + 800014ac: 14d88a63 beq a7,a3,80001600 + 800014b0: fd08851b addiw a0,a7,-48 + 800014b4: 02e00793 li a5,46 + 800014b8: 00900693 li a3,9 + 800014bc: 02c00613 li a2,44 + 800014c0: 0ff57513 andi a0,a0,255 + 800014c4: 02f88663 beq a7,a5,800014f0 + 800014c8: 0ca6e063 bltu a3,a0,80001588 + 800014cc: 00184883 lbu a7,1(a6) + 800014d0: 00170513 addi a0,a4,1 + 800014d4: 00070813 mv a6,a4 + 800014d8: 12088463 beqz a7,80001600 + 800014dc: 28c88863 beq a7,a2,8000176c + 800014e0: 00050713 mv a4,a0 + 800014e4: fd08851b addiw a0,a7,-48 + 800014e8: 0ff57513 andi a0,a0,255 + 800014ec: fcf89ee3 bne a7,a5,800014c8 + 800014f0: 0105a783 lw a5,16(a1) + 800014f4: 00500513 li a0,5 + 800014f8: 0017879b addiw a5,a5,1 + 800014fc: 00f5a823 sw a5,16(a1) + 80001500: 00184303 lbu t1,1(a6) + 80001504: 00070813 mv a6,a4 + 80001508: 04030263 beqz t1,8000154c + 8000150c: 02c00793 li a5,44 + 80001510: 00170893 addi a7,a4,1 + 80001514: 20f30863 beq t1,a5,80001724 + 80001518: 04500793 li a5,69 + 8000151c: 00900693 li a3,9 + 80001520: 02c00613 li a2,44 + 80001524: fd03051b addiw a0,t1,-48 + 80001528: 0df37313 andi t1,t1,223 + 8000152c: 0ff57513 andi a0,a0,255 + 80001530: 0cf30e63 beq t1,a5,8000160c + 80001534: 1aa6f063 bgeu a3,a0,800016d4 + 80001538: 0145a783 lw a5,20(a1) + 8000153c: 00088813 mv a6,a7 + 80001540: 00100513 li a0,1 + 80001544: 0017879b addiw a5,a5,1 + 80001548: 00f5aa23 sw a5,20(a1) + 8000154c: 010e3023 sd a6,0(t3) + 80001550: 00008067 ret + 80001554: 02b00613 li a2,43 + 80001558: 04c70663 beq a4,a2,800015a4 + 8000155c: 02d00613 li a2,45 + 80001560: 04c70263 beq a4,a2,800015a4 + 80001564: 02e00613 li a2,46 + 80001568: 18c70463 beq a4,a2,800016f0 + 8000156c: 0045a783 lw a5,4(a1) + 80001570: 00a5a023 sw a0,0(a1) + 80001574: 00100513 li a0,1 + 80001578: 0017879b addiw a5,a5,1 + 8000157c: 00f5a223 sw a5,4(a1) + 80001580: 010e3023 sd a6,0(t3) + 80001584: 00008067 ret + 80001588: 0105a783 lw a5,16(a1) + 8000158c: 00070813 mv a6,a4 + 80001590: 00100513 li a0,1 + 80001594: 0017879b addiw a5,a5,1 + 80001598: 00f5a823 sw a5,16(a1) + 8000159c: 010e3023 sd a6,0(t3) + 800015a0: 00008067 ret + 800015a4: 00a5a023 sw a0,0(a1) + 800015a8: 0017c603 lbu a2,1(a5) + 800015ac: 1a060663 beqz a2,80001758 + 800015b0: 00278813 addi a6,a5,2 + 800015b4: 1ad60263 beq a2,a3,80001758 + 800015b8: 0085a683 lw a3,8(a1) + 800015bc: fd06071b addiw a4,a2,-48 + 800015c0: 0ff77713 andi a4,a4,255 + 800015c4: 00900513 li a0,9 + 800015c8: 0016869b addiw a3,a3,1 + 800015cc: 00e57e63 bgeu a0,a4,800015e8 + 800015d0: 02e00713 li a4,46 + 800015d4: 12e60c63 beq a2,a4,8000170c + 800015d8: 00d5a423 sw a3,8(a1) + 800015dc: 00100513 li a0,1 + 800015e0: 010e3023 sd a6,0(t3) + 800015e4: 00008067 ret + 800015e8: 00d5a423 sw a3,8(a1) + 800015ec: 0027c883 lbu a7,2(a5) + 800015f0: 00088a63 beqz a7,80001604 + 800015f4: 02c00793 li a5,44 + 800015f8: 00180713 addi a4,a6,1 + 800015fc: eaf89ae3 bne a7,a5,800014b0 + 80001600: 00070813 mv a6,a4 + 80001604: 00400513 li a0,4 + 80001608: f45ff06f j 8000154c + 8000160c: 0145a783 lw a5,20(a1) + 80001610: 0017879b addiw a5,a5,1 + 80001614: 00f5aa23 sw a5,20(a1) + 80001618: 00174783 lbu a5,1(a4) + 8000161c: 12078463 beqz a5,80001744 + 80001620: 02c00693 li a3,44 + 80001624: 00188813 addi a6,a7,1 + 80001628: 12d78063 beq a5,a3,80001748 + 8000162c: 00c5a703 lw a4,12(a1) + 80001630: fd57879b addiw a5,a5,-43 + 80001634: 0fd7f793 andi a5,a5,253 + 80001638: 0017071b addiw a4,a4,1 + 8000163c: 00e5a623 sw a4,12(a1) + 80001640: 00078863 beqz a5,80001650 + 80001644: 00100513 li a0,1 + 80001648: 010e3023 sd a6,0(t3) + 8000164c: 00008067 ret + 80001650: 0018c783 lbu a5,1(a7) + 80001654: 0e078e63 beqz a5,80001750 + 80001658: 00288813 addi a6,a7,2 + 8000165c: 0ed78a63 beq a5,a3,80001750 + 80001660: 0185a703 lw a4,24(a1) + 80001664: fd07879b addiw a5,a5,-48 + 80001668: 0ff7f793 andi a5,a5,255 + 8000166c: 0017071b addiw a4,a4,1 + 80001670: 00900613 li a2,9 + 80001674: 00e5ac23 sw a4,24(a1) + 80001678: fcf666e3 bltu a2,a5,80001644 + 8000167c: 0028c703 lbu a4,2(a7) + 80001680: 0a070e63 beqz a4,8000173c + 80001684: 00388793 addi a5,a7,3 + 80001688: 0ad70863 beq a4,a3,80001738 + 8000168c: 00900693 li a3,9 + 80001690: 02c00513 li a0,44 + 80001694: fd07071b addiw a4,a4,-48 + 80001698: 0ff77713 andi a4,a4,255 + 8000169c: 00e6fe63 bgeu a3,a4,800016b8 + 800016a0: 0045a703 lw a4,4(a1) + 800016a4: 00078813 mv a6,a5 + 800016a8: 00100513 li a0,1 + 800016ac: 0017079b addiw a5,a4,1 + 800016b0: 00f5a223 sw a5,4(a1) + 800016b4: e99ff06f j 8000154c + 800016b8: 00184703 lbu a4,1(a6) + 800016bc: 00178613 addi a2,a5,1 + 800016c0: 00078813 mv a6,a5 + 800016c4: 06070a63 beqz a4,80001738 + 800016c8: 08a70c63 beq a4,a0,80001760 + 800016cc: 00060793 mv a5,a2 + 800016d0: fc5ff06f j 80001694 + 800016d4: 00174303 lbu t1,1(a4) + 800016d8: 00188813 addi a6,a7,1 + 800016dc: 00088713 mv a4,a7 + 800016e0: 04030263 beqz t1,80001724 + 800016e4: 04c30263 beq t1,a2,80001728 + 800016e8: 00080893 mv a7,a6 + 800016ec: e39ff06f j 80001524 + 800016f0: 00a5a023 sw a0,0(a1) + 800016f4: 0017c303 lbu t1,1(a5) + 800016f8: 02030863 beqz t1,80001728 + 800016fc: 00278893 addi a7,a5,2 + 80001700: 02d30263 beq t1,a3,80001724 + 80001704: 00080713 mv a4,a6 + 80001708: e11ff06f j 80001518 + 8000170c: 00d5a423 sw a3,8(a1) + 80001710: 0027c303 lbu t1,2(a5) + 80001714: 00030a63 beqz t1,80001728 + 80001718: 02c00793 li a5,44 + 8000171c: 00180893 addi a7,a6,1 + 80001720: fef312e3 bne t1,a5,80001704 + 80001724: 00088813 mv a6,a7 + 80001728: 00500513 li a0,5 + 8000172c: e21ff06f j 8000154c + 80001730: 00000513 li a0,0 + 80001734: e19ff06f j 8000154c + 80001738: 00078813 mv a6,a5 + 8000173c: 00700513 li a0,7 + 80001740: e0dff06f j 8000154c + 80001744: 00088813 mv a6,a7 + 80001748: 00300513 li a0,3 + 8000174c: e01ff06f j 8000154c + 80001750: 00600513 li a0,6 + 80001754: df9ff06f j 8000154c + 80001758: 00200513 li a0,2 + 8000175c: df1ff06f j 8000154c + 80001760: 00060813 mv a6,a2 + 80001764: 00700513 li a0,7 + 80001768: de5ff06f j 8000154c + 8000176c: 00050813 mv a6,a0 + 80001770: 00400513 li a0,4 + 80001774: dd9ff06f j 8000154c + +0000000080001778 : + 80001778: f6010113 addi sp,sp,-160 + 8000177c: 08813823 sd s0,144(sp) + 80001780: 09213023 sd s2,128(sp) + 80001784: 01010413 addi s0,sp,16 + 80001788: 03010913 addi s2,sp,48 + 8000178c: 08913423 sd s1,136(sp) + 80001790: 07313c23 sd s3,120(sp) + 80001794: 07413823 sd s4,112(sp) + 80001798: 07513423 sd s5,104(sp) + 8000179c: 07613023 sd s6,96(sp) + 800017a0: 05713c23 sd s7,88(sp) + 800017a4: 00068a13 mv s4,a3 + 800017a8: 00070993 mv s3,a4 + 800017ac: 00078493 mv s1,a5 + 800017b0: 08113c23 sd ra,152(sp) + 800017b4: 05813823 sd s8,80(sp) + 800017b8: 00058a93 mv s5,a1 + 800017bc: 00050b93 mv s7,a0 + 800017c0: 00060b13 mv s6,a2 + 800017c4: 00b13423 sd a1,8(sp) + 800017c8: 05010693 addi a3,sp,80 + 800017cc: 00040713 mv a4,s0 + 800017d0: 00090793 mv a5,s2 + 800017d4: 0007a023 sw zero,0(a5) + 800017d8: 00072023 sw zero,0(a4) + 800017dc: 00478793 addi a5,a5,4 + 800017e0: 00470713 addi a4,a4,4 + 800017e4: fed798e3 bne a5,a3,800017d4 + 800017e8: 000ac703 lbu a4,0(s5) + 800017ec: 14070663 beqz a4,80001938 + 800017f0: 00810c13 addi s8,sp,8 + 800017f4: 03010593 addi a1,sp,48 + 800017f8: 000c0513 mv a0,s8 + 800017fc: c65ff0ef jal ra,80001460 + 80001800: 02051813 slli a6,a0,0x20 + 80001804: 05010793 addi a5,sp,80 + 80001808: 01e85813 srli a6,a6,0x1e + 8000180c: 01078833 add a6,a5,a6 + 80001810: 00813703 ld a4,8(sp) + 80001814: fc082783 lw a5,-64(a6) + 80001818: 00074703 lbu a4,0(a4) + 8000181c: 0017879b addiw a5,a5,1 + 80001820: fcf82023 sw a5,-64(a6) + 80001824: fc0718e3 bnez a4,800017f4 + 80001828: 020b9b93 slli s7,s7,0x20 + 8000182c: 020bdb93 srli s7,s7,0x20 + 80001830: 01513423 sd s5,8(sp) + 80001834: 017a8bb3 add s7,s5,s7 + 80001838: 000ac703 lbu a4,0(s5) + 8000183c: 117afa63 bgeu s5,s7,80001950 + 80001840: 000a8793 mv a5,s5 + 80001844: 02c00613 li a2,44 + 80001848: 0080006f j 80001850 + 8000184c: 0007c703 lbu a4,0(a5) + 80001850: 016746b3 xor a3,a4,s6 + 80001854: 00c70463 beq a4,a2,8000185c + 80001858: 00d78023 sb a3,0(a5) + 8000185c: 00813783 ld a5,8(sp) + 80001860: 013787b3 add a5,a5,s3 + 80001864: 00f13423 sd a5,8(sp) + 80001868: ff77e2e3 bltu a5,s7,8000184c + 8000186c: 000ac783 lbu a5,0(s5) + 80001870: 01513423 sd s5,8(sp) + 80001874: 00810c13 addi s8,sp,8 + 80001878: 04078063 beqz a5,800018b8 + 8000187c: 03010593 addi a1,sp,48 + 80001880: 000c0513 mv a0,s8 + 80001884: bddff0ef jal ra,80001460 + 80001888: 02051613 slli a2,a0,0x20 + 8000188c: 05010793 addi a5,sp,80 + 80001890: 01e65613 srli a2,a2,0x1e + 80001894: 00c78633 add a2,a5,a2 + 80001898: 00813703 ld a4,8(sp) + 8000189c: fc062783 lw a5,-64(a2) + 800018a0: 00074703 lbu a4,0(a4) + 800018a4: 0017879b addiw a5,a5,1 + 800018a8: fcf62023 sw a5,-64(a2) + 800018ac: fc0718e3 bnez a4,8000187c + 800018b0: 01513423 sd s5,8(sp) + 800018b4: 037af463 bgeu s5,s7,800018dc + 800018b8: 02c00693 li a3,44 + 800018bc: 000ac783 lbu a5,0(s5) + 800018c0: 0147c733 xor a4,a5,s4 + 800018c4: 00d78463 beq a5,a3,800018cc + 800018c8: 00ea8023 sb a4,0(s5) + 800018cc: 00813a83 ld s5,8(sp) + 800018d0: 013a8ab3 add s5,s5,s3 + 800018d4: 01513423 sd s5,8(sp) + 800018d8: ff7ae2e3 bltu s5,s7,800018bc + 800018dc: 02040993 addi s3,s0,32 + 800018e0: 00042503 lw a0,0(s0) + 800018e4: 00048593 mv a1,s1 + 800018e8: 00440413 addi s0,s0,4 + 800018ec: fb1fe0ef jal ra,8000089c + 800018f0: 00050593 mv a1,a0 + 800018f4: 00092503 lw a0,0(s2) + 800018f8: 00490913 addi s2,s2,4 + 800018fc: fa1fe0ef jal ra,8000089c + 80001900: 00050493 mv s1,a0 + 80001904: fc899ee3 bne s3,s0,800018e0 + 80001908: 09813083 ld ra,152(sp) + 8000190c: 09013403 ld s0,144(sp) + 80001910: 08813483 ld s1,136(sp) + 80001914: 08013903 ld s2,128(sp) + 80001918: 07813983 ld s3,120(sp) + 8000191c: 07013a03 ld s4,112(sp) + 80001920: 06813a83 ld s5,104(sp) + 80001924: 06013b03 ld s6,96(sp) + 80001928: 05813b83 ld s7,88(sp) + 8000192c: 05013c03 ld s8,80(sp) + 80001930: 0a010113 addi sp,sp,160 + 80001934: 00008067 ret + 80001938: 020b9b93 slli s7,s7,0x20 + 8000193c: 020bdb93 srli s7,s7,0x20 + 80001940: 01513423 sd s5,8(sp) + 80001944: 017a8bb3 add s7,s5,s7 + 80001948: ef7aece3 bltu s5,s7,80001840 + 8000194c: f91ff06f j 800018dc + 80001950: f20716e3 bnez a4,8000187c + 80001954: f89ff06f j 800018dc + +0000000080001958 : + 80001958: fe010113 addi sp,sp,-32 + 8000195c: 01213023 sd s2,0(sp) + 80001960: 02c52903 lw s2,44(a0) + 80001964: 00113c23 sd ra,24(sp) + 80001968: 00813823 sd s0,16(sp) + 8000196c: 00913423 sd s1,8(sp) + 80001970: 06053023 sd zero,96(a0) + 80001974: 04090663 beqz s2,800019c0 + 80001978: 00050413 mv s0,a0 + 8000197c: 00000493 li s1,0 + 80001980: 00100593 li a1,1 + 80001984: 00040513 mv a0,s0 + 80001988: a01fe0ef jal ra,80000388 + 8000198c: 06045583 lhu a1,96(s0) + 80001990: e89fe0ef jal ra,80000818 + 80001994: fff00593 li a1,-1 + 80001998: 06a41023 sh a0,96(s0) + 8000199c: 00040513 mv a0,s0 + 800019a0: 9e9fe0ef jal ra,80000388 + 800019a4: 06045583 lhu a1,96(s0) + 800019a8: e71fe0ef jal ra,80000818 + 800019ac: 06a41023 sh a0,96(s0) + 800019b0: 00049463 bnez s1,800019b8 + 800019b4: 06a41123 sh a0,98(s0) + 800019b8: 0014849b addiw s1,s1,1 + 800019bc: fc9912e3 bne s2,s1,80001980 + 800019c0: 01813083 ld ra,24(sp) + 800019c4: 01013403 ld s0,16(sp) + 800019c8: 00813483 ld s1,8(sp) + 800019cc: 00013903 ld s2,0(sp) + 800019d0: 00000513 li a0,0 + 800019d4: 02010113 addi sp,sp,32 + 800019d8: 00008067 ret + +00000000800019dc
: + 800019dc: f2010113 addi sp,sp,-224 + 800019e0: 0c113c23 sd ra,216(sp) + 800019e4: 0c813823 sd s0,208(sp) + 800019e8: 0c913423 sd s1,200(sp) + 800019ec: 00058413 mv s0,a1 + 800019f0: 0d213023 sd s2,192(sp) + 800019f4: 0b313c23 sd s3,184(sp) + 800019f8: 0b413823 sd s4,176(sp) + 800019fc: 0b513423 sd s5,168(sp) + 80001a00: 0b613023 sd s6,160(sp) + 80001a04: 09713c23 sd s7,152(sp) + 80001a08: 09813823 sd s8,144(sp) + 80001a0c: 09913423 sd s9,136(sp) + 80001a10: 09a13023 sd s10,128(sp) + 80001a14: 00a12623 sw a0,12(sp) + 80001a18: 21d000ef jal ra,80002434 + 80001a1c: 3e800593 li a1,1000 + 80001a20: 00002517 auipc a0,0x2 + 80001a24: 26850513 addi a0,a0,616 # 80003c88 + 80001a28: 5e1010ef jal ra,80003808 + 80001a2c: 00040613 mv a2,s0 + 80001a30: 00c10593 addi a1,sp,12 + 80001a34: 07a10513 addi a0,sp,122 + 80001a38: 7f4000ef jal ra,8000222c + 80001a3c: 00100513 li a0,1 + 80001a40: d71fe0ef jal ra,800007b0 + 80001a44: 00050793 mv a5,a0 + 80001a48: 00200513 li a0,2 + 80001a4c: 00f11823 sh a5,16(sp) + 80001a50: d61fe0ef jal ra,800007b0 + 80001a54: 00050793 mv a5,a0 + 80001a58: 00300513 li a0,3 + 80001a5c: 00f11923 sh a5,18(sp) + 80001a60: d51fe0ef jal ra,800007b0 + 80001a64: 00050793 mv a5,a0 + 80001a68: 00400513 li a0,4 + 80001a6c: 00f11a23 sh a5,20(sp) + 80001a70: d41fe0ef jal ra,800007b0 + 80001a74: 00050793 mv a5,a0 + 80001a78: 00500513 li a0,5 + 80001a7c: 02f12e23 sw a5,60(sp) + 80001a80: d31fe0ef jal ra,800007b0 + 80001a84: 0005051b sext.w a0,a0 + 80001a88: 5e050263 beqz a0,8000206c + 80001a8c: 04a12023 sw a0,64(sp) + 80001a90: 01013783 ld a5,16(sp) + 80001a94: 01079713 slli a4,a5,0x10 + 80001a98: 00071a63 bnez a4,80001aac + 80001a9c: 06600793 li a5,102 + 80001aa0: 00012823 sw zero,16(sp) + 80001aa4: 00f11a23 sh a5,20(sp) + 80001aa8: 01013783 ld a5,16(sp) + 80001aac: 01079793 slli a5,a5,0x10 + 80001ab0: 0107d793 srli a5,a5,0x10 + 80001ab4: 00100713 li a4,1 + 80001ab8: 00e79c63 bne a5,a4,80001ad0 + 80001abc: 341537b7 lui a5,0x34153 + 80001ac0: 4157879b addiw a5,a5,1045 + 80001ac4: 00f12823 sw a5,16(sp) + 80001ac8: 06600793 li a5,102 + 80001acc: 00f11a23 sh a5,20(sp) + 80001ad0: 04012403 lw s0,64(sp) + 80001ad4: 00003a17 auipc s4,0x3 + 80001ad8: cfca0a13 addi s4,s4,-772 # 800047d0 + 80001adc: 01413c23 sd s4,24(sp) + 80001ae0: 00147a93 andi s5,s0,1 + 80001ae4: 06011c23 sh zero,120(sp) + 80001ae8: 00247493 andi s1,s0,2 + 80001aec: 000a8593 mv a1,s5 + 80001af0: 00048863 beqz s1,80001b00 + 80001af4: 001a859b addiw a1,s5,1 + 80001af8: 03059593 slli a1,a1,0x30 + 80001afc: 0305d593 srli a1,a1,0x30 + 80001b00: 00447793 andi a5,s0,4 + 80001b04: 00078863 beqz a5,80001b14 + 80001b08: 0015859b addiw a1,a1,1 + 80001b0c: 03059593 slli a1,a1,0x30 + 80001b10: 0305d593 srli a1,a1,0x30 + 80001b14: 7d000513 li a0,2000 + 80001b18: 7d8000ef jal ra,800022f0 <__divdi3> + 80001b1c: 0005099b sext.w s3,a0 + 80001b20: 03312c23 sw s3,56(sp) + 80001b24: 00000913 li s2,0 + 80001b28: 00000b13 li s6,0 + 80001b2c: 00100c93 li s9,1 + 80001b30: 00300c13 li s8,3 + 80001b34: 012c97bb sllw a5,s9,s2 + 80001b38: 00f477b3 and a5,s0,a5 + 80001b3c: 0007879b sext.w a5,a5 + 80001b40: 4e079a63 bnez a5,80002034 + 80001b44: 00190913 addi s2,s2,1 + 80001b48: ff8916e3 bne s2,s8,80001b34 + 80001b4c: 260a9663 bnez s5,80001db8 + 80001b50: 28049463 bnez s1,80001dd8 + 80001b54: 00447413 andi s0,s0,4 + 80001b58: 00040a63 beqz s0,80001b6c + 80001b5c: 03013603 ld a2,48(sp) + 80001b60: 01011583 lh a1,16(sp) + 80001b64: 03812503 lw a0,56(sp) + 80001b68: f58ff0ef jal ra,800012c0 + 80001b6c: 03c12783 lw a5,60(sp) + 80001b70: 06079463 bnez a5,80001bd8 + 80001b74: 00100793 li a5,1 + 80001b78: 02f12e23 sw a5,60(sp) + 80001b7c: 03c12703 lw a4,60(sp) + 80001b80: 0027179b slliw a5,a4,0x2 + 80001b84: 00e787bb addw a5,a5,a4 + 80001b88: 0017979b slliw a5,a5,0x1 + 80001b8c: 02f12e23 sw a5,60(sp) + 80001b90: 608000ef jal ra,80002198 + 80001b94: 01010513 addi a0,sp,16 + 80001b98: dc1ff0ef jal ra,80001958 + 80001b9c: 638000ef jal ra,800021d4 + 80001ba0: 670000ef jal ra,80002210 + 80001ba4: 0005051b sext.w a0,a0 + 80001ba8: 680000ef jal ra,80002228 + 80001bac: 0005051b sext.w a0,a0 + 80001bb0: fc0506e3 beqz a0,80001b7c + 80001bb4: 02051593 slli a1,a0,0x20 + 80001bb8: 0205d593 srli a1,a1,0x20 + 80001bbc: 00a00513 li a0,10 + 80001bc0: 738000ef jal ra,800022f8 <__udivdi3> + 80001bc4: 00050593 mv a1,a0 + 80001bc8: 03c12503 lw a0,60(sp) + 80001bcc: 0015859b addiw a1,a1,1 + 80001bd0: 6bc000ef jal ra,8000228c <__muldi3> + 80001bd4: 02a12e23 sw a0,60(sp) + 80001bd8: 5c0000ef jal ra,80002198 + 80001bdc: 01010513 addi a0,sp,16 + 80001be0: d79ff0ef jal ra,80001958 + 80001be4: 5f0000ef jal ra,800021d4 + 80001be8: 628000ef jal ra,80002210 + 80001bec: 00050993 mv s3,a0 + 80001bf0: 01011503 lh a0,16(sp) + 80001bf4: 00000593 li a1,0 + 80001bf8: 0009899b sext.w s3,s3 + 80001bfc: cd5fe0ef jal ra,800008d0 + 80001c00: 00050593 mv a1,a0 + 80001c04: 01211503 lh a0,18(sp) + 80001c08: cc9fe0ef jal ra,800008d0 + 80001c0c: 00050593 mv a1,a0 + 80001c10: 01411503 lh a0,20(sp) + 80001c14: cbdfe0ef jal ra,800008d0 + 80001c18: 00050593 mv a1,a0 + 80001c1c: 03811503 lh a0,56(sp) + 80001c20: cb1fe0ef jal ra,800008d0 + 80001c24: 000087b7 lui a5,0x8 + 80001c28: 00050a1b sext.w s4,a0 + 80001c2c: b0578793 addi a5,a5,-1275 # 7b05 <_entry_offset+0x7b05> + 80001c30: 54fa0663 beq s4,a5,8000217c + 80001c34: 3d47ea63 bltu a5,s4,80002008 + 80001c38: 000027b7 lui a5,0x2 + 80001c3c: 8f278793 addi a5,a5,-1806 # 18f2 <_entry_offset+0x18f2> + 80001c40: 50fa0a63 beq s4,a5,80002154 + 80001c44: 000057b7 lui a5,0x5 + 80001c48: eaf78793 addi a5,a5,-337 # 4eaf <_entry_offset+0x4eaf> + 80001c4c: 1afa1c63 bne s4,a5,80001e04 + 80001c50: 00002517 auipc a0,0x2 + 80001c54: 0c050513 addi a0,a0,192 # 80003d10 + 80001c58: 3b1010ef jal ra,80003808 + 80001c5c: 00200a93 li s5,2 + 80001c60: 00002917 auipc s2,0x2 + 80001c64: 73890913 addi s2,s2,1848 # 80004398 + 80001c68: 00092783 lw a5,0(s2) + 80001c6c: 00000c93 li s9,0 + 80001c70: 00000c13 li s8,0 + 80001c74: 00000d13 li s10,0 + 80001c78: 50078c63 beqz a5,80002190 + 80001c7c: 001a9793 slli a5,s5,0x1 + 80001c80: 00002b97 auipc s7,0x2 + 80001c84: 3f8b8b93 addi s7,s7,1016 # 80004078 + 80001c88: 00002b17 auipc s6,0x2 + 80001c8c: 400b0b13 addi s6,s6,1024 # 80004088 + 80001c90: 00002a97 auipc s5,0x2 + 80001c94: 408a8a93 addi s5,s5,1032 # 80004098 + 80001c98: 00fb8bb3 add s7,s7,a5 + 80001c9c: 00fb0b33 add s6,s6,a5 + 80001ca0: 00fa8ab3 add s5,s5,a5 + 80001ca4: 0600006f j 80001d04 + 80001ca8: ff645603 lhu a2,-10(s0) + 80001cac: 000ad683 lhu a3,0(s5) + 80001cb0: 10d60063 beq a2,a3,80001db0 + 80001cb4: 000d0593 mv a1,s10 + 80001cb8: 00002517 auipc a0,0x2 + 80001cbc: 15850513 addi a0,a0,344 # 80003e10 + 80001cc0: 349010ef jal ra,80003808 + 80001cc4: ff845783 lhu a5,-8(s0) + 80001cc8: 0017879b addiw a5,a5,1 + 80001ccc: 03079793 slli a5,a5,0x30 + 80001cd0: 0307d793 srli a5,a5,0x30 + 80001cd4: fef41c23 sh a5,-8(s0) + 80001cd8: 001c0c1b addiw s8,s8,1 + 80001cdc: 00092703 lw a4,0(s2) + 80001ce0: 00fc87bb addw a5,s9,a5 + 80001ce4: 030c1c13 slli s8,s8,0x30 + 80001ce8: 030c5c13 srli s8,s8,0x30 + 80001cec: 03079493 slli s1,a5,0x30 + 80001cf0: 01079c9b slliw s9,a5,0x10 + 80001cf4: 0304d493 srli s1,s1,0x30 + 80001cf8: 410cdc9b sraiw s9,s9,0x10 + 80001cfc: 000c0d1b sext.w s10,s8 + 80001d00: 10ec7a63 bgeu s8,a4,80001e14 + 80001d04: 003d1413 slli s0,s10,0x3 + 80001d08: 41a404b3 sub s1,s0,s10 + 80001d0c: 08010793 addi a5,sp,128 + 80001d10: 00449493 slli s1,s1,0x4 + 80001d14: 009784b3 add s1,a5,s1 + 80001d18: fc04a783 lw a5,-64(s1) + 80001d1c: fe049c23 sh zero,-8(s1) + 80001d20: 0017f793 andi a5,a5,1 + 80001d24: 02078663 beqz a5,80001d50 + 80001d28: ff24d603 lhu a2,-14(s1) + 80001d2c: 000bd683 lhu a3,0(s7) + 80001d30: 02d60063 beq a2,a3,80001d50 + 80001d34: 000d0593 mv a1,s10 + 80001d38: 00002517 auipc a0,0x2 + 80001d3c: 07050513 addi a0,a0,112 # 80003da8 + 80001d40: 2c9010ef jal ra,80003808 + 80001d44: ff84d783 lhu a5,-8(s1) + 80001d48: 0017879b addiw a5,a5,1 + 80001d4c: fef49c23 sh a5,-8(s1) + 80001d50: 41a404b3 sub s1,s0,s10 + 80001d54: 08010793 addi a5,sp,128 + 80001d58: 00449493 slli s1,s1,0x4 + 80001d5c: 009784b3 add s1,a5,s1 + 80001d60: fc04a703 lw a4,-64(s1) + 80001d64: 00277793 andi a5,a4,2 + 80001d68: 02078863 beqz a5,80001d98 + 80001d6c: ff44d603 lhu a2,-12(s1) + 80001d70: 000b5683 lhu a3,0(s6) + 80001d74: 02d60263 beq a2,a3,80001d98 + 80001d78: 000d0593 mv a1,s10 + 80001d7c: 00002517 auipc a0,0x2 + 80001d80: 05c50513 addi a0,a0,92 # 80003dd8 + 80001d84: 285010ef jal ra,80003808 + 80001d88: ff84d783 lhu a5,-8(s1) + 80001d8c: fc04a703 lw a4,-64(s1) + 80001d90: 0017879b addiw a5,a5,1 + 80001d94: fef49c23 sh a5,-8(s1) + 80001d98: 41a40433 sub s0,s0,s10 + 80001d9c: 00441413 slli s0,s0,0x4 + 80001da0: 08010793 addi a5,sp,128 + 80001da4: 00477713 andi a4,a4,4 + 80001da8: 00878433 add s0,a5,s0 + 80001dac: ee071ee3 bnez a4,80001ca8 + 80001db0: ff845783 lhu a5,-8(s0) + 80001db4: f25ff06f j 80001cd8 + 80001db8: 01011603 lh a2,16(sp) + 80001dbc: 02013583 ld a1,32(sp) + 80001dc0: 00098513 mv a0,s3 + 80001dc4: 82dfe0ef jal ra,800005f0 + 80001dc8: 04012403 lw s0,64(sp) + 80001dcc: 04a13423 sd a0,72(sp) + 80001dd0: 00247493 andi s1,s0,2 + 80001dd4: d80480e3 beqz s1,80001b54 + 80001dd8: 01211603 lh a2,18(sp) + 80001ddc: 01011783 lh a5,16(sp) + 80001de0: 02813583 ld a1,40(sp) + 80001de4: 03812503 lw a0,56(sp) + 80001de8: 0106161b slliw a2,a2,0x10 + 80001dec: 00f66633 or a2,a2,a5 + 80001df0: 05010693 addi a3,sp,80 + 80001df4: 0006061b sext.w a2,a2 + 80001df8: aedfe0ef jal ra,800008e4 + 80001dfc: 04012403 lw s0,64(sp) + 80001e00: d55ff06f j 80001b54 + 80001e04: 00010437 lui s0,0x10 + 80001e08: fff40493 addi s1,s0,-1 # ffff <_entry_offset+0xffff> + 80001e0c: 00002917 auipc s2,0x2 + 80001e10: 58c90913 addi s2,s2,1420 # 80004398 + 80001e14: ac9fe0ef jal ra,800008dc + 80001e18: 03812583 lw a1,56(sp) + 80001e1c: 009504bb addw s1,a0,s1 + 80001e20: 00002517 auipc a0,0x2 + 80001e24: 02050513 addi a0,a0,32 # 80003e40 + 80001e28: 1e1010ef jal ra,80003808 + 80001e2c: 00098513 mv a0,s3 + 80001e30: 3f8000ef jal ra,80002228 + 80001e34: 0005059b sext.w a1,a0 + 80001e38: 00002517 auipc a0,0x2 + 80001e3c: 02050513 addi a0,a0,32 # 80003e58 + 80001e40: 1c9010ef jal ra,80003808 + 80001e44: 00092583 lw a1,0(s2) + 80001e48: 03c12503 lw a0,60(sp) + 80001e4c: 0104949b slliw s1,s1,0x10 + 80001e50: 4104d49b sraiw s1,s1,0x10 + 80001e54: 438000ef jal ra,8000228c <__muldi3> + 80001e58: 0005059b sext.w a1,a0 + 80001e5c: 00002517 auipc a0,0x2 + 80001e60: 01450513 addi a0,a0,20 # 80003e70 + 80001e64: 1a5010ef jal ra,80003808 + 80001e68: 00002597 auipc a1,0x2 + 80001e6c: 02058593 addi a1,a1,32 # 80003e88 + 80001e70: 00002517 auipc a0,0x2 + 80001e74: 02850513 addi a0,a0,40 # 80003e98 + 80001e78: 191010ef jal ra,80003808 + 80001e7c: 000a0593 mv a1,s4 + 80001e80: 00002517 auipc a0,0x2 + 80001e84: 03050513 addi a0,a0,48 # 80003eb0 + 80001e88: 181010ef jal ra,80003808 + 80001e8c: 04012783 lw a5,64(sp) + 80001e90: 0017f713 andi a4,a5,1 + 80001e94: 04070c63 beqz a4,80001eec + 80001e98: 00092703 lw a4,0(s2) + 80001e9c: 04070863 beqz a4,80001eec + 80001ea0: 00000413 li s0,0 + 80001ea4: 00000593 li a1,0 + 80001ea8: 00002a17 auipc s4,0x2 + 80001eac: 028a0a13 addi s4,s4,40 # 80003ed0 + 80001eb0: 00359793 slli a5,a1,0x3 + 80001eb4: 40b787b3 sub a5,a5,a1 + 80001eb8: 08010713 addi a4,sp,128 + 80001ebc: 00479793 slli a5,a5,0x4 + 80001ec0: 00f707b3 add a5,a4,a5 + 80001ec4: ff27d603 lhu a2,-14(a5) + 80001ec8: 000a0513 mv a0,s4 + 80001ecc: 0014041b addiw s0,s0,1 + 80001ed0: 139010ef jal ra,80003808 + 80001ed4: 00092783 lw a5,0(s2) + 80001ed8: 03041413 slli s0,s0,0x30 + 80001edc: 03045413 srli s0,s0,0x30 + 80001ee0: 0004059b sext.w a1,s0 + 80001ee4: fcf466e3 bltu s0,a5,80001eb0 + 80001ee8: 04012783 lw a5,64(sp) + 80001eec: 0027f713 andi a4,a5,2 + 80001ef0: 04070c63 beqz a4,80001f48 + 80001ef4: 00092703 lw a4,0(s2) + 80001ef8: 24070863 beqz a4,80002148 + 80001efc: 00000413 li s0,0 + 80001f00: 00000593 li a1,0 + 80001f04: 00002a17 auipc s4,0x2 + 80001f08: feca0a13 addi s4,s4,-20 # 80003ef0 + 80001f0c: 00359793 slli a5,a1,0x3 + 80001f10: 40b787b3 sub a5,a5,a1 + 80001f14: 08010713 addi a4,sp,128 + 80001f18: 00479793 slli a5,a5,0x4 + 80001f1c: 00f707b3 add a5,a4,a5 + 80001f20: ff47d603 lhu a2,-12(a5) + 80001f24: 000a0513 mv a0,s4 + 80001f28: 0014041b addiw s0,s0,1 + 80001f2c: 0dd010ef jal ra,80003808 + 80001f30: 00092783 lw a5,0(s2) + 80001f34: 03041413 slli s0,s0,0x30 + 80001f38: 03045413 srli s0,s0,0x30 + 80001f3c: 0004059b sext.w a1,s0 + 80001f40: fcf466e3 bltu s0,a5,80001f0c + 80001f44: 04012783 lw a5,64(sp) + 80001f48: 0047f793 andi a5,a5,4 + 80001f4c: 12079663 bnez a5,80002078 + 80001f50: 00092783 lw a5,0(s2) + 80001f54: 00000413 li s0,0 + 80001f58: 00000593 li a1,0 + 80001f5c: 00002a17 auipc s4,0x2 + 80001f60: feca0a13 addi s4,s4,-20 # 80003f48 + 80001f64: 02078e63 beqz a5,80001fa0 + 80001f68: 00359793 slli a5,a1,0x3 + 80001f6c: 40b787b3 sub a5,a5,a1 + 80001f70: 08010713 addi a4,sp,128 + 80001f74: 00479793 slli a5,a5,0x4 + 80001f78: 00f707b3 add a5,a4,a5 + 80001f7c: ff07d603 lhu a2,-16(a5) + 80001f80: 000a0513 mv a0,s4 + 80001f84: 0014041b addiw s0,s0,1 + 80001f88: 081010ef jal ra,80003808 + 80001f8c: 00092783 lw a5,0(s2) + 80001f90: 03041413 slli s0,s0,0x30 + 80001f94: 03045413 srli s0,s0,0x30 + 80001f98: 0004059b sext.w a1,s0 + 80001f9c: fcf466e3 bltu s0,a5,80001f68 + 80001fa0: 00098593 mv a1,s3 + 80001fa4: 00002517 auipc a0,0x2 + 80001fa8: f6c50513 addi a0,a0,-148 # 80003f10 + 80001fac: 05d010ef jal ra,80003808 + 80001fb0: 12048663 beqz s1,800020dc + 80001fb4: 10905c63 blez s1,800020cc + 80001fb8: 00002517 auipc a0,0x2 + 80001fbc: 04050513 addi a0,a0,64 # 80003ff8 + 80001fc0: 049010ef jal ra,80003808 + 80001fc4: 07a10513 addi a0,sp,122 + 80001fc8: 270000ef jal ra,80002238 + 80001fcc: 0d813083 ld ra,216(sp) + 80001fd0: 0d013403 ld s0,208(sp) + 80001fd4: 0c813483 ld s1,200(sp) + 80001fd8: 0c013903 ld s2,192(sp) + 80001fdc: 0b813983 ld s3,184(sp) + 80001fe0: 0b013a03 ld s4,176(sp) + 80001fe4: 0a813a83 ld s5,168(sp) + 80001fe8: 0a013b03 ld s6,160(sp) + 80001fec: 09813b83 ld s7,152(sp) + 80001ff0: 09013c03 ld s8,144(sp) + 80001ff4: 08813c83 ld s9,136(sp) + 80001ff8: 08013d03 ld s10,128(sp) + 80001ffc: 00000513 li a0,0 + 80002000: 0e010113 addi sp,sp,224 + 80002004: 00008067 ret + 80002008: 000097b7 lui a5,0x9 + 8000200c: a0278793 addi a5,a5,-1534 # 8a02 <_entry_offset+0x8a02> + 80002010: 14fa0c63 beq s4,a5,80002168 + 80002014: 0000f7b7 lui a5,0xf + 80002018: 9f578793 addi a5,a5,-1547 # e9f5 <_entry_offset+0xe9f5> + 8000201c: defa14e3 bne s4,a5,80001e04 + 80002020: 00002517 auipc a0,0x2 + 80002024: d2850513 addi a0,a0,-728 # 80003d48 + 80002028: 7e0010ef jal ra,80003808 + 8000202c: 00300a93 li s5,3 + 80002030: c31ff06f j 80001c60 + 80002034: 01010793 addi a5,sp,16 + 80002038: 000b0513 mv a0,s6 + 8000203c: 00391b93 slli s7,s2,0x3 + 80002040: 00098593 mv a1,s3 + 80002044: 01778bb3 add s7,a5,s7 + 80002048: 244000ef jal ra,8000228c <__muldi3> + 8000204c: 02051793 slli a5,a0,0x20 + 80002050: 0207d793 srli a5,a5,0x20 + 80002054: 001b0b1b addiw s6,s6,1 + 80002058: 00fa07b3 add a5,s4,a5 + 8000205c: 030b1b13 slli s6,s6,0x30 + 80002060: 00fbb823 sd a5,16(s7) + 80002064: 030b5b13 srli s6,s6,0x30 + 80002068: addff06f j 80001b44 + 8000206c: 00700793 li a5,7 + 80002070: 04f12023 sw a5,64(sp) + 80002074: a1dff06f j 80001a90 + 80002078: 00092783 lw a5,0(s2) + 8000207c: 00000413 li s0,0 + 80002080: 00000593 li a1,0 + 80002084: 00002a17 auipc s4,0x2 + 80002088: ea4a0a13 addi s4,s4,-348 # 80003f28 + 8000208c: f0078ae3 beqz a5,80001fa0 + 80002090: 00359793 slli a5,a1,0x3 + 80002094: 40b787b3 sub a5,a5,a1 + 80002098: 08010713 addi a4,sp,128 + 8000209c: 00479793 slli a5,a5,0x4 + 800020a0: 00f707b3 add a5,a4,a5 + 800020a4: ff67d603 lhu a2,-10(a5) + 800020a8: 000a0513 mv a0,s4 + 800020ac: 0014041b addiw s0,s0,1 + 800020b0: 758010ef jal ra,80003808 + 800020b4: 00092783 lw a5,0(s2) + 800020b8: 03041413 slli s0,s0,0x30 + 800020bc: 03045413 srli s0,s0,0x30 + 800020c0: 0004059b sext.w a1,s0 + 800020c4: fcf466e3 bltu s0,a5,80002090 + 800020c8: e89ff06f j 80001f50 + 800020cc: 00002517 auipc a0,0x2 + 800020d0: f4450513 addi a0,a0,-188 # 80004010 + 800020d4: 734010ef jal ra,80003808 + 800020d8: eedff06f j 80001fc4 + 800020dc: 00002517 auipc a0,0x2 + 800020e0: e8c50513 addi a0,a0,-372 # 80003f68 + 800020e4: 724010ef jal ra,80003808 + 800020e8: 00098513 mv a0,s3 + 800020ec: 13c000ef jal ra,80002228 + 800020f0: 02051593 slli a1,a0,0x20 + 800020f4: 002c9537 lui a0,0x2c9 + 800020f8: 0205d593 srli a1,a1,0x20 + 800020fc: 3b850513 addi a0,a0,952 # 2c93b8 <_entry_offset+0x2c93b8> + 80002100: 1f8000ef jal ra,800022f8 <__udivdi3> + 80002104: 0055179b slliw a5,a0,0x5 + 80002108: 40a787bb subw a5,a5,a0 + 8000210c: 0027979b slliw a5,a5,0x2 + 80002110: 00a7853b addw a0,a5,a0 + 80002114: 0035151b slliw a0,a0,0x3 + 80002118: 02051513 slli a0,a0,0x20 + 8000211c: 3e800593 li a1,1000 + 80002120: 02055513 srli a0,a0,0x20 + 80002124: 1d4000ef jal ra,800022f8 <__udivdi3> + 80002128: 0005059b sext.w a1,a0 + 8000212c: 00002517 auipc a0,0x2 + 80002130: e7450513 addi a0,a0,-396 # 80003fa0 + 80002134: 6d4010ef jal ra,80003808 + 80002138: 00002517 auipc a0,0x2 + 8000213c: e8850513 addi a0,a0,-376 # 80003fc0 + 80002140: 6c8010ef jal ra,80003808 + 80002144: e81ff06f j 80001fc4 + 80002148: 0047f793 andi a5,a5,4 + 8000214c: e4079ae3 bnez a5,80001fa0 + 80002150: e01ff06f j 80001f50 + 80002154: 00002517 auipc a0,0x2 + 80002158: c2450513 addi a0,a0,-988 # 80003d78 + 8000215c: 6ac010ef jal ra,80003808 + 80002160: 00400a93 li s5,4 + 80002164: afdff06f j 80001c60 + 80002168: 00002517 auipc a0,0x2 + 8000216c: b4850513 addi a0,a0,-1208 # 80003cb0 + 80002170: 698010ef jal ra,80003808 + 80002174: 00000a93 li s5,0 + 80002178: ae9ff06f j 80001c60 + 8000217c: 00002517 auipc a0,0x2 + 80002180: b6450513 addi a0,a0,-1180 # 80003ce0 + 80002184: 684010ef jal ra,80003808 + 80002188: 00100a93 li s5,1 + 8000218c: ad5ff06f j 80001c60 + 80002190: 00000493 li s1,0 + 80002194: c81ff06f j 80001e14 + +0000000080002198 : + 80002198: fe010113 addi sp,sp,-32 + 8000219c: 00810593 addi a1,sp,8 + 800021a0: 00600513 li a0,6 + 800021a4: 00113c23 sd ra,24(sp) + 800021a8: 2e0000ef jal ra,80002488 + 800021ac: 00813503 ld a0,8(sp) + 800021b0: 3e800593 li a1,1000 + 800021b4: 144000ef jal ra,800022f8 <__udivdi3> + 800021b8: 01813083 ld ra,24(sp) + 800021bc: 02051513 slli a0,a0,0x20 + 800021c0: 02055513 srli a0,a0,0x20 + 800021c4: 00003797 auipc a5,0x3 + 800021c8: dca7be23 sd a0,-548(a5) # 80004fa0 + 800021cc: 02010113 addi sp,sp,32 + 800021d0: 00008067 ret + +00000000800021d4 : + 800021d4: fe010113 addi sp,sp,-32 + 800021d8: 00810593 addi a1,sp,8 + 800021dc: 00600513 li a0,6 + 800021e0: 00113c23 sd ra,24(sp) + 800021e4: 2a4000ef jal ra,80002488 + 800021e8: 00813503 ld a0,8(sp) + 800021ec: 3e800593 li a1,1000 + 800021f0: 108000ef jal ra,800022f8 <__udivdi3> + 800021f4: 01813083 ld ra,24(sp) + 800021f8: 02051513 slli a0,a0,0x20 + 800021fc: 02055513 srli a0,a0,0x20 + 80002200: 00003797 auipc a5,0x3 + 80002204: daa7b423 sd a0,-600(a5) # 80004fa8 + 80002208: 02010113 addi sp,sp,32 + 8000220c: 00008067 ret + +0000000080002210 : + 80002210: 00003517 auipc a0,0x3 + 80002214: d9853503 ld a0,-616(a0) # 80004fa8 + 80002218: 00003797 auipc a5,0x3 + 8000221c: d887b783 ld a5,-632(a5) # 80004fa0 + 80002220: 40f5053b subw a0,a0,a5 + 80002224: 00008067 ret + +0000000080002228 : + 80002228: 00008067 ret + +000000008000222c : + 8000222c: 00100793 li a5,1 + 80002230: 00f50023 sb a5,0(a0) + 80002234: 00008067 ret + +0000000080002238 : + 80002238: 00050023 sb zero,0(a0) + 8000223c: 00008067 ret + +0000000080002240 : + 80002240: 00008067 ret + +0000000080002244 : + 80002244: 00050513 mv a0,a0 + 80002248: 0000006b 0x6b + 8000224c: 0000006f j 8000224c + +0000000080002250 <_assert>: + 80002250: 00051a63 bnez a0,80002264 <_assert+0x14> + 80002254: 00100793 li a5,1 + 80002258: 00078513 mv a0,a5 + 8000225c: 0000006b 0x6b + 80002260: 0000006f j 80002260 <_assert+0x10> + 80002264: 00008067 ret + +0000000080002268 <_trm_init>: + 80002268: ff010113 addi sp,sp,-16 + 8000226c: 00113423 sd ra,8(sp) + 80002270: 4e4000ef jal ra,80002754 + 80002274: 00002517 auipc a0,0x2 + 80002278: 11450513 addi a0,a0,276 # 80004388 + 8000227c: f60ff0ef jal ra,800019dc
+ 80002280: 00050513 mv a0,a0 + 80002284: 0000006b 0x6b + 80002288: 0000006f j 80002288 <_trm_init+0x20> + +000000008000228c <__muldi3>: + 8000228c: 00050613 mv a2,a0 + 80002290: 00000513 li a0,0 + 80002294: 0015f693 andi a3,a1,1 + 80002298: 00068463 beqz a3,800022a0 <__muldi3+0x14> + 8000229c: 00c50533 add a0,a0,a2 + 800022a0: 0015d593 srli a1,a1,0x1 + 800022a4: 00161613 slli a2,a2,0x1 + 800022a8: fe0596e3 bnez a1,80002294 <__muldi3+0x8> + 800022ac: 00008067 ret + +00000000800022b0 <__udivsi3>: + 800022b0: 02051513 slli a0,a0,0x20 + 800022b4: 02059593 slli a1,a1,0x20 + 800022b8: 00008293 mv t0,ra + 800022bc: 03c000ef jal ra,800022f8 <__udivdi3> + 800022c0: 0005051b sext.w a0,a0 + 800022c4: 00028067 jr t0 + +00000000800022c8 <__umodsi3>: + 800022c8: 02051513 slli a0,a0,0x20 + 800022cc: 02059593 slli a1,a1,0x20 + 800022d0: 02055513 srli a0,a0,0x20 + 800022d4: 0205d593 srli a1,a1,0x20 + 800022d8: 00008293 mv t0,ra + 800022dc: 01c000ef jal ra,800022f8 <__udivdi3> + 800022e0: 0005851b sext.w a0,a1 + 800022e4: 00028067 jr t0 + +00000000800022e8 <__divsi3>: + 800022e8: fff00293 li t0,-1 + 800022ec: 0a558c63 beq a1,t0,800023a4 <__moddi3+0x30> + +00000000800022f0 <__divdi3>: + 800022f0: 06054063 bltz a0,80002350 <__umoddi3+0x10> + 800022f4: 0605c663 bltz a1,80002360 <__umoddi3+0x20> + +00000000800022f8 <__udivdi3>: + 800022f8: 00058613 mv a2,a1 + 800022fc: 00050593 mv a1,a0 + 80002300: fff00513 li a0,-1 + 80002304: 02060c63 beqz a2,8000233c <__udivdi3+0x44> + 80002308: 00100693 li a3,1 + 8000230c: 00b67a63 bgeu a2,a1,80002320 <__udivdi3+0x28> + 80002310: 00c05863 blez a2,80002320 <__udivdi3+0x28> + 80002314: 00161613 slli a2,a2,0x1 + 80002318: 00169693 slli a3,a3,0x1 + 8000231c: feb66ae3 bltu a2,a1,80002310 <__udivdi3+0x18> + 80002320: 00000513 li a0,0 + 80002324: 00c5e663 bltu a1,a2,80002330 <__udivdi3+0x38> + 80002328: 40c585b3 sub a1,a1,a2 + 8000232c: 00d56533 or a0,a0,a3 + 80002330: 0016d693 srli a3,a3,0x1 + 80002334: 00165613 srli a2,a2,0x1 + 80002338: fe0696e3 bnez a3,80002324 <__udivdi3+0x2c> + 8000233c: 00008067 ret + +0000000080002340 <__umoddi3>: + 80002340: 00008293 mv t0,ra + 80002344: fb5ff0ef jal ra,800022f8 <__udivdi3> + 80002348: 00058513 mv a0,a1 + 8000234c: 00028067 jr t0 + 80002350: 40a00533 neg a0,a0 + 80002354: 00b04863 bgtz a1,80002364 <__umoddi3+0x24> + 80002358: 40b005b3 neg a1,a1 + 8000235c: f9dff06f j 800022f8 <__udivdi3> + 80002360: 40b005b3 neg a1,a1 + 80002364: 00008293 mv t0,ra + 80002368: f91ff0ef jal ra,800022f8 <__udivdi3> + 8000236c: 40a00533 neg a0,a0 + 80002370: 00028067 jr t0 + +0000000080002374 <__moddi3>: + 80002374: 00008293 mv t0,ra + 80002378: 0005ca63 bltz a1,8000238c <__moddi3+0x18> + 8000237c: 00054c63 bltz a0,80002394 <__moddi3+0x20> + 80002380: f79ff0ef jal ra,800022f8 <__udivdi3> + 80002384: 00058513 mv a0,a1 + 80002388: 00028067 jr t0 + 8000238c: 40b005b3 neg a1,a1 + 80002390: fe0558e3 bgez a0,80002380 <__moddi3+0xc> + 80002394: 40a00533 neg a0,a0 + 80002398: f61ff0ef jal ra,800022f8 <__udivdi3> + 8000239c: 40b00533 neg a0,a1 + 800023a0: 00028067 jr t0 + 800023a4: 01f29293 slli t0,t0,0x1f + 800023a8: f45514e3 bne a0,t0,800022f0 <__divdi3> + 800023ac: 00008067 ret + +00000000800023b0 <__am_timer_config>: + 800023b0: 00100793 li a5,1 + 800023b4: 00f50023 sb a5,0(a0) + 800023b8: 00f500a3 sb a5,1(a0) + 800023bc: 00008067 ret + +00000000800023c0 <__am_input_config>: + 800023c0: 00100793 li a5,1 + 800023c4: 00f50023 sb a5,0(a0) + 800023c8: 00008067 ret + +00000000800023cc : + 800023cc: ff010113 addi sp,sp,-16 + 800023d0: 00813023 sd s0,0(sp) + 800023d4: 00113423 sd ra,8(sp) + 800023d8: 00002417 auipc s0,0x2 + 800023dc: cd040413 addi s0,s0,-816 # 800040a8 + 800023e0: 04100513 li a0,65 + 800023e4: 00140413 addi s0,s0,1 + 800023e8: e59ff0ef jal ra,80002240 + 800023ec: 00044503 lbu a0,0(s0) + 800023f0: fe051ae3 bnez a0,800023e4 + 800023f4: 00002417 auipc s0,0x2 + 800023f8: cc440413 addi s0,s0,-828 # 800040b8 + 800023fc: 06100513 li a0,97 + 80002400: 00140413 addi s0,s0,1 + 80002404: e3dff0ef jal ra,80002240 + 80002408: 00044503 lbu a0,0(s0) + 8000240c: fe051ae3 bnez a0,80002400 + 80002410: 00002417 auipc s0,0x2 + 80002414: cc840413 addi s0,s0,-824 # 800040d8 + 80002418: 02000513 li a0,32 + 8000241c: 00140413 addi s0,s0,1 + 80002420: e21ff0ef jal ra,80002240 + 80002424: 00044503 lbu a0,0(s0) + 80002428: fe051ae3 bnez a0,8000241c + 8000242c: 00100513 li a0,1 + 80002430: e15ff0ef jal ra,80002244 + +0000000080002434 : + 80002434: ff010113 addi sp,sp,-16 + 80002438: 00113423 sd ra,8(sp) + 8000243c: 00002797 auipc a5,0x2 + 80002440: f7c78793 addi a5,a5,-132 # 800043b8 + 80002444: 00002697 auipc a3,0x2 + 80002448: 37468693 addi a3,a3,884 # 800047b8 + 8000244c: 00000617 auipc a2,0x0 + 80002450: f8060613 addi a2,a2,-128 # 800023cc + 80002454: 00c0006f j 80002460 + 80002458: 00878793 addi a5,a5,8 + 8000245c: 00d78c63 beq a5,a3,80002474 + 80002460: 0007b703 ld a4,0(a5) + 80002464: fe071ae3 bnez a4,80002458 + 80002468: 00c7b023 sd a2,0(a5) + 8000246c: 00878793 addi a5,a5,8 + 80002470: fed798e3 bne a5,a3,80002460 + 80002474: 030000ef jal ra,800024a4 <__am_timer_init> + 80002478: 00813083 ld ra,8(sp) + 8000247c: 00100513 li a0,1 + 80002480: 01010113 addi sp,sp,16 + 80002484: 00008067 ret + +0000000080002488 : + 80002488: 00351793 slli a5,a0,0x3 + 8000248c: 00002517 auipc a0,0x2 + 80002490: f2c50513 addi a0,a0,-212 # 800043b8 + 80002494: 00f50533 add a0,a0,a5 + 80002498: 00053303 ld t1,0(a0) + 8000249c: 00058513 mv a0,a1 + 800024a0: 00030067 jr t1 + +00000000800024a4 <__am_timer_init>: + 800024a4: 00008067 ret + +00000000800024a8 <__am_timer_uptime>: + 800024a8: 00053023 sd zero,0(a0) + 800024ac: 00008067 ret + +00000000800024b0 : + 800024b0: fc010113 addi sp,sp,-64 + 800024b4: 01313c23 sd s3,24(sp) + 800024b8: 00058993 mv s3,a1 + 800024bc: 00050793 mv a5,a0 + 800024c0: 03800613 li a2,56 + 800024c4: 00000593 li a1,0 + 800024c8: 00098513 mv a0,s3 + 800024cc: 02813823 sd s0,48(sp) + 800024d0: 03213023 sd s2,32(sp) + 800024d4: 0007b403 ld s0,0(a5) + 800024d8: 01413823 sd s4,16(sp) + 800024dc: 02113c23 sd ra,56(sp) + 800024e0: 02913423 sd s1,40(sp) + 800024e4: 01513423 sd s5,8(sp) + 800024e8: 374010ef jal ra,8000385c + 800024ec: 7b200793 li a5,1970 + 800024f0: 00f9aa23 sw a5,20(s3) # fffffffffffff014 <_end+0xffffffff7fff2014> + 800024f4: 7b200913 li s2,1970 + 800024f8: 00002a17 auipc s4,0x2 + 800024fc: e98a0a13 addi s4,s4,-360 # 80004390 + 80002500: 0100006f j 80002510 + 80002504: 40e40433 sub s0,s0,a4 + 80002508: 00d9aa23 sw a3,20(s3) + 8000250c: 00068913 mv s2,a3 + 80002510: 0009049b sext.w s1,s2 + 80002514: 06400593 li a1,100 + 80002518: 00048513 mv a0,s1 + 8000251c: e59ff0ef jal ra,80002374 <__moddi3> + 80002520: 00050793 mv a5,a0 + 80002524: 0007879b sext.w a5,a5 + 80002528: 00048513 mv a0,s1 + 8000252c: 00397493 andi s1,s2,3 + 80002530: 19000593 li a1,400 + 80002534: 0014b493 seqz s1,s1 + 80002538: 00079863 bnez a5,80002548 + 8000253c: e39ff0ef jal ra,80002374 <__moddi3> + 80002540: 0005049b sext.w s1,a0 + 80002544: 0014b493 seqz s1,s1 + 80002548: 00249793 slli a5,s1,0x2 + 8000254c: 00fa07b3 add a5,s4,a5 + 80002550: 0007e703 lwu a4,0(a5) + 80002554: 0019069b addiw a3,s2,1 + 80002558: fae456e3 bge s0,a4,80002504 + 8000255c: 000155b7 lui a1,0x15 + 80002560: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80002564: 00040513 mv a0,s0 + 80002568: d89ff0ef jal ra,800022f0 <__divdi3> + 8000256c: 00149793 slli a5,s1,0x1 + 80002570: 009784b3 add s1,a5,s1 + 80002574: 00449793 slli a5,s1,0x4 + 80002578: 00002497 auipc s1,0x2 + 8000257c: ba048493 addi s1,s1,-1120 # 80004118 + 80002580: 00f484b3 add s1,s1,a5 + 80002584: 0004e783 lwu a5,0(s1) + 80002588: 0005051b sext.w a0,a0 + 8000258c: 00a9ae23 sw a0,28(s3) + 80002590: 14f44463 blt s0,a5,800026d8 + 80002594: 00100713 li a4,1 + 80002598: 40f40433 sub s0,s0,a5 + 8000259c: 0044e783 lwu a5,4(s1) + 800025a0: 00070a1b sext.w s4,a4 + 800025a4: 00448493 addi s1,s1,4 + 800025a8: 00170713 addi a4,a4,1 + 800025ac: fef456e3 bge s0,a5,80002598 + 800025b0: 000155b7 lui a1,0x15 + 800025b4: 0149a823 sw s4,16(s3) + 800025b8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800025bc: 00040513 mv a0,s0 + 800025c0: d31ff0ef jal ra,800022f0 <__divdi3> + 800025c4: 0005051b sext.w a0,a0 + 800025c8: 0015079b addiw a5,a0,1 + 800025cc: 000155b7 lui a1,0x15 + 800025d0: 00f9a623 sw a5,12(s3) + 800025d4: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 800025d8: 00040513 mv a0,s0 + 800025dc: 00001ab7 lui s5,0x1 + 800025e0: 0007841b sext.w s0,a5 + 800025e4: d91ff0ef jal ra,80002374 <__moddi3> + 800025e8: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 800025ec: 00050493 mv s1,a0 + 800025f0: d01ff0ef jal ra,800022f0 <__divdi3> + 800025f4: e10a8593 addi a1,s5,-496 + 800025f8: 00a9a423 sw a0,8(s3) + 800025fc: 00048513 mv a0,s1 + 80002600: d75ff0ef jal ra,80002374 <__moddi3> + 80002604: 03c00593 li a1,60 + 80002608: 00050493 mv s1,a0 + 8000260c: ce5ff0ef jal ra,800022f0 <__divdi3> + 80002610: 00a9a223 sw a0,4(s3) + 80002614: 03c00593 li a1,60 + 80002618: 00048513 mv a0,s1 + 8000261c: d59ff0ef jal ra,80002374 <__moddi3> + 80002620: 001a0a1b addiw s4,s4,1 + 80002624: 0ffa7793 andi a5,s4,255 + 80002628: 0037b793 sltiu a5,a5,3 + 8000262c: 40f9093b subw s2,s2,a5 + 80002630: 03091913 slli s2,s2,0x30 + 80002634: 03095913 srli s2,s2,0x30 + 80002638: 00a9a023 sw a0,0(s3) + 8000263c: 06400593 li a1,100 + 80002640: 00090513 mv a0,s2 + 80002644: cb5ff0ef jal ra,800022f8 <__udivdi3> + 80002648: 0029549b srliw s1,s2,0x2 + 8000264c: 0105179b slliw a5,a0,0x10 + 80002650: 0107d79b srliw a5,a5,0x10 + 80002654: 012484bb addw s1,s1,s2 + 80002658: 19000593 li a1,400 + 8000265c: 00090513 mv a0,s2 + 80002660: 0ffa7a13 andi s4,s4,255 + 80002664: 40f484bb subw s1,s1,a5 + 80002668: fffa0a1b addiw s4,s4,-1 + 8000266c: c8dff0ef jal ra,800022f8 <__udivdi3> + 80002670: 00002797 auipc a5,0x2 + 80002674: b0878793 addi a5,a5,-1272 # 80004178 + 80002678: 002a1a13 slli s4,s4,0x2 + 8000267c: 01478a33 add s4,a5,s4 + 80002680: 000a2783 lw a5,0(s4) + 80002684: 0105151b slliw a0,a0,0x10 + 80002688: 0105551b srliw a0,a0,0x10 + 8000268c: 00a484bb addw s1,s1,a0 + 80002690: 00f484bb addw s1,s1,a5 + 80002694: 0ff47513 andi a0,s0,255 + 80002698: 00a4853b addw a0,s1,a0 + 8000269c: 00700593 li a1,7 + 800026a0: cd5ff0ef jal ra,80002374 <__moddi3> + 800026a4: 03813083 ld ra,56(sp) + 800026a8: 03013403 ld s0,48(sp) + 800026ac: 0ff57513 andi a0,a0,255 + 800026b0: 00a9ac23 sw a0,24(s3) + 800026b4: 0209a023 sw zero,32(s3) + 800026b8: 02813483 ld s1,40(sp) + 800026bc: 02013903 ld s2,32(sp) + 800026c0: 01013a03 ld s4,16(sp) + 800026c4: 00813a83 ld s5,8(sp) + 800026c8: 00098513 mv a0,s3 + 800026cc: 01813983 ld s3,24(sp) + 800026d0: 04010113 addi sp,sp,64 + 800026d4: 00008067 ret + 800026d8: 00000a13 li s4,0 + 800026dc: eedff06f j 800025c8 + +00000000800026e0 <__am_timer_rtc>: + 800026e0: fa010113 addi sp,sp,-96 + 800026e4: 04813823 sd s0,80(sp) + 800026e8: 01810593 addi a1,sp,24 + 800026ec: 00050413 mv s0,a0 + 800026f0: 00810513 addi a0,sp,8 + 800026f4: 04113c23 sd ra,88(sp) + 800026f8: 00013423 sd zero,8(sp) + 800026fc: 00013823 sd zero,16(sp) + 80002700: db1ff0ef jal ra,800024b0 + 80002704: 01812703 lw a4,24(sp) + 80002708: 02812783 lw a5,40(sp) + 8000270c: 05813083 ld ra,88(sp) + 80002710: 00e42a23 sw a4,20(s0) + 80002714: 01c12703 lw a4,28(sp) + 80002718: 0017879b addiw a5,a5,1 + 8000271c: 00f42223 sw a5,4(s0) + 80002720: 00e42823 sw a4,16(s0) + 80002724: 02012703 lw a4,32(sp) + 80002728: 02c12783 lw a5,44(sp) + 8000272c: 00e42623 sw a4,12(s0) + 80002730: 02412703 lw a4,36(sp) + 80002734: 00f42023 sw a5,0(s0) + 80002738: 00e42423 sw a4,8(s0) + 8000273c: 05013403 ld s0,80(sp) + 80002740: 06010113 addi sp,sp,96 + 80002744: 00008067 ret + +0000000080002748 <__am_input_keybrd>: + 80002748: 00050023 sb zero,0(a0) + 8000274c: 00052223 sw zero,4(a0) + 80002750: 00008067 ret + +0000000080002754 : + 80002754: 00002797 auipc a5,0x2 + 80002758: c5478793 addi a5,a5,-940 # 800043a8 + 8000275c: 0007b503 ld a0,0(a5) + 80002760: 0087b583 ld a1,8(a5) + 80002764: ff010113 addi sp,sp,-16 + 80002768: 00000693 li a3,0 + 8000276c: 00000613 li a2,0 + 80002770: 40a585b3 sub a1,a1,a0 + 80002774: 00113423 sd ra,8(sp) + 80002778: 1c4010ef jal ra,8000393c + 8000277c: 00813083 ld ra,8(sp) + 80002780: 00002797 auipc a5,0x2 + 80002784: 04a7b423 sd a0,72(a5) # 800047c8 + 80002788: 01010113 addi sp,sp,16 + 8000278c: 00008067 ret + +0000000080002790 <_out_null>: + 80002790: 00008067 ret + +0000000080002794 <_ntoa_format>: + 80002794: fa010113 addi sp,sp,-96 + 80002798: 07012303 lw t1,112(sp) + 8000279c: 03313c23 sd s3,56(sp) + 800027a0: 03413823 sd s4,48(sp) + 800027a4: 03513423 sd s5,40(sp) + 800027a8: 03613023 sd s6,32(sp) + 800027ac: 01713c23 sd s7,24(sp) + 800027b0: 01813823 sd s8,16(sp) + 800027b4: 01913423 sd s9,8(sp) + 800027b8: 00237e13 andi t3,t1,2 + 800027bc: 01037e93 andi t4,t1,16 + 800027c0: 04113c23 sd ra,88(sp) + 800027c4: 04813823 sd s0,80(sp) + 800027c8: 04913423 sd s1,72(sp) + 800027cc: 05213023 sd s2,64(sp) + 800027d0: 06012f03 lw t5,96(sp) + 800027d4: 06812c03 lw s8,104(sp) + 800027d8: 00050a13 mv s4,a0 + 800027dc: 00058a93 mv s5,a1 + 800027e0: 00060b93 mv s7,a2 + 800027e4: 00068b13 mv s6,a3 + 800027e8: 00070993 mv s3,a4 + 800027ec: 000e0c9b sext.w s9,t3 + 800027f0: 000e8e9b sext.w t4,t4 + 800027f4: 060e1c63 bnez t3,8000286c <_ntoa_format+0xd8> + 800027f8: 020f1693 slli a3,t5,0x20 + 800027fc: 00137513 andi a0,t1,1 + 80002800: 0206d693 srli a3,a3,0x20 + 80002804: 180c1e63 bnez s8,800029a0 <_ntoa_format+0x20c> + 80002808: 02d7f663 bgeu a5,a3,80002834 <_ntoa_format+0xa0> + 8000280c: 02000713 li a4,32 + 80002810: 36e78e63 beq a5,a4,80002b8c <_ntoa_format+0x3f8> + 80002814: 03000613 li a2,48 + 80002818: 02000593 li a1,32 + 8000281c: 0080006f j 80002824 <_ntoa_format+0x90> + 80002820: 00b78a63 beq a5,a1,80002834 <_ntoa_format+0xa0> + 80002824: 00178793 addi a5,a5,1 + 80002828: 00f98733 add a4,s3,a5 + 8000282c: fec70fa3 sb a2,-1(a4) + 80002830: fed7e8e3 bltu a5,a3,80002820 <_ntoa_format+0x8c> + 80002834: 02050c63 beqz a0,8000286c <_ntoa_format+0xd8> + 80002838: 020c1913 slli s2,s8,0x20 + 8000283c: 02095913 srli s2,s2,0x20 + 80002840: 0327f663 bgeu a5,s2,8000286c <_ntoa_format+0xd8> + 80002844: 02000713 li a4,32 + 80002848: 36e78863 beq a5,a4,80002bb8 <_ntoa_format+0x424> + 8000284c: 03000693 li a3,48 + 80002850: 02000613 li a2,32 + 80002854: 0080006f j 8000285c <_ntoa_format+0xc8> + 80002858: 16c78463 beq a5,a2,800029c0 <_ntoa_format+0x22c> + 8000285c: 00178793 addi a5,a5,1 + 80002860: 00f98733 add a4,s3,a5 + 80002864: fed70fa3 sb a3,-1(a4) + 80002868: ff2798e3 bne a5,s2,80002858 <_ntoa_format+0xc4> + 8000286c: 1a0e8663 beqz t4,80002a18 <_ntoa_format+0x284> + 80002870: 40037713 andi a4,t1,1024 + 80002874: 20071463 bnez a4,80002a7c <_ntoa_format+0x2e8> + 80002878: 1e079663 bnez a5,80002a64 <_ntoa_format+0x2d0> + 8000287c: 01000793 li a5,16 + 80002880: 2af88863 beq a7,a5,80002b30 <_ntoa_format+0x39c> + 80002884: 00200793 li a5,2 + 80002888: 2ef88a63 beq a7,a5,80002b7c <_ntoa_format+0x3e8> + 8000288c: 03000793 li a5,48 + 80002890: 00f98023 sb a5,0(s3) + 80002894: 00100793 li a5,1 + 80002898: 1a080463 beqz a6,80002a40 <_ntoa_format+0x2ac> + 8000289c: 00f98733 add a4,s3,a5 + 800028a0: 00178413 addi s0,a5,1 + 800028a4: 02d00793 li a5,45 + 800028a8: 00f70023 sb a5,0(a4) + 800028ac: 00337313 andi t1,t1,3 + 800028b0: 20031263 bnez t1,80002ab4 <_ntoa_format+0x320> + 800028b4: 020c1913 slli s2,s8,0x20 + 800028b8: 02095913 srli s2,s2,0x20 + 800028bc: 1f247c63 bgeu s0,s2,80002ab4 <_ntoa_format+0x320> + 800028c0: 40890933 sub s2,s2,s0 + 800028c4: 01790933 add s2,s2,s7 + 800028c8: 000b8493 mv s1,s7 + 800028cc: 00048613 mv a2,s1 + 800028d0: 000b0693 mv a3,s6 + 800028d4: 00148493 addi s1,s1,1 + 800028d8: 000a8593 mv a1,s5 + 800028dc: 02000513 li a0,32 + 800028e0: 000a00e7 jalr s4 + 800028e4: ff2494e3 bne s1,s2,800028cc <_ntoa_format+0x138> + 800028e8: 02040663 beqz s0,80002914 <_ntoa_format+0x180> + 800028ec: 01240933 add s2,s0,s2 + 800028f0: 012984b3 add s1,s3,s2 + 800028f4: 00898433 add s0,s3,s0 + 800028f8: fff44503 lbu a0,-1(s0) + 800028fc: 40848633 sub a2,s1,s0 + 80002900: 000b0693 mv a3,s6 + 80002904: fff40413 addi s0,s0,-1 + 80002908: 000a8593 mv a1,s5 + 8000290c: 000a00e7 jalr s4 + 80002910: fe8994e3 bne s3,s0,800028f8 <_ntoa_format+0x164> + 80002914: 040c8a63 beqz s9,80002968 <_ntoa_format+0x1d4> + 80002918: 020c1c13 slli s8,s8,0x20 + 8000291c: 41790433 sub s0,s2,s7 + 80002920: 020c5c13 srli s8,s8,0x20 + 80002924: 05847263 bgeu s0,s8,80002968 <_ntoa_format+0x1d4> + 80002928: 01740633 add a2,s0,s7 + 8000292c: 000b0693 mv a3,s6 + 80002930: 00140413 addi s0,s0,1 + 80002934: 000a8593 mv a1,s5 + 80002938: 02000513 li a0,32 + 8000293c: 000a00e7 jalr s4 + 80002940: ff8464e3 bltu s0,s8,80002928 <_ntoa_format+0x194> + 80002944: 41790733 sub a4,s2,s7 + 80002948: 00170713 addi a4,a4,1 + 8000294c: 00000793 li a5,0 + 80002950: 00ec6863 bltu s8,a4,80002960 <_ntoa_format+0x1cc> + 80002954: fffb8793 addi a5,s7,-1 + 80002958: 01878c33 add s8,a5,s8 + 8000295c: 412c07b3 sub a5,s8,s2 + 80002960: 00190913 addi s2,s2,1 + 80002964: 00f90933 add s2,s2,a5 + 80002968: 05813083 ld ra,88(sp) + 8000296c: 05013403 ld s0,80(sp) + 80002970: 04813483 ld s1,72(sp) + 80002974: 03813983 ld s3,56(sp) + 80002978: 03013a03 ld s4,48(sp) + 8000297c: 02813a83 ld s5,40(sp) + 80002980: 02013b03 ld s6,32(sp) + 80002984: 01813b83 ld s7,24(sp) + 80002988: 01013c03 ld s8,16(sp) + 8000298c: 00813c83 ld s9,8(sp) + 80002990: 00090513 mv a0,s2 + 80002994: 04013903 ld s2,64(sp) + 80002998: 06010113 addi sp,sp,96 + 8000299c: 00008067 ret + 800029a0: 12050863 beqz a0,80002ad0 <_ntoa_format+0x33c> + 800029a4: 00081663 bnez a6,800029b0 <_ntoa_format+0x21c> + 800029a8: 00c37713 andi a4,t1,12 + 800029ac: 00070463 beqz a4,800029b4 <_ntoa_format+0x220> + 800029b0: fffc0c1b addiw s8,s8,-1 + 800029b4: e8d7f2e3 bgeu a5,a3,80002838 <_ntoa_format+0xa4> + 800029b8: 02000713 li a4,32 + 800029bc: e4e79ce3 bne a5,a4,80002814 <_ntoa_format+0x80> + 800029c0: 0e0e8063 beqz t4,80002aa0 <_ntoa_format+0x30c> + 800029c4: 40037793 andi a5,t1,1024 + 800029c8: 04079c63 bnez a5,80002a20 <_ntoa_format+0x28c> + 800029cc: 02000793 li a5,32 + 800029d0: 0aff1063 bne t5,a5,80002a70 <_ntoa_format+0x2dc> + 800029d4: 02000793 li a5,32 + 800029d8: 01f00713 li a4,31 + 800029dc: 01000693 li a3,16 + 800029e0: 1ad88c63 beq a7,a3,80002b98 <_ntoa_format+0x404> + 800029e4: 00200693 li a3,2 + 800029e8: 00070793 mv a5,a4 + 800029ec: 00d89e63 bne a7,a3,80002a08 <_ntoa_format+0x274> + 800029f0: 00e986b3 add a3,s3,a4 + 800029f4: 00170793 addi a5,a4,1 + 800029f8: 06200713 li a4,98 + 800029fc: 00e68023 sb a4,0(a3) + 80002a00: 02000713 li a4,32 + 80002a04: 00e78e63 beq a5,a4,80002a20 <_ntoa_format+0x28c> + 80002a08: 00f98733 add a4,s3,a5 + 80002a0c: 03000693 li a3,48 + 80002a10: 00d70023 sb a3,0(a4) + 80002a14: 00178793 addi a5,a5,1 + 80002a18: 02000713 li a4,32 + 80002a1c: e6e79ee3 bne a5,a4,80002898 <_ntoa_format+0x104> + 80002a20: 00337313 andi t1,t1,3 + 80002a24: 08031263 bnez t1,80002aa8 <_ntoa_format+0x314> + 80002a28: 02000413 li s0,32 + 80002a2c: 020c1913 slli s2,s8,0x20 + 80002a30: 02095913 srli s2,s2,0x20 + 80002a34: e92466e3 bltu s0,s2,800028c0 <_ntoa_format+0x12c> + 80002a38: 000b8913 mv s2,s7 + 80002a3c: eadff06f j 800028e8 <_ntoa_format+0x154> + 80002a40: 00437713 andi a4,t1,4 + 80002a44: 06071c63 bnez a4,80002abc <_ntoa_format+0x328> + 80002a48: 00837713 andi a4,t1,8 + 80002a4c: 0c071863 bnez a4,80002b1c <_ntoa_format+0x388> + 80002a50: 00337313 andi t1,t1,3 + 80002a54: 00078413 mv s0,a5 + 80002a58: fc030ae3 beqz t1,80002a2c <_ntoa_format+0x298> + 80002a5c: 000b8913 mv s2,s7 + 80002a60: e89ff06f j 800028e8 <_ntoa_format+0x154> + 80002a64: 020f1f13 slli t5,t5,0x20 + 80002a68: 020f5f13 srli t5,t5,0x20 + 80002a6c: 0aff0263 beq t5,a5,80002b10 <_ntoa_format+0x37c> + 80002a70: 020c1913 slli s2,s8,0x20 + 80002a74: 02095913 srli s2,s2,0x20 + 80002a78: 08f90c63 beq s2,a5,80002b10 <_ntoa_format+0x37c> + 80002a7c: 01000713 li a4,16 + 80002a80: 06e88663 beq a7,a4,80002aec <_ntoa_format+0x358> + 80002a84: 00200713 li a4,2 + 80002a88: f6e89ce3 bne a7,a4,80002a00 <_ntoa_format+0x26c> + 80002a8c: 02000713 li a4,32 + 80002a90: f8e788e3 beq a5,a4,80002a20 <_ntoa_format+0x28c> + 80002a94: 00f986b3 add a3,s3,a5 + 80002a98: 00178793 addi a5,a5,1 + 80002a9c: f5dff06f j 800029f8 <_ntoa_format+0x264> + 80002aa0: 00337313 andi t1,t1,3 + 80002aa4: 0c030063 beqz t1,80002b64 <_ntoa_format+0x3d0> + 80002aa8: 000b8913 mv s2,s7 + 80002aac: 02000413 li s0,32 + 80002ab0: e3dff06f j 800028ec <_ntoa_format+0x158> + 80002ab4: 000b8913 mv s2,s7 + 80002ab8: e35ff06f j 800028ec <_ntoa_format+0x158> + 80002abc: 00f98733 add a4,s3,a5 + 80002ac0: 00178413 addi s0,a5,1 + 80002ac4: 02b00793 li a5,43 + 80002ac8: 00f70023 sb a5,0(a4) + 80002acc: de1ff06f j 800028ac <_ntoa_format+0x118> + 80002ad0: d8d7fee3 bgeu a5,a3,8000286c <_ntoa_format+0xd8> + 80002ad4: 02000713 li a4,32 + 80002ad8: d2e79ee3 bne a5,a4,80002814 <_ntoa_format+0x80> + 80002adc: 0e0e8c63 beqz t4,80002bd4 <_ntoa_format+0x440> + 80002ae0: 40037793 andi a5,t1,1024 + 80002ae4: f2079ee3 bnez a5,80002a20 <_ntoa_format+0x28c> + 80002ae8: ee5ff06f j 800029cc <_ntoa_format+0x238> + 80002aec: 02037713 andi a4,t1,32 + 80002af0: 04071c63 bnez a4,80002b48 <_ntoa_format+0x3b4> + 80002af4: 02000713 li a4,32 + 80002af8: f2e784e3 beq a5,a4,80002a20 <_ntoa_format+0x28c> + 80002afc: 00f98733 add a4,s3,a5 + 80002b00: 00178793 addi a5,a5,1 + 80002b04: 07800693 li a3,120 + 80002b08: 00d70023 sb a3,0(a4) + 80002b0c: ef5ff06f j 80002a00 <_ntoa_format+0x26c> + 80002b10: fff78713 addi a4,a5,-1 + 80002b14: d60704e3 beqz a4,8000287c <_ntoa_format+0xe8> + 80002b18: ec5ff06f j 800029dc <_ntoa_format+0x248> + 80002b1c: 00f98733 add a4,s3,a5 + 80002b20: 00178413 addi s0,a5,1 + 80002b24: 02000793 li a5,32 + 80002b28: 00f70023 sb a5,0(a4) + 80002b2c: d81ff06f j 800028ac <_ntoa_format+0x118> + 80002b30: 02037793 andi a5,t1,32 + 80002b34: 02079c63 bnez a5,80002b6c <_ntoa_format+0x3d8> + 80002b38: 07800793 li a5,120 + 80002b3c: 00f98023 sb a5,0(s3) + 80002b40: 00100793 li a5,1 + 80002b44: ec5ff06f j 80002a08 <_ntoa_format+0x274> + 80002b48: 02000713 li a4,32 + 80002b4c: ece78ae3 beq a5,a4,80002a20 <_ntoa_format+0x28c> + 80002b50: 00f98733 add a4,s3,a5 + 80002b54: 05800693 li a3,88 + 80002b58: 00d70023 sb a3,0(a4) + 80002b5c: 00178793 addi a5,a5,1 + 80002b60: ea1ff06f j 80002a00 <_ntoa_format+0x26c> + 80002b64: 02000413 li s0,32 + 80002b68: d4dff06f j 800028b4 <_ntoa_format+0x120> + 80002b6c: 05800793 li a5,88 + 80002b70: 00f98023 sb a5,0(s3) + 80002b74: 00100793 li a5,1 + 80002b78: e91ff06f j 80002a08 <_ntoa_format+0x274> + 80002b7c: 06200793 li a5,98 + 80002b80: 00f98023 sb a5,0(s3) + 80002b84: 00100793 li a5,1 + 80002b88: e81ff06f j 80002a08 <_ntoa_format+0x274> + 80002b8c: e2051ae3 bnez a0,800029c0 <_ntoa_format+0x22c> + 80002b90: 000c8c13 mv s8,s9 + 80002b94: f49ff06f j 80002adc <_ntoa_format+0x348> + 80002b98: 02037693 andi a3,t1,32 + 80002b9c: ffe78713 addi a4,a5,-2 + 80002ba0: 00069863 bnez a3,80002bb0 <_ntoa_format+0x41c> + 80002ba4: 00e98733 add a4,s3,a4 + 80002ba8: fff78793 addi a5,a5,-1 + 80002bac: f59ff06f j 80002b04 <_ntoa_format+0x370> + 80002bb0: 00070793 mv a5,a4 + 80002bb4: f9dff06f j 80002b50 <_ntoa_format+0x3bc> + 80002bb8: 000e8e63 beqz t4,80002bd4 <_ntoa_format+0x440> + 80002bbc: 40037713 andi a4,t1,1024 + 80002bc0: 00071e63 bnez a4,80002bdc <_ntoa_format+0x448> + 80002bc4: 02ff0e63 beq t5,a5,80002c00 <_ntoa_format+0x46c> + 80002bc8: e4fc1ce3 bne s8,a5,80002a20 <_ntoa_format+0x28c> + 80002bcc: 01f00713 li a4,31 + 80002bd0: e0dff06f j 800029dc <_ntoa_format+0x248> + 80002bd4: 02000413 li s0,32 + 80002bd8: cd5ff06f j 800028ac <_ntoa_format+0x118> + 80002bdc: 01000793 li a5,16 + 80002be0: 02f88c63 beq a7,a5,80002c18 <_ntoa_format+0x484> + 80002be4: 00200793 li a5,2 + 80002be8: e2f88ce3 beq a7,a5,80002a20 <_ntoa_format+0x28c> + 80002bec: 00337313 andi t1,t1,3 + 80002bf0: ea031ce3 bnez t1,80002aa8 <_ntoa_format+0x314> + 80002bf4: 02000413 li s0,32 + 80002bf8: cd2464e3 bltu s0,s2,800028c0 <_ntoa_format+0x12c> + 80002bfc: e3dff06f j 80002a38 <_ntoa_format+0x2a4> + 80002c00: 01000713 li a4,16 + 80002c04: f8e88ae3 beq a7,a4,80002b98 <_ntoa_format+0x404> + 80002c08: 00200793 li a5,2 + 80002c0c: 00f88c63 beq a7,a5,80002c24 <_ntoa_format+0x490> + 80002c10: 01f00793 li a5,31 + 80002c14: df5ff06f j 80002a08 <_ntoa_format+0x274> + 80002c18: 02037793 andi a5,t1,32 + 80002c1c: fc0788e3 beqz a5,80002bec <_ntoa_format+0x458> + 80002c20: e01ff06f j 80002a20 <_ntoa_format+0x28c> + 80002c24: 01f00713 li a4,31 + 80002c28: dc9ff06f j 800029f0 <_ntoa_format+0x25c> + +0000000080002c2c <_ntoa_long>: + 80002c2c: f4010113 addi sp,sp,-192 + 80002c30: 09413823 sd s4,144(sp) + 80002c34: 09513423 sd s5,136(sp) + 80002c38: 09613023 sd s6,128(sp) + 80002c3c: 07713c23 sd s7,120(sp) + 80002c40: 07813823 sd s8,112(sp) + 80002c44: 07913423 sd s9,104(sp) + 80002c48: 05b13c23 sd s11,88(sp) + 80002c4c: 0a113c23 sd ra,184(sp) + 80002c50: 0a813823 sd s0,176(sp) + 80002c54: 0a913423 sd s1,168(sp) + 80002c58: 0b213023 sd s2,160(sp) + 80002c5c: 09313c23 sd s3,152(sp) + 80002c60: 07a13023 sd s10,96(sp) + 80002c64: 02a13023 sd a0,32(sp) + 80002c68: 03113423 sd a7,40(sp) + 80002c6c: 0c812a03 lw s4,200(sp) + 80002c70: 00070d93 mv s11,a4 + 80002c74: 00058b13 mv s6,a1 + 80002c78: 00060b93 mv s7,a2 + 80002c7c: 00068c13 mv s8,a3 + 80002c80: 00078c93 mv s9,a5 + 80002c84: 00080a93 mv s5,a6 + 80002c88: 00071863 bnez a4,80002c98 <_ntoa_long+0x6c> + 80002c8c: 400a7793 andi a5,s4,1024 + 80002c90: fefa7a13 andi s4,s4,-17 + 80002c94: 0e079663 bnez a5,80002d80 <_ntoa_long+0x154> + 80002c98: 020a7793 andi a5,s4,32 + 80002c9c: 06100413 li s0,97 + 80002ca0: 0c079c63 bnez a5,80002d78 <_ntoa_long+0x14c> + 80002ca4: 00000d13 li s10,0 + 80002ca8: 03010493 addi s1,sp,48 + 80002cac: 00900913 li s2,9 + 80002cb0: ff64041b addiw s0,s0,-10 + 80002cb4: 02000993 li s3,32 + 80002cb8: 00c0006f j 80002cc4 <_ntoa_long+0x98> + 80002cbc: 053d0463 beq s10,s3,80002d04 <_ntoa_long+0xd8> + 80002cc0: 00050d93 mv s11,a0 + 80002cc4: 000a8593 mv a1,s5 + 80002cc8: 000d8513 mv a0,s11 + 80002ccc: e74ff0ef jal ra,80002340 <__umoddi3> + 80002cd0: 0ff57313 andi t1,a0,255 + 80002cd4: 0303071b addiw a4,t1,48 + 80002cd8: 0064033b addw t1,s0,t1 + 80002cdc: 0ff37313 andi t1,t1,255 + 80002ce0: 00a96463 bltu s2,a0,80002ce8 <_ntoa_long+0xbc> + 80002ce4: 0ff77313 andi t1,a4,255 + 80002ce8: 001d0d13 addi s10,s10,1 + 80002cec: 01a48733 add a4,s1,s10 + 80002cf0: 000d8513 mv a0,s11 + 80002cf4: 000a8593 mv a1,s5 + 80002cf8: fe670fa3 sb t1,-1(a4) + 80002cfc: dfcff0ef jal ra,800022f8 <__udivdi3> + 80002d00: fb5dfee3 bgeu s11,s5,80002cbc <_ntoa_long+0x90> + 80002d04: 0c012703 lw a4,192(sp) + 80002d08: 02813783 ld a5,40(sp) + 80002d0c: 02013503 ld a0,32(sp) + 80002d10: 01413823 sd s4,16(sp) + 80002d14: 00e13423 sd a4,8(sp) + 80002d18: 00f13023 sd a5,0(sp) + 80002d1c: 000a889b sext.w a7,s5 + 80002d20: 000c8813 mv a6,s9 + 80002d24: 000d0793 mv a5,s10 + 80002d28: 00048713 mv a4,s1 + 80002d2c: 000c0693 mv a3,s8 + 80002d30: 000b8613 mv a2,s7 + 80002d34: 000b0593 mv a1,s6 + 80002d38: a5dff0ef jal ra,80002794 <_ntoa_format> + 80002d3c: 0b813083 ld ra,184(sp) + 80002d40: 0b013403 ld s0,176(sp) + 80002d44: 0a813483 ld s1,168(sp) + 80002d48: 0a013903 ld s2,160(sp) + 80002d4c: 09813983 ld s3,152(sp) + 80002d50: 09013a03 ld s4,144(sp) + 80002d54: 08813a83 ld s5,136(sp) + 80002d58: 08013b03 ld s6,128(sp) + 80002d5c: 07813b83 ld s7,120(sp) + 80002d60: 07013c03 ld s8,112(sp) + 80002d64: 06813c83 ld s9,104(sp) + 80002d68: 06013d03 ld s10,96(sp) + 80002d6c: 05813d83 ld s11,88(sp) + 80002d70: 0c010113 addi sp,sp,192 + 80002d74: 00008067 ret + 80002d78: 04100413 li s0,65 + 80002d7c: f29ff06f j 80002ca4 <_ntoa_long+0x78> + 80002d80: 00000d13 li s10,0 + 80002d84: 03010493 addi s1,sp,48 + 80002d88: f7dff06f j 80002d04 <_ntoa_long+0xd8> + +0000000080002d8c <_ntoa_long_long>: + 80002d8c: f4010113 addi sp,sp,-192 + 80002d90: 09413823 sd s4,144(sp) + 80002d94: 09513423 sd s5,136(sp) + 80002d98: 09613023 sd s6,128(sp) + 80002d9c: 07713c23 sd s7,120(sp) + 80002da0: 07813823 sd s8,112(sp) + 80002da4: 07913423 sd s9,104(sp) + 80002da8: 05b13c23 sd s11,88(sp) + 80002dac: 0a113c23 sd ra,184(sp) + 80002db0: 0a813823 sd s0,176(sp) + 80002db4: 0a913423 sd s1,168(sp) + 80002db8: 0b213023 sd s2,160(sp) + 80002dbc: 09313c23 sd s3,152(sp) + 80002dc0: 07a13023 sd s10,96(sp) + 80002dc4: 02a13023 sd a0,32(sp) + 80002dc8: 03113423 sd a7,40(sp) + 80002dcc: 0c812a03 lw s4,200(sp) + 80002dd0: 00070d93 mv s11,a4 + 80002dd4: 00058b13 mv s6,a1 + 80002dd8: 00060b93 mv s7,a2 + 80002ddc: 00068c13 mv s8,a3 + 80002de0: 00078c93 mv s9,a5 + 80002de4: 00080a93 mv s5,a6 + 80002de8: 00071863 bnez a4,80002df8 <_ntoa_long_long+0x6c> + 80002dec: 400a7793 andi a5,s4,1024 + 80002df0: fefa7a13 andi s4,s4,-17 + 80002df4: 0e079663 bnez a5,80002ee0 <_ntoa_long_long+0x154> + 80002df8: 020a7793 andi a5,s4,32 + 80002dfc: 06100413 li s0,97 + 80002e00: 0c079c63 bnez a5,80002ed8 <_ntoa_long_long+0x14c> + 80002e04: 00000d13 li s10,0 + 80002e08: 03010493 addi s1,sp,48 + 80002e0c: 00900913 li s2,9 + 80002e10: ff64041b addiw s0,s0,-10 + 80002e14: 02000993 li s3,32 + 80002e18: 00c0006f j 80002e24 <_ntoa_long_long+0x98> + 80002e1c: 053d0463 beq s10,s3,80002e64 <_ntoa_long_long+0xd8> + 80002e20: 00050d93 mv s11,a0 + 80002e24: 000a8593 mv a1,s5 + 80002e28: 000d8513 mv a0,s11 + 80002e2c: d14ff0ef jal ra,80002340 <__umoddi3> + 80002e30: 0ff57313 andi t1,a0,255 + 80002e34: 0303071b addiw a4,t1,48 + 80002e38: 0064033b addw t1,s0,t1 + 80002e3c: 0ff37313 andi t1,t1,255 + 80002e40: 00a96463 bltu s2,a0,80002e48 <_ntoa_long_long+0xbc> + 80002e44: 0ff77313 andi t1,a4,255 + 80002e48: 001d0d13 addi s10,s10,1 + 80002e4c: 01a48733 add a4,s1,s10 + 80002e50: 000d8513 mv a0,s11 + 80002e54: 000a8593 mv a1,s5 + 80002e58: fe670fa3 sb t1,-1(a4) + 80002e5c: c9cff0ef jal ra,800022f8 <__udivdi3> + 80002e60: fb5dfee3 bgeu s11,s5,80002e1c <_ntoa_long_long+0x90> + 80002e64: 0c012703 lw a4,192(sp) + 80002e68: 02813783 ld a5,40(sp) + 80002e6c: 02013503 ld a0,32(sp) + 80002e70: 01413823 sd s4,16(sp) + 80002e74: 00e13423 sd a4,8(sp) + 80002e78: 00f13023 sd a5,0(sp) + 80002e7c: 000a889b sext.w a7,s5 + 80002e80: 000c8813 mv a6,s9 + 80002e84: 000d0793 mv a5,s10 + 80002e88: 00048713 mv a4,s1 + 80002e8c: 000c0693 mv a3,s8 + 80002e90: 000b8613 mv a2,s7 + 80002e94: 000b0593 mv a1,s6 + 80002e98: 8fdff0ef jal ra,80002794 <_ntoa_format> + 80002e9c: 0b813083 ld ra,184(sp) + 80002ea0: 0b013403 ld s0,176(sp) + 80002ea4: 0a813483 ld s1,168(sp) + 80002ea8: 0a013903 ld s2,160(sp) + 80002eac: 09813983 ld s3,152(sp) + 80002eb0: 09013a03 ld s4,144(sp) + 80002eb4: 08813a83 ld s5,136(sp) + 80002eb8: 08013b03 ld s6,128(sp) + 80002ebc: 07813b83 ld s7,120(sp) + 80002ec0: 07013c03 ld s8,112(sp) + 80002ec4: 06813c83 ld s9,104(sp) + 80002ec8: 06013d03 ld s10,96(sp) + 80002ecc: 05813d83 ld s11,88(sp) + 80002ed0: 0c010113 addi sp,sp,192 + 80002ed4: 00008067 ret + 80002ed8: 04100413 li s0,65 + 80002edc: f29ff06f j 80002e04 <_ntoa_long_long+0x78> + 80002ee0: 00000d13 li s10,0 + 80002ee4: 03010493 addi s1,sp,48 + 80002ee8: f7dff06f j 80002e64 <_ntoa_long_long+0xd8> + +0000000080002eec <_vsnprintf>: + 80002eec: f6010113 addi sp,sp,-160 + 80002ef0: 08913423 sd s1,136(sp) + 80002ef4: 09213023 sd s2,128(sp) + 80002ef8: 07313c23 sd s3,120(sp) + 80002efc: 05713c23 sd s7,88(sp) + 80002f00: 05813823 sd s8,80(sp) + 80002f04: 08113c23 sd ra,152(sp) + 80002f08: 08813823 sd s0,144(sp) + 80002f0c: 07413823 sd s4,112(sp) + 80002f10: 07513423 sd s5,104(sp) + 80002f14: 07613023 sd s6,96(sp) + 80002f18: 05913423 sd s9,72(sp) + 80002f1c: 05a13023 sd s10,64(sp) + 80002f20: 03b13c23 sd s11,56(sp) + 80002f24: 00058493 mv s1,a1 + 80002f28: 00060c13 mv s8,a2 + 80002f2c: 00068b93 mv s7,a3 + 80002f30: 00070993 mv s3,a4 + 80002f34: 00000917 auipc s2,0x0 + 80002f38: 85c90913 addi s2,s2,-1956 # 80002790 <_out_null> + 80002f3c: 00058463 beqz a1,80002f44 <_vsnprintf+0x58> + 80002f40: 00050913 mv s2,a0 + 80002f44: 000bc503 lbu a0,0(s7) + 80002f48: 00000d13 li s10,0 + 80002f4c: 66050663 beqz a0,800035b8 <_vsnprintf+0x6cc> + 80002f50: 000107b7 lui a5,0x10 + 80002f54: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 80002f58: 02500a13 li s4,37 + 80002f5c: 00001417 auipc s0,0x1 + 80002f60: 24c40413 addi s0,s0,588 # 800041a8 + 80002f64: 00f13c23 sd a5,24(sp) + 80002f68: 0200006f j 80002f88 <_vsnprintf+0x9c> + 80002f6c: 000d0613 mv a2,s10 + 80002f70: 000c0693 mv a3,s8 + 80002f74: 00048593 mv a1,s1 + 80002f78: 001d0d13 addi s10,s10,1 + 80002f7c: 000900e7 jalr s2 + 80002f80: 000bc503 lbu a0,0(s7) + 80002f84: 1c050263 beqz a0,80003148 <_vsnprintf+0x25c> + 80002f88: 001b8b93 addi s7,s7,1 + 80002f8c: ff4510e3 bne a0,s4,80002f6c <_vsnprintf+0x80> + 80002f90: 00000593 li a1,0 + 80002f94: 01000813 li a6,16 + 80002f98: 000bc503 lbu a0,0(s7) + 80002f9c: 001b8713 addi a4,s7,1 + 80002fa0: 00070613 mv a2,a4 + 80002fa4: fe05079b addiw a5,a0,-32 + 80002fa8: 0ff7f793 andi a5,a5,255 + 80002fac: 00f86c63 bltu a6,a5,80002fc4 <_vsnprintf+0xd8> + 80002fb0: 00279793 slli a5,a5,0x2 + 80002fb4: 008787b3 add a5,a5,s0 + 80002fb8: 0007a783 lw a5,0(a5) + 80002fbc: 008787b3 add a5,a5,s0 + 80002fc0: 00078067 jr a5 + 80002fc4: fd05079b addiw a5,a0,-48 + 80002fc8: 0ff7f793 andi a5,a5,255 + 80002fcc: 00900813 li a6,9 + 80002fd0: 0ef87c63 bgeu a6,a5,800030c8 <_vsnprintf+0x1dc> + 80002fd4: 02a00793 li a5,42 + 80002fd8: 20f50463 beq a0,a5,800031e0 <_vsnprintf+0x2f4> + 80002fdc: 000b8613 mv a2,s7 + 80002fe0: 00000d93 li s11,0 + 80002fe4: 00070b93 mv s7,a4 + 80002fe8: 02e00793 li a5,46 + 80002fec: 00000c93 li s9,0 + 80002ff0: 12f50463 beq a0,a5,80003118 <_vsnprintf+0x22c> + 80002ff4: f985079b addiw a5,a0,-104 + 80002ff8: 0ff7f793 andi a5,a5,255 + 80002ffc: 01200713 li a4,18 + 80003000: 08f76463 bltu a4,a5,80003088 <_vsnprintf+0x19c> + 80003004: 00001717 auipc a4,0x1 + 80003008: 1e870713 addi a4,a4,488 # 800041ec + 8000300c: 00279793 slli a5,a5,0x2 + 80003010: 00e787b3 add a5,a5,a4 + 80003014: 0007a783 lw a5,0(a5) + 80003018: 00e787b3 add a5,a5,a4 + 8000301c: 00078067 jr a5 + 80003020: 0015e593 ori a1,a1,1 + 80003024: 0005859b sext.w a1,a1 + 80003028: 00070b93 mv s7,a4 + 8000302c: f6dff06f j 80002f98 <_vsnprintf+0xac> + 80003030: 0025e593 ori a1,a1,2 + 80003034: 0005859b sext.w a1,a1 + 80003038: 00070b93 mv s7,a4 + 8000303c: f5dff06f j 80002f98 <_vsnprintf+0xac> + 80003040: 0045e593 ori a1,a1,4 + 80003044: 0005859b sext.w a1,a1 + 80003048: 00070b93 mv s7,a4 + 8000304c: f4dff06f j 80002f98 <_vsnprintf+0xac> + 80003050: 0105e593 ori a1,a1,16 + 80003054: 0005859b sext.w a1,a1 + 80003058: 00070b93 mv s7,a4 + 8000305c: f3dff06f j 80002f98 <_vsnprintf+0xac> + 80003060: 0085e593 ori a1,a1,8 + 80003064: 0005859b sext.w a1,a1 + 80003068: 00070b93 mv s7,a4 + 8000306c: f2dff06f j 80002f98 <_vsnprintf+0xac> + 80003070: 00164503 lbu a0,1(a2) + 80003074: 06800793 li a5,104 + 80003078: 52f50663 beq a0,a5,800035a4 <_vsnprintf+0x6b8> + 8000307c: 0805e593 ori a1,a1,128 + 80003080: 0005859b sext.w a1,a1 + 80003084: 001b8b93 addi s7,s7,1 + 80003088: fdb5079b addiw a5,a0,-37 + 8000308c: 0ff7f793 andi a5,a5,255 + 80003090: 05300713 li a4,83 + 80003094: ecf76ce3 bltu a4,a5,80002f6c <_vsnprintf+0x80> + 80003098: 00001717 auipc a4,0x1 + 8000309c: 1a070713 addi a4,a4,416 # 80004238 + 800030a0: 00279793 slli a5,a5,0x2 + 800030a4: 00e787b3 add a5,a5,a4 + 800030a8: 0007a783 lw a5,0(a5) + 800030ac: 00e787b3 add a5,a5,a4 + 800030b0: 00078067 jr a5 + 800030b4: 00164503 lbu a0,1(a2) + 800030b8: 1005e593 ori a1,a1,256 + 800030bc: 0005859b sext.w a1,a1 + 800030c0: 001b8b93 addi s7,s7,1 + 800030c4: fc5ff06f j 80003088 <_vsnprintf+0x19c> + 800030c8: 00000d93 li s11,0 + 800030cc: 00900813 li a6,9 + 800030d0: 0080006f j 800030d8 <_vsnprintf+0x1ec> + 800030d4: 00170713 addi a4,a4,1 + 800030d8: 002d979b slliw a5,s11,0x2 + 800030dc: 01b787bb addw a5,a5,s11 + 800030e0: 0017979b slliw a5,a5,0x1 + 800030e4: 00a787bb addw a5,a5,a0 + 800030e8: 00074503 lbu a0,0(a4) + 800030ec: 000b8893 mv a7,s7 + 800030f0: fd078d9b addiw s11,a5,-48 + 800030f4: fd05061b addiw a2,a0,-48 + 800030f8: 0ff67613 andi a2,a2,255 + 800030fc: 00070b93 mv s7,a4 + 80003100: fcc87ae3 bgeu a6,a2,800030d4 <_vsnprintf+0x1e8> + 80003104: 02e00793 li a5,46 + 80003108: 00070613 mv a2,a4 + 8000310c: 00288b93 addi s7,a7,2 + 80003110: 00000c93 li s9,0 + 80003114: eef510e3 bne a0,a5,80002ff4 <_vsnprintf+0x108> + 80003118: 00164503 lbu a0,1(a2) + 8000311c: 4005e593 ori a1,a1,1024 + 80003120: 00900713 li a4,9 + 80003124: fd05079b addiw a5,a0,-48 + 80003128: 0ff7f793 andi a5,a5,255 + 8000312c: 0005859b sext.w a1,a1 + 80003130: 06f77c63 bgeu a4,a5,800031a8 <_vsnprintf+0x2bc> + 80003134: 02a00793 li a5,42 + 80003138: 32f50e63 beq a0,a5,80003474 <_vsnprintf+0x588> + 8000313c: 000b8613 mv a2,s7 + 80003140: 001b8b93 addi s7,s7,1 + 80003144: eb1ff06f j 80002ff4 <_vsnprintf+0x108> + 80003148: 000d041b sext.w s0,s10 + 8000314c: 018d6463 bltu s10,s8,80003154 <_vsnprintf+0x268> + 80003150: fffc0d13 addi s10,s8,-1 + 80003154: 000c0693 mv a3,s8 + 80003158: 000d0613 mv a2,s10 + 8000315c: 00048593 mv a1,s1 + 80003160: 00000513 li a0,0 + 80003164: 000900e7 jalr s2 + 80003168: 09813083 ld ra,152(sp) + 8000316c: 00040513 mv a0,s0 + 80003170: 09013403 ld s0,144(sp) + 80003174: 08813483 ld s1,136(sp) + 80003178: 08013903 ld s2,128(sp) + 8000317c: 07813983 ld s3,120(sp) + 80003180: 07013a03 ld s4,112(sp) + 80003184: 06813a83 ld s5,104(sp) + 80003188: 06013b03 ld s6,96(sp) + 8000318c: 05813b83 ld s7,88(sp) + 80003190: 05013c03 ld s8,80(sp) + 80003194: 04813c83 ld s9,72(sp) + 80003198: 04013d03 ld s10,64(sp) + 8000319c: 03813d83 ld s11,56(sp) + 800031a0: 0a010113 addi sp,sp,160 + 800031a4: 00008067 ret + 800031a8: 002c979b slliw a5,s9,0x2 + 800031ac: 019788bb addw a7,a5,s9 + 800031b0: 000b8813 mv a6,s7 + 800031b4: 0018989b slliw a7,a7,0x1 + 800031b8: 001b8b93 addi s7,s7,1 + 800031bc: 00a888bb addw a7,a7,a0 + 800031c0: 000bc503 lbu a0,0(s7) + 800031c4: fd088c9b addiw s9,a7,-48 + 800031c8: fd05079b addiw a5,a0,-48 + 800031cc: 0ff7f793 andi a5,a5,255 + 800031d0: fcf77ce3 bgeu a4,a5,800031a8 <_vsnprintf+0x2bc> + 800031d4: 000b8613 mv a2,s7 + 800031d8: 00280b93 addi s7,a6,2 + 800031dc: e19ff06f j 80002ff4 <_vsnprintf+0x108> + 800031e0: 0009a783 lw a5,0(s3) + 800031e4: 00898993 addi s3,s3,8 + 800031e8: 00078d9b sext.w s11,a5 + 800031ec: 0007c863 bltz a5,800031fc <_vsnprintf+0x310> + 800031f0: 001bc503 lbu a0,1(s7) + 800031f4: 002b8b93 addi s7,s7,2 + 800031f8: df1ff06f j 80002fe8 <_vsnprintf+0xfc> + 800031fc: 0025e593 ori a1,a1,2 + 80003200: 001bc503 lbu a0,1(s7) + 80003204: 0005859b sext.w a1,a1 + 80003208: 40f00dbb negw s11,a5 + 8000320c: 002b8b93 addi s7,s7,2 + 80003210: dd9ff06f j 80002fe8 <_vsnprintf+0xfc> + 80003214: 07800793 li a5,120 + 80003218: 00898a93 addi s5,s3,8 + 8000321c: 56f50063 beq a0,a5,8000377c <_vsnprintf+0x890> + 80003220: 05800793 li a5,88 + 80003224: 28f50463 beq a0,a5,800034ac <_vsnprintf+0x5c0> + 80003228: 06f00793 li a5,111 + 8000322c: 4af50c63 beq a0,a5,800036e4 <_vsnprintf+0x7f8> + 80003230: 06200793 li a5,98 + 80003234: 54f50063 beq a0,a5,80003774 <_vsnprintf+0x888> + 80003238: fef5ff13 andi t5,a1,-17 + 8000323c: 4005f793 andi a5,a1,1024 + 80003240: 06900713 li a4,105 + 80003244: 000f0f1b sext.w t5,t5 + 80003248: 0007879b sext.w a5,a5 + 8000324c: 5ae51063 bne a0,a4,800037ec <_vsnprintf+0x900> + 80003250: 48079663 bnez a5,800036dc <_vsnprintf+0x7f0> + 80003254: 2005f593 andi a1,a1,512 + 80003258: 0005879b sext.w a5,a1 + 8000325c: 00a00813 li a6,10 + 80003260: 42079663 bnez a5,8000368c <_vsnprintf+0x7a0> + 80003264: 100f7793 andi a5,t5,256 + 80003268: 000f0613 mv a2,t5 + 8000326c: 4e079063 bnez a5,8000374c <_vsnprintf+0x860> + 80003270: 040f7713 andi a4,t5,64 + 80003274: 0009a783 lw a5,0(s3) + 80003278: 4a071a63 bnez a4,8000372c <_vsnprintf+0x840> + 8000327c: 08067613 andi a2,a2,128 + 80003280: 52060a63 beqz a2,800037b4 <_vsnprintf+0x8c8> + 80003284: 0107979b slliw a5,a5,0x10 + 80003288: 4107d79b sraiw a5,a5,0x10 + 8000328c: 40f7d61b sraiw a2,a5,0xf + 80003290: 00c7c733 xor a4,a5,a2 + 80003294: 40c7073b subw a4,a4,a2 + 80003298: 03071713 slli a4,a4,0x30 + 8000329c: 03075713 srli a4,a4,0x30 + 800032a0: 000d0613 mv a2,s10 + 800032a4: 01e13423 sd t5,8(sp) + 800032a8: 01b13023 sd s11,0(sp) + 800032ac: 000c8893 mv a7,s9 + 800032b0: 01f7d79b srliw a5,a5,0x1f + 800032b4: 000c0693 mv a3,s8 + 800032b8: 00048593 mv a1,s1 + 800032bc: 00090513 mv a0,s2 + 800032c0: 96dff0ef jal ra,80002c2c <_ntoa_long> + 800032c4: 00050d13 mv s10,a0 + 800032c8: 000a8993 mv s3,s5 + 800032cc: cb5ff06f j 80002f80 <_vsnprintf+0x94> + 800032d0: 00164503 lbu a0,1(a2) + 800032d4: 06c00793 li a5,108 + 800032d8: def510e3 bne a0,a5,800030b8 <_vsnprintf+0x1cc> + 800032dc: 3005e593 ori a1,a1,768 + 800032e0: 00264503 lbu a0,2(a2) + 800032e4: 0005859b sext.w a1,a1 + 800032e8: 00360b93 addi s7,a2,3 + 800032ec: d9dff06f j 80003088 <_vsnprintf+0x19c> + 800032f0: 00898793 addi a5,s3,8 + 800032f4: 001d0813 addi a6,s10,1 + 800032f8: 0025f593 andi a1,a1,2 + 800032fc: 02f13023 sd a5,32(sp) + 80003300: 00080b13 mv s6,a6 + 80003304: 32058263 beqz a1,80003628 <_vsnprintf+0x73c> + 80003308: 0009c503 lbu a0,0(s3) + 8000330c: 000c0693 mv a3,s8 + 80003310: 000d0613 mv a2,s10 + 80003314: 00048593 mv a1,s1 + 80003318: 000900e7 jalr s2 + 8000331c: 00100793 li a5,1 + 80003320: 43b7f063 bgeu a5,s11,80003740 <_vsnprintf+0x854> + 80003324: ffed879b addiw a5,s11,-2 + 80003328: 02079a93 slli s5,a5,0x20 + 8000332c: 020ada93 srli s5,s5,0x20 + 80003330: 002d0d13 addi s10,s10,2 + 80003334: 015d0cb3 add s9,s10,s5 + 80003338: 000b0613 mv a2,s6 + 8000333c: 000c0693 mv a3,s8 + 80003340: 001b0b13 addi s6,s6,1 + 80003344: 00048593 mv a1,s1 + 80003348: 02000513 li a0,32 + 8000334c: 000900e7 jalr s2 + 80003350: ff6c94e3 bne s9,s6,80003338 <_vsnprintf+0x44c> + 80003354: 02013983 ld s3,32(sp) + 80003358: 015d0d33 add s10,s10,s5 + 8000335c: c25ff06f j 80002f80 <_vsnprintf+0x94> + 80003360: 000d0613 mv a2,s10 + 80003364: 000c0693 mv a3,s8 + 80003368: 00048593 mv a1,s1 + 8000336c: 02500513 li a0,37 + 80003370: 001d0d13 addi s10,s10,1 + 80003374: 000900e7 jalr s2 + 80003378: c09ff06f j 80002f80 <_vsnprintf+0x94> + 8000337c: 0009ba83 ld s5,0(s3) + 80003380: 00898793 addi a5,s3,8 + 80003384: 02f13023 sd a5,32(sp) + 80003388: 000ac503 lbu a0,0(s5) + 8000338c: 100c9663 bnez s9,80003498 <_vsnprintf+0x5ac> + 80003390: ffe00793 li a5,-2 + 80003394: 42050863 beqz a0,800037c4 <_vsnprintf+0x8d8> + 80003398: 00178693 addi a3,a5,1 + 8000339c: 00da8633 add a2,s5,a3 + 800033a0: 000a8793 mv a5,s5 + 800033a4: 0080006f j 800033ac <_vsnprintf+0x4c0> + 800033a8: 1ec78863 beq a5,a2,80003598 <_vsnprintf+0x6ac> + 800033ac: 0017c703 lbu a4,1(a5) + 800033b0: 00178793 addi a5,a5,1 + 800033b4: fe071ae3 bnez a4,800033a8 <_vsnprintf+0x4bc> + 800033b8: 415787bb subw a5,a5,s5 + 800033bc: 00f13823 sd a5,16(sp) + 800033c0: 4005f793 andi a5,a1,1024 + 800033c4: 0007899b sext.w s3,a5 + 800033c8: 00078c63 beqz a5,800033e0 <_vsnprintf+0x4f4> + 800033cc: 01013703 ld a4,16(sp) + 800033d0: 000c879b sext.w a5,s9 + 800033d4: 01977463 bgeu a4,s9,800033dc <_vsnprintf+0x4f0> + 800033d8: 0007079b sext.w a5,a4 + 800033dc: 00f13823 sd a5,16(sp) + 800033e0: 0025f593 andi a1,a1,2 + 800033e4: 0005879b sext.w a5,a1 + 800033e8: 02f13423 sd a5,40(sp) + 800033ec: 1c058a63 beqz a1,800035c0 <_vsnprintf+0x6d4> + 800033f0: 14050863 beqz a0,80003540 <_vsnprintf+0x654> + 800033f4: 000d0613 mv a2,s10 + 800033f8: 00098863 beqz s3,80003408 <_vsnprintf+0x51c> + 800033fc: fffc879b addiw a5,s9,-1 + 80003400: 120c8863 beqz s9,80003530 <_vsnprintf+0x644> + 80003404: 00078c93 mv s9,a5 + 80003408: 000c0693 mv a3,s8 + 8000340c: 00048593 mv a1,s1 + 80003410: 00160b13 addi s6,a2,1 + 80003414: 000900e7 jalr s2 + 80003418: 41ab07b3 sub a5,s6,s10 + 8000341c: 00fa87b3 add a5,s5,a5 + 80003420: 0007c503 lbu a0,0(a5) + 80003424: 10050863 beqz a0,80003534 <_vsnprintf+0x648> + 80003428: 000b0613 mv a2,s6 + 8000342c: fcdff06f j 800033f8 <_vsnprintf+0x50c> + 80003430: 0009b703 ld a4,0(s3) + 80003434: 0215e593 ori a1,a1,33 + 80003438: 0005859b sext.w a1,a1 + 8000343c: 01000793 li a5,16 + 80003440: 000d0613 mv a2,s10 + 80003444: 00b13423 sd a1,8(sp) + 80003448: 00f13023 sd a5,0(sp) + 8000344c: 000c8893 mv a7,s9 + 80003450: 01000813 li a6,16 + 80003454: 00000793 li a5,0 + 80003458: 000c0693 mv a3,s8 + 8000345c: 00048593 mv a1,s1 + 80003460: 00090513 mv a0,s2 + 80003464: 929ff0ef jal ra,80002d8c <_ntoa_long_long> + 80003468: 00898993 addi s3,s3,8 + 8000346c: 00050d13 mv s10,a0 + 80003470: b11ff06f j 80002f80 <_vsnprintf+0x94> + 80003474: 0009a883 lw a7,0(s3) + 80003478: 00264503 lbu a0,2(a2) + 8000347c: 00360b93 addi s7,a2,3 + 80003480: fff8c793 not a5,a7 + 80003484: 43f7d793 srai a5,a5,0x3f + 80003488: 00f8fcb3 and s9,a7,a5 + 8000348c: 00898993 addi s3,s3,8 + 80003490: 00260613 addi a2,a2,2 + 80003494: b61ff06f j 80002ff4 <_vsnprintf+0x108> + 80003498: 020c9793 slli a5,s9,0x20 + 8000349c: 0207d793 srli a5,a5,0x20 + 800034a0: 32050263 beqz a0,800037c4 <_vsnprintf+0x8d8> + 800034a4: fff78793 addi a5,a5,-1 + 800034a8: ef1ff06f j 80003398 <_vsnprintf+0x4ac> + 800034ac: ff35ff13 andi t5,a1,-13 + 800034b0: 000f0f1b sext.w t5,t5 + 800034b4: 4005f793 andi a5,a1,1024 + 800034b8: 020f6f13 ori t5,t5,32 + 800034bc: 20078863 beqz a5,800036cc <_vsnprintf+0x7e0> + 800034c0: 01000813 li a6,16 + 800034c4: ffef7f13 andi t5,t5,-2 + 800034c8: 000f0f1b sext.w t5,t5 + 800034cc: 200f7793 andi a5,t5,512 + 800034d0: 06900713 li a4,105 + 800034d4: 0007879b sext.w a5,a5 + 800034d8: d8e504e3 beq a0,a4,80003260 <_vsnprintf+0x374> + 800034dc: 06400713 li a4,100 + 800034e0: d8e500e3 beq a0,a4,80003260 <_vsnprintf+0x374> + 800034e4: 22079663 bnez a5,80003710 <_vsnprintf+0x824> + 800034e8: 100f7793 andi a5,t5,256 + 800034ec: 000f0713 mv a4,t5 + 800034f0: 2a079463 bnez a5,80003798 <_vsnprintf+0x8ac> + 800034f4: 040f7793 andi a5,t5,64 + 800034f8: 24079063 bnez a5,80003738 <_vsnprintf+0x84c> + 800034fc: 08077713 andi a4,a4,128 + 80003500: 2c070663 beqz a4,800037cc <_vsnprintf+0x8e0> + 80003504: 0009a703 lw a4,0(s3) + 80003508: 01813783 ld a5,24(sp) + 8000350c: 00e7f733 and a4,a5,a4 + 80003510: 02071713 slli a4,a4,0x20 + 80003514: 000d0613 mv a2,s10 + 80003518: 01e13423 sd t5,8(sp) + 8000351c: 01b13023 sd s11,0(sp) + 80003520: 000c8893 mv a7,s9 + 80003524: 00000793 li a5,0 + 80003528: 02075713 srli a4,a4,0x20 + 8000352c: d89ff06f j 800032b4 <_vsnprintf+0x3c8> + 80003530: 00060b13 mv s6,a2 + 80003534: 02813783 ld a5,40(sp) + 80003538: 04078a63 beqz a5,8000358c <_vsnprintf+0x6a0> + 8000353c: 000b0d13 mv s10,s6 + 80003540: 01013703 ld a4,16(sp) + 80003544: 0db77e63 bgeu a4,s11,80003620 <_vsnprintf+0x734> + 80003548: fffd879b addiw a5,s11,-1 + 8000354c: 40e7873b subw a4,a5,a4 + 80003550: 02071713 slli a4,a4,0x20 + 80003554: 02075713 srli a4,a4,0x20 + 80003558: 001d0813 addi a6,s10,1 + 8000355c: 01070b33 add s6,a4,a6 + 80003560: 0080006f j 80003568 <_vsnprintf+0x67c> + 80003564: 00180813 addi a6,a6,1 + 80003568: 000d0613 mv a2,s10 + 8000356c: 01013823 sd a6,16(sp) + 80003570: 000c0693 mv a3,s8 + 80003574: 00048593 mv a1,s1 + 80003578: 02000513 li a0,32 + 8000357c: 00080d13 mv s10,a6 + 80003580: 000900e7 jalr s2 + 80003584: 01013803 ld a6,16(sp) + 80003588: fd0b1ee3 bne s6,a6,80003564 <_vsnprintf+0x678> + 8000358c: 02013983 ld s3,32(sp) + 80003590: 000b0d13 mv s10,s6 + 80003594: 9edff06f j 80002f80 <_vsnprintf+0x94> + 80003598: 0006879b sext.w a5,a3 + 8000359c: 00f13823 sd a5,16(sp) + 800035a0: e21ff06f j 800033c0 <_vsnprintf+0x4d4> + 800035a4: 0c05e593 ori a1,a1,192 + 800035a8: 00264503 lbu a0,2(a2) + 800035ac: 0005859b sext.w a1,a1 + 800035b0: 00360b93 addi s7,a2,3 + 800035b4: ad5ff06f j 80003088 <_vsnprintf+0x19c> + 800035b8: 00000413 li s0,0 + 800035bc: b91ff06f j 8000314c <_vsnprintf+0x260> + 800035c0: 01013703 ld a4,16(sp) + 800035c4: 0017079b addiw a5,a4,1 + 800035c8: 21b77c63 bgeu a4,s11,800037e0 <_vsnprintf+0x8f4> + 800035cc: fffd879b addiw a5,s11,-1 + 800035d0: 40e787bb subw a5,a5,a4 + 800035d4: 02079793 slli a5,a5,0x20 + 800035d8: 0207d793 srli a5,a5,0x20 + 800035dc: 001d0813 addi a6,s10,1 + 800035e0: 01078b33 add s6,a5,a6 + 800035e4: 00c0006f j 800035f0 <_vsnprintf+0x704> + 800035e8: 01013803 ld a6,16(sp) + 800035ec: 00180813 addi a6,a6,1 + 800035f0: 000d0613 mv a2,s10 + 800035f4: 000c0693 mv a3,s8 + 800035f8: 00080d13 mv s10,a6 + 800035fc: 01013823 sd a6,16(sp) + 80003600: 00048593 mv a1,s1 + 80003604: 02000513 li a0,32 + 80003608: 000900e7 jalr s2 + 8000360c: fd6d1ee3 bne s10,s6,800035e8 <_vsnprintf+0x6fc> + 80003610: 000ac503 lbu a0,0(s5) + 80003614: 001d879b addiw a5,s11,1 + 80003618: 00f13823 sd a5,16(sp) + 8000361c: dc051ce3 bnez a0,800033f4 <_vsnprintf+0x508> + 80003620: 000d0b13 mv s6,s10 + 80003624: f69ff06f j 8000358c <_vsnprintf+0x6a0> + 80003628: 00100793 li a5,1 + 8000362c: 1bb7f463 bgeu a5,s11,800037d4 <_vsnprintf+0x8e8> + 80003630: ffed879b addiw a5,s11,-2 + 80003634: 02079a93 slli s5,a5,0x20 + 80003638: 020ada93 srli s5,s5,0x20 + 8000363c: 010a8ab3 add s5,s5,a6 + 80003640: 00c0006f j 8000364c <_vsnprintf+0x760> + 80003644: 01013803 ld a6,16(sp) + 80003648: 00180813 addi a6,a6,1 + 8000364c: 000d0613 mv a2,s10 + 80003650: 000c0693 mv a3,s8 + 80003654: 00080d13 mv s10,a6 + 80003658: 01013823 sd a6,16(sp) + 8000365c: 00048593 mv a1,s1 + 80003660: 02000513 li a0,32 + 80003664: 000900e7 jalr s2 + 80003668: fdaa9ee3 bne s5,s10,80003644 <_vsnprintf+0x758> + 8000366c: 001a8d13 addi s10,s5,1 + 80003670: 0009c503 lbu a0,0(s3) + 80003674: 000c0693 mv a3,s8 + 80003678: 000a8613 mv a2,s5 + 8000367c: 00048593 mv a1,s1 + 80003680: 000900e7 jalr s2 + 80003684: 02013983 ld s3,32(sp) + 80003688: 8f9ff06f j 80002f80 <_vsnprintf+0x94> + 8000368c: 0009b783 ld a5,0(s3) + 80003690: 000d0613 mv a2,s10 + 80003694: 01e13423 sd t5,8(sp) + 80003698: 43f7d713 srai a4,a5,0x3f + 8000369c: 00f745b3 xor a1,a4,a5 + 800036a0: 01b13023 sd s11,0(sp) + 800036a4: 000c8893 mv a7,s9 + 800036a8: 03f7d793 srli a5,a5,0x3f + 800036ac: 40e58733 sub a4,a1,a4 + 800036b0: 000c0693 mv a3,s8 + 800036b4: 00048593 mv a1,s1 + 800036b8: 00090513 mv a0,s2 + 800036bc: ed0ff0ef jal ra,80002d8c <_ntoa_long_long> + 800036c0: 00050d13 mv s10,a0 + 800036c4: 000a8993 mv s3,s5 + 800036c8: 8b9ff06f j 80002f80 <_vsnprintf+0x94> + 800036cc: 2005f793 andi a5,a1,512 + 800036d0: 0007879b sext.w a5,a5 + 800036d4: 01000813 li a6,16 + 800036d8: e0dff06f j 800034e4 <_vsnprintf+0x5f8> + 800036dc: 00a00813 li a6,10 + 800036e0: de5ff06f j 800034c4 <_vsnprintf+0x5d8> + 800036e4: 00800813 li a6,8 + 800036e8: 00058f13 mv t5,a1 + 800036ec: 400f7713 andi a4,t5,1024 + 800036f0: 06400613 li a2,100 + 800036f4: 000f0793 mv a5,t5 + 800036f8: 0007071b sext.w a4,a4 + 800036fc: 0ec51c63 bne a0,a2,800037f4 <_vsnprintf+0x908> + 80003700: dc0712e3 bnez a4,800034c4 <_vsnprintf+0x5d8> + 80003704: 2007f793 andi a5,a5,512 + 80003708: 0007879b sext.w a5,a5 + 8000370c: b55ff06f j 80003260 <_vsnprintf+0x374> + 80003710: 0009b703 ld a4,0(s3) + 80003714: 000d0613 mv a2,s10 + 80003718: 01e13423 sd t5,8(sp) + 8000371c: 01b13023 sd s11,0(sp) + 80003720: 000c8893 mv a7,s9 + 80003724: 00000793 li a5,0 + 80003728: f89ff06f j 800036b0 <_vsnprintf+0x7c4> + 8000372c: 0ff7f793 andi a5,a5,255 + 80003730: 00078713 mv a4,a5 + 80003734: b6dff06f j 800032a0 <_vsnprintf+0x3b4> + 80003738: 0009c703 lbu a4,0(s3) + 8000373c: dd5ff06f j 80003510 <_vsnprintf+0x624> + 80003740: 02013983 ld s3,32(sp) + 80003744: 000b0d13 mv s10,s6 + 80003748: 839ff06f j 80002f80 <_vsnprintf+0x94> + 8000374c: 0009b783 ld a5,0(s3) + 80003750: 000d0613 mv a2,s10 + 80003754: 01e13423 sd t5,8(sp) + 80003758: 43f7d713 srai a4,a5,0x3f + 8000375c: 00f745b3 xor a1,a4,a5 + 80003760: 01b13023 sd s11,0(sp) + 80003764: 000c8893 mv a7,s9 + 80003768: 03f7d793 srli a5,a5,0x3f + 8000376c: 40e58733 sub a4,a1,a4 + 80003770: b45ff06f j 800032b4 <_vsnprintf+0x3c8> + 80003774: 00200813 li a6,2 + 80003778: f71ff06f j 800036e8 <_vsnprintf+0x7fc> + 8000377c: 4005f713 andi a4,a1,1024 + 80003780: 0007071b sext.w a4,a4 + 80003784: 01000813 li a6,16 + 80003788: ff35f593 andi a1,a1,-13 + 8000378c: 00058f1b sext.w t5,a1 + 80003790: d2071ae3 bnez a4,800034c4 <_vsnprintf+0x5d8> + 80003794: d39ff06f j 800034cc <_vsnprintf+0x5e0> + 80003798: 0009b703 ld a4,0(s3) + 8000379c: 000d0613 mv a2,s10 + 800037a0: 01e13423 sd t5,8(sp) + 800037a4: 01b13023 sd s11,0(sp) + 800037a8: 000c8893 mv a7,s9 + 800037ac: 00000793 li a5,0 + 800037b0: b05ff06f j 800032b4 <_vsnprintf+0x3c8> + 800037b4: 41f7d61b sraiw a2,a5,0x1f + 800037b8: 00c7c733 xor a4,a5,a2 + 800037bc: 40c7073b subw a4,a4,a2 + 800037c0: ae1ff06f j 800032a0 <_vsnprintf+0x3b4> + 800037c4: 00013823 sd zero,16(sp) + 800037c8: bf9ff06f j 800033c0 <_vsnprintf+0x4d4> + 800037cc: 0009a703 lw a4,0(s3) + 800037d0: d41ff06f j 80003510 <_vsnprintf+0x624> + 800037d4: 000d0a93 mv s5,s10 + 800037d8: 00080d13 mv s10,a6 + 800037dc: e95ff06f j 80003670 <_vsnprintf+0x784> + 800037e0: 00f13823 sd a5,16(sp) + 800037e4: c00518e3 bnez a0,800033f4 <_vsnprintf+0x508> + 800037e8: e39ff06f j 80003620 <_vsnprintf+0x734> + 800037ec: 00a00813 li a6,10 + 800037f0: efdff06f j 800036ec <_vsnprintf+0x800> + 800037f4: 000f0593 mv a1,t5 + 800037f8: f91ff06f j 80003788 <_vsnprintf+0x89c> + +00000000800037fc <_out_char>: + 800037fc: 00051463 bnez a0,80003804 <_out_char+0x8> + 80003800: 00008067 ret + 80003804: a3dfe06f j 80002240 + +0000000080003808 : + 80003808: fa010113 addi sp,sp,-96 + 8000380c: 02810313 addi t1,sp,40 + 80003810: 02b13423 sd a1,40(sp) + 80003814: 02c13823 sd a2,48(sp) + 80003818: 02d13c23 sd a3,56(sp) + 8000381c: 04e13023 sd a4,64(sp) + 80003820: 00050693 mv a3,a0 + 80003824: 00010593 mv a1,sp + 80003828: 00030713 mv a4,t1 + 8000382c: fff00613 li a2,-1 + 80003830: 00000517 auipc a0,0x0 + 80003834: fcc50513 addi a0,a0,-52 # 800037fc <_out_char> + 80003838: 00113c23 sd ra,24(sp) + 8000383c: 04f13423 sd a5,72(sp) + 80003840: 05013823 sd a6,80(sp) + 80003844: 05113c23 sd a7,88(sp) + 80003848: 00613423 sd t1,8(sp) + 8000384c: ea0ff0ef jal ra,80002eec <_vsnprintf> + 80003850: 01813083 ld ra,24(sp) + 80003854: 06010113 addi sp,sp,96 + 80003858: 00008067 ret + +000000008000385c : + 8000385c: 00700713 li a4,7 + 80003860: 00050793 mv a5,a0 + 80003864: 0ac77a63 bgeu a4,a2,80003918 + 80003868: 0ff5f893 andi a7,a1,255 + 8000386c: 00889693 slli a3,a7,0x8 + 80003870: 0116e6b3 or a3,a3,a7 + 80003874: 01069713 slli a4,a3,0x10 + 80003878: 00d766b3 or a3,a4,a3 + 8000387c: 02069713 slli a4,a3,0x20 + 80003880: 00757813 andi a6,a0,7 + 80003884: 00d76733 or a4,a4,a3 + 80003888: 0a080663 beqz a6,80003934 + 8000388c: 00c50633 add a2,a0,a2 + 80003890: 01178023 sb a7,0(a5) + 80003894: 00178793 addi a5,a5,1 + 80003898: 0077f813 andi a6,a5,7 + 8000389c: 40f606b3 sub a3,a2,a5 + 800038a0: fe0818e3 bnez a6,80003890 + 800038a4: 0066d813 srli a6,a3,0x6 + 800038a8: 04080063 beqz a6,800038e8 + 800038ac: 00681613 slli a2,a6,0x6 + 800038b0: 00078893 mv a7,a5 + 800038b4: 00f60633 add a2,a2,a5 + 800038b8: 00e7b023 sd a4,0(a5) + 800038bc: 00e7b423 sd a4,8(a5) + 800038c0: 00e7b823 sd a4,16(a5) + 800038c4: 00e7bc23 sd a4,24(a5) + 800038c8: 02e7b023 sd a4,32(a5) + 800038cc: 02e7b423 sd a4,40(a5) + 800038d0: 02e7b823 sd a4,48(a5) + 800038d4: 02e7bc23 sd a4,56(a5) + 800038d8: 04078793 addi a5,a5,64 + 800038dc: fcf61ee3 bne a2,a5,800038b8 + 800038e0: 00681793 slli a5,a6,0x6 + 800038e4: 011787b3 add a5,a5,a7 + 800038e8: 0036d813 srli a6,a3,0x3 + 800038ec: 00787813 andi a6,a6,7 + 800038f0: 02080263 beqz a6,80003914 + 800038f4: 00381613 slli a2,a6,0x3 + 800038f8: 00078893 mv a7,a5 + 800038fc: 00f60633 add a2,a2,a5 + 80003900: 00e7b023 sd a4,0(a5) + 80003904: 00878793 addi a5,a5,8 + 80003908: fef61ce3 bne a2,a5,80003900 + 8000390c: 00381793 slli a5,a6,0x3 + 80003910: 011787b3 add a5,a5,a7 + 80003914: 0076f613 andi a2,a3,7 + 80003918: 0ff5f593 andi a1,a1,255 + 8000391c: 00c78733 add a4,a5,a2 + 80003920: 00060863 beqz a2,80003930 + 80003924: 00b78023 sb a1,0(a5) + 80003928: 00178793 addi a5,a5,1 + 8000392c: fef71ce3 bne a4,a5,80003924 + 80003930: 00008067 ret + 80003934: 00060693 mv a3,a2 + 80003938: f6dff06f j 800038a4 + +000000008000393c : + 8000393c: 1a050e63 beqz a0,80003af8 + 80003940: fd010113 addi sp,sp,-48 + 80003944: 02813023 sd s0,32(sp) + 80003948: 00913c23 sd s1,24(sp) + 8000394c: 01f57793 andi a5,a0,31 + 80003950: 02113423 sd ra,40(sp) + 80003954: 01213823 sd s2,16(sp) + 80003958: 01313423 sd s3,8(sp) + 8000395c: 01413023 sd s4,0(sp) + 80003960: 00050493 mv s1,a0 + 80003964: 00050413 mv s0,a0 + 80003968: 00000513 li a0,0 + 8000396c: 14079a63 bnez a5,80003ac0 + 80003970: 27f00713 li a4,639 + 80003974: 00058913 mv s2,a1 + 80003978: 00078513 mv a0,a5 + 8000397c: 14b77263 bgeu a4,a1,80003ac0 + 80003980: 0074f513 andi a0,s1,7 + 80003984: 00153513 seqz a0,a0 + 80003988: 00060a13 mv s4,a2 + 8000398c: 00068993 mv s3,a3 + 80003990: 8c1fe0ef jal ra,80002250 <_assert> + 80003994: 20048793 addi a5,s1,512 + 80003998: 2004b023 sd zero,512(s1) + 8000399c: 2144b423 sd s4,520(s1) + 800039a0: 2134b823 sd s3,528(s1) + 800039a4: 00043023 sd zero,0(s0) + 800039a8: 00840413 addi s0,s0,8 + 800039ac: fe879ce3 bne a5,s0,800039a4 + 800039b0: fff00793 li a5,-1 + 800039b4: dc090413 addi s0,s2,-576 + 800039b8: 03f79793 slli a5,a5,0x3f + 800039bc: 1287f863 bgeu a5,s0,80003aec + 800039c0: 00078413 mv s0,a5 + 800039c4: 00100513 li a0,1 + 800039c8: 889fe0ef jal ra,80002250 <_assert> + 800039cc: f8300793 li a5,-125 + 800039d0: 0017d793 srli a5,a5,0x1 + 800039d4: fc040513 addi a0,s0,-64 + 800039d8: 00f53533 sltu a0,a0,a5 + 800039dc: 875fe0ef jal ra,80002250 <_assert> + 800039e0: 01f4f513 andi a0,s1,31 + 800039e4: 00153513 seqz a0,a0 + 800039e8: 869fe0ef jal ra,80002250 <_assert> + 800039ec: 00100513 li a0,1 + 800039f0: 2404b023 sd zero,576(s1) + 800039f4: 2404b423 sd zero,584(s1) + 800039f8: 2484b823 sd s0,592(s1) + 800039fc: 24048c23 sb zero,600(s1) + 80003a00: 2604b023 sd zero,608(s1) + 80003a04: 2604b423 sd zero,616(s1) + 80003a08: 849fe0ef jal ra,80002250 <_assert> + 80003a0c: 00100513 li a0,1 + 80003a10: 841fe0ef jal ra,80002250 <_assert> + 80003a14: 2504b503 ld a0,592(s1) + 80003a18: 24048a13 addi s4,s1,576 + 80003a1c: 04053513 sltiu a0,a0,64 + 80003a20: 00154513 xori a0,a0,1 + 80003a24: 00157513 andi a0,a0,1 + 80003a28: 829fe0ef jal ra,80002250 <_assert> + 80003a2c: 2504b503 ld a0,592(s1) + 80003a30: 03f57513 andi a0,a0,63 + 80003a34: 00153513 seqz a0,a0 + 80003a38: 819fe0ef jal ra,80002250 <_assert> + 80003a3c: 2504b703 ld a4,592(s1) + 80003a40: 00100793 li a5,1 + 80003a44: 00675713 srli a4,a4,0x6 + 80003a48: 0ae7fc63 bgeu a5,a4,80003b00 + 80003a4c: 00000793 li a5,0 + 80003a50: 00100693 li a3,1 + 80003a54: 0017879b addiw a5,a5,1 + 80003a58: 00175713 srli a4,a4,0x1 + 80003a5c: 0ff7f793 andi a5,a5,255 + 80003a60: fed71ae3 bne a4,a3,80003a54 + 80003a64: 00078993 mv s3,a5 + 80003a68: 0407b513 sltiu a0,a5,64 + 80003a6c: 00f71933 sll s2,a4,a5 + 80003a70: fe0fe0ef jal ra,80002250 <_assert> + 80003a74: 00399793 slli a5,s3,0x3 + 80003a78: 00f487b3 add a5,s1,a5 + 80003a7c: 0007b703 ld a4,0(a5) + 80003a80: 2604b423 sd zero,616(s1) + 80003a84: 26e4b023 sd a4,608(s1) + 80003a88: 00070463 beqz a4,80003a90 + 80003a8c: 03473423 sd s4,40(a4) + 80003a90: 0147b023 sd s4,0(a5) + 80003a94: 2004b503 ld a0,512(s1) + 80003a98: 00a96533 or a0,s2,a0 + 80003a9c: 20a4b023 sd a0,512(s1) + 80003aa0: 00a03533 snez a0,a0 + 80003aa4: facfe0ef jal ra,80002250 <_assert> + 80003aa8: 00048513 mv a0,s1 + 80003aac: 2084bc23 sd s0,536(s1) + 80003ab0: 2204b023 sd zero,544(s1) + 80003ab4: 2204b423 sd zero,552(s1) + 80003ab8: 2204b823 sd zero,560(s1) + 80003abc: 2204bc23 sd zero,568(s1) + 80003ac0: 02813083 ld ra,40(sp) + 80003ac4: 02013403 ld s0,32(sp) + 80003ac8: 01813483 ld s1,24(sp) + 80003acc: 01013903 ld s2,16(sp) + 80003ad0: 00813983 ld s3,8(sp) + 80003ad4: 00013a03 ld s4,0(sp) + 80003ad8: 03010113 addi sp,sp,48 + 80003adc: 00008067 ret + 80003ae0: 00100513 li a0,1 + 80003ae4: fff40413 addi s0,s0,-1 + 80003ae8: f68fe0ef jal ra,80002250 <_assert> + 80003aec: 03f47793 andi a5,s0,63 + 80003af0: fe0798e3 bnez a5,80003ae0 + 80003af4: ed1ff06f j 800039c4 + 80003af8: 00000513 li a0,0 + 80003afc: 00008067 ret + 80003b00: 00100913 li s2,1 + 80003b04: 00000993 li s3,0 + 80003b08: 00100513 li a0,1 + 80003b0c: f65ff06f j 80003a70 diff --git a/bin/non-output/cpu-tests/add-cpu-tests.bin b/bin/non-output/cpu-tests/add-cpu-tests.bin new file mode 100755 index 0000000..dde003f Binary files /dev/null and b/bin/non-output/cpu-tests/add-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/add-cpu-tests.elf b/bin/non-output/cpu-tests/add-cpu-tests.elf new file mode 100755 index 0000000..c6e3ec4 Binary files /dev/null and b/bin/non-output/cpu-tests/add-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/add-cpu-tests.txt b/bin/non-output/cpu-tests/add-cpu-tests.txt new file mode 100755 index 0000000..aeeeb1a --- /dev/null +++ b/bin/non-output/cpu-tests/add-cpu-tests.txt @@ -0,0 +1,234 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/add-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 114000ef jal ra,80000120 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0d8000ef jal ra,800000fc + +0000000080000028
: + 80000028: fb010113 addi sp,sp,-80 + 8000002c: 01713423 sd s7,8(sp) + 80000030: 00000b97 auipc s7,0x0 + 80000034: 430b8b93 addi s7,s7,1072 # 80000460 + 80000038: 03313423 sd s3,40(sp) + 8000003c: 03413023 sd s4,32(sp) + 80000040: 01513c23 sd s5,24(sp) + 80000044: 01613823 sd s6,16(sp) + 80000048: 01813023 sd s8,0(sp) + 8000004c: 04113423 sd ra,72(sp) + 80000050: 04813023 sd s0,64(sp) + 80000054: 02913c23 sd s1,56(sp) + 80000058: 03213823 sd s2,48(sp) + 8000005c: 00000b17 auipc s6,0x0 + 80000060: 304b0b13 addi s6,s6,772 # 80000360 + 80000064: 000b8a93 mv s5,s7 + 80000068: 00000a13 li s4,0 + 8000006c: 00000997 auipc s3,0x0 + 80000070: 41498993 addi s3,s3,1044 # 80000480 + 80000074: 04000c13 li s8,64 + 80000078: 000aa903 lw s2,0(s5) + 8000007c: 000b0493 mv s1,s6 + 80000080: 000b8413 mv s0,s7 + 80000084: 00042503 lw a0,0(s0) + 80000088: 0004a783 lw a5,0(s1) + 8000008c: 00440413 addi s0,s0,4 + 80000090: 0125053b addw a0,a0,s2 + 80000094: 40f50533 sub a0,a0,a5 + 80000098: 00153513 seqz a0,a0 + 8000009c: f75ff0ef jal ra,80000010 + 800000a0: 00448493 addi s1,s1,4 + 800000a4: fe8990e3 bne s3,s0,80000084 + 800000a8: 00100513 li a0,1 + 800000ac: 008a0a1b addiw s4,s4,8 + 800000b0: f61ff0ef jal ra,80000010 + 800000b4: 004a8a93 addi s5,s5,4 + 800000b8: 020b0b13 addi s6,s6,32 + 800000bc: fb8a1ee3 bne s4,s8,80000078 + 800000c0: 00100513 li a0,1 + 800000c4: f4dff0ef jal ra,80000010 + 800000c8: 04813083 ld ra,72(sp) + 800000cc: 04013403 ld s0,64(sp) + 800000d0: 03813483 ld s1,56(sp) + 800000d4: 03013903 ld s2,48(sp) + 800000d8: 02813983 ld s3,40(sp) + 800000dc: 02013a03 ld s4,32(sp) + 800000e0: 01813a83 ld s5,24(sp) + 800000e4: 01013b03 ld s6,16(sp) + 800000e8: 00813b83 ld s7,8(sp) + 800000ec: 00013c03 ld s8,0(sp) + 800000f0: 00000513 li a0,0 + 800000f4: 05010113 addi sp,sp,80 + 800000f8: 00008067 ret + +00000000800000fc : + 800000fc: 00050513 mv a0,a0 + 80000100: 0000006b 0x6b + 80000104: 0000006f j 80000104 + +0000000080000108 <_assert>: + 80000108: 00051a63 bnez a0,8000011c <_assert+0x14> + 8000010c: 00100793 li a5,1 + 80000110: 00078513 mv a0,a5 + 80000114: 0000006b 0x6b + 80000118: 0000006f j 80000118 <_assert+0x10> + 8000011c: 00008067 ret + +0000000080000120 <_trm_init>: + 80000120: ff010113 addi sp,sp,-16 + 80000124: 00113423 sd ra,8(sp) + 80000128: 01c000ef jal ra,80000144 + 8000012c: 00000517 auipc a0,0x0 + 80000130: 22c50513 addi a0,a0,556 # 80000358 + 80000134: ef5ff0ef jal ra,80000028
+ 80000138: 00050513 mv a0,a0 + 8000013c: 0000006b 0x6b + 80000140: 0000006f j 80000140 <_trm_init+0x20> + +0000000080000144 : + 80000144: 00000797 auipc a5,0x0 + 80000148: 33c78793 addi a5,a5,828 # 80000480 + 8000014c: 0007b503 ld a0,0(a5) + 80000150: 0087b583 ld a1,8(a5) + 80000154: ff010113 addi sp,sp,-16 + 80000158: 00000693 li a3,0 + 8000015c: 00000613 li a2,0 + 80000160: 40a585b3 sub a1,a1,a0 + 80000164: 00113423 sd ra,8(sp) + 80000168: 018000ef jal ra,80000180 + 8000016c: 00813083 ld ra,8(sp) + 80000170: 00000797 auipc a5,0x0 + 80000174: 32a7b023 sd a0,800(a5) # 80000490 + 80000178: 01010113 addi sp,sp,16 + 8000017c: 00008067 ret + +0000000080000180 : + 80000180: 1a050e63 beqz a0,8000033c + 80000184: fd010113 addi sp,sp,-48 + 80000188: 02813023 sd s0,32(sp) + 8000018c: 00913c23 sd s1,24(sp) + 80000190: 01f57793 andi a5,a0,31 + 80000194: 02113423 sd ra,40(sp) + 80000198: 01213823 sd s2,16(sp) + 8000019c: 01313423 sd s3,8(sp) + 800001a0: 01413023 sd s4,0(sp) + 800001a4: 00050493 mv s1,a0 + 800001a8: 00050413 mv s0,a0 + 800001ac: 00000513 li a0,0 + 800001b0: 14079a63 bnez a5,80000304 + 800001b4: 27f00713 li a4,639 + 800001b8: 00058913 mv s2,a1 + 800001bc: 00078513 mv a0,a5 + 800001c0: 14b77263 bgeu a4,a1,80000304 + 800001c4: 0074f513 andi a0,s1,7 + 800001c8: 00153513 seqz a0,a0 + 800001cc: 00060a13 mv s4,a2 + 800001d0: 00068993 mv s3,a3 + 800001d4: f35ff0ef jal ra,80000108 <_assert> + 800001d8: 20048793 addi a5,s1,512 + 800001dc: 2004b023 sd zero,512(s1) + 800001e0: 2144b423 sd s4,520(s1) + 800001e4: 2134b823 sd s3,528(s1) + 800001e8: 00043023 sd zero,0(s0) + 800001ec: 00840413 addi s0,s0,8 + 800001f0: fe879ce3 bne a5,s0,800001e8 + 800001f4: fff00793 li a5,-1 + 800001f8: dc090413 addi s0,s2,-576 + 800001fc: 03f79793 slli a5,a5,0x3f + 80000200: 1287f863 bgeu a5,s0,80000330 + 80000204: 00078413 mv s0,a5 + 80000208: 00100513 li a0,1 + 8000020c: efdff0ef jal ra,80000108 <_assert> + 80000210: f8300793 li a5,-125 + 80000214: 0017d793 srli a5,a5,0x1 + 80000218: fc040513 addi a0,s0,-64 + 8000021c: 00f53533 sltu a0,a0,a5 + 80000220: ee9ff0ef jal ra,80000108 <_assert> + 80000224: 01f4f513 andi a0,s1,31 + 80000228: 00153513 seqz a0,a0 + 8000022c: eddff0ef jal ra,80000108 <_assert> + 80000230: 00100513 li a0,1 + 80000234: 2404b023 sd zero,576(s1) + 80000238: 2404b423 sd zero,584(s1) + 8000023c: 2484b823 sd s0,592(s1) + 80000240: 24048c23 sb zero,600(s1) + 80000244: 2604b023 sd zero,608(s1) + 80000248: 2604b423 sd zero,616(s1) + 8000024c: ebdff0ef jal ra,80000108 <_assert> + 80000250: 00100513 li a0,1 + 80000254: eb5ff0ef jal ra,80000108 <_assert> + 80000258: 2504b503 ld a0,592(s1) + 8000025c: 24048a13 addi s4,s1,576 + 80000260: 04053513 sltiu a0,a0,64 + 80000264: 00154513 xori a0,a0,1 + 80000268: 00157513 andi a0,a0,1 + 8000026c: e9dff0ef jal ra,80000108 <_assert> + 80000270: 2504b503 ld a0,592(s1) + 80000274: 03f57513 andi a0,a0,63 + 80000278: 00153513 seqz a0,a0 + 8000027c: e8dff0ef jal ra,80000108 <_assert> + 80000280: 2504b703 ld a4,592(s1) + 80000284: 00100793 li a5,1 + 80000288: 00675713 srli a4,a4,0x6 + 8000028c: 0ae7fc63 bgeu a5,a4,80000344 + 80000290: 00000793 li a5,0 + 80000294: 00100693 li a3,1 + 80000298: 0017879b addiw a5,a5,1 + 8000029c: 00175713 srli a4,a4,0x1 + 800002a0: 0ff7f793 andi a5,a5,255 + 800002a4: fed71ae3 bne a4,a3,80000298 + 800002a8: 00078993 mv s3,a5 + 800002ac: 0407b513 sltiu a0,a5,64 + 800002b0: 00f71933 sll s2,a4,a5 + 800002b4: e55ff0ef jal ra,80000108 <_assert> + 800002b8: 00399793 slli a5,s3,0x3 + 800002bc: 00f487b3 add a5,s1,a5 + 800002c0: 0007b703 ld a4,0(a5) + 800002c4: 2604b423 sd zero,616(s1) + 800002c8: 26e4b023 sd a4,608(s1) + 800002cc: 00070463 beqz a4,800002d4 + 800002d0: 03473423 sd s4,40(a4) + 800002d4: 0147b023 sd s4,0(a5) + 800002d8: 2004b503 ld a0,512(s1) + 800002dc: 00a96533 or a0,s2,a0 + 800002e0: 20a4b023 sd a0,512(s1) + 800002e4: 00a03533 snez a0,a0 + 800002e8: e21ff0ef jal ra,80000108 <_assert> + 800002ec: 00048513 mv a0,s1 + 800002f0: 2084bc23 sd s0,536(s1) + 800002f4: 2204b023 sd zero,544(s1) + 800002f8: 2204b423 sd zero,552(s1) + 800002fc: 2204b823 sd zero,560(s1) + 80000300: 2204bc23 sd zero,568(s1) + 80000304: 02813083 ld ra,40(sp) + 80000308: 02013403 ld s0,32(sp) + 8000030c: 01813483 ld s1,24(sp) + 80000310: 01013903 ld s2,16(sp) + 80000314: 00813983 ld s3,8(sp) + 80000318: 00013a03 ld s4,0(sp) + 8000031c: 03010113 addi sp,sp,48 + 80000320: 00008067 ret + 80000324: 00100513 li a0,1 + 80000328: fff40413 addi s0,s0,-1 + 8000032c: dddff0ef jal ra,80000108 <_assert> + 80000330: 03f47793 andi a5,s0,63 + 80000334: fe0798e3 bnez a5,80000324 + 80000338: ed1ff06f j 80000208 + 8000033c: 00000513 li a0,0 + 80000340: 00008067 ret + 80000344: 00100913 li s2,1 + 80000348: 00000993 li s3,0 + 8000034c: 00100513 li a0,1 + 80000350: f65ff06f j 800002b4 diff --git a/bin/non-output/cpu-tests/add-longlong-cpu-tests.bin b/bin/non-output/cpu-tests/add-longlong-cpu-tests.bin new file mode 100755 index 0000000..fc89782 Binary files /dev/null and b/bin/non-output/cpu-tests/add-longlong-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/add-longlong-cpu-tests.elf b/bin/non-output/cpu-tests/add-longlong-cpu-tests.elf new file mode 100755 index 0000000..9f992b6 Binary files /dev/null and b/bin/non-output/cpu-tests/add-longlong-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/add-longlong-cpu-tests.txt b/bin/non-output/cpu-tests/add-longlong-cpu-tests.txt new file mode 100755 index 0000000..4605291 --- /dev/null +++ b/bin/non-output/cpu-tests/add-longlong-cpu-tests.txt @@ -0,0 +1,230 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/add-longlong-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 104000ef jal ra,80000110 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0c8000ef jal ra,800000ec + +0000000080000028
: + 80000028: fb010113 addi sp,sp,-80 + 8000002c: 01713423 sd s7,8(sp) + 80000030: 00000b97 auipc s7,0x0 + 80000034: 520b8b93 addi s7,s7,1312 # 80000550 + 80000038: 03313423 sd s3,40(sp) + 8000003c: 03413023 sd s4,32(sp) + 80000040: 01513c23 sd s5,24(sp) + 80000044: 01613823 sd s6,16(sp) + 80000048: 01813023 sd s8,0(sp) + 8000004c: 04113423 sd ra,72(sp) + 80000050: 04813023 sd s0,64(sp) + 80000054: 02913c23 sd s1,56(sp) + 80000058: 03213823 sd s2,48(sp) + 8000005c: 00000b17 auipc s6,0x0 + 80000060: 2f4b0b13 addi s6,s6,756 # 80000350 + 80000064: 000b8a93 mv s5,s7 + 80000068: 00000a13 li s4,0 + 8000006c: 00000997 auipc s3,0x0 + 80000070: 52498993 addi s3,s3,1316 # 80000590 + 80000074: 04000c13 li s8,64 + 80000078: 000ab903 ld s2,0(s5) + 8000007c: 000b0493 mv s1,s6 + 80000080: 000b8413 mv s0,s7 + 80000084: 00043503 ld a0,0(s0) + 80000088: 0004b783 ld a5,0(s1) + 8000008c: 00840413 addi s0,s0,8 + 80000090: 00a90533 add a0,s2,a0 + 80000094: 40f50533 sub a0,a0,a5 + 80000098: 00153513 seqz a0,a0 + 8000009c: f75ff0ef jal ra,80000010 + 800000a0: 00848493 addi s1,s1,8 + 800000a4: fe8990e3 bne s3,s0,80000084 + 800000a8: 008a0a1b addiw s4,s4,8 + 800000ac: 008a8a93 addi s5,s5,8 + 800000b0: 040b0b13 addi s6,s6,64 + 800000b4: fd8a12e3 bne s4,s8,80000078 + 800000b8: 04813083 ld ra,72(sp) + 800000bc: 04013403 ld s0,64(sp) + 800000c0: 03813483 ld s1,56(sp) + 800000c4: 03013903 ld s2,48(sp) + 800000c8: 02813983 ld s3,40(sp) + 800000cc: 02013a03 ld s4,32(sp) + 800000d0: 01813a83 ld s5,24(sp) + 800000d4: 01013b03 ld s6,16(sp) + 800000d8: 00813b83 ld s7,8(sp) + 800000dc: 00013c03 ld s8,0(sp) + 800000e0: 00000513 li a0,0 + 800000e4: 05010113 addi sp,sp,80 + 800000e8: 00008067 ret + +00000000800000ec : + 800000ec: 00050513 mv a0,a0 + 800000f0: 0000006b 0x6b + 800000f4: 0000006f j 800000f4 + +00000000800000f8 <_assert>: + 800000f8: 00051a63 bnez a0,8000010c <_assert+0x14> + 800000fc: 00100793 li a5,1 + 80000100: 00078513 mv a0,a5 + 80000104: 0000006b 0x6b + 80000108: 0000006f j 80000108 <_assert+0x10> + 8000010c: 00008067 ret + +0000000080000110 <_trm_init>: + 80000110: ff010113 addi sp,sp,-16 + 80000114: 00113423 sd ra,8(sp) + 80000118: 01c000ef jal ra,80000134 + 8000011c: 00000517 auipc a0,0x0 + 80000120: 22c50513 addi a0,a0,556 # 80000348 + 80000124: f05ff0ef jal ra,80000028
+ 80000128: 00050513 mv a0,a0 + 8000012c: 0000006b 0x6b + 80000130: 0000006f j 80000130 <_trm_init+0x20> + +0000000080000134 : + 80000134: 00000797 auipc a5,0x0 + 80000138: 45c78793 addi a5,a5,1116 # 80000590 + 8000013c: 0007b503 ld a0,0(a5) + 80000140: 0087b583 ld a1,8(a5) + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00000693 li a3,0 + 8000014c: 00000613 li a2,0 + 80000150: 40a585b3 sub a1,a1,a0 + 80000154: 00113423 sd ra,8(sp) + 80000158: 018000ef jal ra,80000170 + 8000015c: 00813083 ld ra,8(sp) + 80000160: 00000797 auipc a5,0x0 + 80000164: 44a7b023 sd a0,1088(a5) # 800005a0 + 80000168: 01010113 addi sp,sp,16 + 8000016c: 00008067 ret + +0000000080000170 : + 80000170: 1a050e63 beqz a0,8000032c + 80000174: fd010113 addi sp,sp,-48 + 80000178: 02813023 sd s0,32(sp) + 8000017c: 00913c23 sd s1,24(sp) + 80000180: 01f57793 andi a5,a0,31 + 80000184: 02113423 sd ra,40(sp) + 80000188: 01213823 sd s2,16(sp) + 8000018c: 01313423 sd s3,8(sp) + 80000190: 01413023 sd s4,0(sp) + 80000194: 00050493 mv s1,a0 + 80000198: 00050413 mv s0,a0 + 8000019c: 00000513 li a0,0 + 800001a0: 14079a63 bnez a5,800002f4 + 800001a4: 27f00713 li a4,639 + 800001a8: 00058913 mv s2,a1 + 800001ac: 00078513 mv a0,a5 + 800001b0: 14b77263 bgeu a4,a1,800002f4 + 800001b4: 0074f513 andi a0,s1,7 + 800001b8: 00153513 seqz a0,a0 + 800001bc: 00060a13 mv s4,a2 + 800001c0: 00068993 mv s3,a3 + 800001c4: f35ff0ef jal ra,800000f8 <_assert> + 800001c8: 20048793 addi a5,s1,512 + 800001cc: 2004b023 sd zero,512(s1) + 800001d0: 2144b423 sd s4,520(s1) + 800001d4: 2134b823 sd s3,528(s1) + 800001d8: 00043023 sd zero,0(s0) + 800001dc: 00840413 addi s0,s0,8 + 800001e0: fe879ce3 bne a5,s0,800001d8 + 800001e4: fff00793 li a5,-1 + 800001e8: dc090413 addi s0,s2,-576 + 800001ec: 03f79793 slli a5,a5,0x3f + 800001f0: 1287f863 bgeu a5,s0,80000320 + 800001f4: 00078413 mv s0,a5 + 800001f8: 00100513 li a0,1 + 800001fc: efdff0ef jal ra,800000f8 <_assert> + 80000200: f8300793 li a5,-125 + 80000204: 0017d793 srli a5,a5,0x1 + 80000208: fc040513 addi a0,s0,-64 + 8000020c: 00f53533 sltu a0,a0,a5 + 80000210: ee9ff0ef jal ra,800000f8 <_assert> + 80000214: 01f4f513 andi a0,s1,31 + 80000218: 00153513 seqz a0,a0 + 8000021c: eddff0ef jal ra,800000f8 <_assert> + 80000220: 00100513 li a0,1 + 80000224: 2404b023 sd zero,576(s1) + 80000228: 2404b423 sd zero,584(s1) + 8000022c: 2484b823 sd s0,592(s1) + 80000230: 24048c23 sb zero,600(s1) + 80000234: 2604b023 sd zero,608(s1) + 80000238: 2604b423 sd zero,616(s1) + 8000023c: ebdff0ef jal ra,800000f8 <_assert> + 80000240: 00100513 li a0,1 + 80000244: eb5ff0ef jal ra,800000f8 <_assert> + 80000248: 2504b503 ld a0,592(s1) + 8000024c: 24048a13 addi s4,s1,576 + 80000250: 04053513 sltiu a0,a0,64 + 80000254: 00154513 xori a0,a0,1 + 80000258: 00157513 andi a0,a0,1 + 8000025c: e9dff0ef jal ra,800000f8 <_assert> + 80000260: 2504b503 ld a0,592(s1) + 80000264: 03f57513 andi a0,a0,63 + 80000268: 00153513 seqz a0,a0 + 8000026c: e8dff0ef jal ra,800000f8 <_assert> + 80000270: 2504b703 ld a4,592(s1) + 80000274: 00100793 li a5,1 + 80000278: 00675713 srli a4,a4,0x6 + 8000027c: 0ae7fc63 bgeu a5,a4,80000334 + 80000280: 00000793 li a5,0 + 80000284: 00100693 li a3,1 + 80000288: 0017879b addiw a5,a5,1 + 8000028c: 00175713 srli a4,a4,0x1 + 80000290: 0ff7f793 andi a5,a5,255 + 80000294: fed71ae3 bne a4,a3,80000288 + 80000298: 00078993 mv s3,a5 + 8000029c: 0407b513 sltiu a0,a5,64 + 800002a0: 00f71933 sll s2,a4,a5 + 800002a4: e55ff0ef jal ra,800000f8 <_assert> + 800002a8: 00399793 slli a5,s3,0x3 + 800002ac: 00f487b3 add a5,s1,a5 + 800002b0: 0007b703 ld a4,0(a5) + 800002b4: 2604b423 sd zero,616(s1) + 800002b8: 26e4b023 sd a4,608(s1) + 800002bc: 00070463 beqz a4,800002c4 + 800002c0: 03473423 sd s4,40(a4) + 800002c4: 0147b023 sd s4,0(a5) + 800002c8: 2004b503 ld a0,512(s1) + 800002cc: 00a96533 or a0,s2,a0 + 800002d0: 20a4b023 sd a0,512(s1) + 800002d4: 00a03533 snez a0,a0 + 800002d8: e21ff0ef jal ra,800000f8 <_assert> + 800002dc: 00048513 mv a0,s1 + 800002e0: 2084bc23 sd s0,536(s1) + 800002e4: 2204b023 sd zero,544(s1) + 800002e8: 2204b423 sd zero,552(s1) + 800002ec: 2204b823 sd zero,560(s1) + 800002f0: 2204bc23 sd zero,568(s1) + 800002f4: 02813083 ld ra,40(sp) + 800002f8: 02013403 ld s0,32(sp) + 800002fc: 01813483 ld s1,24(sp) + 80000300: 01013903 ld s2,16(sp) + 80000304: 00813983 ld s3,8(sp) + 80000308: 00013a03 ld s4,0(sp) + 8000030c: 03010113 addi sp,sp,48 + 80000310: 00008067 ret + 80000314: 00100513 li a0,1 + 80000318: fff40413 addi s0,s0,-1 + 8000031c: dddff0ef jal ra,800000f8 <_assert> + 80000320: 03f47793 andi a5,s0,63 + 80000324: fe0798e3 bnez a5,80000314 + 80000328: ed1ff06f j 800001f8 + 8000032c: 00000513 li a0,0 + 80000330: 00008067 ret + 80000334: 00100913 li s2,1 + 80000338: 00000993 li s3,0 + 8000033c: 00100513 li a0,1 + 80000340: f65ff06f j 800002a4 diff --git a/bin/non-output/cpu-tests/bit-cpu-tests.bin b/bin/non-output/cpu-tests/bit-cpu-tests.bin new file mode 100755 index 0000000..46bc9d0 Binary files /dev/null and b/bin/non-output/cpu-tests/bit-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/bit-cpu-tests.elf b/bin/non-output/cpu-tests/bit-cpu-tests.elf new file mode 100755 index 0000000..c142d79 Binary files /dev/null and b/bin/non-output/cpu-tests/bit-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/bit-cpu-tests.txt b/bin/non-output/cpu-tests/bit-cpu-tests.txt new file mode 100755 index 0000000..6048ba0 --- /dev/null +++ b/bin/non-output/cpu-tests/bit-cpu-tests.txt @@ -0,0 +1,301 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/bit-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 210000ef jal ra,8000021c <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 1d4000ef jal ra,800001f8 + +0000000080000028 : + 80000028: 4035d793 srai a5,a1,0x3 + 8000002c: 00f50533 add a0,a0,a5 + 80000030: 00054503 lbu a0,0(a0) + 80000034: 00100793 li a5,1 + 80000038: 0075f593 andi a1,a1,7 + 8000003c: 00b795bb sllw a1,a5,a1 + 80000040: 00b57533 and a0,a0,a1 + 80000044: 00a03533 snez a0,a0 + 80000048: 00008067 ret + +000000008000004c : + 8000004c: 4035d793 srai a5,a1,0x3 + 80000050: ff010113 addi sp,sp,-16 + 80000054: 00f50533 add a0,a0,a5 + 80000058: 00a13423 sd a0,8(sp) + 8000005c: 0075f793 andi a5,a1,7 + 80000060: 00100593 li a1,1 + 80000064: 00f595bb sllw a1,a1,a5 + 80000068: 00813783 ld a5,8(sp) + 8000006c: 02061063 bnez a2,8000008c + 80000070: 0007c783 lbu a5,0(a5) + 80000074: fff5c593 not a1,a1 + 80000078: 00f5f5b3 and a1,a1,a5 + 8000007c: 00813783 ld a5,8(sp) + 80000080: 00b78023 sb a1,0(a5) + 80000084: 01010113 addi sp,sp,16 + 80000088: 00008067 ret + 8000008c: 0007c783 lbu a5,0(a5) + 80000090: 00f5e5b3 or a1,a1,a5 + 80000094: 00813783 ld a5,8(sp) + 80000098: 0ff5f593 andi a1,a1,255 + 8000009c: 00b78023 sb a1,0(a5) + 800000a0: 01010113 addi sp,sp,16 + 800000a4: 00008067 ret + +00000000800000a8
: + 800000a8: fe010113 addi sp,sp,-32 + 800000ac: 0aa00793 li a5,170 + 800000b0: 00000593 li a1,0 + 800000b4: 00810513 addi a0,sp,8 + 800000b8: 00113c23 sd ra,24(sp) + 800000bc: 00f11423 sh a5,8(sp) + 800000c0: f69ff0ef jal ra,80000028 + 800000c4: 00154513 xori a0,a0,1 + 800000c8: 0ff57513 andi a0,a0,255 + 800000cc: f45ff0ef jal ra,80000010 + 800000d0: 00100593 li a1,1 + 800000d4: 00810513 addi a0,sp,8 + 800000d8: f51ff0ef jal ra,80000028 + 800000dc: f35ff0ef jal ra,80000010 + 800000e0: 00200593 li a1,2 + 800000e4: 00810513 addi a0,sp,8 + 800000e8: f41ff0ef jal ra,80000028 + 800000ec: 00154513 xori a0,a0,1 + 800000f0: 0ff57513 andi a0,a0,255 + 800000f4: f1dff0ef jal ra,80000010 + 800000f8: 00300593 li a1,3 + 800000fc: 00810513 addi a0,sp,8 + 80000100: f29ff0ef jal ra,80000028 + 80000104: f0dff0ef jal ra,80000010 + 80000108: 00400593 li a1,4 + 8000010c: 00810513 addi a0,sp,8 + 80000110: f19ff0ef jal ra,80000028 + 80000114: 00154513 xori a0,a0,1 + 80000118: 0ff57513 andi a0,a0,255 + 8000011c: ef5ff0ef jal ra,80000010 + 80000120: 00500593 li a1,5 + 80000124: 00810513 addi a0,sp,8 + 80000128: f01ff0ef jal ra,80000028 + 8000012c: ee5ff0ef jal ra,80000010 + 80000130: 00600593 li a1,6 + 80000134: 00810513 addi a0,sp,8 + 80000138: ef1ff0ef jal ra,80000028 + 8000013c: 00154513 xori a0,a0,1 + 80000140: 0ff57513 andi a0,a0,255 + 80000144: ecdff0ef jal ra,80000010 + 80000148: 00700593 li a1,7 + 8000014c: 00810513 addi a0,sp,8 + 80000150: ed9ff0ef jal ra,80000028 + 80000154: ebdff0ef jal ra,80000010 + 80000158: 00810513 addi a0,sp,8 + 8000015c: 00100613 li a2,1 + 80000160: 00800593 li a1,8 + 80000164: ee9ff0ef jal ra,8000004c + 80000168: 00810513 addi a0,sp,8 + 8000016c: 00000613 li a2,0 + 80000170: 00900593 li a1,9 + 80000174: ed9ff0ef jal ra,8000004c + 80000178: 00810513 addi a0,sp,8 + 8000017c: 00100613 li a2,1 + 80000180: 00a00593 li a1,10 + 80000184: ec9ff0ef jal ra,8000004c + 80000188: 00810513 addi a0,sp,8 + 8000018c: 00000613 li a2,0 + 80000190: 00b00593 li a1,11 + 80000194: eb9ff0ef jal ra,8000004c + 80000198: 00810513 addi a0,sp,8 + 8000019c: 00100613 li a2,1 + 800001a0: 00c00593 li a1,12 + 800001a4: ea9ff0ef jal ra,8000004c + 800001a8: 00810513 addi a0,sp,8 + 800001ac: 00000613 li a2,0 + 800001b0: 00d00593 li a1,13 + 800001b4: e99ff0ef jal ra,8000004c + 800001b8: 00810513 addi a0,sp,8 + 800001bc: 00100613 li a2,1 + 800001c0: 00e00593 li a1,14 + 800001c4: e89ff0ef jal ra,8000004c + 800001c8: 00810513 addi a0,sp,8 + 800001cc: 00000613 li a2,0 + 800001d0: 00f00593 li a1,15 + 800001d4: e79ff0ef jal ra,8000004c + 800001d8: 00914503 lbu a0,9(sp) + 800001dc: fab50513 addi a0,a0,-85 + 800001e0: 00153513 seqz a0,a0 + 800001e4: e2dff0ef jal ra,80000010 + 800001e8: 01813083 ld ra,24(sp) + 800001ec: 00000513 li a0,0 + 800001f0: 02010113 addi sp,sp,32 + 800001f4: 00008067 ret + +00000000800001f8 : + 800001f8: 00050513 mv a0,a0 + 800001fc: 0000006b 0x6b + 80000200: 0000006f j 80000200 + +0000000080000204 <_assert>: + 80000204: 00051a63 bnez a0,80000218 <_assert+0x14> + 80000208: 00100793 li a5,1 + 8000020c: 00078513 mv a0,a5 + 80000210: 0000006b 0x6b + 80000214: 0000006f j 80000214 <_assert+0x10> + 80000218: 00008067 ret + +000000008000021c <_trm_init>: + 8000021c: ff010113 addi sp,sp,-16 + 80000220: 00113423 sd ra,8(sp) + 80000224: 01c000ef jal ra,80000240 + 80000228: 00000517 auipc a0,0x0 + 8000022c: 22850513 addi a0,a0,552 # 80000450 <_etext> + 80000230: e79ff0ef jal ra,800000a8
+ 80000234: 00050513 mv a0,a0 + 80000238: 0000006b 0x6b + 8000023c: 0000006f j 8000023c <_trm_init+0x20> + +0000000080000240 : + 80000240: 00000797 auipc a5,0x0 + 80000244: 21878793 addi a5,a5,536 # 80000458 + 80000248: 0007b503 ld a0,0(a5) + 8000024c: 0087b583 ld a1,8(a5) + 80000250: ff010113 addi sp,sp,-16 + 80000254: 00000693 li a3,0 + 80000258: 00000613 li a2,0 + 8000025c: 40a585b3 sub a1,a1,a0 + 80000260: 00113423 sd ra,8(sp) + 80000264: 018000ef jal ra,8000027c + 80000268: 00813083 ld ra,8(sp) + 8000026c: 00000797 auipc a5,0x0 + 80000270: 1ea7be23 sd a0,508(a5) # 80000468 + 80000274: 01010113 addi sp,sp,16 + 80000278: 00008067 ret + +000000008000027c : + 8000027c: 1a050e63 beqz a0,80000438 + 80000280: fd010113 addi sp,sp,-48 + 80000284: 02813023 sd s0,32(sp) + 80000288: 00913c23 sd s1,24(sp) + 8000028c: 01f57793 andi a5,a0,31 + 80000290: 02113423 sd ra,40(sp) + 80000294: 01213823 sd s2,16(sp) + 80000298: 01313423 sd s3,8(sp) + 8000029c: 01413023 sd s4,0(sp) + 800002a0: 00050493 mv s1,a0 + 800002a4: 00050413 mv s0,a0 + 800002a8: 00000513 li a0,0 + 800002ac: 14079a63 bnez a5,80000400 + 800002b0: 27f00713 li a4,639 + 800002b4: 00058913 mv s2,a1 + 800002b8: 00078513 mv a0,a5 + 800002bc: 14b77263 bgeu a4,a1,80000400 + 800002c0: 0074f513 andi a0,s1,7 + 800002c4: 00153513 seqz a0,a0 + 800002c8: 00060a13 mv s4,a2 + 800002cc: 00068993 mv s3,a3 + 800002d0: f35ff0ef jal ra,80000204 <_assert> + 800002d4: 20048793 addi a5,s1,512 + 800002d8: 2004b023 sd zero,512(s1) + 800002dc: 2144b423 sd s4,520(s1) + 800002e0: 2134b823 sd s3,528(s1) + 800002e4: 00043023 sd zero,0(s0) + 800002e8: 00840413 addi s0,s0,8 + 800002ec: fe879ce3 bne a5,s0,800002e4 + 800002f0: fff00793 li a5,-1 + 800002f4: dc090413 addi s0,s2,-576 + 800002f8: 03f79793 slli a5,a5,0x3f + 800002fc: 1287f863 bgeu a5,s0,8000042c + 80000300: 00078413 mv s0,a5 + 80000304: 00100513 li a0,1 + 80000308: efdff0ef jal ra,80000204 <_assert> + 8000030c: f8300793 li a5,-125 + 80000310: 0017d793 srli a5,a5,0x1 + 80000314: fc040513 addi a0,s0,-64 + 80000318: 00f53533 sltu a0,a0,a5 + 8000031c: ee9ff0ef jal ra,80000204 <_assert> + 80000320: 01f4f513 andi a0,s1,31 + 80000324: 00153513 seqz a0,a0 + 80000328: eddff0ef jal ra,80000204 <_assert> + 8000032c: 00100513 li a0,1 + 80000330: 2404b023 sd zero,576(s1) + 80000334: 2404b423 sd zero,584(s1) + 80000338: 2484b823 sd s0,592(s1) + 8000033c: 24048c23 sb zero,600(s1) + 80000340: 2604b023 sd zero,608(s1) + 80000344: 2604b423 sd zero,616(s1) + 80000348: ebdff0ef jal ra,80000204 <_assert> + 8000034c: 00100513 li a0,1 + 80000350: eb5ff0ef jal ra,80000204 <_assert> + 80000354: 2504b503 ld a0,592(s1) + 80000358: 24048a13 addi s4,s1,576 + 8000035c: 04053513 sltiu a0,a0,64 + 80000360: 00154513 xori a0,a0,1 + 80000364: 00157513 andi a0,a0,1 + 80000368: e9dff0ef jal ra,80000204 <_assert> + 8000036c: 2504b503 ld a0,592(s1) + 80000370: 03f57513 andi a0,a0,63 + 80000374: 00153513 seqz a0,a0 + 80000378: e8dff0ef jal ra,80000204 <_assert> + 8000037c: 2504b703 ld a4,592(s1) + 80000380: 00100793 li a5,1 + 80000384: 00675713 srli a4,a4,0x6 + 80000388: 0ae7fc63 bgeu a5,a4,80000440 + 8000038c: 00000793 li a5,0 + 80000390: 00100693 li a3,1 + 80000394: 0017879b addiw a5,a5,1 + 80000398: 00175713 srli a4,a4,0x1 + 8000039c: 0ff7f793 andi a5,a5,255 + 800003a0: fed71ae3 bne a4,a3,80000394 + 800003a4: 00078993 mv s3,a5 + 800003a8: 0407b513 sltiu a0,a5,64 + 800003ac: 00f71933 sll s2,a4,a5 + 800003b0: e55ff0ef jal ra,80000204 <_assert> + 800003b4: 00399793 slli a5,s3,0x3 + 800003b8: 00f487b3 add a5,s1,a5 + 800003bc: 0007b703 ld a4,0(a5) + 800003c0: 2604b423 sd zero,616(s1) + 800003c4: 26e4b023 sd a4,608(s1) + 800003c8: 00070463 beqz a4,800003d0 + 800003cc: 03473423 sd s4,40(a4) + 800003d0: 0147b023 sd s4,0(a5) + 800003d4: 2004b503 ld a0,512(s1) + 800003d8: 00a96533 or a0,s2,a0 + 800003dc: 20a4b023 sd a0,512(s1) + 800003e0: 00a03533 snez a0,a0 + 800003e4: e21ff0ef jal ra,80000204 <_assert> + 800003e8: 00048513 mv a0,s1 + 800003ec: 2084bc23 sd s0,536(s1) + 800003f0: 2204b023 sd zero,544(s1) + 800003f4: 2204b423 sd zero,552(s1) + 800003f8: 2204b823 sd zero,560(s1) + 800003fc: 2204bc23 sd zero,568(s1) + 80000400: 02813083 ld ra,40(sp) + 80000404: 02013403 ld s0,32(sp) + 80000408: 01813483 ld s1,24(sp) + 8000040c: 01013903 ld s2,16(sp) + 80000410: 00813983 ld s3,8(sp) + 80000414: 00013a03 ld s4,0(sp) + 80000418: 03010113 addi sp,sp,48 + 8000041c: 00008067 ret + 80000420: 00100513 li a0,1 + 80000424: fff40413 addi s0,s0,-1 + 80000428: dddff0ef jal ra,80000204 <_assert> + 8000042c: 03f47793 andi a5,s0,63 + 80000430: fe0798e3 bnez a5,80000420 + 80000434: ed1ff06f j 80000304 + 80000438: 00000513 li a0,0 + 8000043c: 00008067 ret + 80000440: 00100913 li s2,1 + 80000444: 00000993 li s3,0 + 80000448: 00100513 li a0,1 + 8000044c: f65ff06f j 800003b0 diff --git a/bin/non-output/cpu-tests/bubble-sort-cpu-tests.bin b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.bin new file mode 100755 index 0000000..83167bf Binary files /dev/null and b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/bubble-sort-cpu-tests.elf b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.elf new file mode 100755 index 0000000..c61f2b1 Binary files /dev/null and b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/bubble-sort-cpu-tests.txt b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.txt new file mode 100755 index 0000000..1b5a632 --- /dev/null +++ b/bin/non-output/cpu-tests/bubble-sort-cpu-tests.txt @@ -0,0 +1,261 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/bubble-sort-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 180000ef jal ra,8000018c <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 144000ef jal ra,80000168 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 02813023 sd s0,32(sp) + 80000030: 02113423 sd ra,40(sp) + 80000034: 00913c23 sd s1,24(sp) + 80000038: 01213823 sd s2,16(sp) + 8000003c: 01313423 sd s3,8(sp) + 80000040: 01413023 sd s4,0(sp) + 80000044: 01300793 li a5,19 + 80000048: 00000417 auipc s0,0x0 + 8000004c: 38040413 addi s0,s0,896 # 800003c8 + 80000050: 00000517 auipc a0,0x0 + 80000054: 37c50513 addi a0,a0,892 # 800003cc + 80000058: 04078063 beqz a5,80000098 + 8000005c: fff7859b addiw a1,a5,-1 + 80000060: 02059613 slli a2,a1,0x20 + 80000064: 01e65613 srli a2,a2,0x1e + 80000068: 00000797 auipc a5,0x0 + 8000006c: 36078793 addi a5,a5,864 # 800003c8 + 80000070: 00a60633 add a2,a2,a0 + 80000074: 0007a703 lw a4,0(a5) + 80000078: 0047a683 lw a3,4(a5) + 8000007c: 00e6d663 bge a3,a4,80000088 + 80000080: 00d7a023 sw a3,0(a5) + 80000084: 00e7a223 sw a4,4(a5) + 80000088: 00478793 addi a5,a5,4 + 8000008c: fec794e3 bne a5,a2,80000074 + 80000090: 0005879b sext.w a5,a1 + 80000094: fc0794e3 bnez a5,8000005c + 80000098: 00000917 auipc s2,0x0 + 8000009c: 33090913 addi s2,s2,816 # 800003c8 + 800000a0: 00090993 mv s3,s2 + 800000a4: 00000493 li s1,0 + 800000a8: 01400a13 li s4,20 + 800000ac: 0009a503 lw a0,0(s3) + 800000b0: 00498993 addi s3,s3,4 + 800000b4: 40950533 sub a0,a0,s1 + 800000b8: 00153513 seqz a0,a0 + 800000bc: 0014849b addiw s1,s1,1 + 800000c0: f51ff0ef jal ra,80000010 + 800000c4: ff4494e3 bne s1,s4,800000ac + 800000c8: 00100513 li a0,1 + 800000cc: f45ff0ef jal ra,80000010 + 800000d0: 01300793 li a5,19 + 800000d4: 00000517 auipc a0,0x0 + 800000d8: 2f850513 addi a0,a0,760 # 800003cc + 800000dc: 02078e63 beqz a5,80000118 + 800000e0: fff7859b addiw a1,a5,-1 + 800000e4: 02059613 slli a2,a1,0x20 + 800000e8: 01e65613 srli a2,a2,0x1e + 800000ec: 00a60633 add a2,a2,a0 + 800000f0: 00040793 mv a5,s0 + 800000f4: 0007a703 lw a4,0(a5) + 800000f8: 0047a683 lw a3,4(a5) + 800000fc: 00e6d663 bge a3,a4,80000108 + 80000100: 00d7a023 sw a3,0(a5) + 80000104: 00e7a223 sw a4,4(a5) + 80000108: 00478793 addi a5,a5,4 + 8000010c: fec794e3 bne a5,a2,800000f4 + 80000110: 0005879b sext.w a5,a1 + 80000114: fc0796e3 bnez a5,800000e0 + 80000118: 00000413 li s0,0 + 8000011c: 01400493 li s1,20 + 80000120: 00092503 lw a0,0(s2) + 80000124: 00490913 addi s2,s2,4 + 80000128: 40850533 sub a0,a0,s0 + 8000012c: 00153513 seqz a0,a0 + 80000130: 0014041b addiw s0,s0,1 + 80000134: eddff0ef jal ra,80000010 + 80000138: fe9414e3 bne s0,s1,80000120 + 8000013c: 00100513 li a0,1 + 80000140: ed1ff0ef jal ra,80000010 + 80000144: 02813083 ld ra,40(sp) + 80000148: 02013403 ld s0,32(sp) + 8000014c: 01813483 ld s1,24(sp) + 80000150: 01013903 ld s2,16(sp) + 80000154: 00813983 ld s3,8(sp) + 80000158: 00013a03 ld s4,0(sp) + 8000015c: 00000513 li a0,0 + 80000160: 03010113 addi sp,sp,48 + 80000164: 00008067 ret + +0000000080000168 : + 80000168: 00050513 mv a0,a0 + 8000016c: 0000006b 0x6b + 80000170: 0000006f j 80000170 + +0000000080000174 <_assert>: + 80000174: 00051a63 bnez a0,80000188 <_assert+0x14> + 80000178: 00100793 li a5,1 + 8000017c: 00078513 mv a0,a5 + 80000180: 0000006b 0x6b + 80000184: 0000006f j 80000184 <_assert+0x10> + 80000188: 00008067 ret + +000000008000018c <_trm_init>: + 8000018c: ff010113 addi sp,sp,-16 + 80000190: 00113423 sd ra,8(sp) + 80000194: 01c000ef jal ra,800001b0 + 80000198: 00000517 auipc a0,0x0 + 8000019c: 22850513 addi a0,a0,552 # 800003c0 <_etext> + 800001a0: e89ff0ef jal ra,80000028
+ 800001a4: 00050513 mv a0,a0 + 800001a8: 0000006b 0x6b + 800001ac: 0000006f j 800001ac <_trm_init+0x20> + +00000000800001b0 : + 800001b0: 00000797 auipc a5,0x0 + 800001b4: 26878793 addi a5,a5,616 # 80000418 + 800001b8: 0007b503 ld a0,0(a5) + 800001bc: 0087b583 ld a1,8(a5) + 800001c0: ff010113 addi sp,sp,-16 + 800001c4: 00000693 li a3,0 + 800001c8: 00000613 li a2,0 + 800001cc: 40a585b3 sub a1,a1,a0 + 800001d0: 00113423 sd ra,8(sp) + 800001d4: 018000ef jal ra,800001ec + 800001d8: 00813083 ld ra,8(sp) + 800001dc: 00000797 auipc a5,0x0 + 800001e0: 24a7b623 sd a0,588(a5) # 80000428 + 800001e4: 01010113 addi sp,sp,16 + 800001e8: 00008067 ret + +00000000800001ec : + 800001ec: 1a050e63 beqz a0,800003a8 + 800001f0: fd010113 addi sp,sp,-48 + 800001f4: 02813023 sd s0,32(sp) + 800001f8: 00913c23 sd s1,24(sp) + 800001fc: 01f57793 andi a5,a0,31 + 80000200: 02113423 sd ra,40(sp) + 80000204: 01213823 sd s2,16(sp) + 80000208: 01313423 sd s3,8(sp) + 8000020c: 01413023 sd s4,0(sp) + 80000210: 00050493 mv s1,a0 + 80000214: 00050413 mv s0,a0 + 80000218: 00000513 li a0,0 + 8000021c: 14079a63 bnez a5,80000370 + 80000220: 27f00713 li a4,639 + 80000224: 00058913 mv s2,a1 + 80000228: 00078513 mv a0,a5 + 8000022c: 14b77263 bgeu a4,a1,80000370 + 80000230: 0074f513 andi a0,s1,7 + 80000234: 00153513 seqz a0,a0 + 80000238: 00060a13 mv s4,a2 + 8000023c: 00068993 mv s3,a3 + 80000240: f35ff0ef jal ra,80000174 <_assert> + 80000244: 20048793 addi a5,s1,512 + 80000248: 2004b023 sd zero,512(s1) + 8000024c: 2144b423 sd s4,520(s1) + 80000250: 2134b823 sd s3,528(s1) + 80000254: 00043023 sd zero,0(s0) + 80000258: 00840413 addi s0,s0,8 + 8000025c: fe879ce3 bne a5,s0,80000254 + 80000260: fff00793 li a5,-1 + 80000264: dc090413 addi s0,s2,-576 + 80000268: 03f79793 slli a5,a5,0x3f + 8000026c: 1287f863 bgeu a5,s0,8000039c + 80000270: 00078413 mv s0,a5 + 80000274: 00100513 li a0,1 + 80000278: efdff0ef jal ra,80000174 <_assert> + 8000027c: f8300793 li a5,-125 + 80000280: 0017d793 srli a5,a5,0x1 + 80000284: fc040513 addi a0,s0,-64 + 80000288: 00f53533 sltu a0,a0,a5 + 8000028c: ee9ff0ef jal ra,80000174 <_assert> + 80000290: 01f4f513 andi a0,s1,31 + 80000294: 00153513 seqz a0,a0 + 80000298: eddff0ef jal ra,80000174 <_assert> + 8000029c: 00100513 li a0,1 + 800002a0: 2404b023 sd zero,576(s1) + 800002a4: 2404b423 sd zero,584(s1) + 800002a8: 2484b823 sd s0,592(s1) + 800002ac: 24048c23 sb zero,600(s1) + 800002b0: 2604b023 sd zero,608(s1) + 800002b4: 2604b423 sd zero,616(s1) + 800002b8: ebdff0ef jal ra,80000174 <_assert> + 800002bc: 00100513 li a0,1 + 800002c0: eb5ff0ef jal ra,80000174 <_assert> + 800002c4: 2504b503 ld a0,592(s1) + 800002c8: 24048a13 addi s4,s1,576 + 800002cc: 04053513 sltiu a0,a0,64 + 800002d0: 00154513 xori a0,a0,1 + 800002d4: 00157513 andi a0,a0,1 + 800002d8: e9dff0ef jal ra,80000174 <_assert> + 800002dc: 2504b503 ld a0,592(s1) + 800002e0: 03f57513 andi a0,a0,63 + 800002e4: 00153513 seqz a0,a0 + 800002e8: e8dff0ef jal ra,80000174 <_assert> + 800002ec: 2504b703 ld a4,592(s1) + 800002f0: 00100793 li a5,1 + 800002f4: 00675713 srli a4,a4,0x6 + 800002f8: 0ae7fc63 bgeu a5,a4,800003b0 + 800002fc: 00000793 li a5,0 + 80000300: 00100693 li a3,1 + 80000304: 0017879b addiw a5,a5,1 + 80000308: 00175713 srli a4,a4,0x1 + 8000030c: 0ff7f793 andi a5,a5,255 + 80000310: fed71ae3 bne a4,a3,80000304 + 80000314: 00078993 mv s3,a5 + 80000318: 0407b513 sltiu a0,a5,64 + 8000031c: 00f71933 sll s2,a4,a5 + 80000320: e55ff0ef jal ra,80000174 <_assert> + 80000324: 00399793 slli a5,s3,0x3 + 80000328: 00f487b3 add a5,s1,a5 + 8000032c: 0007b703 ld a4,0(a5) + 80000330: 2604b423 sd zero,616(s1) + 80000334: 26e4b023 sd a4,608(s1) + 80000338: 00070463 beqz a4,80000340 + 8000033c: 03473423 sd s4,40(a4) + 80000340: 0147b023 sd s4,0(a5) + 80000344: 2004b503 ld a0,512(s1) + 80000348: 00a96533 or a0,s2,a0 + 8000034c: 20a4b023 sd a0,512(s1) + 80000350: 00a03533 snez a0,a0 + 80000354: e21ff0ef jal ra,80000174 <_assert> + 80000358: 00048513 mv a0,s1 + 8000035c: 2084bc23 sd s0,536(s1) + 80000360: 2204b023 sd zero,544(s1) + 80000364: 2204b423 sd zero,552(s1) + 80000368: 2204b823 sd zero,560(s1) + 8000036c: 2204bc23 sd zero,568(s1) + 80000370: 02813083 ld ra,40(sp) + 80000374: 02013403 ld s0,32(sp) + 80000378: 01813483 ld s1,24(sp) + 8000037c: 01013903 ld s2,16(sp) + 80000380: 00813983 ld s3,8(sp) + 80000384: 00013a03 ld s4,0(sp) + 80000388: 03010113 addi sp,sp,48 + 8000038c: 00008067 ret + 80000390: 00100513 li a0,1 + 80000394: fff40413 addi s0,s0,-1 + 80000398: dddff0ef jal ra,80000174 <_assert> + 8000039c: 03f47793 andi a5,s0,63 + 800003a0: fe0798e3 bnez a5,80000390 + 800003a4: ed1ff06f j 80000274 + 800003a8: 00000513 li a0,0 + 800003ac: 00008067 ret + 800003b0: 00100913 li s2,1 + 800003b4: 00000993 li s3,0 + 800003b8: 00100513 li a0,1 + 800003bc: f65ff06f j 80000320 diff --git a/bin/non-output/cpu-tests/div-cpu-tests.bin b/bin/non-output/cpu-tests/div-cpu-tests.bin new file mode 100755 index 0000000..cd540c0 Binary files /dev/null and b/bin/non-output/cpu-tests/div-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/div-cpu-tests.elf b/bin/non-output/cpu-tests/div-cpu-tests.elf new file mode 100755 index 0000000..bc38437 Binary files /dev/null and b/bin/non-output/cpu-tests/div-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/div-cpu-tests.txt b/bin/non-output/cpu-tests/div-cpu-tests.txt new file mode 100755 index 0000000..ad69b0f --- /dev/null +++ b/bin/non-output/cpu-tests/div-cpu-tests.txt @@ -0,0 +1,332 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/div-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 138000ef jal ra,80000144 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0fc000ef jal ra,80000120 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 01313423 sd s3,8(sp) + 80000030: 00000997 auipc s3,0x0 + 80000034: 49098993 addi s3,s3,1168 # 800004c0 + 80000038: 02113423 sd ra,40(sp) + 8000003c: 02813023 sd s0,32(sp) + 80000040: 00913c23 sd s1,24(sp) + 80000044: 01213823 sd s2,16(sp) + 80000048: 01413023 sd s4,0(sp) + 8000004c: 00098713 mv a4,s3 + 80000050: 00000793 li a5,0 + 80000054: 00a00693 li a3,10 + 80000058: 00f72023 sw a5,0(a4) + 8000005c: 0017879b addiw a5,a5,1 + 80000060: 00470713 addi a4,a4,4 + 80000064: fed79ae3 bne a5,a3,80000058 + 80000068: 00000a17 auipc s4,0x0 + 8000006c: 480a0a13 addi s4,s4,1152 # 800004e8 + 80000070: 00000917 auipc s2,0x0 + 80000074: 45090913 addi s2,s2,1104 # 800004c0 + 80000078: 00b00493 li s1,11 + 8000007c: 00092503 lw a0,0(s2) + 80000080: 00100413 li s0,1 + 80000084: 00040593 mv a1,s0 + 80000088: 0e0000ef jal ra,80000168 <__muldi3> + 8000008c: 0014041b addiw s0,s0,1 + 80000090: 0005051b sext.w a0,a0 + 80000094: fe9418e3 bne s0,s1,80000084 + 80000098: 00a92023 sw a0,0(s2) + 8000009c: 00490913 addi s2,s2,4 + 800000a0: fd491ee3 bne s2,s4,8000007c + 800000a4: 00000917 auipc s2,0x0 + 800000a8: 41c90913 addi s2,s2,1052 # 800004c0 + 800000ac: 00b00493 li s1,11 + 800000b0: 00092503 lw a0,0(s2) + 800000b4: 00100413 li s0,1 + 800000b8: 00040593 mv a1,s0 + 800000bc: 110000ef jal ra,800001cc <__divdi3> + 800000c0: 0014041b addiw s0,s0,1 + 800000c4: 0005051b sext.w a0,a0 + 800000c8: fe9418e3 bne s0,s1,800000b8 + 800000cc: 00a92023 sw a0,0(s2) + 800000d0: 00490913 addi s2,s2,4 + 800000d4: fd491ee3 bne s2,s4,800000b0 + 800000d8: 00000413 li s0,0 + 800000dc: 00a00493 li s1,10 + 800000e0: 0009a503 lw a0,0(s3) + 800000e4: 00498993 addi s3,s3,4 + 800000e8: 40850533 sub a0,a0,s0 + 800000ec: 00153513 seqz a0,a0 + 800000f0: 0014041b addiw s0,s0,1 + 800000f4: f1dff0ef jal ra,80000010 + 800000f8: fe9414e3 bne s0,s1,800000e0 + 800000fc: 02813083 ld ra,40(sp) + 80000100: 02013403 ld s0,32(sp) + 80000104: 01813483 ld s1,24(sp) + 80000108: 01013903 ld s2,16(sp) + 8000010c: 00813983 ld s3,8(sp) + 80000110: 00013a03 ld s4,0(sp) + 80000114: 00000513 li a0,0 + 80000118: 03010113 addi sp,sp,48 + 8000011c: 00008067 ret + +0000000080000120 : + 80000120: 00050513 mv a0,a0 + 80000124: 0000006b 0x6b + 80000128: 0000006f j 80000128 + +000000008000012c <_assert>: + 8000012c: 00051a63 bnez a0,80000140 <_assert+0x14> + 80000130: 00100793 li a5,1 + 80000134: 00078513 mv a0,a5 + 80000138: 0000006b 0x6b + 8000013c: 0000006f j 8000013c <_assert+0x10> + 80000140: 00008067 ret + +0000000080000144 <_trm_init>: + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00113423 sd ra,8(sp) + 8000014c: 140000ef jal ra,8000028c + 80000150: 00000517 auipc a0,0x0 + 80000154: 35050513 addi a0,a0,848 # 800004a0 + 80000158: ed1ff0ef jal ra,80000028
+ 8000015c: 00050513 mv a0,a0 + 80000160: 0000006b 0x6b + 80000164: 0000006f j 80000164 <_trm_init+0x20> + +0000000080000168 <__muldi3>: + 80000168: 00050613 mv a2,a0 + 8000016c: 00000513 li a0,0 + 80000170: 0015f693 andi a3,a1,1 + 80000174: 00068463 beqz a3,8000017c <__muldi3+0x14> + 80000178: 00c50533 add a0,a0,a2 + 8000017c: 0015d593 srli a1,a1,0x1 + 80000180: 00161613 slli a2,a2,0x1 + 80000184: fe0596e3 bnez a1,80000170 <__muldi3+0x8> + 80000188: 00008067 ret + +000000008000018c <__udivsi3>: + 8000018c: 02051513 slli a0,a0,0x20 + 80000190: 02059593 slli a1,a1,0x20 + 80000194: 00008293 mv t0,ra + 80000198: 03c000ef jal ra,800001d4 <__udivdi3> + 8000019c: 0005051b sext.w a0,a0 + 800001a0: 00028067 jr t0 + +00000000800001a4 <__umodsi3>: + 800001a4: 02051513 slli a0,a0,0x20 + 800001a8: 02059593 slli a1,a1,0x20 + 800001ac: 02055513 srli a0,a0,0x20 + 800001b0: 0205d593 srli a1,a1,0x20 + 800001b4: 00008293 mv t0,ra + 800001b8: 01c000ef jal ra,800001d4 <__udivdi3> + 800001bc: 0005851b sext.w a0,a1 + 800001c0: 00028067 jr t0 + +00000000800001c4 <__divsi3>: + 800001c4: fff00293 li t0,-1 + 800001c8: 0a558c63 beq a1,t0,80000280 <__moddi3+0x30> + +00000000800001cc <__divdi3>: + 800001cc: 06054063 bltz a0,8000022c <__umoddi3+0x10> + 800001d0: 0605c663 bltz a1,8000023c <__umoddi3+0x20> + +00000000800001d4 <__udivdi3>: + 800001d4: 00058613 mv a2,a1 + 800001d8: 00050593 mv a1,a0 + 800001dc: fff00513 li a0,-1 + 800001e0: 02060c63 beqz a2,80000218 <__udivdi3+0x44> + 800001e4: 00100693 li a3,1 + 800001e8: 00b67a63 bgeu a2,a1,800001fc <__udivdi3+0x28> + 800001ec: 00c05863 blez a2,800001fc <__udivdi3+0x28> + 800001f0: 00161613 slli a2,a2,0x1 + 800001f4: 00169693 slli a3,a3,0x1 + 800001f8: feb66ae3 bltu a2,a1,800001ec <__udivdi3+0x18> + 800001fc: 00000513 li a0,0 + 80000200: 00c5e663 bltu a1,a2,8000020c <__udivdi3+0x38> + 80000204: 40c585b3 sub a1,a1,a2 + 80000208: 00d56533 or a0,a0,a3 + 8000020c: 0016d693 srli a3,a3,0x1 + 80000210: 00165613 srli a2,a2,0x1 + 80000214: fe0696e3 bnez a3,80000200 <__udivdi3+0x2c> + 80000218: 00008067 ret + +000000008000021c <__umoddi3>: + 8000021c: 00008293 mv t0,ra + 80000220: fb5ff0ef jal ra,800001d4 <__udivdi3> + 80000224: 00058513 mv a0,a1 + 80000228: 00028067 jr t0 + 8000022c: 40a00533 neg a0,a0 + 80000230: 00b04863 bgtz a1,80000240 <__umoddi3+0x24> + 80000234: 40b005b3 neg a1,a1 + 80000238: f9dff06f j 800001d4 <__udivdi3> + 8000023c: 40b005b3 neg a1,a1 + 80000240: 00008293 mv t0,ra + 80000244: f91ff0ef jal ra,800001d4 <__udivdi3> + 80000248: 40a00533 neg a0,a0 + 8000024c: 00028067 jr t0 + +0000000080000250 <__moddi3>: + 80000250: 00008293 mv t0,ra + 80000254: 0005ca63 bltz a1,80000268 <__moddi3+0x18> + 80000258: 00054c63 bltz a0,80000270 <__moddi3+0x20> + 8000025c: f79ff0ef jal ra,800001d4 <__udivdi3> + 80000260: 00058513 mv a0,a1 + 80000264: 00028067 jr t0 + 80000268: 40b005b3 neg a1,a1 + 8000026c: fe0558e3 bgez a0,8000025c <__moddi3+0xc> + 80000270: 40a00533 neg a0,a0 + 80000274: f61ff0ef jal ra,800001d4 <__udivdi3> + 80000278: 40b00533 neg a0,a1 + 8000027c: 00028067 jr t0 + 80000280: 01f29293 slli t0,t0,0x1f + 80000284: f45514e3 bne a0,t0,800001cc <__divdi3> + 80000288: 00008067 ret + +000000008000028c : + 8000028c: 00000797 auipc a5,0x0 + 80000290: 21c78793 addi a5,a5,540 # 800004a8 + 80000294: 0007b503 ld a0,0(a5) + 80000298: 0087b583 ld a1,8(a5) + 8000029c: ff010113 addi sp,sp,-16 + 800002a0: 00000693 li a3,0 + 800002a4: 00000613 li a2,0 + 800002a8: 40a585b3 sub a1,a1,a0 + 800002ac: 00113423 sd ra,8(sp) + 800002b0: 018000ef jal ra,800002c8 + 800002b4: 00813083 ld ra,8(sp) + 800002b8: 00000797 auipc a5,0x0 + 800002bc: 20a7b023 sd a0,512(a5) # 800004b8 + 800002c0: 01010113 addi sp,sp,16 + 800002c4: 00008067 ret + +00000000800002c8 : + 800002c8: 1a050e63 beqz a0,80000484 + 800002cc: fd010113 addi sp,sp,-48 + 800002d0: 02813023 sd s0,32(sp) + 800002d4: 00913c23 sd s1,24(sp) + 800002d8: 01f57793 andi a5,a0,31 + 800002dc: 02113423 sd ra,40(sp) + 800002e0: 01213823 sd s2,16(sp) + 800002e4: 01313423 sd s3,8(sp) + 800002e8: 01413023 sd s4,0(sp) + 800002ec: 00050493 mv s1,a0 + 800002f0: 00050413 mv s0,a0 + 800002f4: 00000513 li a0,0 + 800002f8: 14079a63 bnez a5,8000044c + 800002fc: 27f00713 li a4,639 + 80000300: 00058913 mv s2,a1 + 80000304: 00078513 mv a0,a5 + 80000308: 14b77263 bgeu a4,a1,8000044c + 8000030c: 0074f513 andi a0,s1,7 + 80000310: 00153513 seqz a0,a0 + 80000314: 00060a13 mv s4,a2 + 80000318: 00068993 mv s3,a3 + 8000031c: e11ff0ef jal ra,8000012c <_assert> + 80000320: 20048793 addi a5,s1,512 + 80000324: 2004b023 sd zero,512(s1) + 80000328: 2144b423 sd s4,520(s1) + 8000032c: 2134b823 sd s3,528(s1) + 80000330: 00043023 sd zero,0(s0) + 80000334: 00840413 addi s0,s0,8 + 80000338: fe879ce3 bne a5,s0,80000330 + 8000033c: fff00793 li a5,-1 + 80000340: dc090413 addi s0,s2,-576 + 80000344: 03f79793 slli a5,a5,0x3f + 80000348: 1287f863 bgeu a5,s0,80000478 + 8000034c: 00078413 mv s0,a5 + 80000350: 00100513 li a0,1 + 80000354: dd9ff0ef jal ra,8000012c <_assert> + 80000358: f8300793 li a5,-125 + 8000035c: 0017d793 srli a5,a5,0x1 + 80000360: fc040513 addi a0,s0,-64 + 80000364: 00f53533 sltu a0,a0,a5 + 80000368: dc5ff0ef jal ra,8000012c <_assert> + 8000036c: 01f4f513 andi a0,s1,31 + 80000370: 00153513 seqz a0,a0 + 80000374: db9ff0ef jal ra,8000012c <_assert> + 80000378: 00100513 li a0,1 + 8000037c: 2404b023 sd zero,576(s1) + 80000380: 2404b423 sd zero,584(s1) + 80000384: 2484b823 sd s0,592(s1) + 80000388: 24048c23 sb zero,600(s1) + 8000038c: 2604b023 sd zero,608(s1) + 80000390: 2604b423 sd zero,616(s1) + 80000394: d99ff0ef jal ra,8000012c <_assert> + 80000398: 00100513 li a0,1 + 8000039c: d91ff0ef jal ra,8000012c <_assert> + 800003a0: 2504b503 ld a0,592(s1) + 800003a4: 24048a13 addi s4,s1,576 + 800003a8: 04053513 sltiu a0,a0,64 + 800003ac: 00154513 xori a0,a0,1 + 800003b0: 00157513 andi a0,a0,1 + 800003b4: d79ff0ef jal ra,8000012c <_assert> + 800003b8: 2504b503 ld a0,592(s1) + 800003bc: 03f57513 andi a0,a0,63 + 800003c0: 00153513 seqz a0,a0 + 800003c4: d69ff0ef jal ra,8000012c <_assert> + 800003c8: 2504b703 ld a4,592(s1) + 800003cc: 00100793 li a5,1 + 800003d0: 00675713 srli a4,a4,0x6 + 800003d4: 0ae7fc63 bgeu a5,a4,8000048c + 800003d8: 00000793 li a5,0 + 800003dc: 00100693 li a3,1 + 800003e0: 0017879b addiw a5,a5,1 + 800003e4: 00175713 srli a4,a4,0x1 + 800003e8: 0ff7f793 andi a5,a5,255 + 800003ec: fed71ae3 bne a4,a3,800003e0 + 800003f0: 00078993 mv s3,a5 + 800003f4: 0407b513 sltiu a0,a5,64 + 800003f8: 00f71933 sll s2,a4,a5 + 800003fc: d31ff0ef jal ra,8000012c <_assert> + 80000400: 00399793 slli a5,s3,0x3 + 80000404: 00f487b3 add a5,s1,a5 + 80000408: 0007b703 ld a4,0(a5) + 8000040c: 2604b423 sd zero,616(s1) + 80000410: 26e4b023 sd a4,608(s1) + 80000414: 00070463 beqz a4,8000041c + 80000418: 03473423 sd s4,40(a4) + 8000041c: 0147b023 sd s4,0(a5) + 80000420: 2004b503 ld a0,512(s1) + 80000424: 00a96533 or a0,s2,a0 + 80000428: 20a4b023 sd a0,512(s1) + 8000042c: 00a03533 snez a0,a0 + 80000430: cfdff0ef jal ra,8000012c <_assert> + 80000434: 00048513 mv a0,s1 + 80000438: 2084bc23 sd s0,536(s1) + 8000043c: 2204b023 sd zero,544(s1) + 80000440: 2204b423 sd zero,552(s1) + 80000444: 2204b823 sd zero,560(s1) + 80000448: 2204bc23 sd zero,568(s1) + 8000044c: 02813083 ld ra,40(sp) + 80000450: 02013403 ld s0,32(sp) + 80000454: 01813483 ld s1,24(sp) + 80000458: 01013903 ld s2,16(sp) + 8000045c: 00813983 ld s3,8(sp) + 80000460: 00013a03 ld s4,0(sp) + 80000464: 03010113 addi sp,sp,48 + 80000468: 00008067 ret + 8000046c: 00100513 li a0,1 + 80000470: fff40413 addi s0,s0,-1 + 80000474: cb9ff0ef jal ra,8000012c <_assert> + 80000478: 03f47793 andi a5,s0,63 + 8000047c: fe0798e3 bnez a5,8000046c + 80000480: ed1ff06f j 80000350 + 80000484: 00000513 li a0,0 + 80000488: 00008067 ret + 8000048c: 00100913 li s2,1 + 80000490: 00000993 li s3,0 + 80000494: 00100513 li a0,1 + 80000498: f65ff06f j 800003fc diff --git a/bin/non-output/cpu-tests/dummy-cpu-tests.bin b/bin/non-output/cpu-tests/dummy-cpu-tests.bin new file mode 100755 index 0000000..503629e Binary files /dev/null and b/bin/non-output/cpu-tests/dummy-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/dummy-cpu-tests.elf b/bin/non-output/cpu-tests/dummy-cpu-tests.elf new file mode 100755 index 0000000..fd2da0f Binary files /dev/null and b/bin/non-output/cpu-tests/dummy-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/dummy-cpu-tests.txt b/bin/non-output/cpu-tests/dummy-cpu-tests.txt new file mode 100755 index 0000000..0c9696d --- /dev/null +++ b/bin/non-output/cpu-tests/dummy-cpu-tests.txt @@ -0,0 +1,170 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/dummy-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 024000ef jal ra,80000030 <_trm_init> + +0000000080000010
: + 80000010: 00000513 li a0,0 + 80000014: 00008067 ret + +0000000080000018 <_assert>: + 80000018: 00051a63 bnez a0,8000002c <_assert+0x14> + 8000001c: 00100793 li a5,1 + 80000020: 00078513 mv a0,a5 + 80000024: 0000006b 0x6b + 80000028: 0000006f j 80000028 <_assert+0x10> + 8000002c: 00008067 ret + +0000000080000030 <_trm_init>: + 80000030: ff010113 addi sp,sp,-16 + 80000034: 00113423 sd ra,8(sp) + 80000038: 01c000ef jal ra,80000054 + 8000003c: 00000517 auipc a0,0x0 + 80000040: 22c50513 addi a0,a0,556 # 80000268 + 80000044: fcdff0ef jal ra,80000010
+ 80000048: 00050513 mv a0,a0 + 8000004c: 0000006b 0x6b + 80000050: 0000006f j 80000050 <_trm_init+0x20> + +0000000080000054 : + 80000054: 00000797 auipc a5,0x0 + 80000058: 21c78793 addi a5,a5,540 # 80000270 + 8000005c: 0007b503 ld a0,0(a5) + 80000060: 0087b583 ld a1,8(a5) + 80000064: ff010113 addi sp,sp,-16 + 80000068: 00000693 li a3,0 + 8000006c: 00000613 li a2,0 + 80000070: 40a585b3 sub a1,a1,a0 + 80000074: 00113423 sd ra,8(sp) + 80000078: 018000ef jal ra,80000090 + 8000007c: 00813083 ld ra,8(sp) + 80000080: 00000797 auipc a5,0x0 + 80000084: 20a7b023 sd a0,512(a5) # 80000280 + 80000088: 01010113 addi sp,sp,16 + 8000008c: 00008067 ret + +0000000080000090 : + 80000090: 1a050e63 beqz a0,8000024c + 80000094: fd010113 addi sp,sp,-48 + 80000098: 02813023 sd s0,32(sp) + 8000009c: 00913c23 sd s1,24(sp) + 800000a0: 01f57793 andi a5,a0,31 + 800000a4: 02113423 sd ra,40(sp) + 800000a8: 01213823 sd s2,16(sp) + 800000ac: 01313423 sd s3,8(sp) + 800000b0: 01413023 sd s4,0(sp) + 800000b4: 00050493 mv s1,a0 + 800000b8: 00050413 mv s0,a0 + 800000bc: 00000513 li a0,0 + 800000c0: 14079a63 bnez a5,80000214 + 800000c4: 27f00713 li a4,639 + 800000c8: 00058913 mv s2,a1 + 800000cc: 00078513 mv a0,a5 + 800000d0: 14b77263 bgeu a4,a1,80000214 + 800000d4: 0074f513 andi a0,s1,7 + 800000d8: 00153513 seqz a0,a0 + 800000dc: 00060a13 mv s4,a2 + 800000e0: 00068993 mv s3,a3 + 800000e4: f35ff0ef jal ra,80000018 <_assert> + 800000e8: 20048793 addi a5,s1,512 + 800000ec: 2004b023 sd zero,512(s1) + 800000f0: 2144b423 sd s4,520(s1) + 800000f4: 2134b823 sd s3,528(s1) + 800000f8: 00043023 sd zero,0(s0) + 800000fc: 00840413 addi s0,s0,8 + 80000100: fe879ce3 bne a5,s0,800000f8 + 80000104: fff00793 li a5,-1 + 80000108: dc090413 addi s0,s2,-576 + 8000010c: 03f79793 slli a5,a5,0x3f + 80000110: 1287f863 bgeu a5,s0,80000240 + 80000114: 00078413 mv s0,a5 + 80000118: 00100513 li a0,1 + 8000011c: efdff0ef jal ra,80000018 <_assert> + 80000120: f8300793 li a5,-125 + 80000124: 0017d793 srli a5,a5,0x1 + 80000128: fc040513 addi a0,s0,-64 + 8000012c: 00f53533 sltu a0,a0,a5 + 80000130: ee9ff0ef jal ra,80000018 <_assert> + 80000134: 01f4f513 andi a0,s1,31 + 80000138: 00153513 seqz a0,a0 + 8000013c: eddff0ef jal ra,80000018 <_assert> + 80000140: 00100513 li a0,1 + 80000144: 2404b023 sd zero,576(s1) + 80000148: 2404b423 sd zero,584(s1) + 8000014c: 2484b823 sd s0,592(s1) + 80000150: 24048c23 sb zero,600(s1) + 80000154: 2604b023 sd zero,608(s1) + 80000158: 2604b423 sd zero,616(s1) + 8000015c: ebdff0ef jal ra,80000018 <_assert> + 80000160: 00100513 li a0,1 + 80000164: eb5ff0ef jal ra,80000018 <_assert> + 80000168: 2504b503 ld a0,592(s1) + 8000016c: 24048a13 addi s4,s1,576 + 80000170: 04053513 sltiu a0,a0,64 + 80000174: 00154513 xori a0,a0,1 + 80000178: 00157513 andi a0,a0,1 + 8000017c: e9dff0ef jal ra,80000018 <_assert> + 80000180: 2504b503 ld a0,592(s1) + 80000184: 03f57513 andi a0,a0,63 + 80000188: 00153513 seqz a0,a0 + 8000018c: e8dff0ef jal ra,80000018 <_assert> + 80000190: 2504b703 ld a4,592(s1) + 80000194: 00100793 li a5,1 + 80000198: 00675713 srli a4,a4,0x6 + 8000019c: 0ae7fc63 bgeu a5,a4,80000254 + 800001a0: 00000793 li a5,0 + 800001a4: 00100693 li a3,1 + 800001a8: 0017879b addiw a5,a5,1 + 800001ac: 00175713 srli a4,a4,0x1 + 800001b0: 0ff7f793 andi a5,a5,255 + 800001b4: fed71ae3 bne a4,a3,800001a8 + 800001b8: 00078993 mv s3,a5 + 800001bc: 0407b513 sltiu a0,a5,64 + 800001c0: 00f71933 sll s2,a4,a5 + 800001c4: e55ff0ef jal ra,80000018 <_assert> + 800001c8: 00399793 slli a5,s3,0x3 + 800001cc: 00f487b3 add a5,s1,a5 + 800001d0: 0007b703 ld a4,0(a5) + 800001d4: 2604b423 sd zero,616(s1) + 800001d8: 26e4b023 sd a4,608(s1) + 800001dc: 00070463 beqz a4,800001e4 + 800001e0: 03473423 sd s4,40(a4) + 800001e4: 0147b023 sd s4,0(a5) + 800001e8: 2004b503 ld a0,512(s1) + 800001ec: 00a96533 or a0,s2,a0 + 800001f0: 20a4b023 sd a0,512(s1) + 800001f4: 00a03533 snez a0,a0 + 800001f8: e21ff0ef jal ra,80000018 <_assert> + 800001fc: 00048513 mv a0,s1 + 80000200: 2084bc23 sd s0,536(s1) + 80000204: 2204b023 sd zero,544(s1) + 80000208: 2204b423 sd zero,552(s1) + 8000020c: 2204b823 sd zero,560(s1) + 80000210: 2204bc23 sd zero,568(s1) + 80000214: 02813083 ld ra,40(sp) + 80000218: 02013403 ld s0,32(sp) + 8000021c: 01813483 ld s1,24(sp) + 80000220: 01013903 ld s2,16(sp) + 80000224: 00813983 ld s3,8(sp) + 80000228: 00013a03 ld s4,0(sp) + 8000022c: 03010113 addi sp,sp,48 + 80000230: 00008067 ret + 80000234: 00100513 li a0,1 + 80000238: fff40413 addi s0,s0,-1 + 8000023c: dddff0ef jal ra,80000018 <_assert> + 80000240: 03f47793 andi a5,s0,63 + 80000244: fe0798e3 bnez a5,80000234 + 80000248: ed1ff06f j 80000118 + 8000024c: 00000513 li a0,0 + 80000250: 00008067 ret + 80000254: 00100913 li s2,1 + 80000258: 00000993 li s3,0 + 8000025c: 00100513 li a0,1 + 80000260: f65ff06f j 800001c4 diff --git a/bin/non-output/cpu-tests/fact-cpu-tests.bin b/bin/non-output/cpu-tests/fact-cpu-tests.bin new file mode 100755 index 0000000..34321bb Binary files /dev/null and b/bin/non-output/cpu-tests/fact-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/fact-cpu-tests.elf b/bin/non-output/cpu-tests/fact-cpu-tests.elf new file mode 100755 index 0000000..83af688 Binary files /dev/null and b/bin/non-output/cpu-tests/fact-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/fact-cpu-tests.txt b/bin/non-output/cpu-tests/fact-cpu-tests.txt new file mode 100755 index 0000000..75bf141 --- /dev/null +++ b/bin/non-output/cpu-tests/fact-cpu-tests.txt @@ -0,0 +1,244 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/fact-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 110000ef jal ra,8000011c <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0d4000ef jal ra,800000f8 + +0000000080000028
: + 80000028: fc010113 addi sp,sp,-64 + 8000002c: 01313c23 sd s3,24(sp) + 80000030: 00000997 auipc s3,0x0 + 80000034: 35098993 addi s3,s3,848 # 80000380 + 80000038: 0009a503 lw a0,0(s3) + 8000003c: 00100593 li a1,1 + 80000040: 03213023 sd s2,32(sp) + 80000044: 40b50533 sub a0,a0,a1 + 80000048: 00000913 li s2,0 + 8000004c: 01413823 sd s4,16(sp) + 80000050: 01513423 sd s5,8(sp) + 80000054: 00000a17 auipc s4,0x0 + 80000058: 37ca0a13 addi s4,s4,892 # 800003d0 + 8000005c: 00d00a93 li s5,13 + 80000060: 0019091b addiw s2,s2,1 + 80000064: 00153513 seqz a0,a0 + 80000068: 02913423 sd s1,40(sp) + 8000006c: 02113c23 sd ra,56(sp) + 80000070: 02813823 sd s0,48(sp) + 80000074: 00100493 li s1,1 + 80000078: 00ba2023 sw a1,0(s4) + 8000007c: f95ff0ef jal ra,80000010 + 80000080: 05590463 beq s2,s5,800000c8 + 80000084: 06990663 beq s2,s1,800000f0 + 80000088: 00090413 mv s0,s2 + 8000008c: 00100593 li a1,1 + 80000090: 00040513 mv a0,s0 + 80000094: 0ac000ef jal ra,80000140 <__muldi3> + 80000098: fff4041b addiw s0,s0,-1 + 8000009c: 0005059b sext.w a1,a0 + 800000a0: fe9418e3 bne s0,s1,80000090 + 800000a4: 0049a503 lw a0,4(s3) + 800000a8: 0019091b addiw s2,s2,1 + 800000ac: 004a0a13 addi s4,s4,4 + 800000b0: 40b50533 sub a0,a0,a1 + 800000b4: 00153513 seqz a0,a0 + 800000b8: 00498993 addi s3,s3,4 + 800000bc: 00ba2023 sw a1,0(s4) + 800000c0: f51ff0ef jal ra,80000010 + 800000c4: fd5910e3 bne s2,s5,80000084 + 800000c8: 03813083 ld ra,56(sp) + 800000cc: 03013403 ld s0,48(sp) + 800000d0: 02813483 ld s1,40(sp) + 800000d4: 02013903 ld s2,32(sp) + 800000d8: 01813983 ld s3,24(sp) + 800000dc: 01013a03 ld s4,16(sp) + 800000e0: 00813a83 ld s5,8(sp) + 800000e4: 00000513 li a0,0 + 800000e8: 04010113 addi sp,sp,64 + 800000ec: 00008067 ret + 800000f0: 00100593 li a1,1 + 800000f4: fb1ff06f j 800000a4 + +00000000800000f8 : + 800000f8: 00050513 mv a0,a0 + 800000fc: 0000006b 0x6b + 80000100: 0000006f j 80000100 + +0000000080000104 <_assert>: + 80000104: 00051a63 bnez a0,80000118 <_assert+0x14> + 80000108: 00100793 li a5,1 + 8000010c: 00078513 mv a0,a5 + 80000110: 0000006b 0x6b + 80000114: 0000006f j 80000114 <_assert+0x10> + 80000118: 00008067 ret + +000000008000011c <_trm_init>: + 8000011c: ff010113 addi sp,sp,-16 + 80000120: 00113423 sd ra,8(sp) + 80000124: 040000ef jal ra,80000164 + 80000128: 00000517 auipc a0,0x0 + 8000012c: 25050513 addi a0,a0,592 # 80000378 + 80000130: ef9ff0ef jal ra,80000028
+ 80000134: 00050513 mv a0,a0 + 80000138: 0000006b 0x6b + 8000013c: 0000006f j 8000013c <_trm_init+0x20> + +0000000080000140 <__muldi3>: + 80000140: 00050613 mv a2,a0 + 80000144: 00000513 li a0,0 + 80000148: 0015f693 andi a3,a1,1 + 8000014c: 00068463 beqz a3,80000154 <__muldi3+0x14> + 80000150: 00c50533 add a0,a0,a2 + 80000154: 0015d593 srli a1,a1,0x1 + 80000158: 00161613 slli a2,a2,0x1 + 8000015c: fe0596e3 bnez a1,80000148 <__muldi3+0x8> + 80000160: 00008067 ret + +0000000080000164 : + 80000164: 00000797 auipc a5,0x0 + 80000168: 25478793 addi a5,a5,596 # 800003b8 + 8000016c: 0007b503 ld a0,0(a5) + 80000170: 0087b583 ld a1,8(a5) + 80000174: ff010113 addi sp,sp,-16 + 80000178: 00000693 li a3,0 + 8000017c: 00000613 li a2,0 + 80000180: 40a585b3 sub a1,a1,a0 + 80000184: 00113423 sd ra,8(sp) + 80000188: 018000ef jal ra,800001a0 + 8000018c: 00813083 ld ra,8(sp) + 80000190: 00000797 auipc a5,0x0 + 80000194: 22a7bc23 sd a0,568(a5) # 800003c8 + 80000198: 01010113 addi sp,sp,16 + 8000019c: 00008067 ret + +00000000800001a0 : + 800001a0: 1a050e63 beqz a0,8000035c + 800001a4: fd010113 addi sp,sp,-48 + 800001a8: 02813023 sd s0,32(sp) + 800001ac: 00913c23 sd s1,24(sp) + 800001b0: 01f57793 andi a5,a0,31 + 800001b4: 02113423 sd ra,40(sp) + 800001b8: 01213823 sd s2,16(sp) + 800001bc: 01313423 sd s3,8(sp) + 800001c0: 01413023 sd s4,0(sp) + 800001c4: 00050493 mv s1,a0 + 800001c8: 00050413 mv s0,a0 + 800001cc: 00000513 li a0,0 + 800001d0: 14079a63 bnez a5,80000324 + 800001d4: 27f00713 li a4,639 + 800001d8: 00058913 mv s2,a1 + 800001dc: 00078513 mv a0,a5 + 800001e0: 14b77263 bgeu a4,a1,80000324 + 800001e4: 0074f513 andi a0,s1,7 + 800001e8: 00153513 seqz a0,a0 + 800001ec: 00060a13 mv s4,a2 + 800001f0: 00068993 mv s3,a3 + 800001f4: f11ff0ef jal ra,80000104 <_assert> + 800001f8: 20048793 addi a5,s1,512 + 800001fc: 2004b023 sd zero,512(s1) + 80000200: 2144b423 sd s4,520(s1) + 80000204: 2134b823 sd s3,528(s1) + 80000208: 00043023 sd zero,0(s0) + 8000020c: 00840413 addi s0,s0,8 + 80000210: fe879ce3 bne a5,s0,80000208 + 80000214: fff00793 li a5,-1 + 80000218: dc090413 addi s0,s2,-576 + 8000021c: 03f79793 slli a5,a5,0x3f + 80000220: 1287f863 bgeu a5,s0,80000350 + 80000224: 00078413 mv s0,a5 + 80000228: 00100513 li a0,1 + 8000022c: ed9ff0ef jal ra,80000104 <_assert> + 80000230: f8300793 li a5,-125 + 80000234: 0017d793 srli a5,a5,0x1 + 80000238: fc040513 addi a0,s0,-64 + 8000023c: 00f53533 sltu a0,a0,a5 + 80000240: ec5ff0ef jal ra,80000104 <_assert> + 80000244: 01f4f513 andi a0,s1,31 + 80000248: 00153513 seqz a0,a0 + 8000024c: eb9ff0ef jal ra,80000104 <_assert> + 80000250: 00100513 li a0,1 + 80000254: 2404b023 sd zero,576(s1) + 80000258: 2404b423 sd zero,584(s1) + 8000025c: 2484b823 sd s0,592(s1) + 80000260: 24048c23 sb zero,600(s1) + 80000264: 2604b023 sd zero,608(s1) + 80000268: 2604b423 sd zero,616(s1) + 8000026c: e99ff0ef jal ra,80000104 <_assert> + 80000270: 00100513 li a0,1 + 80000274: e91ff0ef jal ra,80000104 <_assert> + 80000278: 2504b503 ld a0,592(s1) + 8000027c: 24048a13 addi s4,s1,576 + 80000280: 04053513 sltiu a0,a0,64 + 80000284: 00154513 xori a0,a0,1 + 80000288: 00157513 andi a0,a0,1 + 8000028c: e79ff0ef jal ra,80000104 <_assert> + 80000290: 2504b503 ld a0,592(s1) + 80000294: 03f57513 andi a0,a0,63 + 80000298: 00153513 seqz a0,a0 + 8000029c: e69ff0ef jal ra,80000104 <_assert> + 800002a0: 2504b703 ld a4,592(s1) + 800002a4: 00100793 li a5,1 + 800002a8: 00675713 srli a4,a4,0x6 + 800002ac: 0ae7fc63 bgeu a5,a4,80000364 + 800002b0: 00000793 li a5,0 + 800002b4: 00100693 li a3,1 + 800002b8: 0017879b addiw a5,a5,1 + 800002bc: 00175713 srli a4,a4,0x1 + 800002c0: 0ff7f793 andi a5,a5,255 + 800002c4: fed71ae3 bne a4,a3,800002b8 + 800002c8: 00078993 mv s3,a5 + 800002cc: 0407b513 sltiu a0,a5,64 + 800002d0: 00f71933 sll s2,a4,a5 + 800002d4: e31ff0ef jal ra,80000104 <_assert> + 800002d8: 00399793 slli a5,s3,0x3 + 800002dc: 00f487b3 add a5,s1,a5 + 800002e0: 0007b703 ld a4,0(a5) + 800002e4: 2604b423 sd zero,616(s1) + 800002e8: 26e4b023 sd a4,608(s1) + 800002ec: 00070463 beqz a4,800002f4 + 800002f0: 03473423 sd s4,40(a4) + 800002f4: 0147b023 sd s4,0(a5) + 800002f8: 2004b503 ld a0,512(s1) + 800002fc: 00a96533 or a0,s2,a0 + 80000300: 20a4b023 sd a0,512(s1) + 80000304: 00a03533 snez a0,a0 + 80000308: dfdff0ef jal ra,80000104 <_assert> + 8000030c: 00048513 mv a0,s1 + 80000310: 2084bc23 sd s0,536(s1) + 80000314: 2204b023 sd zero,544(s1) + 80000318: 2204b423 sd zero,552(s1) + 8000031c: 2204b823 sd zero,560(s1) + 80000320: 2204bc23 sd zero,568(s1) + 80000324: 02813083 ld ra,40(sp) + 80000328: 02013403 ld s0,32(sp) + 8000032c: 01813483 ld s1,24(sp) + 80000330: 01013903 ld s2,16(sp) + 80000334: 00813983 ld s3,8(sp) + 80000338: 00013a03 ld s4,0(sp) + 8000033c: 03010113 addi sp,sp,48 + 80000340: 00008067 ret + 80000344: 00100513 li a0,1 + 80000348: fff40413 addi s0,s0,-1 + 8000034c: db9ff0ef jal ra,80000104 <_assert> + 80000350: 03f47793 andi a5,s0,63 + 80000354: fe0798e3 bnez a5,80000344 + 80000358: ed1ff06f j 80000228 + 8000035c: 00000513 li a0,0 + 80000360: 00008067 ret + 80000364: 00100913 li s2,1 + 80000368: 00000993 li s3,0 + 8000036c: 00100513 li a0,1 + 80000370: f65ff06f j 800002d4 diff --git a/bin/non-output/cpu-tests/fib-cpu-tests.bin b/bin/non-output/cpu-tests/fib-cpu-tests.bin new file mode 100755 index 0000000..0b5a190 Binary files /dev/null and b/bin/non-output/cpu-tests/fib-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/fib-cpu-tests.elf b/bin/non-output/cpu-tests/fib-cpu-tests.elf new file mode 100755 index 0000000..9b68944 Binary files /dev/null and b/bin/non-output/cpu-tests/fib-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/fib-cpu-tests.txt b/bin/non-output/cpu-tests/fib-cpu-tests.txt new file mode 100755 index 0000000..4e252d7 --- /dev/null +++ b/bin/non-output/cpu-tests/fib-cpu-tests.txt @@ -0,0 +1,212 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/fib-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 0bc000ef jal ra,800000c8 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 080000ef jal ra,800000a4 + +0000000080000028
: + 80000028: fe010113 addi sp,sp,-32 + 8000002c: 00813823 sd s0,16(sp) + 80000030: 00913423 sd s1,8(sp) + 80000034: 01213023 sd s2,0(sp) + 80000038: 00113c23 sd ra,24(sp) + 8000003c: 00000417 auipc s0,0x0 + 80000040: 36c40413 addi s0,s0,876 # 800003a8 + 80000044: 00000497 auipc s1,0x0 + 80000048: 2cc48493 addi s1,s1,716 # 80000310 + 8000004c: 00000917 auipc s2,0x0 + 80000050: 3f490913 addi s2,s2,1012 # 80000440 + 80000054: 00442783 lw a5,4(s0) + 80000058: 00042703 lw a4,0(s0) + 8000005c: 0004a503 lw a0,0(s1) + 80000060: 00440413 addi s0,s0,4 + 80000064: 00e7873b addw a4,a5,a4 + 80000068: 40e50533 sub a0,a0,a4 + 8000006c: 00153513 seqz a0,a0 + 80000070: 00e42223 sw a4,4(s0) + 80000074: 00448493 addi s1,s1,4 + 80000078: f99ff0ef jal ra,80000010 + 8000007c: fd241ce3 bne s0,s2,80000054 + 80000080: 00100513 li a0,1 + 80000084: f8dff0ef jal ra,80000010 + 80000088: 01813083 ld ra,24(sp) + 8000008c: 01013403 ld s0,16(sp) + 80000090: 00813483 ld s1,8(sp) + 80000094: 00013903 ld s2,0(sp) + 80000098: 00000513 li a0,0 + 8000009c: 02010113 addi sp,sp,32 + 800000a0: 00008067 ret + +00000000800000a4 : + 800000a4: 00050513 mv a0,a0 + 800000a8: 0000006b 0x6b + 800000ac: 0000006f j 800000ac + +00000000800000b0 <_assert>: + 800000b0: 00051a63 bnez a0,800000c4 <_assert+0x14> + 800000b4: 00100793 li a5,1 + 800000b8: 00078513 mv a0,a5 + 800000bc: 0000006b 0x6b + 800000c0: 0000006f j 800000c0 <_assert+0x10> + 800000c4: 00008067 ret + +00000000800000c8 <_trm_init>: + 800000c8: ff010113 addi sp,sp,-16 + 800000cc: 00113423 sd ra,8(sp) + 800000d0: 01c000ef jal ra,800000ec + 800000d4: 00000517 auipc a0,0x0 + 800000d8: 22c50513 addi a0,a0,556 # 80000300 + 800000dc: f4dff0ef jal ra,80000028
+ 800000e0: 00050513 mv a0,a0 + 800000e4: 0000006b 0x6b + 800000e8: 0000006f j 800000e8 <_trm_init+0x20> + +00000000800000ec : + 800000ec: 00000797 auipc a5,0x0 + 800000f0: 35c78793 addi a5,a5,860 # 80000448 + 800000f4: 0007b503 ld a0,0(a5) + 800000f8: 0087b583 ld a1,8(a5) + 800000fc: ff010113 addi sp,sp,-16 + 80000100: 00000693 li a3,0 + 80000104: 00000613 li a2,0 + 80000108: 40a585b3 sub a1,a1,a0 + 8000010c: 00113423 sd ra,8(sp) + 80000110: 018000ef jal ra,80000128 + 80000114: 00813083 ld ra,8(sp) + 80000118: 00000797 auipc a5,0x0 + 8000011c: 34a7b023 sd a0,832(a5) # 80000458 + 80000120: 01010113 addi sp,sp,16 + 80000124: 00008067 ret + +0000000080000128 : + 80000128: 1a050e63 beqz a0,800002e4 + 8000012c: fd010113 addi sp,sp,-48 + 80000130: 02813023 sd s0,32(sp) + 80000134: 00913c23 sd s1,24(sp) + 80000138: 01f57793 andi a5,a0,31 + 8000013c: 02113423 sd ra,40(sp) + 80000140: 01213823 sd s2,16(sp) + 80000144: 01313423 sd s3,8(sp) + 80000148: 01413023 sd s4,0(sp) + 8000014c: 00050493 mv s1,a0 + 80000150: 00050413 mv s0,a0 + 80000154: 00000513 li a0,0 + 80000158: 14079a63 bnez a5,800002ac + 8000015c: 27f00713 li a4,639 + 80000160: 00058913 mv s2,a1 + 80000164: 00078513 mv a0,a5 + 80000168: 14b77263 bgeu a4,a1,800002ac + 8000016c: 0074f513 andi a0,s1,7 + 80000170: 00153513 seqz a0,a0 + 80000174: 00060a13 mv s4,a2 + 80000178: 00068993 mv s3,a3 + 8000017c: f35ff0ef jal ra,800000b0 <_assert> + 80000180: 20048793 addi a5,s1,512 + 80000184: 2004b023 sd zero,512(s1) + 80000188: 2144b423 sd s4,520(s1) + 8000018c: 2134b823 sd s3,528(s1) + 80000190: 00043023 sd zero,0(s0) + 80000194: 00840413 addi s0,s0,8 + 80000198: fe879ce3 bne a5,s0,80000190 + 8000019c: fff00793 li a5,-1 + 800001a0: dc090413 addi s0,s2,-576 + 800001a4: 03f79793 slli a5,a5,0x3f + 800001a8: 1287f863 bgeu a5,s0,800002d8 + 800001ac: 00078413 mv s0,a5 + 800001b0: 00100513 li a0,1 + 800001b4: efdff0ef jal ra,800000b0 <_assert> + 800001b8: f8300793 li a5,-125 + 800001bc: 0017d793 srli a5,a5,0x1 + 800001c0: fc040513 addi a0,s0,-64 + 800001c4: 00f53533 sltu a0,a0,a5 + 800001c8: ee9ff0ef jal ra,800000b0 <_assert> + 800001cc: 01f4f513 andi a0,s1,31 + 800001d0: 00153513 seqz a0,a0 + 800001d4: eddff0ef jal ra,800000b0 <_assert> + 800001d8: 00100513 li a0,1 + 800001dc: 2404b023 sd zero,576(s1) + 800001e0: 2404b423 sd zero,584(s1) + 800001e4: 2484b823 sd s0,592(s1) + 800001e8: 24048c23 sb zero,600(s1) + 800001ec: 2604b023 sd zero,608(s1) + 800001f0: 2604b423 sd zero,616(s1) + 800001f4: ebdff0ef jal ra,800000b0 <_assert> + 800001f8: 00100513 li a0,1 + 800001fc: eb5ff0ef jal ra,800000b0 <_assert> + 80000200: 2504b503 ld a0,592(s1) + 80000204: 24048a13 addi s4,s1,576 + 80000208: 04053513 sltiu a0,a0,64 + 8000020c: 00154513 xori a0,a0,1 + 80000210: 00157513 andi a0,a0,1 + 80000214: e9dff0ef jal ra,800000b0 <_assert> + 80000218: 2504b503 ld a0,592(s1) + 8000021c: 03f57513 andi a0,a0,63 + 80000220: 00153513 seqz a0,a0 + 80000224: e8dff0ef jal ra,800000b0 <_assert> + 80000228: 2504b703 ld a4,592(s1) + 8000022c: 00100793 li a5,1 + 80000230: 00675713 srli a4,a4,0x6 + 80000234: 0ae7fc63 bgeu a5,a4,800002ec + 80000238: 00000793 li a5,0 + 8000023c: 00100693 li a3,1 + 80000240: 0017879b addiw a5,a5,1 + 80000244: 00175713 srli a4,a4,0x1 + 80000248: 0ff7f793 andi a5,a5,255 + 8000024c: fed71ae3 bne a4,a3,80000240 + 80000250: 00078993 mv s3,a5 + 80000254: 0407b513 sltiu a0,a5,64 + 80000258: 00f71933 sll s2,a4,a5 + 8000025c: e55ff0ef jal ra,800000b0 <_assert> + 80000260: 00399793 slli a5,s3,0x3 + 80000264: 00f487b3 add a5,s1,a5 + 80000268: 0007b703 ld a4,0(a5) + 8000026c: 2604b423 sd zero,616(s1) + 80000270: 26e4b023 sd a4,608(s1) + 80000274: 00070463 beqz a4,8000027c + 80000278: 03473423 sd s4,40(a4) + 8000027c: 0147b023 sd s4,0(a5) + 80000280: 2004b503 ld a0,512(s1) + 80000284: 00a96533 or a0,s2,a0 + 80000288: 20a4b023 sd a0,512(s1) + 8000028c: 00a03533 snez a0,a0 + 80000290: e21ff0ef jal ra,800000b0 <_assert> + 80000294: 00048513 mv a0,s1 + 80000298: 2084bc23 sd s0,536(s1) + 8000029c: 2204b023 sd zero,544(s1) + 800002a0: 2204b423 sd zero,552(s1) + 800002a4: 2204b823 sd zero,560(s1) + 800002a8: 2204bc23 sd zero,568(s1) + 800002ac: 02813083 ld ra,40(sp) + 800002b0: 02013403 ld s0,32(sp) + 800002b4: 01813483 ld s1,24(sp) + 800002b8: 01013903 ld s2,16(sp) + 800002bc: 00813983 ld s3,8(sp) + 800002c0: 00013a03 ld s4,0(sp) + 800002c4: 03010113 addi sp,sp,48 + 800002c8: 00008067 ret + 800002cc: 00100513 li a0,1 + 800002d0: fff40413 addi s0,s0,-1 + 800002d4: dddff0ef jal ra,800000b0 <_assert> + 800002d8: 03f47793 andi a5,s0,63 + 800002dc: fe0798e3 bnez a5,800002cc + 800002e0: ed1ff06f j 800001b0 + 800002e4: 00000513 li a0,0 + 800002e8: 00008067 ret + 800002ec: 00100913 li s2,1 + 800002f0: 00000993 li s3,0 + 800002f4: 00100513 li a0,1 + 800002f8: f65ff06f j 8000025c diff --git a/bin/non-output/cpu-tests/goldbach-cpu-tests.bin b/bin/non-output/cpu-tests/goldbach-cpu-tests.bin new file mode 100755 index 0000000..7d0ba2d Binary files /dev/null and b/bin/non-output/cpu-tests/goldbach-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/goldbach-cpu-tests.elf b/bin/non-output/cpu-tests/goldbach-cpu-tests.elf new file mode 100755 index 0000000..e512cb8 Binary files /dev/null and b/bin/non-output/cpu-tests/goldbach-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/goldbach-cpu-tests.txt b/bin/non-output/cpu-tests/goldbach-cpu-tests.txt new file mode 100755 index 0000000..e5ad2d5 --- /dev/null +++ b/bin/non-output/cpu-tests/goldbach-cpu-tests.txt @@ -0,0 +1,340 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/goldbach-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 17c000ef jal ra,80000188 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 140000ef jal ra,80000164 + +0000000080000028 : + 80000028: 00200793 li a5,2 + 8000002c: 0ea7d263 bge a5,a0,80000110 + 80000030: fc010113 addi sp,sp,-64 + 80000034: 02813823 sd s0,48(sp) + 80000038: 03213023 sd s2,32(sp) + 8000003c: 01413823 sd s4,16(sp) + 80000040: 01513423 sd s5,8(sp) + 80000044: 01613023 sd s6,0(sp) + 80000048: 02113c23 sd ra,56(sp) + 8000004c: 02913423 sd s1,40(sp) + 80000050: 01313c23 sd s3,24(sp) + 80000054: 00050a93 mv s5,a0 + 80000058: ffe5091b addiw s2,a0,-2 + 8000005c: 00200413 li s0,2 + 80000060: 00200a13 li s4,2 + 80000064: 00100b13 li s6,1 + 80000068: 03440863 beq s0,s4,80000098 + 8000006c: 00147793 andi a5,s0,1 + 80000070: 08078663 beqz a5,800000fc + 80000074: 00200493 li s1,2 + 80000078: 0100006f j 80000088 + 8000007c: 1f4000ef jal ra,80000270 <__moddi3> + 80000080: 0005051b sext.w a0,a0 + 80000084: 06050c63 beqz a0,800000fc + 80000088: 0014849b addiw s1,s1,1 + 8000008c: 00040513 mv a0,s0 + 80000090: 00048593 mv a1,s1 + 80000094: fe8494e3 bne s1,s0,8000007c + 80000098: 0009099b sext.w s3,s2 + 8000009c: 07690063 beq s2,s6,800000fc + 800000a0: 03498863 beq s3,s4,800000d0 + 800000a4: 00197793 andi a5,s2,1 + 800000a8: 04078a63 beqz a5,800000fc + 800000ac: 00200493 li s1,2 + 800000b0: 0100006f j 800000c0 + 800000b4: 1bc000ef jal ra,80000270 <__moddi3> + 800000b8: 0005051b sext.w a0,a0 + 800000bc: 04050063 beqz a0,800000fc + 800000c0: 0014849b addiw s1,s1,1 + 800000c4: 00098513 mv a0,s3 + 800000c8: 00048593 mv a1,s1 + 800000cc: fe9994e3 bne s3,s1,800000b4 + 800000d0: 00100513 li a0,1 + 800000d4: 03813083 ld ra,56(sp) + 800000d8: 03013403 ld s0,48(sp) + 800000dc: 02813483 ld s1,40(sp) + 800000e0: 02013903 ld s2,32(sp) + 800000e4: 01813983 ld s3,24(sp) + 800000e8: 01013a03 ld s4,16(sp) + 800000ec: 00813a83 ld s5,8(sp) + 800000f0: 00013b03 ld s6,0(sp) + 800000f4: 04010113 addi sp,sp,64 + 800000f8: 00008067 ret + 800000fc: 0014041b addiw s0,s0,1 + 80000100: fff9091b addiw s2,s2,-1 + 80000104: f68a92e3 bne s5,s0,80000068 + 80000108: 00000513 li a0,0 + 8000010c: fc9ff06f j 800000d4 + 80000110: 00000513 li a0,0 + 80000114: 00008067 ret + +0000000080000118
: + 80000118: fe010113 addi sp,sp,-32 + 8000011c: 00813823 sd s0,16(sp) + 80000120: 00913423 sd s1,8(sp) + 80000124: 00113c23 sd ra,24(sp) + 80000128: 00400413 li s0,4 + 8000012c: 02000493 li s1,32 + 80000130: 00040513 mv a0,s0 + 80000134: ef5ff0ef jal ra,80000028 + 80000138: fff50513 addi a0,a0,-1 + 8000013c: 0024041b addiw s0,s0,2 + 80000140: 00153513 seqz a0,a0 + 80000144: ecdff0ef jal ra,80000010 + 80000148: fe9414e3 bne s0,s1,80000130 + 8000014c: 01813083 ld ra,24(sp) + 80000150: 01013403 ld s0,16(sp) + 80000154: 00813483 ld s1,8(sp) + 80000158: 00000513 li a0,0 + 8000015c: 02010113 addi sp,sp,32 + 80000160: 00008067 ret + +0000000080000164 : + 80000164: 00050513 mv a0,a0 + 80000168: 0000006b 0x6b + 8000016c: 0000006f j 8000016c + +0000000080000170 <_assert>: + 80000170: 00051a63 bnez a0,80000184 <_assert+0x14> + 80000174: 00100793 li a5,1 + 80000178: 00078513 mv a0,a5 + 8000017c: 0000006b 0x6b + 80000180: 0000006f j 80000180 <_assert+0x10> + 80000184: 00008067 ret + +0000000080000188 <_trm_init>: + 80000188: ff010113 addi sp,sp,-16 + 8000018c: 00113423 sd ra,8(sp) + 80000190: 11c000ef jal ra,800002ac + 80000194: 00000517 auipc a0,0x0 + 80000198: 32c50513 addi a0,a0,812 # 800004c0 + 8000019c: f7dff0ef jal ra,80000118
+ 800001a0: 00050513 mv a0,a0 + 800001a4: 0000006b 0x6b + 800001a8: 0000006f j 800001a8 <_trm_init+0x20> + +00000000800001ac <__udivsi3>: + 800001ac: 02051513 slli a0,a0,0x20 + 800001b0: 02059593 slli a1,a1,0x20 + 800001b4: 00008293 mv t0,ra + 800001b8: 03c000ef jal ra,800001f4 <__udivdi3> + 800001bc: 0005051b sext.w a0,a0 + 800001c0: 00028067 jr t0 + +00000000800001c4 <__umodsi3>: + 800001c4: 02051513 slli a0,a0,0x20 + 800001c8: 02059593 slli a1,a1,0x20 + 800001cc: 02055513 srli a0,a0,0x20 + 800001d0: 0205d593 srli a1,a1,0x20 + 800001d4: 00008293 mv t0,ra + 800001d8: 01c000ef jal ra,800001f4 <__udivdi3> + 800001dc: 0005851b sext.w a0,a1 + 800001e0: 00028067 jr t0 + +00000000800001e4 <__divsi3>: + 800001e4: fff00293 li t0,-1 + 800001e8: 0a558c63 beq a1,t0,800002a0 <__moddi3+0x30> + +00000000800001ec <__divdi3>: + 800001ec: 06054063 bltz a0,8000024c <__umoddi3+0x10> + 800001f0: 0605c663 bltz a1,8000025c <__umoddi3+0x20> + +00000000800001f4 <__udivdi3>: + 800001f4: 00058613 mv a2,a1 + 800001f8: 00050593 mv a1,a0 + 800001fc: fff00513 li a0,-1 + 80000200: 02060c63 beqz a2,80000238 <__udivdi3+0x44> + 80000204: 00100693 li a3,1 + 80000208: 00b67a63 bgeu a2,a1,8000021c <__udivdi3+0x28> + 8000020c: 00c05863 blez a2,8000021c <__udivdi3+0x28> + 80000210: 00161613 slli a2,a2,0x1 + 80000214: 00169693 slli a3,a3,0x1 + 80000218: feb66ae3 bltu a2,a1,8000020c <__udivdi3+0x18> + 8000021c: 00000513 li a0,0 + 80000220: 00c5e663 bltu a1,a2,8000022c <__udivdi3+0x38> + 80000224: 40c585b3 sub a1,a1,a2 + 80000228: 00d56533 or a0,a0,a3 + 8000022c: 0016d693 srli a3,a3,0x1 + 80000230: 00165613 srli a2,a2,0x1 + 80000234: fe0696e3 bnez a3,80000220 <__udivdi3+0x2c> + 80000238: 00008067 ret + +000000008000023c <__umoddi3>: + 8000023c: 00008293 mv t0,ra + 80000240: fb5ff0ef jal ra,800001f4 <__udivdi3> + 80000244: 00058513 mv a0,a1 + 80000248: 00028067 jr t0 + 8000024c: 40a00533 neg a0,a0 + 80000250: 00b04863 bgtz a1,80000260 <__umoddi3+0x24> + 80000254: 40b005b3 neg a1,a1 + 80000258: f9dff06f j 800001f4 <__udivdi3> + 8000025c: 40b005b3 neg a1,a1 + 80000260: 00008293 mv t0,ra + 80000264: f91ff0ef jal ra,800001f4 <__udivdi3> + 80000268: 40a00533 neg a0,a0 + 8000026c: 00028067 jr t0 + +0000000080000270 <__moddi3>: + 80000270: 00008293 mv t0,ra + 80000274: 0005ca63 bltz a1,80000288 <__moddi3+0x18> + 80000278: 00054c63 bltz a0,80000290 <__moddi3+0x20> + 8000027c: f79ff0ef jal ra,800001f4 <__udivdi3> + 80000280: 00058513 mv a0,a1 + 80000284: 00028067 jr t0 + 80000288: 40b005b3 neg a1,a1 + 8000028c: fe0558e3 bgez a0,8000027c <__moddi3+0xc> + 80000290: 40a00533 neg a0,a0 + 80000294: f61ff0ef jal ra,800001f4 <__udivdi3> + 80000298: 40b00533 neg a0,a1 + 8000029c: 00028067 jr t0 + 800002a0: 01f29293 slli t0,t0,0x1f + 800002a4: f45514e3 bne a0,t0,800001ec <__divdi3> + 800002a8: 00008067 ret + +00000000800002ac : + 800002ac: 00000797 auipc a5,0x0 + 800002b0: 21c78793 addi a5,a5,540 # 800004c8 + 800002b4: 0007b503 ld a0,0(a5) + 800002b8: 0087b583 ld a1,8(a5) + 800002bc: ff010113 addi sp,sp,-16 + 800002c0: 00000693 li a3,0 + 800002c4: 00000613 li a2,0 + 800002c8: 40a585b3 sub a1,a1,a0 + 800002cc: 00113423 sd ra,8(sp) + 800002d0: 018000ef jal ra,800002e8 + 800002d4: 00813083 ld ra,8(sp) + 800002d8: 00000797 auipc a5,0x0 + 800002dc: 20a7b023 sd a0,512(a5) # 800004d8 + 800002e0: 01010113 addi sp,sp,16 + 800002e4: 00008067 ret + +00000000800002e8 : + 800002e8: 1a050e63 beqz a0,800004a4 + 800002ec: fd010113 addi sp,sp,-48 + 800002f0: 02813023 sd s0,32(sp) + 800002f4: 00913c23 sd s1,24(sp) + 800002f8: 01f57793 andi a5,a0,31 + 800002fc: 02113423 sd ra,40(sp) + 80000300: 01213823 sd s2,16(sp) + 80000304: 01313423 sd s3,8(sp) + 80000308: 01413023 sd s4,0(sp) + 8000030c: 00050493 mv s1,a0 + 80000310: 00050413 mv s0,a0 + 80000314: 00000513 li a0,0 + 80000318: 14079a63 bnez a5,8000046c + 8000031c: 27f00713 li a4,639 + 80000320: 00058913 mv s2,a1 + 80000324: 00078513 mv a0,a5 + 80000328: 14b77263 bgeu a4,a1,8000046c + 8000032c: 0074f513 andi a0,s1,7 + 80000330: 00153513 seqz a0,a0 + 80000334: 00060a13 mv s4,a2 + 80000338: 00068993 mv s3,a3 + 8000033c: e35ff0ef jal ra,80000170 <_assert> + 80000340: 20048793 addi a5,s1,512 + 80000344: 2004b023 sd zero,512(s1) + 80000348: 2144b423 sd s4,520(s1) + 8000034c: 2134b823 sd s3,528(s1) + 80000350: 00043023 sd zero,0(s0) + 80000354: 00840413 addi s0,s0,8 + 80000358: fe879ce3 bne a5,s0,80000350 + 8000035c: fff00793 li a5,-1 + 80000360: dc090413 addi s0,s2,-576 + 80000364: 03f79793 slli a5,a5,0x3f + 80000368: 1287f863 bgeu a5,s0,80000498 + 8000036c: 00078413 mv s0,a5 + 80000370: 00100513 li a0,1 + 80000374: dfdff0ef jal ra,80000170 <_assert> + 80000378: f8300793 li a5,-125 + 8000037c: 0017d793 srli a5,a5,0x1 + 80000380: fc040513 addi a0,s0,-64 + 80000384: 00f53533 sltu a0,a0,a5 + 80000388: de9ff0ef jal ra,80000170 <_assert> + 8000038c: 01f4f513 andi a0,s1,31 + 80000390: 00153513 seqz a0,a0 + 80000394: dddff0ef jal ra,80000170 <_assert> + 80000398: 00100513 li a0,1 + 8000039c: 2404b023 sd zero,576(s1) + 800003a0: 2404b423 sd zero,584(s1) + 800003a4: 2484b823 sd s0,592(s1) + 800003a8: 24048c23 sb zero,600(s1) + 800003ac: 2604b023 sd zero,608(s1) + 800003b0: 2604b423 sd zero,616(s1) + 800003b4: dbdff0ef jal ra,80000170 <_assert> + 800003b8: 00100513 li a0,1 + 800003bc: db5ff0ef jal ra,80000170 <_assert> + 800003c0: 2504b503 ld a0,592(s1) + 800003c4: 24048a13 addi s4,s1,576 + 800003c8: 04053513 sltiu a0,a0,64 + 800003cc: 00154513 xori a0,a0,1 + 800003d0: 00157513 andi a0,a0,1 + 800003d4: d9dff0ef jal ra,80000170 <_assert> + 800003d8: 2504b503 ld a0,592(s1) + 800003dc: 03f57513 andi a0,a0,63 + 800003e0: 00153513 seqz a0,a0 + 800003e4: d8dff0ef jal ra,80000170 <_assert> + 800003e8: 2504b703 ld a4,592(s1) + 800003ec: 00100793 li a5,1 + 800003f0: 00675713 srli a4,a4,0x6 + 800003f4: 0ae7fc63 bgeu a5,a4,800004ac + 800003f8: 00000793 li a5,0 + 800003fc: 00100693 li a3,1 + 80000400: 0017879b addiw a5,a5,1 + 80000404: 00175713 srli a4,a4,0x1 + 80000408: 0ff7f793 andi a5,a5,255 + 8000040c: fed71ae3 bne a4,a3,80000400 + 80000410: 00078993 mv s3,a5 + 80000414: 0407b513 sltiu a0,a5,64 + 80000418: 00f71933 sll s2,a4,a5 + 8000041c: d55ff0ef jal ra,80000170 <_assert> + 80000420: 00399793 slli a5,s3,0x3 + 80000424: 00f487b3 add a5,s1,a5 + 80000428: 0007b703 ld a4,0(a5) + 8000042c: 2604b423 sd zero,616(s1) + 80000430: 26e4b023 sd a4,608(s1) + 80000434: 00070463 beqz a4,8000043c + 80000438: 03473423 sd s4,40(a4) + 8000043c: 0147b023 sd s4,0(a5) + 80000440: 2004b503 ld a0,512(s1) + 80000444: 00a96533 or a0,s2,a0 + 80000448: 20a4b023 sd a0,512(s1) + 8000044c: 00a03533 snez a0,a0 + 80000450: d21ff0ef jal ra,80000170 <_assert> + 80000454: 00048513 mv a0,s1 + 80000458: 2084bc23 sd s0,536(s1) + 8000045c: 2204b023 sd zero,544(s1) + 80000460: 2204b423 sd zero,552(s1) + 80000464: 2204b823 sd zero,560(s1) + 80000468: 2204bc23 sd zero,568(s1) + 8000046c: 02813083 ld ra,40(sp) + 80000470: 02013403 ld s0,32(sp) + 80000474: 01813483 ld s1,24(sp) + 80000478: 01013903 ld s2,16(sp) + 8000047c: 00813983 ld s3,8(sp) + 80000480: 00013a03 ld s4,0(sp) + 80000484: 03010113 addi sp,sp,48 + 80000488: 00008067 ret + 8000048c: 00100513 li a0,1 + 80000490: fff40413 addi s0,s0,-1 + 80000494: cddff0ef jal ra,80000170 <_assert> + 80000498: 03f47793 andi a5,s0,63 + 8000049c: fe0798e3 bnez a5,8000048c + 800004a0: ed1ff06f j 80000370 + 800004a4: 00000513 li a0,0 + 800004a8: 00008067 ret + 800004ac: 00100913 li s2,1 + 800004b0: 00000993 li s3,0 + 800004b4: 00100513 li a0,1 + 800004b8: f65ff06f j 8000041c diff --git a/bin/non-output/cpu-tests/hello-str-cpu-tests.bin b/bin/non-output/cpu-tests/hello-str-cpu-tests.bin new file mode 100755 index 0000000..f601ed3 Binary files /dev/null and b/bin/non-output/cpu-tests/hello-str-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/hello-str-cpu-tests.elf b/bin/non-output/cpu-tests/hello-str-cpu-tests.elf new file mode 100755 index 0000000..1394263 Binary files /dev/null and b/bin/non-output/cpu-tests/hello-str-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/hello-str-cpu-tests.txt b/bin/non-output/cpu-tests/hello-str-cpu-tests.txt new file mode 100755 index 0000000..27b8946 --- /dev/null +++ b/bin/non-output/cpu-tests/hello-str-cpu-tests.txt @@ -0,0 +1,1410 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/hello-str-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0000a117 auipc sp,0xa + 80000008: ffc10113 addi sp,sp,-4 # 8000a000 <_end> + 8000000c: 108000ef jal ra,80000114 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0cc000ef jal ra,800000f0 + +0000000080000028
: + 80000028: ff010113 addi sp,sp,-16 + 8000002c: 00001617 auipc a2,0x1 + 80000030: 51460613 addi a2,a2,1300 # 80001540 <_etext+0x4> + 80000034: 00001597 auipc a1,0x1 + 80000038: 51c58593 addi a1,a1,1308 # 80001550 <_etext+0x14> + 8000003c: 00001517 auipc a0,0x1 + 80000040: 74c50513 addi a0,a0,1868 # 80001788 + 80000044: 00113423 sd ra,8(sp) + 80000048: 1d0010ef jal ra,80001218 + 8000004c: 00001597 auipc a1,0x1 + 80000050: 4f458593 addi a1,a1,1268 # 80001540 <_etext+0x4> + 80000054: 00001517 auipc a0,0x1 + 80000058: 73450513 addi a0,a0,1844 # 80001788 + 8000005c: 0dc000ef jal ra,80000138 + 80000060: 00153513 seqz a0,a0 + 80000064: fadff0ef jal ra,80000010 + 80000068: 00200713 li a4,2 + 8000006c: 00100693 li a3,1 + 80000070: 00100613 li a2,1 + 80000074: 00001597 auipc a1,0x1 + 80000078: 4e458593 addi a1,a1,1252 # 80001558 <_etext+0x1c> + 8000007c: 00001517 auipc a0,0x1 + 80000080: 70c50513 addi a0,a0,1804 # 80001788 + 80000084: 194010ef jal ra,80001218 + 80000088: 00001597 auipc a1,0x1 + 8000008c: 4e058593 addi a1,a1,1248 # 80001568 <_etext+0x2c> + 80000090: 00001517 auipc a0,0x1 + 80000094: 6f850513 addi a0,a0,1784 # 80001788 + 80000098: 0a0000ef jal ra,80000138 + 8000009c: 00153513 seqz a0,a0 + 800000a0: f71ff0ef jal ra,80000010 + 800000a4: 00c00713 li a4,12 + 800000a8: 00a00693 li a3,10 + 800000ac: 00200613 li a2,2 + 800000b0: 00001597 auipc a1,0x1 + 800000b4: 4a858593 addi a1,a1,1192 # 80001558 <_etext+0x1c> + 800000b8: 00001517 auipc a0,0x1 + 800000bc: 6d050513 addi a0,a0,1744 # 80001788 + 800000c0: 158010ef jal ra,80001218 + 800000c4: 00001597 auipc a1,0x1 + 800000c8: 4b458593 addi a1,a1,1204 # 80001578 <_etext+0x3c> + 800000cc: 00001517 auipc a0,0x1 + 800000d0: 6bc50513 addi a0,a0,1724 # 80001788 + 800000d4: 064000ef jal ra,80000138 + 800000d8: 00153513 seqz a0,a0 + 800000dc: f35ff0ef jal ra,80000010 + 800000e0: 00813083 ld ra,8(sp) + 800000e4: 00000513 li a0,0 + 800000e8: 01010113 addi sp,sp,16 + 800000ec: 00008067 ret + +00000000800000f0 : + 800000f0: 00050513 mv a0,a0 + 800000f4: 0000006b 0x6b + 800000f8: 0000006f j 800000f8 + +00000000800000fc <_assert>: + 800000fc: 00051a63 bnez a0,80000110 <_assert+0x14> + 80000100: 00100793 li a5,1 + 80000104: 00078513 mv a0,a5 + 80000108: 0000006b 0x6b + 8000010c: 0000006f j 8000010c <_assert+0x10> + 80000110: 00008067 ret + +0000000080000114 <_trm_init>: + 80000114: ff010113 addi sp,sp,-16 + 80000118: 00113423 sd ra,8(sp) + 8000011c: 044000ef jal ra,80000160 + 80000120: 00001517 auipc a0,0x1 + 80000124: 64850513 addi a0,a0,1608 # 80001768 + 80000128: f01ff0ef jal ra,80000028
+ 8000012c: 00050513 mv a0,a0 + 80000130: 0000006b 0x6b + 80000134: 0000006f j 80000134 <_trm_init+0x20> + +0000000080000138 : + 80000138: 00054783 lbu a5,0(a0) + 8000013c: 00158593 addi a1,a1,1 + 80000140: 00150513 addi a0,a0,1 + 80000144: fff5c703 lbu a4,-1(a1) + 80000148: 00078863 beqz a5,80000158 + 8000014c: fee786e3 beq a5,a4,80000138 + 80000150: 40e7853b subw a0,a5,a4 + 80000154: 00008067 ret + 80000158: 40e0053b negw a0,a4 + 8000015c: 00008067 ret + +0000000080000160 : + 80000160: 00001797 auipc a5,0x1 + 80000164: 61078793 addi a5,a5,1552 # 80001770 + 80000168: 0007b503 ld a0,0(a5) + 8000016c: 0087b583 ld a1,8(a5) + 80000170: ff010113 addi sp,sp,-16 + 80000174: 00000693 li a3,0 + 80000178: 00000613 li a2,0 + 8000017c: 40a585b3 sub a1,a1,a0 + 80000180: 00113423 sd ra,8(sp) + 80000184: 0e4010ef jal ra,80001268 + 80000188: 00813083 ld ra,8(sp) + 8000018c: 00001797 auipc a5,0x1 + 80000190: 5ea7ba23 sd a0,1524(a5) # 80001780 + 80000194: 01010113 addi sp,sp,16 + 80000198: 00008067 ret + +000000008000019c <_out_buffer>: + 8000019c: 00d67663 bgeu a2,a3,800001a8 <_out_buffer+0xc> + 800001a0: 00c585b3 add a1,a1,a2 + 800001a4: 00a58023 sb a0,0(a1) + 800001a8: 00008067 ret + +00000000800001ac <_out_null>: + 800001ac: 00008067 ret + +00000000800001b0 <_ntoa_format>: + 800001b0: fa010113 addi sp,sp,-96 + 800001b4: 07012303 lw t1,112(sp) + 800001b8: 03313c23 sd s3,56(sp) + 800001bc: 03413823 sd s4,48(sp) + 800001c0: 03513423 sd s5,40(sp) + 800001c4: 03613023 sd s6,32(sp) + 800001c8: 01713c23 sd s7,24(sp) + 800001cc: 01813823 sd s8,16(sp) + 800001d0: 01913423 sd s9,8(sp) + 800001d4: 00237e13 andi t3,t1,2 + 800001d8: 01037e93 andi t4,t1,16 + 800001dc: 04113c23 sd ra,88(sp) + 800001e0: 04813823 sd s0,80(sp) + 800001e4: 04913423 sd s1,72(sp) + 800001e8: 05213023 sd s2,64(sp) + 800001ec: 06012f03 lw t5,96(sp) + 800001f0: 06812c03 lw s8,104(sp) + 800001f4: 00050a13 mv s4,a0 + 800001f8: 00058a93 mv s5,a1 + 800001fc: 00060b93 mv s7,a2 + 80000200: 00068b13 mv s6,a3 + 80000204: 00070993 mv s3,a4 + 80000208: 000e0c9b sext.w s9,t3 + 8000020c: 000e8e9b sext.w t4,t4 + 80000210: 060e1c63 bnez t3,80000288 <_ntoa_format+0xd8> + 80000214: 020f1693 slli a3,t5,0x20 + 80000218: 00137513 andi a0,t1,1 + 8000021c: 0206d693 srli a3,a3,0x20 + 80000220: 180c1e63 bnez s8,800003bc <_ntoa_format+0x20c> + 80000224: 02d7f663 bgeu a5,a3,80000250 <_ntoa_format+0xa0> + 80000228: 02000713 li a4,32 + 8000022c: 36e78e63 beq a5,a4,800005a8 <_ntoa_format+0x3f8> + 80000230: 03000613 li a2,48 + 80000234: 02000593 li a1,32 + 80000238: 0080006f j 80000240 <_ntoa_format+0x90> + 8000023c: 00b78a63 beq a5,a1,80000250 <_ntoa_format+0xa0> + 80000240: 00178793 addi a5,a5,1 + 80000244: 00f98733 add a4,s3,a5 + 80000248: fec70fa3 sb a2,-1(a4) + 8000024c: fed7e8e3 bltu a5,a3,8000023c <_ntoa_format+0x8c> + 80000250: 02050c63 beqz a0,80000288 <_ntoa_format+0xd8> + 80000254: 020c1913 slli s2,s8,0x20 + 80000258: 02095913 srli s2,s2,0x20 + 8000025c: 0327f663 bgeu a5,s2,80000288 <_ntoa_format+0xd8> + 80000260: 02000713 li a4,32 + 80000264: 36e78863 beq a5,a4,800005d4 <_ntoa_format+0x424> + 80000268: 03000693 li a3,48 + 8000026c: 02000613 li a2,32 + 80000270: 0080006f j 80000278 <_ntoa_format+0xc8> + 80000274: 16c78463 beq a5,a2,800003dc <_ntoa_format+0x22c> + 80000278: 00178793 addi a5,a5,1 + 8000027c: 00f98733 add a4,s3,a5 + 80000280: fed70fa3 sb a3,-1(a4) + 80000284: ff2798e3 bne a5,s2,80000274 <_ntoa_format+0xc4> + 80000288: 1a0e8663 beqz t4,80000434 <_ntoa_format+0x284> + 8000028c: 40037713 andi a4,t1,1024 + 80000290: 20071463 bnez a4,80000498 <_ntoa_format+0x2e8> + 80000294: 1e079663 bnez a5,80000480 <_ntoa_format+0x2d0> + 80000298: 01000793 li a5,16 + 8000029c: 2af88863 beq a7,a5,8000054c <_ntoa_format+0x39c> + 800002a0: 00200793 li a5,2 + 800002a4: 2ef88a63 beq a7,a5,80000598 <_ntoa_format+0x3e8> + 800002a8: 03000793 li a5,48 + 800002ac: 00f98023 sb a5,0(s3) + 800002b0: 00100793 li a5,1 + 800002b4: 1a080463 beqz a6,8000045c <_ntoa_format+0x2ac> + 800002b8: 00f98733 add a4,s3,a5 + 800002bc: 00178413 addi s0,a5,1 + 800002c0: 02d00793 li a5,45 + 800002c4: 00f70023 sb a5,0(a4) + 800002c8: 00337313 andi t1,t1,3 + 800002cc: 20031263 bnez t1,800004d0 <_ntoa_format+0x320> + 800002d0: 020c1913 slli s2,s8,0x20 + 800002d4: 02095913 srli s2,s2,0x20 + 800002d8: 1f247c63 bgeu s0,s2,800004d0 <_ntoa_format+0x320> + 800002dc: 40890933 sub s2,s2,s0 + 800002e0: 01790933 add s2,s2,s7 + 800002e4: 000b8493 mv s1,s7 + 800002e8: 00048613 mv a2,s1 + 800002ec: 000b0693 mv a3,s6 + 800002f0: 00148493 addi s1,s1,1 + 800002f4: 000a8593 mv a1,s5 + 800002f8: 02000513 li a0,32 + 800002fc: 000a00e7 jalr s4 + 80000300: ff2494e3 bne s1,s2,800002e8 <_ntoa_format+0x138> + 80000304: 02040663 beqz s0,80000330 <_ntoa_format+0x180> + 80000308: 01240933 add s2,s0,s2 + 8000030c: 012984b3 add s1,s3,s2 + 80000310: 00898433 add s0,s3,s0 + 80000314: fff44503 lbu a0,-1(s0) + 80000318: 40848633 sub a2,s1,s0 + 8000031c: 000b0693 mv a3,s6 + 80000320: fff40413 addi s0,s0,-1 + 80000324: 000a8593 mv a1,s5 + 80000328: 000a00e7 jalr s4 + 8000032c: fe8994e3 bne s3,s0,80000314 <_ntoa_format+0x164> + 80000330: 040c8a63 beqz s9,80000384 <_ntoa_format+0x1d4> + 80000334: 020c1c13 slli s8,s8,0x20 + 80000338: 41790433 sub s0,s2,s7 + 8000033c: 020c5c13 srli s8,s8,0x20 + 80000340: 05847263 bgeu s0,s8,80000384 <_ntoa_format+0x1d4> + 80000344: 01740633 add a2,s0,s7 + 80000348: 000b0693 mv a3,s6 + 8000034c: 00140413 addi s0,s0,1 + 80000350: 000a8593 mv a1,s5 + 80000354: 02000513 li a0,32 + 80000358: 000a00e7 jalr s4 + 8000035c: ff8464e3 bltu s0,s8,80000344 <_ntoa_format+0x194> + 80000360: 41790733 sub a4,s2,s7 + 80000364: 00170713 addi a4,a4,1 + 80000368: 00000793 li a5,0 + 8000036c: 00ec6863 bltu s8,a4,8000037c <_ntoa_format+0x1cc> + 80000370: fffb8793 addi a5,s7,-1 + 80000374: 01878c33 add s8,a5,s8 + 80000378: 412c07b3 sub a5,s8,s2 + 8000037c: 00190913 addi s2,s2,1 + 80000380: 00f90933 add s2,s2,a5 + 80000384: 05813083 ld ra,88(sp) + 80000388: 05013403 ld s0,80(sp) + 8000038c: 04813483 ld s1,72(sp) + 80000390: 03813983 ld s3,56(sp) + 80000394: 03013a03 ld s4,48(sp) + 80000398: 02813a83 ld s5,40(sp) + 8000039c: 02013b03 ld s6,32(sp) + 800003a0: 01813b83 ld s7,24(sp) + 800003a4: 01013c03 ld s8,16(sp) + 800003a8: 00813c83 ld s9,8(sp) + 800003ac: 00090513 mv a0,s2 + 800003b0: 04013903 ld s2,64(sp) + 800003b4: 06010113 addi sp,sp,96 + 800003b8: 00008067 ret + 800003bc: 12050863 beqz a0,800004ec <_ntoa_format+0x33c> + 800003c0: 00081663 bnez a6,800003cc <_ntoa_format+0x21c> + 800003c4: 00c37713 andi a4,t1,12 + 800003c8: 00070463 beqz a4,800003d0 <_ntoa_format+0x220> + 800003cc: fffc0c1b addiw s8,s8,-1 + 800003d0: e8d7f2e3 bgeu a5,a3,80000254 <_ntoa_format+0xa4> + 800003d4: 02000713 li a4,32 + 800003d8: e4e79ce3 bne a5,a4,80000230 <_ntoa_format+0x80> + 800003dc: 0e0e8063 beqz t4,800004bc <_ntoa_format+0x30c> + 800003e0: 40037793 andi a5,t1,1024 + 800003e4: 04079c63 bnez a5,8000043c <_ntoa_format+0x28c> + 800003e8: 02000793 li a5,32 + 800003ec: 0aff1063 bne t5,a5,8000048c <_ntoa_format+0x2dc> + 800003f0: 02000793 li a5,32 + 800003f4: 01f00713 li a4,31 + 800003f8: 01000693 li a3,16 + 800003fc: 1ad88c63 beq a7,a3,800005b4 <_ntoa_format+0x404> + 80000400: 00200693 li a3,2 + 80000404: 00070793 mv a5,a4 + 80000408: 00d89e63 bne a7,a3,80000424 <_ntoa_format+0x274> + 8000040c: 00e986b3 add a3,s3,a4 + 80000410: 00170793 addi a5,a4,1 + 80000414: 06200713 li a4,98 + 80000418: 00e68023 sb a4,0(a3) + 8000041c: 02000713 li a4,32 + 80000420: 00e78e63 beq a5,a4,8000043c <_ntoa_format+0x28c> + 80000424: 00f98733 add a4,s3,a5 + 80000428: 03000693 li a3,48 + 8000042c: 00d70023 sb a3,0(a4) + 80000430: 00178793 addi a5,a5,1 + 80000434: 02000713 li a4,32 + 80000438: e6e79ee3 bne a5,a4,800002b4 <_ntoa_format+0x104> + 8000043c: 00337313 andi t1,t1,3 + 80000440: 08031263 bnez t1,800004c4 <_ntoa_format+0x314> + 80000444: 02000413 li s0,32 + 80000448: 020c1913 slli s2,s8,0x20 + 8000044c: 02095913 srli s2,s2,0x20 + 80000450: e92466e3 bltu s0,s2,800002dc <_ntoa_format+0x12c> + 80000454: 000b8913 mv s2,s7 + 80000458: eadff06f j 80000304 <_ntoa_format+0x154> + 8000045c: 00437713 andi a4,t1,4 + 80000460: 06071c63 bnez a4,800004d8 <_ntoa_format+0x328> + 80000464: 00837713 andi a4,t1,8 + 80000468: 0c071863 bnez a4,80000538 <_ntoa_format+0x388> + 8000046c: 00337313 andi t1,t1,3 + 80000470: 00078413 mv s0,a5 + 80000474: fc030ae3 beqz t1,80000448 <_ntoa_format+0x298> + 80000478: 000b8913 mv s2,s7 + 8000047c: e89ff06f j 80000304 <_ntoa_format+0x154> + 80000480: 020f1f13 slli t5,t5,0x20 + 80000484: 020f5f13 srli t5,t5,0x20 + 80000488: 0aff0263 beq t5,a5,8000052c <_ntoa_format+0x37c> + 8000048c: 020c1913 slli s2,s8,0x20 + 80000490: 02095913 srli s2,s2,0x20 + 80000494: 08f90c63 beq s2,a5,8000052c <_ntoa_format+0x37c> + 80000498: 01000713 li a4,16 + 8000049c: 06e88663 beq a7,a4,80000508 <_ntoa_format+0x358> + 800004a0: 00200713 li a4,2 + 800004a4: f6e89ce3 bne a7,a4,8000041c <_ntoa_format+0x26c> + 800004a8: 02000713 li a4,32 + 800004ac: f8e788e3 beq a5,a4,8000043c <_ntoa_format+0x28c> + 800004b0: 00f986b3 add a3,s3,a5 + 800004b4: 00178793 addi a5,a5,1 + 800004b8: f5dff06f j 80000414 <_ntoa_format+0x264> + 800004bc: 00337313 andi t1,t1,3 + 800004c0: 0c030063 beqz t1,80000580 <_ntoa_format+0x3d0> + 800004c4: 000b8913 mv s2,s7 + 800004c8: 02000413 li s0,32 + 800004cc: e3dff06f j 80000308 <_ntoa_format+0x158> + 800004d0: 000b8913 mv s2,s7 + 800004d4: e35ff06f j 80000308 <_ntoa_format+0x158> + 800004d8: 00f98733 add a4,s3,a5 + 800004dc: 00178413 addi s0,a5,1 + 800004e0: 02b00793 li a5,43 + 800004e4: 00f70023 sb a5,0(a4) + 800004e8: de1ff06f j 800002c8 <_ntoa_format+0x118> + 800004ec: d8d7fee3 bgeu a5,a3,80000288 <_ntoa_format+0xd8> + 800004f0: 02000713 li a4,32 + 800004f4: d2e79ee3 bne a5,a4,80000230 <_ntoa_format+0x80> + 800004f8: 0e0e8c63 beqz t4,800005f0 <_ntoa_format+0x440> + 800004fc: 40037793 andi a5,t1,1024 + 80000500: f2079ee3 bnez a5,8000043c <_ntoa_format+0x28c> + 80000504: ee5ff06f j 800003e8 <_ntoa_format+0x238> + 80000508: 02037713 andi a4,t1,32 + 8000050c: 04071c63 bnez a4,80000564 <_ntoa_format+0x3b4> + 80000510: 02000713 li a4,32 + 80000514: f2e784e3 beq a5,a4,8000043c <_ntoa_format+0x28c> + 80000518: 00f98733 add a4,s3,a5 + 8000051c: 00178793 addi a5,a5,1 + 80000520: 07800693 li a3,120 + 80000524: 00d70023 sb a3,0(a4) + 80000528: ef5ff06f j 8000041c <_ntoa_format+0x26c> + 8000052c: fff78713 addi a4,a5,-1 + 80000530: d60704e3 beqz a4,80000298 <_ntoa_format+0xe8> + 80000534: ec5ff06f j 800003f8 <_ntoa_format+0x248> + 80000538: 00f98733 add a4,s3,a5 + 8000053c: 00178413 addi s0,a5,1 + 80000540: 02000793 li a5,32 + 80000544: 00f70023 sb a5,0(a4) + 80000548: d81ff06f j 800002c8 <_ntoa_format+0x118> + 8000054c: 02037793 andi a5,t1,32 + 80000550: 02079c63 bnez a5,80000588 <_ntoa_format+0x3d8> + 80000554: 07800793 li a5,120 + 80000558: 00f98023 sb a5,0(s3) + 8000055c: 00100793 li a5,1 + 80000560: ec5ff06f j 80000424 <_ntoa_format+0x274> + 80000564: 02000713 li a4,32 + 80000568: ece78ae3 beq a5,a4,8000043c <_ntoa_format+0x28c> + 8000056c: 00f98733 add a4,s3,a5 + 80000570: 05800693 li a3,88 + 80000574: 00d70023 sb a3,0(a4) + 80000578: 00178793 addi a5,a5,1 + 8000057c: ea1ff06f j 8000041c <_ntoa_format+0x26c> + 80000580: 02000413 li s0,32 + 80000584: d4dff06f j 800002d0 <_ntoa_format+0x120> + 80000588: 05800793 li a5,88 + 8000058c: 00f98023 sb a5,0(s3) + 80000590: 00100793 li a5,1 + 80000594: e91ff06f j 80000424 <_ntoa_format+0x274> + 80000598: 06200793 li a5,98 + 8000059c: 00f98023 sb a5,0(s3) + 800005a0: 00100793 li a5,1 + 800005a4: e81ff06f j 80000424 <_ntoa_format+0x274> + 800005a8: e2051ae3 bnez a0,800003dc <_ntoa_format+0x22c> + 800005ac: 000c8c13 mv s8,s9 + 800005b0: f49ff06f j 800004f8 <_ntoa_format+0x348> + 800005b4: 02037693 andi a3,t1,32 + 800005b8: ffe78713 addi a4,a5,-2 + 800005bc: 00069863 bnez a3,800005cc <_ntoa_format+0x41c> + 800005c0: 00e98733 add a4,s3,a4 + 800005c4: fff78793 addi a5,a5,-1 + 800005c8: f59ff06f j 80000520 <_ntoa_format+0x370> + 800005cc: 00070793 mv a5,a4 + 800005d0: f9dff06f j 8000056c <_ntoa_format+0x3bc> + 800005d4: 000e8e63 beqz t4,800005f0 <_ntoa_format+0x440> + 800005d8: 40037713 andi a4,t1,1024 + 800005dc: 00071e63 bnez a4,800005f8 <_ntoa_format+0x448> + 800005e0: 02ff0e63 beq t5,a5,8000061c <_ntoa_format+0x46c> + 800005e4: e4fc1ce3 bne s8,a5,8000043c <_ntoa_format+0x28c> + 800005e8: 01f00713 li a4,31 + 800005ec: e0dff06f j 800003f8 <_ntoa_format+0x248> + 800005f0: 02000413 li s0,32 + 800005f4: cd5ff06f j 800002c8 <_ntoa_format+0x118> + 800005f8: 01000793 li a5,16 + 800005fc: 02f88c63 beq a7,a5,80000634 <_ntoa_format+0x484> + 80000600: 00200793 li a5,2 + 80000604: e2f88ce3 beq a7,a5,8000043c <_ntoa_format+0x28c> + 80000608: 00337313 andi t1,t1,3 + 8000060c: ea031ce3 bnez t1,800004c4 <_ntoa_format+0x314> + 80000610: 02000413 li s0,32 + 80000614: cd2464e3 bltu s0,s2,800002dc <_ntoa_format+0x12c> + 80000618: e3dff06f j 80000454 <_ntoa_format+0x2a4> + 8000061c: 01000713 li a4,16 + 80000620: f8e88ae3 beq a7,a4,800005b4 <_ntoa_format+0x404> + 80000624: 00200793 li a5,2 + 80000628: 00f88c63 beq a7,a5,80000640 <_ntoa_format+0x490> + 8000062c: 01f00793 li a5,31 + 80000630: df5ff06f j 80000424 <_ntoa_format+0x274> + 80000634: 02037793 andi a5,t1,32 + 80000638: fc0788e3 beqz a5,80000608 <_ntoa_format+0x458> + 8000063c: e01ff06f j 8000043c <_ntoa_format+0x28c> + 80000640: 01f00713 li a4,31 + 80000644: dc9ff06f j 8000040c <_ntoa_format+0x25c> + +0000000080000648 <_ntoa_long>: + 80000648: f4010113 addi sp,sp,-192 + 8000064c: 09413823 sd s4,144(sp) + 80000650: 09513423 sd s5,136(sp) + 80000654: 09613023 sd s6,128(sp) + 80000658: 07713c23 sd s7,120(sp) + 8000065c: 07813823 sd s8,112(sp) + 80000660: 07913423 sd s9,104(sp) + 80000664: 05b13c23 sd s11,88(sp) + 80000668: 0a113c23 sd ra,184(sp) + 8000066c: 0a813823 sd s0,176(sp) + 80000670: 0a913423 sd s1,168(sp) + 80000674: 0b213023 sd s2,160(sp) + 80000678: 09313c23 sd s3,152(sp) + 8000067c: 07a13023 sd s10,96(sp) + 80000680: 02a13023 sd a0,32(sp) + 80000684: 03113423 sd a7,40(sp) + 80000688: 0c812a03 lw s4,200(sp) + 8000068c: 00070d93 mv s11,a4 + 80000690: 00058b13 mv s6,a1 + 80000694: 00060b93 mv s7,a2 + 80000698: 00068c13 mv s8,a3 + 8000069c: 00078c93 mv s9,a5 + 800006a0: 00080a93 mv s5,a6 + 800006a4: 00071863 bnez a4,800006b4 <_ntoa_long+0x6c> + 800006a8: 400a7793 andi a5,s4,1024 + 800006ac: fefa7a13 andi s4,s4,-17 + 800006b0: 0e079663 bnez a5,8000079c <_ntoa_long+0x154> + 800006b4: 020a7793 andi a5,s4,32 + 800006b8: 06100413 li s0,97 + 800006bc: 0c079c63 bnez a5,80000794 <_ntoa_long+0x14c> + 800006c0: 00000d13 li s10,0 + 800006c4: 03010493 addi s1,sp,48 + 800006c8: 00900913 li s2,9 + 800006cc: ff64041b addiw s0,s0,-10 + 800006d0: 02000993 li s3,32 + 800006d4: 00c0006f j 800006e0 <_ntoa_long+0x98> + 800006d8: 053d0463 beq s10,s3,80000720 <_ntoa_long+0xd8> + 800006dc: 00050d93 mv s11,a0 + 800006e0: 000a8593 mv a1,s5 + 800006e4: 000d8513 mv a0,s11 + 800006e8: 5e5000ef jal ra,800014cc <__umoddi3> + 800006ec: 0ff57313 andi t1,a0,255 + 800006f0: 0303071b addiw a4,t1,48 + 800006f4: 0064033b addw t1,s0,t1 + 800006f8: 0ff37313 andi t1,t1,255 + 800006fc: 00a96463 bltu s2,a0,80000704 <_ntoa_long+0xbc> + 80000700: 0ff77313 andi t1,a4,255 + 80000704: 001d0d13 addi s10,s10,1 + 80000708: 01a48733 add a4,s1,s10 + 8000070c: 000d8513 mv a0,s11 + 80000710: 000a8593 mv a1,s5 + 80000714: fe670fa3 sb t1,-1(a4) + 80000718: 56d000ef jal ra,80001484 <__udivdi3> + 8000071c: fb5dfee3 bgeu s11,s5,800006d8 <_ntoa_long+0x90> + 80000720: 0c012703 lw a4,192(sp) + 80000724: 02813783 ld a5,40(sp) + 80000728: 02013503 ld a0,32(sp) + 8000072c: 01413823 sd s4,16(sp) + 80000730: 00e13423 sd a4,8(sp) + 80000734: 00f13023 sd a5,0(sp) + 80000738: 000a889b sext.w a7,s5 + 8000073c: 000c8813 mv a6,s9 + 80000740: 000d0793 mv a5,s10 + 80000744: 00048713 mv a4,s1 + 80000748: 000c0693 mv a3,s8 + 8000074c: 000b8613 mv a2,s7 + 80000750: 000b0593 mv a1,s6 + 80000754: a5dff0ef jal ra,800001b0 <_ntoa_format> + 80000758: 0b813083 ld ra,184(sp) + 8000075c: 0b013403 ld s0,176(sp) + 80000760: 0a813483 ld s1,168(sp) + 80000764: 0a013903 ld s2,160(sp) + 80000768: 09813983 ld s3,152(sp) + 8000076c: 09013a03 ld s4,144(sp) + 80000770: 08813a83 ld s5,136(sp) + 80000774: 08013b03 ld s6,128(sp) + 80000778: 07813b83 ld s7,120(sp) + 8000077c: 07013c03 ld s8,112(sp) + 80000780: 06813c83 ld s9,104(sp) + 80000784: 06013d03 ld s10,96(sp) + 80000788: 05813d83 ld s11,88(sp) + 8000078c: 0c010113 addi sp,sp,192 + 80000790: 00008067 ret + 80000794: 04100413 li s0,65 + 80000798: f29ff06f j 800006c0 <_ntoa_long+0x78> + 8000079c: 00000d13 li s10,0 + 800007a0: 03010493 addi s1,sp,48 + 800007a4: f7dff06f j 80000720 <_ntoa_long+0xd8> + +00000000800007a8 <_ntoa_long_long>: + 800007a8: f4010113 addi sp,sp,-192 + 800007ac: 09413823 sd s4,144(sp) + 800007b0: 09513423 sd s5,136(sp) + 800007b4: 09613023 sd s6,128(sp) + 800007b8: 07713c23 sd s7,120(sp) + 800007bc: 07813823 sd s8,112(sp) + 800007c0: 07913423 sd s9,104(sp) + 800007c4: 05b13c23 sd s11,88(sp) + 800007c8: 0a113c23 sd ra,184(sp) + 800007cc: 0a813823 sd s0,176(sp) + 800007d0: 0a913423 sd s1,168(sp) + 800007d4: 0b213023 sd s2,160(sp) + 800007d8: 09313c23 sd s3,152(sp) + 800007dc: 07a13023 sd s10,96(sp) + 800007e0: 02a13023 sd a0,32(sp) + 800007e4: 03113423 sd a7,40(sp) + 800007e8: 0c812a03 lw s4,200(sp) + 800007ec: 00070d93 mv s11,a4 + 800007f0: 00058b13 mv s6,a1 + 800007f4: 00060b93 mv s7,a2 + 800007f8: 00068c13 mv s8,a3 + 800007fc: 00078c93 mv s9,a5 + 80000800: 00080a93 mv s5,a6 + 80000804: 00071863 bnez a4,80000814 <_ntoa_long_long+0x6c> + 80000808: 400a7793 andi a5,s4,1024 + 8000080c: fefa7a13 andi s4,s4,-17 + 80000810: 0e079663 bnez a5,800008fc <_ntoa_long_long+0x154> + 80000814: 020a7793 andi a5,s4,32 + 80000818: 06100413 li s0,97 + 8000081c: 0c079c63 bnez a5,800008f4 <_ntoa_long_long+0x14c> + 80000820: 00000d13 li s10,0 + 80000824: 03010493 addi s1,sp,48 + 80000828: 00900913 li s2,9 + 8000082c: ff64041b addiw s0,s0,-10 + 80000830: 02000993 li s3,32 + 80000834: 00c0006f j 80000840 <_ntoa_long_long+0x98> + 80000838: 053d0463 beq s10,s3,80000880 <_ntoa_long_long+0xd8> + 8000083c: 00050d93 mv s11,a0 + 80000840: 000a8593 mv a1,s5 + 80000844: 000d8513 mv a0,s11 + 80000848: 485000ef jal ra,800014cc <__umoddi3> + 8000084c: 0ff57313 andi t1,a0,255 + 80000850: 0303071b addiw a4,t1,48 + 80000854: 0064033b addw t1,s0,t1 + 80000858: 0ff37313 andi t1,t1,255 + 8000085c: 00a96463 bltu s2,a0,80000864 <_ntoa_long_long+0xbc> + 80000860: 0ff77313 andi t1,a4,255 + 80000864: 001d0d13 addi s10,s10,1 + 80000868: 01a48733 add a4,s1,s10 + 8000086c: 000d8513 mv a0,s11 + 80000870: 000a8593 mv a1,s5 + 80000874: fe670fa3 sb t1,-1(a4) + 80000878: 40d000ef jal ra,80001484 <__udivdi3> + 8000087c: fb5dfee3 bgeu s11,s5,80000838 <_ntoa_long_long+0x90> + 80000880: 0c012703 lw a4,192(sp) + 80000884: 02813783 ld a5,40(sp) + 80000888: 02013503 ld a0,32(sp) + 8000088c: 01413823 sd s4,16(sp) + 80000890: 00e13423 sd a4,8(sp) + 80000894: 00f13023 sd a5,0(sp) + 80000898: 000a889b sext.w a7,s5 + 8000089c: 000c8813 mv a6,s9 + 800008a0: 000d0793 mv a5,s10 + 800008a4: 00048713 mv a4,s1 + 800008a8: 000c0693 mv a3,s8 + 800008ac: 000b8613 mv a2,s7 + 800008b0: 000b0593 mv a1,s6 + 800008b4: 8fdff0ef jal ra,800001b0 <_ntoa_format> + 800008b8: 0b813083 ld ra,184(sp) + 800008bc: 0b013403 ld s0,176(sp) + 800008c0: 0a813483 ld s1,168(sp) + 800008c4: 0a013903 ld s2,160(sp) + 800008c8: 09813983 ld s3,152(sp) + 800008cc: 09013a03 ld s4,144(sp) + 800008d0: 08813a83 ld s5,136(sp) + 800008d4: 08013b03 ld s6,128(sp) + 800008d8: 07813b83 ld s7,120(sp) + 800008dc: 07013c03 ld s8,112(sp) + 800008e0: 06813c83 ld s9,104(sp) + 800008e4: 06013d03 ld s10,96(sp) + 800008e8: 05813d83 ld s11,88(sp) + 800008ec: 0c010113 addi sp,sp,192 + 800008f0: 00008067 ret + 800008f4: 04100413 li s0,65 + 800008f8: f29ff06f j 80000820 <_ntoa_long_long+0x78> + 800008fc: 00000d13 li s10,0 + 80000900: 03010493 addi s1,sp,48 + 80000904: f7dff06f j 80000880 <_ntoa_long_long+0xd8> + +0000000080000908 <_vsnprintf>: + 80000908: f6010113 addi sp,sp,-160 + 8000090c: 08913423 sd s1,136(sp) + 80000910: 09213023 sd s2,128(sp) + 80000914: 07313c23 sd s3,120(sp) + 80000918: 05713c23 sd s7,88(sp) + 8000091c: 05813823 sd s8,80(sp) + 80000920: 08113c23 sd ra,152(sp) + 80000924: 08813823 sd s0,144(sp) + 80000928: 07413823 sd s4,112(sp) + 8000092c: 07513423 sd s5,104(sp) + 80000930: 07613023 sd s6,96(sp) + 80000934: 05913423 sd s9,72(sp) + 80000938: 05a13023 sd s10,64(sp) + 8000093c: 03b13c23 sd s11,56(sp) + 80000940: 00058493 mv s1,a1 + 80000944: 00060c13 mv s8,a2 + 80000948: 00068b93 mv s7,a3 + 8000094c: 00070993 mv s3,a4 + 80000950: 00000917 auipc s2,0x0 + 80000954: 85c90913 addi s2,s2,-1956 # 800001ac <_out_null> + 80000958: 00058463 beqz a1,80000960 <_vsnprintf+0x58> + 8000095c: 00050913 mv s2,a0 + 80000960: 000bc503 lbu a0,0(s7) + 80000964: 00000d13 li s10,0 + 80000968: 66050663 beqz a0,80000fd4 <_vsnprintf+0x6cc> + 8000096c: 000107b7 lui a5,0x10 + 80000970: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 80000974: 02500a13 li s4,37 + 80000978: 00001417 auipc s0,0x1 + 8000097c: c1040413 addi s0,s0,-1008 # 80001588 <_etext+0x4c> + 80000980: 00f13c23 sd a5,24(sp) + 80000984: 0200006f j 800009a4 <_vsnprintf+0x9c> + 80000988: 000d0613 mv a2,s10 + 8000098c: 000c0693 mv a3,s8 + 80000990: 00048593 mv a1,s1 + 80000994: 001d0d13 addi s10,s10,1 + 80000998: 000900e7 jalr s2 + 8000099c: 000bc503 lbu a0,0(s7) + 800009a0: 1c050263 beqz a0,80000b64 <_vsnprintf+0x25c> + 800009a4: 001b8b93 addi s7,s7,1 + 800009a8: ff4510e3 bne a0,s4,80000988 <_vsnprintf+0x80> + 800009ac: 00000593 li a1,0 + 800009b0: 01000813 li a6,16 + 800009b4: 000bc503 lbu a0,0(s7) + 800009b8: 001b8713 addi a4,s7,1 + 800009bc: 00070613 mv a2,a4 + 800009c0: fe05079b addiw a5,a0,-32 + 800009c4: 0ff7f793 andi a5,a5,255 + 800009c8: 00f86c63 bltu a6,a5,800009e0 <_vsnprintf+0xd8> + 800009cc: 00279793 slli a5,a5,0x2 + 800009d0: 008787b3 add a5,a5,s0 + 800009d4: 0007a783 lw a5,0(a5) + 800009d8: 008787b3 add a5,a5,s0 + 800009dc: 00078067 jr a5 + 800009e0: fd05079b addiw a5,a0,-48 + 800009e4: 0ff7f793 andi a5,a5,255 + 800009e8: 00900813 li a6,9 + 800009ec: 0ef87c63 bgeu a6,a5,80000ae4 <_vsnprintf+0x1dc> + 800009f0: 02a00793 li a5,42 + 800009f4: 20f50463 beq a0,a5,80000bfc <_vsnprintf+0x2f4> + 800009f8: 000b8613 mv a2,s7 + 800009fc: 00000d93 li s11,0 + 80000a00: 00070b93 mv s7,a4 + 80000a04: 02e00793 li a5,46 + 80000a08: 00000c93 li s9,0 + 80000a0c: 12f50463 beq a0,a5,80000b34 <_vsnprintf+0x22c> + 80000a10: f985079b addiw a5,a0,-104 + 80000a14: 0ff7f793 andi a5,a5,255 + 80000a18: 01200713 li a4,18 + 80000a1c: 08f76463 bltu a4,a5,80000aa4 <_vsnprintf+0x19c> + 80000a20: 00001717 auipc a4,0x1 + 80000a24: bac70713 addi a4,a4,-1108 # 800015cc <_etext+0x90> + 80000a28: 00279793 slli a5,a5,0x2 + 80000a2c: 00e787b3 add a5,a5,a4 + 80000a30: 0007a783 lw a5,0(a5) + 80000a34: 00e787b3 add a5,a5,a4 + 80000a38: 00078067 jr a5 + 80000a3c: 0015e593 ori a1,a1,1 + 80000a40: 0005859b sext.w a1,a1 + 80000a44: 00070b93 mv s7,a4 + 80000a48: f6dff06f j 800009b4 <_vsnprintf+0xac> + 80000a4c: 0025e593 ori a1,a1,2 + 80000a50: 0005859b sext.w a1,a1 + 80000a54: 00070b93 mv s7,a4 + 80000a58: f5dff06f j 800009b4 <_vsnprintf+0xac> + 80000a5c: 0045e593 ori a1,a1,4 + 80000a60: 0005859b sext.w a1,a1 + 80000a64: 00070b93 mv s7,a4 + 80000a68: f4dff06f j 800009b4 <_vsnprintf+0xac> + 80000a6c: 0105e593 ori a1,a1,16 + 80000a70: 0005859b sext.w a1,a1 + 80000a74: 00070b93 mv s7,a4 + 80000a78: f3dff06f j 800009b4 <_vsnprintf+0xac> + 80000a7c: 0085e593 ori a1,a1,8 + 80000a80: 0005859b sext.w a1,a1 + 80000a84: 00070b93 mv s7,a4 + 80000a88: f2dff06f j 800009b4 <_vsnprintf+0xac> + 80000a8c: 00164503 lbu a0,1(a2) + 80000a90: 06800793 li a5,104 + 80000a94: 52f50663 beq a0,a5,80000fc0 <_vsnprintf+0x6b8> + 80000a98: 0805e593 ori a1,a1,128 + 80000a9c: 0005859b sext.w a1,a1 + 80000aa0: 001b8b93 addi s7,s7,1 + 80000aa4: fdb5079b addiw a5,a0,-37 + 80000aa8: 0ff7f793 andi a5,a5,255 + 80000aac: 05300713 li a4,83 + 80000ab0: ecf76ce3 bltu a4,a5,80000988 <_vsnprintf+0x80> + 80000ab4: 00001717 auipc a4,0x1 + 80000ab8: b6470713 addi a4,a4,-1180 # 80001618 <_etext+0xdc> + 80000abc: 00279793 slli a5,a5,0x2 + 80000ac0: 00e787b3 add a5,a5,a4 + 80000ac4: 0007a783 lw a5,0(a5) + 80000ac8: 00e787b3 add a5,a5,a4 + 80000acc: 00078067 jr a5 + 80000ad0: 00164503 lbu a0,1(a2) + 80000ad4: 1005e593 ori a1,a1,256 + 80000ad8: 0005859b sext.w a1,a1 + 80000adc: 001b8b93 addi s7,s7,1 + 80000ae0: fc5ff06f j 80000aa4 <_vsnprintf+0x19c> + 80000ae4: 00000d93 li s11,0 + 80000ae8: 00900813 li a6,9 + 80000aec: 0080006f j 80000af4 <_vsnprintf+0x1ec> + 80000af0: 00170713 addi a4,a4,1 + 80000af4: 002d979b slliw a5,s11,0x2 + 80000af8: 01b787bb addw a5,a5,s11 + 80000afc: 0017979b slliw a5,a5,0x1 + 80000b00: 00a787bb addw a5,a5,a0 + 80000b04: 00074503 lbu a0,0(a4) + 80000b08: 000b8893 mv a7,s7 + 80000b0c: fd078d9b addiw s11,a5,-48 + 80000b10: fd05061b addiw a2,a0,-48 + 80000b14: 0ff67613 andi a2,a2,255 + 80000b18: 00070b93 mv s7,a4 + 80000b1c: fcc87ae3 bgeu a6,a2,80000af0 <_vsnprintf+0x1e8> + 80000b20: 02e00793 li a5,46 + 80000b24: 00070613 mv a2,a4 + 80000b28: 00288b93 addi s7,a7,2 + 80000b2c: 00000c93 li s9,0 + 80000b30: eef510e3 bne a0,a5,80000a10 <_vsnprintf+0x108> + 80000b34: 00164503 lbu a0,1(a2) + 80000b38: 4005e593 ori a1,a1,1024 + 80000b3c: 00900713 li a4,9 + 80000b40: fd05079b addiw a5,a0,-48 + 80000b44: 0ff7f793 andi a5,a5,255 + 80000b48: 0005859b sext.w a1,a1 + 80000b4c: 06f77c63 bgeu a4,a5,80000bc4 <_vsnprintf+0x2bc> + 80000b50: 02a00793 li a5,42 + 80000b54: 32f50e63 beq a0,a5,80000e90 <_vsnprintf+0x588> + 80000b58: 000b8613 mv a2,s7 + 80000b5c: 001b8b93 addi s7,s7,1 + 80000b60: eb1ff06f j 80000a10 <_vsnprintf+0x108> + 80000b64: 000d041b sext.w s0,s10 + 80000b68: 018d6463 bltu s10,s8,80000b70 <_vsnprintf+0x268> + 80000b6c: fffc0d13 addi s10,s8,-1 + 80000b70: 000c0693 mv a3,s8 + 80000b74: 000d0613 mv a2,s10 + 80000b78: 00048593 mv a1,s1 + 80000b7c: 00000513 li a0,0 + 80000b80: 000900e7 jalr s2 + 80000b84: 09813083 ld ra,152(sp) + 80000b88: 00040513 mv a0,s0 + 80000b8c: 09013403 ld s0,144(sp) + 80000b90: 08813483 ld s1,136(sp) + 80000b94: 08013903 ld s2,128(sp) + 80000b98: 07813983 ld s3,120(sp) + 80000b9c: 07013a03 ld s4,112(sp) + 80000ba0: 06813a83 ld s5,104(sp) + 80000ba4: 06013b03 ld s6,96(sp) + 80000ba8: 05813b83 ld s7,88(sp) + 80000bac: 05013c03 ld s8,80(sp) + 80000bb0: 04813c83 ld s9,72(sp) + 80000bb4: 04013d03 ld s10,64(sp) + 80000bb8: 03813d83 ld s11,56(sp) + 80000bbc: 0a010113 addi sp,sp,160 + 80000bc0: 00008067 ret + 80000bc4: 002c979b slliw a5,s9,0x2 + 80000bc8: 019788bb addw a7,a5,s9 + 80000bcc: 000b8813 mv a6,s7 + 80000bd0: 0018989b slliw a7,a7,0x1 + 80000bd4: 001b8b93 addi s7,s7,1 + 80000bd8: 00a888bb addw a7,a7,a0 + 80000bdc: 000bc503 lbu a0,0(s7) + 80000be0: fd088c9b addiw s9,a7,-48 + 80000be4: fd05079b addiw a5,a0,-48 + 80000be8: 0ff7f793 andi a5,a5,255 + 80000bec: fcf77ce3 bgeu a4,a5,80000bc4 <_vsnprintf+0x2bc> + 80000bf0: 000b8613 mv a2,s7 + 80000bf4: 00280b93 addi s7,a6,2 + 80000bf8: e19ff06f j 80000a10 <_vsnprintf+0x108> + 80000bfc: 0009a783 lw a5,0(s3) + 80000c00: 00898993 addi s3,s3,8 + 80000c04: 00078d9b sext.w s11,a5 + 80000c08: 0007c863 bltz a5,80000c18 <_vsnprintf+0x310> + 80000c0c: 001bc503 lbu a0,1(s7) + 80000c10: 002b8b93 addi s7,s7,2 + 80000c14: df1ff06f j 80000a04 <_vsnprintf+0xfc> + 80000c18: 0025e593 ori a1,a1,2 + 80000c1c: 001bc503 lbu a0,1(s7) + 80000c20: 0005859b sext.w a1,a1 + 80000c24: 40f00dbb negw s11,a5 + 80000c28: 002b8b93 addi s7,s7,2 + 80000c2c: dd9ff06f j 80000a04 <_vsnprintf+0xfc> + 80000c30: 07800793 li a5,120 + 80000c34: 00898a93 addi s5,s3,8 + 80000c38: 56f50063 beq a0,a5,80001198 <_vsnprintf+0x890> + 80000c3c: 05800793 li a5,88 + 80000c40: 28f50463 beq a0,a5,80000ec8 <_vsnprintf+0x5c0> + 80000c44: 06f00793 li a5,111 + 80000c48: 4af50c63 beq a0,a5,80001100 <_vsnprintf+0x7f8> + 80000c4c: 06200793 li a5,98 + 80000c50: 54f50063 beq a0,a5,80001190 <_vsnprintf+0x888> + 80000c54: fef5ff13 andi t5,a1,-17 + 80000c58: 4005f793 andi a5,a1,1024 + 80000c5c: 06900713 li a4,105 + 80000c60: 000f0f1b sext.w t5,t5 + 80000c64: 0007879b sext.w a5,a5 + 80000c68: 5ae51063 bne a0,a4,80001208 <_vsnprintf+0x900> + 80000c6c: 48079663 bnez a5,800010f8 <_vsnprintf+0x7f0> + 80000c70: 2005f593 andi a1,a1,512 + 80000c74: 0005879b sext.w a5,a1 + 80000c78: 00a00813 li a6,10 + 80000c7c: 42079663 bnez a5,800010a8 <_vsnprintf+0x7a0> + 80000c80: 100f7793 andi a5,t5,256 + 80000c84: 000f0613 mv a2,t5 + 80000c88: 4e079063 bnez a5,80001168 <_vsnprintf+0x860> + 80000c8c: 040f7713 andi a4,t5,64 + 80000c90: 0009a783 lw a5,0(s3) + 80000c94: 4a071a63 bnez a4,80001148 <_vsnprintf+0x840> + 80000c98: 08067613 andi a2,a2,128 + 80000c9c: 52060a63 beqz a2,800011d0 <_vsnprintf+0x8c8> + 80000ca0: 0107979b slliw a5,a5,0x10 + 80000ca4: 4107d79b sraiw a5,a5,0x10 + 80000ca8: 40f7d61b sraiw a2,a5,0xf + 80000cac: 00c7c733 xor a4,a5,a2 + 80000cb0: 40c7073b subw a4,a4,a2 + 80000cb4: 03071713 slli a4,a4,0x30 + 80000cb8: 03075713 srli a4,a4,0x30 + 80000cbc: 000d0613 mv a2,s10 + 80000cc0: 01e13423 sd t5,8(sp) + 80000cc4: 01b13023 sd s11,0(sp) + 80000cc8: 000c8893 mv a7,s9 + 80000ccc: 01f7d79b srliw a5,a5,0x1f + 80000cd0: 000c0693 mv a3,s8 + 80000cd4: 00048593 mv a1,s1 + 80000cd8: 00090513 mv a0,s2 + 80000cdc: 96dff0ef jal ra,80000648 <_ntoa_long> + 80000ce0: 00050d13 mv s10,a0 + 80000ce4: 000a8993 mv s3,s5 + 80000ce8: cb5ff06f j 8000099c <_vsnprintf+0x94> + 80000cec: 00164503 lbu a0,1(a2) + 80000cf0: 06c00793 li a5,108 + 80000cf4: def510e3 bne a0,a5,80000ad4 <_vsnprintf+0x1cc> + 80000cf8: 3005e593 ori a1,a1,768 + 80000cfc: 00264503 lbu a0,2(a2) + 80000d00: 0005859b sext.w a1,a1 + 80000d04: 00360b93 addi s7,a2,3 + 80000d08: d9dff06f j 80000aa4 <_vsnprintf+0x19c> + 80000d0c: 00898793 addi a5,s3,8 + 80000d10: 001d0813 addi a6,s10,1 + 80000d14: 0025f593 andi a1,a1,2 + 80000d18: 02f13023 sd a5,32(sp) + 80000d1c: 00080b13 mv s6,a6 + 80000d20: 32058263 beqz a1,80001044 <_vsnprintf+0x73c> + 80000d24: 0009c503 lbu a0,0(s3) + 80000d28: 000c0693 mv a3,s8 + 80000d2c: 000d0613 mv a2,s10 + 80000d30: 00048593 mv a1,s1 + 80000d34: 000900e7 jalr s2 + 80000d38: 00100793 li a5,1 + 80000d3c: 43b7f063 bgeu a5,s11,8000115c <_vsnprintf+0x854> + 80000d40: ffed879b addiw a5,s11,-2 + 80000d44: 02079a93 slli s5,a5,0x20 + 80000d48: 020ada93 srli s5,s5,0x20 + 80000d4c: 002d0d13 addi s10,s10,2 + 80000d50: 015d0cb3 add s9,s10,s5 + 80000d54: 000b0613 mv a2,s6 + 80000d58: 000c0693 mv a3,s8 + 80000d5c: 001b0b13 addi s6,s6,1 + 80000d60: 00048593 mv a1,s1 + 80000d64: 02000513 li a0,32 + 80000d68: 000900e7 jalr s2 + 80000d6c: ff6c94e3 bne s9,s6,80000d54 <_vsnprintf+0x44c> + 80000d70: 02013983 ld s3,32(sp) + 80000d74: 015d0d33 add s10,s10,s5 + 80000d78: c25ff06f j 8000099c <_vsnprintf+0x94> + 80000d7c: 000d0613 mv a2,s10 + 80000d80: 000c0693 mv a3,s8 + 80000d84: 00048593 mv a1,s1 + 80000d88: 02500513 li a0,37 + 80000d8c: 001d0d13 addi s10,s10,1 + 80000d90: 000900e7 jalr s2 + 80000d94: c09ff06f j 8000099c <_vsnprintf+0x94> + 80000d98: 0009ba83 ld s5,0(s3) + 80000d9c: 00898793 addi a5,s3,8 + 80000da0: 02f13023 sd a5,32(sp) + 80000da4: 000ac503 lbu a0,0(s5) + 80000da8: 100c9663 bnez s9,80000eb4 <_vsnprintf+0x5ac> + 80000dac: ffe00793 li a5,-2 + 80000db0: 42050863 beqz a0,800011e0 <_vsnprintf+0x8d8> + 80000db4: 00178693 addi a3,a5,1 + 80000db8: 00da8633 add a2,s5,a3 + 80000dbc: 000a8793 mv a5,s5 + 80000dc0: 0080006f j 80000dc8 <_vsnprintf+0x4c0> + 80000dc4: 1ec78863 beq a5,a2,80000fb4 <_vsnprintf+0x6ac> + 80000dc8: 0017c703 lbu a4,1(a5) + 80000dcc: 00178793 addi a5,a5,1 + 80000dd0: fe071ae3 bnez a4,80000dc4 <_vsnprintf+0x4bc> + 80000dd4: 415787bb subw a5,a5,s5 + 80000dd8: 00f13823 sd a5,16(sp) + 80000ddc: 4005f793 andi a5,a1,1024 + 80000de0: 0007899b sext.w s3,a5 + 80000de4: 00078c63 beqz a5,80000dfc <_vsnprintf+0x4f4> + 80000de8: 01013703 ld a4,16(sp) + 80000dec: 000c879b sext.w a5,s9 + 80000df0: 01977463 bgeu a4,s9,80000df8 <_vsnprintf+0x4f0> + 80000df4: 0007079b sext.w a5,a4 + 80000df8: 00f13823 sd a5,16(sp) + 80000dfc: 0025f593 andi a1,a1,2 + 80000e00: 0005879b sext.w a5,a1 + 80000e04: 02f13423 sd a5,40(sp) + 80000e08: 1c058a63 beqz a1,80000fdc <_vsnprintf+0x6d4> + 80000e0c: 14050863 beqz a0,80000f5c <_vsnprintf+0x654> + 80000e10: 000d0613 mv a2,s10 + 80000e14: 00098863 beqz s3,80000e24 <_vsnprintf+0x51c> + 80000e18: fffc879b addiw a5,s9,-1 + 80000e1c: 120c8863 beqz s9,80000f4c <_vsnprintf+0x644> + 80000e20: 00078c93 mv s9,a5 + 80000e24: 000c0693 mv a3,s8 + 80000e28: 00048593 mv a1,s1 + 80000e2c: 00160b13 addi s6,a2,1 + 80000e30: 000900e7 jalr s2 + 80000e34: 41ab07b3 sub a5,s6,s10 + 80000e38: 00fa87b3 add a5,s5,a5 + 80000e3c: 0007c503 lbu a0,0(a5) + 80000e40: 10050863 beqz a0,80000f50 <_vsnprintf+0x648> + 80000e44: 000b0613 mv a2,s6 + 80000e48: fcdff06f j 80000e14 <_vsnprintf+0x50c> + 80000e4c: 0009b703 ld a4,0(s3) + 80000e50: 0215e593 ori a1,a1,33 + 80000e54: 0005859b sext.w a1,a1 + 80000e58: 01000793 li a5,16 + 80000e5c: 000d0613 mv a2,s10 + 80000e60: 00b13423 sd a1,8(sp) + 80000e64: 00f13023 sd a5,0(sp) + 80000e68: 000c8893 mv a7,s9 + 80000e6c: 01000813 li a6,16 + 80000e70: 00000793 li a5,0 + 80000e74: 000c0693 mv a3,s8 + 80000e78: 00048593 mv a1,s1 + 80000e7c: 00090513 mv a0,s2 + 80000e80: 929ff0ef jal ra,800007a8 <_ntoa_long_long> + 80000e84: 00898993 addi s3,s3,8 + 80000e88: 00050d13 mv s10,a0 + 80000e8c: b11ff06f j 8000099c <_vsnprintf+0x94> + 80000e90: 0009a883 lw a7,0(s3) + 80000e94: 00264503 lbu a0,2(a2) + 80000e98: 00360b93 addi s7,a2,3 + 80000e9c: fff8c793 not a5,a7 + 80000ea0: 43f7d793 srai a5,a5,0x3f + 80000ea4: 00f8fcb3 and s9,a7,a5 + 80000ea8: 00898993 addi s3,s3,8 + 80000eac: 00260613 addi a2,a2,2 + 80000eb0: b61ff06f j 80000a10 <_vsnprintf+0x108> + 80000eb4: 020c9793 slli a5,s9,0x20 + 80000eb8: 0207d793 srli a5,a5,0x20 + 80000ebc: 32050263 beqz a0,800011e0 <_vsnprintf+0x8d8> + 80000ec0: fff78793 addi a5,a5,-1 + 80000ec4: ef1ff06f j 80000db4 <_vsnprintf+0x4ac> + 80000ec8: ff35ff13 andi t5,a1,-13 + 80000ecc: 000f0f1b sext.w t5,t5 + 80000ed0: 4005f793 andi a5,a1,1024 + 80000ed4: 020f6f13 ori t5,t5,32 + 80000ed8: 20078863 beqz a5,800010e8 <_vsnprintf+0x7e0> + 80000edc: 01000813 li a6,16 + 80000ee0: ffef7f13 andi t5,t5,-2 + 80000ee4: 000f0f1b sext.w t5,t5 + 80000ee8: 200f7793 andi a5,t5,512 + 80000eec: 06900713 li a4,105 + 80000ef0: 0007879b sext.w a5,a5 + 80000ef4: d8e504e3 beq a0,a4,80000c7c <_vsnprintf+0x374> + 80000ef8: 06400713 li a4,100 + 80000efc: d8e500e3 beq a0,a4,80000c7c <_vsnprintf+0x374> + 80000f00: 22079663 bnez a5,8000112c <_vsnprintf+0x824> + 80000f04: 100f7793 andi a5,t5,256 + 80000f08: 000f0713 mv a4,t5 + 80000f0c: 2a079463 bnez a5,800011b4 <_vsnprintf+0x8ac> + 80000f10: 040f7793 andi a5,t5,64 + 80000f14: 24079063 bnez a5,80001154 <_vsnprintf+0x84c> + 80000f18: 08077713 andi a4,a4,128 + 80000f1c: 2c070663 beqz a4,800011e8 <_vsnprintf+0x8e0> + 80000f20: 0009a703 lw a4,0(s3) + 80000f24: 01813783 ld a5,24(sp) + 80000f28: 00e7f733 and a4,a5,a4 + 80000f2c: 02071713 slli a4,a4,0x20 + 80000f30: 000d0613 mv a2,s10 + 80000f34: 01e13423 sd t5,8(sp) + 80000f38: 01b13023 sd s11,0(sp) + 80000f3c: 000c8893 mv a7,s9 + 80000f40: 00000793 li a5,0 + 80000f44: 02075713 srli a4,a4,0x20 + 80000f48: d89ff06f j 80000cd0 <_vsnprintf+0x3c8> + 80000f4c: 00060b13 mv s6,a2 + 80000f50: 02813783 ld a5,40(sp) + 80000f54: 04078a63 beqz a5,80000fa8 <_vsnprintf+0x6a0> + 80000f58: 000b0d13 mv s10,s6 + 80000f5c: 01013703 ld a4,16(sp) + 80000f60: 0db77e63 bgeu a4,s11,8000103c <_vsnprintf+0x734> + 80000f64: fffd879b addiw a5,s11,-1 + 80000f68: 40e7873b subw a4,a5,a4 + 80000f6c: 02071713 slli a4,a4,0x20 + 80000f70: 02075713 srli a4,a4,0x20 + 80000f74: 001d0813 addi a6,s10,1 + 80000f78: 01070b33 add s6,a4,a6 + 80000f7c: 0080006f j 80000f84 <_vsnprintf+0x67c> + 80000f80: 00180813 addi a6,a6,1 + 80000f84: 000d0613 mv a2,s10 + 80000f88: 01013823 sd a6,16(sp) + 80000f8c: 000c0693 mv a3,s8 + 80000f90: 00048593 mv a1,s1 + 80000f94: 02000513 li a0,32 + 80000f98: 00080d13 mv s10,a6 + 80000f9c: 000900e7 jalr s2 + 80000fa0: 01013803 ld a6,16(sp) + 80000fa4: fd0b1ee3 bne s6,a6,80000f80 <_vsnprintf+0x678> + 80000fa8: 02013983 ld s3,32(sp) + 80000fac: 000b0d13 mv s10,s6 + 80000fb0: 9edff06f j 8000099c <_vsnprintf+0x94> + 80000fb4: 0006879b sext.w a5,a3 + 80000fb8: 00f13823 sd a5,16(sp) + 80000fbc: e21ff06f j 80000ddc <_vsnprintf+0x4d4> + 80000fc0: 0c05e593 ori a1,a1,192 + 80000fc4: 00264503 lbu a0,2(a2) + 80000fc8: 0005859b sext.w a1,a1 + 80000fcc: 00360b93 addi s7,a2,3 + 80000fd0: ad5ff06f j 80000aa4 <_vsnprintf+0x19c> + 80000fd4: 00000413 li s0,0 + 80000fd8: b91ff06f j 80000b68 <_vsnprintf+0x260> + 80000fdc: 01013703 ld a4,16(sp) + 80000fe0: 0017079b addiw a5,a4,1 + 80000fe4: 21b77c63 bgeu a4,s11,800011fc <_vsnprintf+0x8f4> + 80000fe8: fffd879b addiw a5,s11,-1 + 80000fec: 40e787bb subw a5,a5,a4 + 80000ff0: 02079793 slli a5,a5,0x20 + 80000ff4: 0207d793 srli a5,a5,0x20 + 80000ff8: 001d0813 addi a6,s10,1 + 80000ffc: 01078b33 add s6,a5,a6 + 80001000: 00c0006f j 8000100c <_vsnprintf+0x704> + 80001004: 01013803 ld a6,16(sp) + 80001008: 00180813 addi a6,a6,1 + 8000100c: 000d0613 mv a2,s10 + 80001010: 000c0693 mv a3,s8 + 80001014: 00080d13 mv s10,a6 + 80001018: 01013823 sd a6,16(sp) + 8000101c: 00048593 mv a1,s1 + 80001020: 02000513 li a0,32 + 80001024: 000900e7 jalr s2 + 80001028: fd6d1ee3 bne s10,s6,80001004 <_vsnprintf+0x6fc> + 8000102c: 000ac503 lbu a0,0(s5) + 80001030: 001d879b addiw a5,s11,1 + 80001034: 00f13823 sd a5,16(sp) + 80001038: dc051ce3 bnez a0,80000e10 <_vsnprintf+0x508> + 8000103c: 000d0b13 mv s6,s10 + 80001040: f69ff06f j 80000fa8 <_vsnprintf+0x6a0> + 80001044: 00100793 li a5,1 + 80001048: 1bb7f463 bgeu a5,s11,800011f0 <_vsnprintf+0x8e8> + 8000104c: ffed879b addiw a5,s11,-2 + 80001050: 02079a93 slli s5,a5,0x20 + 80001054: 020ada93 srli s5,s5,0x20 + 80001058: 010a8ab3 add s5,s5,a6 + 8000105c: 00c0006f j 80001068 <_vsnprintf+0x760> + 80001060: 01013803 ld a6,16(sp) + 80001064: 00180813 addi a6,a6,1 + 80001068: 000d0613 mv a2,s10 + 8000106c: 000c0693 mv a3,s8 + 80001070: 00080d13 mv s10,a6 + 80001074: 01013823 sd a6,16(sp) + 80001078: 00048593 mv a1,s1 + 8000107c: 02000513 li a0,32 + 80001080: 000900e7 jalr s2 + 80001084: fdaa9ee3 bne s5,s10,80001060 <_vsnprintf+0x758> + 80001088: 001a8d13 addi s10,s5,1 + 8000108c: 0009c503 lbu a0,0(s3) + 80001090: 000c0693 mv a3,s8 + 80001094: 000a8613 mv a2,s5 + 80001098: 00048593 mv a1,s1 + 8000109c: 000900e7 jalr s2 + 800010a0: 02013983 ld s3,32(sp) + 800010a4: 8f9ff06f j 8000099c <_vsnprintf+0x94> + 800010a8: 0009b783 ld a5,0(s3) + 800010ac: 000d0613 mv a2,s10 + 800010b0: 01e13423 sd t5,8(sp) + 800010b4: 43f7d713 srai a4,a5,0x3f + 800010b8: 00f745b3 xor a1,a4,a5 + 800010bc: 01b13023 sd s11,0(sp) + 800010c0: 000c8893 mv a7,s9 + 800010c4: 03f7d793 srli a5,a5,0x3f + 800010c8: 40e58733 sub a4,a1,a4 + 800010cc: 000c0693 mv a3,s8 + 800010d0: 00048593 mv a1,s1 + 800010d4: 00090513 mv a0,s2 + 800010d8: ed0ff0ef jal ra,800007a8 <_ntoa_long_long> + 800010dc: 00050d13 mv s10,a0 + 800010e0: 000a8993 mv s3,s5 + 800010e4: 8b9ff06f j 8000099c <_vsnprintf+0x94> + 800010e8: 2005f793 andi a5,a1,512 + 800010ec: 0007879b sext.w a5,a5 + 800010f0: 01000813 li a6,16 + 800010f4: e0dff06f j 80000f00 <_vsnprintf+0x5f8> + 800010f8: 00a00813 li a6,10 + 800010fc: de5ff06f j 80000ee0 <_vsnprintf+0x5d8> + 80001100: 00800813 li a6,8 + 80001104: 00058f13 mv t5,a1 + 80001108: 400f7713 andi a4,t5,1024 + 8000110c: 06400613 li a2,100 + 80001110: 000f0793 mv a5,t5 + 80001114: 0007071b sext.w a4,a4 + 80001118: 0ec51c63 bne a0,a2,80001210 <_vsnprintf+0x908> + 8000111c: dc0712e3 bnez a4,80000ee0 <_vsnprintf+0x5d8> + 80001120: 2007f793 andi a5,a5,512 + 80001124: 0007879b sext.w a5,a5 + 80001128: b55ff06f j 80000c7c <_vsnprintf+0x374> + 8000112c: 0009b703 ld a4,0(s3) + 80001130: 000d0613 mv a2,s10 + 80001134: 01e13423 sd t5,8(sp) + 80001138: 01b13023 sd s11,0(sp) + 8000113c: 000c8893 mv a7,s9 + 80001140: 00000793 li a5,0 + 80001144: f89ff06f j 800010cc <_vsnprintf+0x7c4> + 80001148: 0ff7f793 andi a5,a5,255 + 8000114c: 00078713 mv a4,a5 + 80001150: b6dff06f j 80000cbc <_vsnprintf+0x3b4> + 80001154: 0009c703 lbu a4,0(s3) + 80001158: dd5ff06f j 80000f2c <_vsnprintf+0x624> + 8000115c: 02013983 ld s3,32(sp) + 80001160: 000b0d13 mv s10,s6 + 80001164: 839ff06f j 8000099c <_vsnprintf+0x94> + 80001168: 0009b783 ld a5,0(s3) + 8000116c: 000d0613 mv a2,s10 + 80001170: 01e13423 sd t5,8(sp) + 80001174: 43f7d713 srai a4,a5,0x3f + 80001178: 00f745b3 xor a1,a4,a5 + 8000117c: 01b13023 sd s11,0(sp) + 80001180: 000c8893 mv a7,s9 + 80001184: 03f7d793 srli a5,a5,0x3f + 80001188: 40e58733 sub a4,a1,a4 + 8000118c: b45ff06f j 80000cd0 <_vsnprintf+0x3c8> + 80001190: 00200813 li a6,2 + 80001194: f71ff06f j 80001104 <_vsnprintf+0x7fc> + 80001198: 4005f713 andi a4,a1,1024 + 8000119c: 0007071b sext.w a4,a4 + 800011a0: 01000813 li a6,16 + 800011a4: ff35f593 andi a1,a1,-13 + 800011a8: 00058f1b sext.w t5,a1 + 800011ac: d2071ae3 bnez a4,80000ee0 <_vsnprintf+0x5d8> + 800011b0: d39ff06f j 80000ee8 <_vsnprintf+0x5e0> + 800011b4: 0009b703 ld a4,0(s3) + 800011b8: 000d0613 mv a2,s10 + 800011bc: 01e13423 sd t5,8(sp) + 800011c0: 01b13023 sd s11,0(sp) + 800011c4: 000c8893 mv a7,s9 + 800011c8: 00000793 li a5,0 + 800011cc: b05ff06f j 80000cd0 <_vsnprintf+0x3c8> + 800011d0: 41f7d61b sraiw a2,a5,0x1f + 800011d4: 00c7c733 xor a4,a5,a2 + 800011d8: 40c7073b subw a4,a4,a2 + 800011dc: ae1ff06f j 80000cbc <_vsnprintf+0x3b4> + 800011e0: 00013823 sd zero,16(sp) + 800011e4: bf9ff06f j 80000ddc <_vsnprintf+0x4d4> + 800011e8: 0009a703 lw a4,0(s3) + 800011ec: d41ff06f j 80000f2c <_vsnprintf+0x624> + 800011f0: 000d0a93 mv s5,s10 + 800011f4: 00080d13 mv s10,a6 + 800011f8: e95ff06f j 8000108c <_vsnprintf+0x784> + 800011fc: 00f13823 sd a5,16(sp) + 80001200: c00518e3 bnez a0,80000e10 <_vsnprintf+0x508> + 80001204: e39ff06f j 8000103c <_vsnprintf+0x734> + 80001208: 00a00813 li a6,10 + 8000120c: efdff06f j 80001108 <_vsnprintf+0x800> + 80001210: 000f0593 mv a1,t5 + 80001214: f91ff06f j 800011a4 <_vsnprintf+0x89c> + +0000000080001218 : + 80001218: fb010113 addi sp,sp,-80 + 8000121c: 02010313 addi t1,sp,32 + 80001220: 02c13023 sd a2,32(sp) + 80001224: 02d13423 sd a3,40(sp) + 80001228: 02e13823 sd a4,48(sp) + 8000122c: 00058693 mv a3,a1 + 80001230: 00030713 mv a4,t1 + 80001234: 00050593 mv a1,a0 + 80001238: fff00613 li a2,-1 + 8000123c: fffff517 auipc a0,0xfffff + 80001240: f6050513 addi a0,a0,-160 # 8000019c <_out_buffer> + 80001244: 00113c23 sd ra,24(sp) + 80001248: 02f13c23 sd a5,56(sp) + 8000124c: 05013023 sd a6,64(sp) + 80001250: 05113423 sd a7,72(sp) + 80001254: 00613423 sd t1,8(sp) + 80001258: eb0ff0ef jal ra,80000908 <_vsnprintf> + 8000125c: 01813083 ld ra,24(sp) + 80001260: 05010113 addi sp,sp,80 + 80001264: 00008067 ret + +0000000080001268 : + 80001268: 1a050e63 beqz a0,80001424 + 8000126c: fd010113 addi sp,sp,-48 + 80001270: 02813023 sd s0,32(sp) + 80001274: 00913c23 sd s1,24(sp) + 80001278: 01f57793 andi a5,a0,31 + 8000127c: 02113423 sd ra,40(sp) + 80001280: 01213823 sd s2,16(sp) + 80001284: 01313423 sd s3,8(sp) + 80001288: 01413023 sd s4,0(sp) + 8000128c: 00050493 mv s1,a0 + 80001290: 00050413 mv s0,a0 + 80001294: 00000513 li a0,0 + 80001298: 14079a63 bnez a5,800013ec + 8000129c: 27f00713 li a4,639 + 800012a0: 00058913 mv s2,a1 + 800012a4: 00078513 mv a0,a5 + 800012a8: 14b77263 bgeu a4,a1,800013ec + 800012ac: 0074f513 andi a0,s1,7 + 800012b0: 00153513 seqz a0,a0 + 800012b4: 00060a13 mv s4,a2 + 800012b8: 00068993 mv s3,a3 + 800012bc: e41fe0ef jal ra,800000fc <_assert> + 800012c0: 20048793 addi a5,s1,512 + 800012c4: 2004b023 sd zero,512(s1) + 800012c8: 2144b423 sd s4,520(s1) + 800012cc: 2134b823 sd s3,528(s1) + 800012d0: 00043023 sd zero,0(s0) + 800012d4: 00840413 addi s0,s0,8 + 800012d8: fe879ce3 bne a5,s0,800012d0 + 800012dc: fff00793 li a5,-1 + 800012e0: dc090413 addi s0,s2,-576 + 800012e4: 03f79793 slli a5,a5,0x3f + 800012e8: 1287f863 bgeu a5,s0,80001418 + 800012ec: 00078413 mv s0,a5 + 800012f0: 00100513 li a0,1 + 800012f4: e09fe0ef jal ra,800000fc <_assert> + 800012f8: f8300793 li a5,-125 + 800012fc: 0017d793 srli a5,a5,0x1 + 80001300: fc040513 addi a0,s0,-64 + 80001304: 00f53533 sltu a0,a0,a5 + 80001308: df5fe0ef jal ra,800000fc <_assert> + 8000130c: 01f4f513 andi a0,s1,31 + 80001310: 00153513 seqz a0,a0 + 80001314: de9fe0ef jal ra,800000fc <_assert> + 80001318: 00100513 li a0,1 + 8000131c: 2404b023 sd zero,576(s1) + 80001320: 2404b423 sd zero,584(s1) + 80001324: 2484b823 sd s0,592(s1) + 80001328: 24048c23 sb zero,600(s1) + 8000132c: 2604b023 sd zero,608(s1) + 80001330: 2604b423 sd zero,616(s1) + 80001334: dc9fe0ef jal ra,800000fc <_assert> + 80001338: 00100513 li a0,1 + 8000133c: dc1fe0ef jal ra,800000fc <_assert> + 80001340: 2504b503 ld a0,592(s1) + 80001344: 24048a13 addi s4,s1,576 + 80001348: 04053513 sltiu a0,a0,64 + 8000134c: 00154513 xori a0,a0,1 + 80001350: 00157513 andi a0,a0,1 + 80001354: da9fe0ef jal ra,800000fc <_assert> + 80001358: 2504b503 ld a0,592(s1) + 8000135c: 03f57513 andi a0,a0,63 + 80001360: 00153513 seqz a0,a0 + 80001364: d99fe0ef jal ra,800000fc <_assert> + 80001368: 2504b703 ld a4,592(s1) + 8000136c: 00100793 li a5,1 + 80001370: 00675713 srli a4,a4,0x6 + 80001374: 0ae7fc63 bgeu a5,a4,8000142c + 80001378: 00000793 li a5,0 + 8000137c: 00100693 li a3,1 + 80001380: 0017879b addiw a5,a5,1 + 80001384: 00175713 srli a4,a4,0x1 + 80001388: 0ff7f793 andi a5,a5,255 + 8000138c: fed71ae3 bne a4,a3,80001380 + 80001390: 00078993 mv s3,a5 + 80001394: 0407b513 sltiu a0,a5,64 + 80001398: 00f71933 sll s2,a4,a5 + 8000139c: d61fe0ef jal ra,800000fc <_assert> + 800013a0: 00399793 slli a5,s3,0x3 + 800013a4: 00f487b3 add a5,s1,a5 + 800013a8: 0007b703 ld a4,0(a5) + 800013ac: 2604b423 sd zero,616(s1) + 800013b0: 26e4b023 sd a4,608(s1) + 800013b4: 00070463 beqz a4,800013bc + 800013b8: 03473423 sd s4,40(a4) + 800013bc: 0147b023 sd s4,0(a5) + 800013c0: 2004b503 ld a0,512(s1) + 800013c4: 00a96533 or a0,s2,a0 + 800013c8: 20a4b023 sd a0,512(s1) + 800013cc: 00a03533 snez a0,a0 + 800013d0: d2dfe0ef jal ra,800000fc <_assert> + 800013d4: 00048513 mv a0,s1 + 800013d8: 2084bc23 sd s0,536(s1) + 800013dc: 2204b023 sd zero,544(s1) + 800013e0: 2204b423 sd zero,552(s1) + 800013e4: 2204b823 sd zero,560(s1) + 800013e8: 2204bc23 sd zero,568(s1) + 800013ec: 02813083 ld ra,40(sp) + 800013f0: 02013403 ld s0,32(sp) + 800013f4: 01813483 ld s1,24(sp) + 800013f8: 01013903 ld s2,16(sp) + 800013fc: 00813983 ld s3,8(sp) + 80001400: 00013a03 ld s4,0(sp) + 80001404: 03010113 addi sp,sp,48 + 80001408: 00008067 ret + 8000140c: 00100513 li a0,1 + 80001410: fff40413 addi s0,s0,-1 + 80001414: ce9fe0ef jal ra,800000fc <_assert> + 80001418: 03f47793 andi a5,s0,63 + 8000141c: fe0798e3 bnez a5,8000140c + 80001420: ed1ff06f j 800012f0 + 80001424: 00000513 li a0,0 + 80001428: 00008067 ret + 8000142c: 00100913 li s2,1 + 80001430: 00000993 li s3,0 + 80001434: 00100513 li a0,1 + 80001438: f65ff06f j 8000139c + +000000008000143c <__udivsi3>: + 8000143c: 02051513 slli a0,a0,0x20 + 80001440: 02059593 slli a1,a1,0x20 + 80001444: 00008293 mv t0,ra + 80001448: 03c000ef jal ra,80001484 <__udivdi3> + 8000144c: 0005051b sext.w a0,a0 + 80001450: 00028067 jr t0 + +0000000080001454 <__umodsi3>: + 80001454: 02051513 slli a0,a0,0x20 + 80001458: 02059593 slli a1,a1,0x20 + 8000145c: 02055513 srli a0,a0,0x20 + 80001460: 0205d593 srli a1,a1,0x20 + 80001464: 00008293 mv t0,ra + 80001468: 01c000ef jal ra,80001484 <__udivdi3> + 8000146c: 0005851b sext.w a0,a1 + 80001470: 00028067 jr t0 + +0000000080001474 <__divsi3>: + 80001474: fff00293 li t0,-1 + 80001478: 0a558c63 beq a1,t0,80001530 <__moddi3+0x30> + +000000008000147c <__divdi3>: + 8000147c: 06054063 bltz a0,800014dc <__umoddi3+0x10> + 80001480: 0605c663 bltz a1,800014ec <__umoddi3+0x20> + +0000000080001484 <__udivdi3>: + 80001484: 00058613 mv a2,a1 + 80001488: 00050593 mv a1,a0 + 8000148c: fff00513 li a0,-1 + 80001490: 02060c63 beqz a2,800014c8 <__udivdi3+0x44> + 80001494: 00100693 li a3,1 + 80001498: 00b67a63 bgeu a2,a1,800014ac <__udivdi3+0x28> + 8000149c: 00c05863 blez a2,800014ac <__udivdi3+0x28> + 800014a0: 00161613 slli a2,a2,0x1 + 800014a4: 00169693 slli a3,a3,0x1 + 800014a8: feb66ae3 bltu a2,a1,8000149c <__udivdi3+0x18> + 800014ac: 00000513 li a0,0 + 800014b0: 00c5e663 bltu a1,a2,800014bc <__udivdi3+0x38> + 800014b4: 40c585b3 sub a1,a1,a2 + 800014b8: 00d56533 or a0,a0,a3 + 800014bc: 0016d693 srli a3,a3,0x1 + 800014c0: 00165613 srli a2,a2,0x1 + 800014c4: fe0696e3 bnez a3,800014b0 <__udivdi3+0x2c> + 800014c8: 00008067 ret + +00000000800014cc <__umoddi3>: + 800014cc: 00008293 mv t0,ra + 800014d0: fb5ff0ef jal ra,80001484 <__udivdi3> + 800014d4: 00058513 mv a0,a1 + 800014d8: 00028067 jr t0 + 800014dc: 40a00533 neg a0,a0 + 800014e0: 00b04863 bgtz a1,800014f0 <__umoddi3+0x24> + 800014e4: 40b005b3 neg a1,a1 + 800014e8: f9dff06f j 80001484 <__udivdi3> + 800014ec: 40b005b3 neg a1,a1 + 800014f0: 00008293 mv t0,ra + 800014f4: f91ff0ef jal ra,80001484 <__udivdi3> + 800014f8: 40a00533 neg a0,a0 + 800014fc: 00028067 jr t0 + +0000000080001500 <__moddi3>: + 80001500: 00008293 mv t0,ra + 80001504: 0005ca63 bltz a1,80001518 <__moddi3+0x18> + 80001508: 00054c63 bltz a0,80001520 <__moddi3+0x20> + 8000150c: f79ff0ef jal ra,80001484 <__udivdi3> + 80001510: 00058513 mv a0,a1 + 80001514: 00028067 jr t0 + 80001518: 40b005b3 neg a1,a1 + 8000151c: fe0558e3 bgez a0,8000150c <__moddi3+0xc> + 80001520: 40a00533 neg a0,a0 + 80001524: f61ff0ef jal ra,80001484 <__udivdi3> + 80001528: 40b00533 neg a0,a1 + 8000152c: 00028067 jr t0 + 80001530: 01f29293 slli t0,t0,0x1f + 80001534: f45514e3 bne a0,t0,8000147c <__divdi3> + 80001538: 00008067 ret diff --git a/bin/non-output/cpu-tests/if-else-cpu-tests.bin b/bin/non-output/cpu-tests/if-else-cpu-tests.bin new file mode 100755 index 0000000..d3a3ea1 Binary files /dev/null and b/bin/non-output/cpu-tests/if-else-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/if-else-cpu-tests.elf b/bin/non-output/cpu-tests/if-else-cpu-tests.elf new file mode 100755 index 0000000..548e76f Binary files /dev/null and b/bin/non-output/cpu-tests/if-else-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/if-else-cpu-tests.txt b/bin/non-output/cpu-tests/if-else-cpu-tests.txt new file mode 100755 index 0000000..c5d3a97 --- /dev/null +++ b/bin/non-output/cpu-tests/if-else-cpu-tests.txt @@ -0,0 +1,230 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/if-else-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 104000ef jal ra,80000110 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0c8000ef jal ra,800000ec + +0000000080000028
: + 80000028: fc010113 addi sp,sp,-64 + 8000002c: 02813823 sd s0,48(sp) + 80000030: 02913423 sd s1,40(sp) + 80000034: 03213023 sd s2,32(sp) + 80000038: 01313c23 sd s3,24(sp) + 8000003c: 01413823 sd s4,16(sp) + 80000040: 01513423 sd s5,8(sp) + 80000044: 01613023 sd s6,0(sp) + 80000048: 02113c23 sd ra,56(sp) + 8000004c: 00000417 auipc s0,0x0 + 80000050: 33c40413 addi s0,s0,828 # 80000388 + 80000054: 00000497 auipc s1,0x0 + 80000058: 2fc48493 addi s1,s1,764 # 80000350 + 8000005c: 00000997 auipc s3,0x0 + 80000060: 36498993 addi s3,s3,868 # 800003c0 + 80000064: 1f400913 li s2,500 + 80000068: 12c00a93 li s5,300 + 8000006c: 06400b13 li s6,100 + 80000070: 03200a13 li s4,50 + 80000074: 00042783 lw a5,0(s0) + 80000078: 09600713 li a4,150 + 8000007c: 00440413 addi s0,s0,4 + 80000080: 02f94063 blt s2,a5,800000a0 + 80000084: 00fa2733 slt a4,s4,a5 + 80000088: 40e006b3 neg a3,a4 + 8000008c: 06400713 li a4,100 + 80000090: 00fac863 blt s5,a5,800000a0 + 80000094: 04b00713 li a4,75 + 80000098: 00fb4463 blt s6,a5,800000a0 + 8000009c: 0326f713 andi a4,a3,50 + 800000a0: 0004a503 lw a0,0(s1) + 800000a4: 00448493 addi s1,s1,4 + 800000a8: 40e50533 sub a0,a0,a4 + 800000ac: 00153513 seqz a0,a0 + 800000b0: f61ff0ef jal ra,80000010 + 800000b4: fd3410e3 bne s0,s3,80000074 + 800000b8: 00100513 li a0,1 + 800000bc: f55ff0ef jal ra,80000010 + 800000c0: 03813083 ld ra,56(sp) + 800000c4: 03013403 ld s0,48(sp) + 800000c8: 02813483 ld s1,40(sp) + 800000cc: 02013903 ld s2,32(sp) + 800000d0: 01813983 ld s3,24(sp) + 800000d4: 01013a03 ld s4,16(sp) + 800000d8: 00813a83 ld s5,8(sp) + 800000dc: 00013b03 ld s6,0(sp) + 800000e0: 00000513 li a0,0 + 800000e4: 04010113 addi sp,sp,64 + 800000e8: 00008067 ret + +00000000800000ec : + 800000ec: 00050513 mv a0,a0 + 800000f0: 0000006b 0x6b + 800000f4: 0000006f j 800000f4 + +00000000800000f8 <_assert>: + 800000f8: 00051a63 bnez a0,8000010c <_assert+0x14> + 800000fc: 00100793 li a5,1 + 80000100: 00078513 mv a0,a5 + 80000104: 0000006b 0x6b + 80000108: 0000006f j 80000108 <_assert+0x10> + 8000010c: 00008067 ret + +0000000080000110 <_trm_init>: + 80000110: ff010113 addi sp,sp,-16 + 80000114: 00113423 sd ra,8(sp) + 80000118: 01c000ef jal ra,80000134 + 8000011c: 00000517 auipc a0,0x0 + 80000120: 22c50513 addi a0,a0,556 # 80000348 + 80000124: f05ff0ef jal ra,80000028
+ 80000128: 00050513 mv a0,a0 + 8000012c: 0000006b 0x6b + 80000130: 0000006f j 80000130 <_trm_init+0x20> + +0000000080000134 : + 80000134: 00000797 auipc a5,0x0 + 80000138: 28c78793 addi a5,a5,652 # 800003c0 + 8000013c: 0007b503 ld a0,0(a5) + 80000140: 0087b583 ld a1,8(a5) + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00000693 li a3,0 + 8000014c: 00000613 li a2,0 + 80000150: 40a585b3 sub a1,a1,a0 + 80000154: 00113423 sd ra,8(sp) + 80000158: 018000ef jal ra,80000170 + 8000015c: 00813083 ld ra,8(sp) + 80000160: 00000797 auipc a5,0x0 + 80000164: 26a7b823 sd a0,624(a5) # 800003d0 + 80000168: 01010113 addi sp,sp,16 + 8000016c: 00008067 ret + +0000000080000170 : + 80000170: 1a050e63 beqz a0,8000032c + 80000174: fd010113 addi sp,sp,-48 + 80000178: 02813023 sd s0,32(sp) + 8000017c: 00913c23 sd s1,24(sp) + 80000180: 01f57793 andi a5,a0,31 + 80000184: 02113423 sd ra,40(sp) + 80000188: 01213823 sd s2,16(sp) + 8000018c: 01313423 sd s3,8(sp) + 80000190: 01413023 sd s4,0(sp) + 80000194: 00050493 mv s1,a0 + 80000198: 00050413 mv s0,a0 + 8000019c: 00000513 li a0,0 + 800001a0: 14079a63 bnez a5,800002f4 + 800001a4: 27f00713 li a4,639 + 800001a8: 00058913 mv s2,a1 + 800001ac: 00078513 mv a0,a5 + 800001b0: 14b77263 bgeu a4,a1,800002f4 + 800001b4: 0074f513 andi a0,s1,7 + 800001b8: 00153513 seqz a0,a0 + 800001bc: 00060a13 mv s4,a2 + 800001c0: 00068993 mv s3,a3 + 800001c4: f35ff0ef jal ra,800000f8 <_assert> + 800001c8: 20048793 addi a5,s1,512 + 800001cc: 2004b023 sd zero,512(s1) + 800001d0: 2144b423 sd s4,520(s1) + 800001d4: 2134b823 sd s3,528(s1) + 800001d8: 00043023 sd zero,0(s0) + 800001dc: 00840413 addi s0,s0,8 + 800001e0: fe879ce3 bne a5,s0,800001d8 + 800001e4: fff00793 li a5,-1 + 800001e8: dc090413 addi s0,s2,-576 + 800001ec: 03f79793 slli a5,a5,0x3f + 800001f0: 1287f863 bgeu a5,s0,80000320 + 800001f4: 00078413 mv s0,a5 + 800001f8: 00100513 li a0,1 + 800001fc: efdff0ef jal ra,800000f8 <_assert> + 80000200: f8300793 li a5,-125 + 80000204: 0017d793 srli a5,a5,0x1 + 80000208: fc040513 addi a0,s0,-64 + 8000020c: 00f53533 sltu a0,a0,a5 + 80000210: ee9ff0ef jal ra,800000f8 <_assert> + 80000214: 01f4f513 andi a0,s1,31 + 80000218: 00153513 seqz a0,a0 + 8000021c: eddff0ef jal ra,800000f8 <_assert> + 80000220: 00100513 li a0,1 + 80000224: 2404b023 sd zero,576(s1) + 80000228: 2404b423 sd zero,584(s1) + 8000022c: 2484b823 sd s0,592(s1) + 80000230: 24048c23 sb zero,600(s1) + 80000234: 2604b023 sd zero,608(s1) + 80000238: 2604b423 sd zero,616(s1) + 8000023c: ebdff0ef jal ra,800000f8 <_assert> + 80000240: 00100513 li a0,1 + 80000244: eb5ff0ef jal ra,800000f8 <_assert> + 80000248: 2504b503 ld a0,592(s1) + 8000024c: 24048a13 addi s4,s1,576 + 80000250: 04053513 sltiu a0,a0,64 + 80000254: 00154513 xori a0,a0,1 + 80000258: 00157513 andi a0,a0,1 + 8000025c: e9dff0ef jal ra,800000f8 <_assert> + 80000260: 2504b503 ld a0,592(s1) + 80000264: 03f57513 andi a0,a0,63 + 80000268: 00153513 seqz a0,a0 + 8000026c: e8dff0ef jal ra,800000f8 <_assert> + 80000270: 2504b703 ld a4,592(s1) + 80000274: 00100793 li a5,1 + 80000278: 00675713 srli a4,a4,0x6 + 8000027c: 0ae7fc63 bgeu a5,a4,80000334 + 80000280: 00000793 li a5,0 + 80000284: 00100693 li a3,1 + 80000288: 0017879b addiw a5,a5,1 + 8000028c: 00175713 srli a4,a4,0x1 + 80000290: 0ff7f793 andi a5,a5,255 + 80000294: fed71ae3 bne a4,a3,80000288 + 80000298: 00078993 mv s3,a5 + 8000029c: 0407b513 sltiu a0,a5,64 + 800002a0: 00f71933 sll s2,a4,a5 + 800002a4: e55ff0ef jal ra,800000f8 <_assert> + 800002a8: 00399793 slli a5,s3,0x3 + 800002ac: 00f487b3 add a5,s1,a5 + 800002b0: 0007b703 ld a4,0(a5) + 800002b4: 2604b423 sd zero,616(s1) + 800002b8: 26e4b023 sd a4,608(s1) + 800002bc: 00070463 beqz a4,800002c4 + 800002c0: 03473423 sd s4,40(a4) + 800002c4: 0147b023 sd s4,0(a5) + 800002c8: 2004b503 ld a0,512(s1) + 800002cc: 00a96533 or a0,s2,a0 + 800002d0: 20a4b023 sd a0,512(s1) + 800002d4: 00a03533 snez a0,a0 + 800002d8: e21ff0ef jal ra,800000f8 <_assert> + 800002dc: 00048513 mv a0,s1 + 800002e0: 2084bc23 sd s0,536(s1) + 800002e4: 2204b023 sd zero,544(s1) + 800002e8: 2204b423 sd zero,552(s1) + 800002ec: 2204b823 sd zero,560(s1) + 800002f0: 2204bc23 sd zero,568(s1) + 800002f4: 02813083 ld ra,40(sp) + 800002f8: 02013403 ld s0,32(sp) + 800002fc: 01813483 ld s1,24(sp) + 80000300: 01013903 ld s2,16(sp) + 80000304: 00813983 ld s3,8(sp) + 80000308: 00013a03 ld s4,0(sp) + 8000030c: 03010113 addi sp,sp,48 + 80000310: 00008067 ret + 80000314: 00100513 li a0,1 + 80000318: fff40413 addi s0,s0,-1 + 8000031c: dddff0ef jal ra,800000f8 <_assert> + 80000320: 03f47793 andi a5,s0,63 + 80000324: fe0798e3 bnez a5,80000314 + 80000328: ed1ff06f j 800001f8 + 8000032c: 00000513 li a0,0 + 80000330: 00008067 ret + 80000334: 00100913 li s2,1 + 80000338: 00000993 li s3,0 + 8000033c: 00100513 li a0,1 + 80000340: f65ff06f j 800002a4 diff --git a/bin/non-output/cpu-tests/leap-year-cpu-tests.bin b/bin/non-output/cpu-tests/leap-year-cpu-tests.bin new file mode 100755 index 0000000..30649c9 Binary files /dev/null and b/bin/non-output/cpu-tests/leap-year-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/leap-year-cpu-tests.elf b/bin/non-output/cpu-tests/leap-year-cpu-tests.elf new file mode 100755 index 0000000..0321978 Binary files /dev/null and b/bin/non-output/cpu-tests/leap-year-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/leap-year-cpu-tests.txt b/bin/non-output/cpu-tests/leap-year-cpu-tests.txt new file mode 100755 index 0000000..4cf7bfa --- /dev/null +++ b/bin/non-output/cpu-tests/leap-year-cpu-tests.txt @@ -0,0 +1,299 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/leap-year-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 0e0000ef jal ra,800000ec <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0a4000ef jal ra,800000c8 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 02813023 sd s0,32(sp) + 80000030: 00913c23 sd s1,24(sp) + 80000034: 01313423 sd s3,8(sp) + 80000038: 02113423 sd ra,40(sp) + 8000003c: 01213823 sd s2,16(sp) + 80000040: 00000497 auipc s1,0x0 + 80000044: 3e848493 addi s1,s1,1000 # 80000428 + 80000048: 00000997 auipc s3,0x0 + 8000004c: 5d498993 addi s3,s3,1492 # 8000061c + 80000050: 76200413 li s0,1890 + 80000054: 0004091b sext.w s2,s0 + 80000058: 00347793 andi a5,s0,3 + 8000005c: 06400593 li a1,100 + 80000060: 00090513 mv a0,s2 + 80000064: 00079a63 bnez a5,80000078 + 80000068: 16c000ef jal ra,800001d4 <__moddi3> + 8000006c: 0005079b sext.w a5,a0 + 80000070: 00100513 li a0,1 + 80000074: 00079c63 bnez a5,8000008c + 80000078: 19000593 li a1,400 + 8000007c: 00090513 mv a0,s2 + 80000080: 154000ef jal ra,800001d4 <__moddi3> + 80000084: 0005051b sext.w a0,a0 + 80000088: 00153513 seqz a0,a0 + 8000008c: 0004a783 lw a5,0(s1) + 80000090: 00448493 addi s1,s1,4 + 80000094: 0014041b addiw s0,s0,1 + 80000098: 40a78533 sub a0,a5,a0 + 8000009c: 00153513 seqz a0,a0 + 800000a0: f71ff0ef jal ra,80000010 + 800000a4: fb3498e3 bne s1,s3,80000054 + 800000a8: 02813083 ld ra,40(sp) + 800000ac: 02013403 ld s0,32(sp) + 800000b0: 01813483 ld s1,24(sp) + 800000b4: 01013903 ld s2,16(sp) + 800000b8: 00813983 ld s3,8(sp) + 800000bc: 00000513 li a0,0 + 800000c0: 03010113 addi sp,sp,48 + 800000c4: 00008067 ret + +00000000800000c8 : + 800000c8: 00050513 mv a0,a0 + 800000cc: 0000006b 0x6b + 800000d0: 0000006f j 800000d0 + +00000000800000d4 <_assert>: + 800000d4: 00051a63 bnez a0,800000e8 <_assert+0x14> + 800000d8: 00100793 li a5,1 + 800000dc: 00078513 mv a0,a5 + 800000e0: 0000006b 0x6b + 800000e4: 0000006f j 800000e4 <_assert+0x10> + 800000e8: 00008067 ret + +00000000800000ec <_trm_init>: + 800000ec: ff010113 addi sp,sp,-16 + 800000f0: 00113423 sd ra,8(sp) + 800000f4: 11c000ef jal ra,80000210 + 800000f8: 00000517 auipc a0,0x0 + 800000fc: 32850513 addi a0,a0,808 # 80000420 <_etext> + 80000100: f29ff0ef jal ra,80000028
+ 80000104: 00050513 mv a0,a0 + 80000108: 0000006b 0x6b + 8000010c: 0000006f j 8000010c <_trm_init+0x20> + +0000000080000110 <__udivsi3>: + 80000110: 02051513 slli a0,a0,0x20 + 80000114: 02059593 slli a1,a1,0x20 + 80000118: 00008293 mv t0,ra + 8000011c: 03c000ef jal ra,80000158 <__udivdi3> + 80000120: 0005051b sext.w a0,a0 + 80000124: 00028067 jr t0 + +0000000080000128 <__umodsi3>: + 80000128: 02051513 slli a0,a0,0x20 + 8000012c: 02059593 slli a1,a1,0x20 + 80000130: 02055513 srli a0,a0,0x20 + 80000134: 0205d593 srli a1,a1,0x20 + 80000138: 00008293 mv t0,ra + 8000013c: 01c000ef jal ra,80000158 <__udivdi3> + 80000140: 0005851b sext.w a0,a1 + 80000144: 00028067 jr t0 + +0000000080000148 <__divsi3>: + 80000148: fff00293 li t0,-1 + 8000014c: 0a558c63 beq a1,t0,80000204 <__moddi3+0x30> + +0000000080000150 <__divdi3>: + 80000150: 06054063 bltz a0,800001b0 <__umoddi3+0x10> + 80000154: 0605c663 bltz a1,800001c0 <__umoddi3+0x20> + +0000000080000158 <__udivdi3>: + 80000158: 00058613 mv a2,a1 + 8000015c: 00050593 mv a1,a0 + 80000160: fff00513 li a0,-1 + 80000164: 02060c63 beqz a2,8000019c <__udivdi3+0x44> + 80000168: 00100693 li a3,1 + 8000016c: 00b67a63 bgeu a2,a1,80000180 <__udivdi3+0x28> + 80000170: 00c05863 blez a2,80000180 <__udivdi3+0x28> + 80000174: 00161613 slli a2,a2,0x1 + 80000178: 00169693 slli a3,a3,0x1 + 8000017c: feb66ae3 bltu a2,a1,80000170 <__udivdi3+0x18> + 80000180: 00000513 li a0,0 + 80000184: 00c5e663 bltu a1,a2,80000190 <__udivdi3+0x38> + 80000188: 40c585b3 sub a1,a1,a2 + 8000018c: 00d56533 or a0,a0,a3 + 80000190: 0016d693 srli a3,a3,0x1 + 80000194: 00165613 srli a2,a2,0x1 + 80000198: fe0696e3 bnez a3,80000184 <__udivdi3+0x2c> + 8000019c: 00008067 ret + +00000000800001a0 <__umoddi3>: + 800001a0: 00008293 mv t0,ra + 800001a4: fb5ff0ef jal ra,80000158 <__udivdi3> + 800001a8: 00058513 mv a0,a1 + 800001ac: 00028067 jr t0 + 800001b0: 40a00533 neg a0,a0 + 800001b4: 00b04863 bgtz a1,800001c4 <__umoddi3+0x24> + 800001b8: 40b005b3 neg a1,a1 + 800001bc: f9dff06f j 80000158 <__udivdi3> + 800001c0: 40b005b3 neg a1,a1 + 800001c4: 00008293 mv t0,ra + 800001c8: f91ff0ef jal ra,80000158 <__udivdi3> + 800001cc: 40a00533 neg a0,a0 + 800001d0: 00028067 jr t0 + +00000000800001d4 <__moddi3>: + 800001d4: 00008293 mv t0,ra + 800001d8: 0005ca63 bltz a1,800001ec <__moddi3+0x18> + 800001dc: 00054c63 bltz a0,800001f4 <__moddi3+0x20> + 800001e0: f79ff0ef jal ra,80000158 <__udivdi3> + 800001e4: 00058513 mv a0,a1 + 800001e8: 00028067 jr t0 + 800001ec: 40b005b3 neg a1,a1 + 800001f0: fe0558e3 bgez a0,800001e0 <__moddi3+0xc> + 800001f4: 40a00533 neg a0,a0 + 800001f8: f61ff0ef jal ra,80000158 <__udivdi3> + 800001fc: 40b00533 neg a0,a1 + 80000200: 00028067 jr t0 + 80000204: 01f29293 slli t0,t0,0x1f + 80000208: f45514e3 bne a0,t0,80000150 <__divdi3> + 8000020c: 00008067 ret + +0000000080000210 : + 80000210: 00000797 auipc a5,0x0 + 80000214: 41078793 addi a5,a5,1040 # 80000620 + 80000218: 0007b503 ld a0,0(a5) + 8000021c: 0087b583 ld a1,8(a5) + 80000220: ff010113 addi sp,sp,-16 + 80000224: 00000693 li a3,0 + 80000228: 00000613 li a2,0 + 8000022c: 40a585b3 sub a1,a1,a0 + 80000230: 00113423 sd ra,8(sp) + 80000234: 018000ef jal ra,8000024c + 80000238: 00813083 ld ra,8(sp) + 8000023c: 00000797 auipc a5,0x0 + 80000240: 3ea7ba23 sd a0,1012(a5) # 80000630 + 80000244: 01010113 addi sp,sp,16 + 80000248: 00008067 ret + +000000008000024c : + 8000024c: 1a050e63 beqz a0,80000408 + 80000250: fd010113 addi sp,sp,-48 + 80000254: 02813023 sd s0,32(sp) + 80000258: 00913c23 sd s1,24(sp) + 8000025c: 01f57793 andi a5,a0,31 + 80000260: 02113423 sd ra,40(sp) + 80000264: 01213823 sd s2,16(sp) + 80000268: 01313423 sd s3,8(sp) + 8000026c: 01413023 sd s4,0(sp) + 80000270: 00050493 mv s1,a0 + 80000274: 00050413 mv s0,a0 + 80000278: 00000513 li a0,0 + 8000027c: 14079a63 bnez a5,800003d0 + 80000280: 27f00713 li a4,639 + 80000284: 00058913 mv s2,a1 + 80000288: 00078513 mv a0,a5 + 8000028c: 14b77263 bgeu a4,a1,800003d0 + 80000290: 0074f513 andi a0,s1,7 + 80000294: 00153513 seqz a0,a0 + 80000298: 00060a13 mv s4,a2 + 8000029c: 00068993 mv s3,a3 + 800002a0: e35ff0ef jal ra,800000d4 <_assert> + 800002a4: 20048793 addi a5,s1,512 + 800002a8: 2004b023 sd zero,512(s1) + 800002ac: 2144b423 sd s4,520(s1) + 800002b0: 2134b823 sd s3,528(s1) + 800002b4: 00043023 sd zero,0(s0) + 800002b8: 00840413 addi s0,s0,8 + 800002bc: fe879ce3 bne a5,s0,800002b4 + 800002c0: fff00793 li a5,-1 + 800002c4: dc090413 addi s0,s2,-576 + 800002c8: 03f79793 slli a5,a5,0x3f + 800002cc: 1287f863 bgeu a5,s0,800003fc + 800002d0: 00078413 mv s0,a5 + 800002d4: 00100513 li a0,1 + 800002d8: dfdff0ef jal ra,800000d4 <_assert> + 800002dc: f8300793 li a5,-125 + 800002e0: 0017d793 srli a5,a5,0x1 + 800002e4: fc040513 addi a0,s0,-64 + 800002e8: 00f53533 sltu a0,a0,a5 + 800002ec: de9ff0ef jal ra,800000d4 <_assert> + 800002f0: 01f4f513 andi a0,s1,31 + 800002f4: 00153513 seqz a0,a0 + 800002f8: dddff0ef jal ra,800000d4 <_assert> + 800002fc: 00100513 li a0,1 + 80000300: 2404b023 sd zero,576(s1) + 80000304: 2404b423 sd zero,584(s1) + 80000308: 2484b823 sd s0,592(s1) + 8000030c: 24048c23 sb zero,600(s1) + 80000310: 2604b023 sd zero,608(s1) + 80000314: 2604b423 sd zero,616(s1) + 80000318: dbdff0ef jal ra,800000d4 <_assert> + 8000031c: 00100513 li a0,1 + 80000320: db5ff0ef jal ra,800000d4 <_assert> + 80000324: 2504b503 ld a0,592(s1) + 80000328: 24048a13 addi s4,s1,576 + 8000032c: 04053513 sltiu a0,a0,64 + 80000330: 00154513 xori a0,a0,1 + 80000334: 00157513 andi a0,a0,1 + 80000338: d9dff0ef jal ra,800000d4 <_assert> + 8000033c: 2504b503 ld a0,592(s1) + 80000340: 03f57513 andi a0,a0,63 + 80000344: 00153513 seqz a0,a0 + 80000348: d8dff0ef jal ra,800000d4 <_assert> + 8000034c: 2504b703 ld a4,592(s1) + 80000350: 00100793 li a5,1 + 80000354: 00675713 srli a4,a4,0x6 + 80000358: 0ae7fc63 bgeu a5,a4,80000410 + 8000035c: 00000793 li a5,0 + 80000360: 00100693 li a3,1 + 80000364: 0017879b addiw a5,a5,1 + 80000368: 00175713 srli a4,a4,0x1 + 8000036c: 0ff7f793 andi a5,a5,255 + 80000370: fed71ae3 bne a4,a3,80000364 + 80000374: 00078993 mv s3,a5 + 80000378: 0407b513 sltiu a0,a5,64 + 8000037c: 00f71933 sll s2,a4,a5 + 80000380: d55ff0ef jal ra,800000d4 <_assert> + 80000384: 00399793 slli a5,s3,0x3 + 80000388: 00f487b3 add a5,s1,a5 + 8000038c: 0007b703 ld a4,0(a5) + 80000390: 2604b423 sd zero,616(s1) + 80000394: 26e4b023 sd a4,608(s1) + 80000398: 00070463 beqz a4,800003a0 + 8000039c: 03473423 sd s4,40(a4) + 800003a0: 0147b023 sd s4,0(a5) + 800003a4: 2004b503 ld a0,512(s1) + 800003a8: 00a96533 or a0,s2,a0 + 800003ac: 20a4b023 sd a0,512(s1) + 800003b0: 00a03533 snez a0,a0 + 800003b4: d21ff0ef jal ra,800000d4 <_assert> + 800003b8: 00048513 mv a0,s1 + 800003bc: 2084bc23 sd s0,536(s1) + 800003c0: 2204b023 sd zero,544(s1) + 800003c4: 2204b423 sd zero,552(s1) + 800003c8: 2204b823 sd zero,560(s1) + 800003cc: 2204bc23 sd zero,568(s1) + 800003d0: 02813083 ld ra,40(sp) + 800003d4: 02013403 ld s0,32(sp) + 800003d8: 01813483 ld s1,24(sp) + 800003dc: 01013903 ld s2,16(sp) + 800003e0: 00813983 ld s3,8(sp) + 800003e4: 00013a03 ld s4,0(sp) + 800003e8: 03010113 addi sp,sp,48 + 800003ec: 00008067 ret + 800003f0: 00100513 li a0,1 + 800003f4: fff40413 addi s0,s0,-1 + 800003f8: cddff0ef jal ra,800000d4 <_assert> + 800003fc: 03f47793 andi a5,s0,63 + 80000400: fe0798e3 bnez a5,800003f0 + 80000404: ed1ff06f j 800002d4 + 80000408: 00000513 li a0,0 + 8000040c: 00008067 ret + 80000410: 00100913 li s2,1 + 80000414: 00000993 li s3,0 + 80000418: 00100513 li a0,1 + 8000041c: f65ff06f j 80000380 diff --git a/bin/non-output/cpu-tests/load-store-cpu-tests.bin b/bin/non-output/cpu-tests/load-store-cpu-tests.bin new file mode 100755 index 0000000..2ff4674 Binary files /dev/null and b/bin/non-output/cpu-tests/load-store-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/load-store-cpu-tests.elf b/bin/non-output/cpu-tests/load-store-cpu-tests.elf new file mode 100755 index 0000000..9eb27d2 Binary files /dev/null and b/bin/non-output/cpu-tests/load-store-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/load-store-cpu-tests.txt b/bin/non-output/cpu-tests/load-store-cpu-tests.txt new file mode 100755 index 0000000..d4cf3d8 --- /dev/null +++ b/bin/non-output/cpu-tests/load-store-cpu-tests.txt @@ -0,0 +1,291 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/load-store-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 1f8000ef jal ra,80000204 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 1bc000ef jal ra,800001e0 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 01313423 sd s3,8(sp) + 80000030: 00000997 auipc s3,0x0 + 80000034: 46098993 addi s3,s3,1120 # 80000490 + 80000038: 02813023 sd s0,32(sp) + 8000003c: 00913c23 sd s1,24(sp) + 80000040: 01213823 sd s2,16(sp) + 80000044: 01413023 sd s4,0(sp) + 80000048: 02113423 sd ra,40(sp) + 8000004c: 00000917 auipc s2,0x0 + 80000050: 3f490913 addi s2,s2,1012 # 80000440 + 80000054: 00000497 auipc s1,0x0 + 80000058: 44c48493 addi s1,s1,1100 # 800004a0 + 8000005c: 00098a13 mv s4,s3 + 80000060: 00098413 mv s0,s3 + 80000064: 00041503 lh a0,0(s0) + 80000068: 00092783 lw a5,0(s2) + 8000006c: 00240413 addi s0,s0,2 + 80000070: 00490913 addi s2,s2,4 + 80000074: 40f50533 sub a0,a0,a5 + 80000078: 00153513 seqz a0,a0 + 8000007c: f95ff0ef jal ra,80000010 + 80000080: fe9412e3 bne s0,s1,80000064 + 80000084: 00000917 auipc s2,0x0 + 80000088: 3dc90913 addi s2,s2,988 # 80000460 + 8000008c: 00000417 auipc s0,0x0 + 80000090: 40440413 addi s0,s0,1028 # 80000490 + 80000094: 00045503 lhu a0,0(s0) + 80000098: 00092783 lw a5,0(s2) + 8000009c: 00240413 addi s0,s0,2 + 800000a0: 00490913 addi s2,s2,4 + 800000a4: 40f50533 sub a0,a0,a5 + 800000a8: 00153513 seqz a0,a0 + 800000ac: f65ff0ef jal ra,80000010 + 800000b0: fe9412e3 bne s0,s1,80000094 + 800000b4: 002a4703 lbu a4,2(s4) + 800000b8: 001a4683 lbu a3,1(s4) + 800000bc: 003a4783 lbu a5,3(s4) + 800000c0: 004a4503 lbu a0,4(s4) + 800000c4: 00871713 slli a4,a4,0x8 + 800000c8: 00000417 auipc s0,0x0 + 800000cc: 3b840413 addi s0,s0,952 # 80000480 + 800000d0: 00d76733 or a4,a4,a3 + 800000d4: 01079793 slli a5,a5,0x10 + 800000d8: 00e7e7b3 or a5,a5,a4 + 800000dc: 01851513 slli a0,a0,0x18 + 800000e0: 00042703 lw a4,0(s0) + 800000e4: 00f56533 or a0,a0,a5 + 800000e8: 0005051b sext.w a0,a0 + 800000ec: 40a70533 sub a0,a4,a0 + 800000f0: 00153513 seqz a0,a0 + 800000f4: f1dff0ef jal ra,80000010 + 800000f8: 006a4703 lbu a4,6(s4) + 800000fc: 005a4683 lbu a3,5(s4) + 80000100: 007a4783 lbu a5,7(s4) + 80000104: 008a4503 lbu a0,8(s4) + 80000108: 00871713 slli a4,a4,0x8 + 8000010c: 00d76733 or a4,a4,a3 + 80000110: 01079793 slli a5,a5,0x10 + 80000114: 00e7e7b3 or a5,a5,a4 + 80000118: 01851513 slli a0,a0,0x18 + 8000011c: 00442703 lw a4,4(s0) + 80000120: 00f56533 or a0,a0,a5 + 80000124: 0005051b sext.w a0,a0 + 80000128: 40a70533 sub a0,a4,a0 + 8000012c: 00153513 seqz a0,a0 + 80000130: ee1ff0ef jal ra,80000010 + 80000134: 00aa4703 lbu a4,10(s4) + 80000138: 009a4683 lbu a3,9(s4) + 8000013c: 00ba4783 lbu a5,11(s4) + 80000140: 00ca4503 lbu a0,12(s4) + 80000144: 00871713 slli a4,a4,0x8 + 80000148: 00d76733 or a4,a4,a3 + 8000014c: 01079793 slli a5,a5,0x10 + 80000150: 00e7e7b3 or a5,a5,a4 + 80000154: 01851513 slli a0,a0,0x18 + 80000158: 00842703 lw a4,8(s0) + 8000015c: 00f56533 or a0,a0,a5 + 80000160: 0005051b sext.w a0,a0 + 80000164: 40a70533 sub a0,a4,a0 + 80000168: 00153513 seqz a0,a0 + 8000016c: 00100a13 li s4,1 + 80000170: ea1ff0ef jal ra,80000010 + 80000174: 00000917 auipc s2,0x0 + 80000178: 32c90913 addi s2,s2,812 # 800004a0 + 8000017c: 00000417 auipc s0,0x0 + 80000180: 31440413 addi s0,s0,788 # 80000490 + 80000184: 413a09bb subw s3,s4,s3 + 80000188: 008987bb addw a5,s3,s0 + 8000018c: 00fa17bb sllw a5,s4,a5 + 80000190: 00092503 lw a0,0(s2) + 80000194: fff7c793 not a5,a5 + 80000198: 03079793 slli a5,a5,0x30 + 8000019c: 0307d793 srli a5,a5,0x30 + 800001a0: 40f50533 sub a0,a0,a5 + 800001a4: 00f41023 sh a5,0(s0) + 800001a8: 00153513 seqz a0,a0 + 800001ac: 00240413 addi s0,s0,2 + 800001b0: e61ff0ef jal ra,80000010 + 800001b4: 00490913 addi s2,s2,4 + 800001b8: fc9418e3 bne s0,s1,80000188 + 800001bc: 02813083 ld ra,40(sp) + 800001c0: 02013403 ld s0,32(sp) + 800001c4: 01813483 ld s1,24(sp) + 800001c8: 01013903 ld s2,16(sp) + 800001cc: 00813983 ld s3,8(sp) + 800001d0: 00013a03 ld s4,0(sp) + 800001d4: 00000513 li a0,0 + 800001d8: 03010113 addi sp,sp,48 + 800001dc: 00008067 ret + +00000000800001e0 : + 800001e0: 00050513 mv a0,a0 + 800001e4: 0000006b 0x6b + 800001e8: 0000006f j 800001e8 + +00000000800001ec <_assert>: + 800001ec: 00051a63 bnez a0,80000200 <_assert+0x14> + 800001f0: 00100793 li a5,1 + 800001f4: 00078513 mv a0,a5 + 800001f8: 0000006b 0x6b + 800001fc: 0000006f j 800001fc <_assert+0x10> + 80000200: 00008067 ret + +0000000080000204 <_trm_init>: + 80000204: ff010113 addi sp,sp,-16 + 80000208: 00113423 sd ra,8(sp) + 8000020c: 01c000ef jal ra,80000228 + 80000210: 00000517 auipc a0,0x0 + 80000214: 22850513 addi a0,a0,552 # 80000438 <_etext> + 80000218: e11ff0ef jal ra,80000028
+ 8000021c: 00050513 mv a0,a0 + 80000220: 0000006b 0x6b + 80000224: 0000006f j 80000224 <_trm_init+0x20> + +0000000080000228 : + 80000228: 00000797 auipc a5,0x0 + 8000022c: 29878793 addi a5,a5,664 # 800004c0 + 80000230: 0007b503 ld a0,0(a5) + 80000234: 0087b583 ld a1,8(a5) + 80000238: ff010113 addi sp,sp,-16 + 8000023c: 00000693 li a3,0 + 80000240: 00000613 li a2,0 + 80000244: 40a585b3 sub a1,a1,a0 + 80000248: 00113423 sd ra,8(sp) + 8000024c: 018000ef jal ra,80000264 + 80000250: 00813083 ld ra,8(sp) + 80000254: 00000797 auipc a5,0x0 + 80000258: 26a7be23 sd a0,636(a5) # 800004d0 + 8000025c: 01010113 addi sp,sp,16 + 80000260: 00008067 ret + +0000000080000264 : + 80000264: 1a050e63 beqz a0,80000420 + 80000268: fd010113 addi sp,sp,-48 + 8000026c: 02813023 sd s0,32(sp) + 80000270: 00913c23 sd s1,24(sp) + 80000274: 01f57793 andi a5,a0,31 + 80000278: 02113423 sd ra,40(sp) + 8000027c: 01213823 sd s2,16(sp) + 80000280: 01313423 sd s3,8(sp) + 80000284: 01413023 sd s4,0(sp) + 80000288: 00050493 mv s1,a0 + 8000028c: 00050413 mv s0,a0 + 80000290: 00000513 li a0,0 + 80000294: 14079a63 bnez a5,800003e8 + 80000298: 27f00713 li a4,639 + 8000029c: 00058913 mv s2,a1 + 800002a0: 00078513 mv a0,a5 + 800002a4: 14b77263 bgeu a4,a1,800003e8 + 800002a8: 0074f513 andi a0,s1,7 + 800002ac: 00153513 seqz a0,a0 + 800002b0: 00060a13 mv s4,a2 + 800002b4: 00068993 mv s3,a3 + 800002b8: f35ff0ef jal ra,800001ec <_assert> + 800002bc: 20048793 addi a5,s1,512 + 800002c0: 2004b023 sd zero,512(s1) + 800002c4: 2144b423 sd s4,520(s1) + 800002c8: 2134b823 sd s3,528(s1) + 800002cc: 00043023 sd zero,0(s0) + 800002d0: 00840413 addi s0,s0,8 + 800002d4: fe879ce3 bne a5,s0,800002cc + 800002d8: fff00793 li a5,-1 + 800002dc: dc090413 addi s0,s2,-576 + 800002e0: 03f79793 slli a5,a5,0x3f + 800002e4: 1287f863 bgeu a5,s0,80000414 + 800002e8: 00078413 mv s0,a5 + 800002ec: 00100513 li a0,1 + 800002f0: efdff0ef jal ra,800001ec <_assert> + 800002f4: f8300793 li a5,-125 + 800002f8: 0017d793 srli a5,a5,0x1 + 800002fc: fc040513 addi a0,s0,-64 + 80000300: 00f53533 sltu a0,a0,a5 + 80000304: ee9ff0ef jal ra,800001ec <_assert> + 80000308: 01f4f513 andi a0,s1,31 + 8000030c: 00153513 seqz a0,a0 + 80000310: eddff0ef jal ra,800001ec <_assert> + 80000314: 00100513 li a0,1 + 80000318: 2404b023 sd zero,576(s1) + 8000031c: 2404b423 sd zero,584(s1) + 80000320: 2484b823 sd s0,592(s1) + 80000324: 24048c23 sb zero,600(s1) + 80000328: 2604b023 sd zero,608(s1) + 8000032c: 2604b423 sd zero,616(s1) + 80000330: ebdff0ef jal ra,800001ec <_assert> + 80000334: 00100513 li a0,1 + 80000338: eb5ff0ef jal ra,800001ec <_assert> + 8000033c: 2504b503 ld a0,592(s1) + 80000340: 24048a13 addi s4,s1,576 + 80000344: 04053513 sltiu a0,a0,64 + 80000348: 00154513 xori a0,a0,1 + 8000034c: 00157513 andi a0,a0,1 + 80000350: e9dff0ef jal ra,800001ec <_assert> + 80000354: 2504b503 ld a0,592(s1) + 80000358: 03f57513 andi a0,a0,63 + 8000035c: 00153513 seqz a0,a0 + 80000360: e8dff0ef jal ra,800001ec <_assert> + 80000364: 2504b703 ld a4,592(s1) + 80000368: 00100793 li a5,1 + 8000036c: 00675713 srli a4,a4,0x6 + 80000370: 0ae7fc63 bgeu a5,a4,80000428 + 80000374: 00000793 li a5,0 + 80000378: 00100693 li a3,1 + 8000037c: 0017879b addiw a5,a5,1 + 80000380: 00175713 srli a4,a4,0x1 + 80000384: 0ff7f793 andi a5,a5,255 + 80000388: fed71ae3 bne a4,a3,8000037c + 8000038c: 00078993 mv s3,a5 + 80000390: 0407b513 sltiu a0,a5,64 + 80000394: 00f71933 sll s2,a4,a5 + 80000398: e55ff0ef jal ra,800001ec <_assert> + 8000039c: 00399793 slli a5,s3,0x3 + 800003a0: 00f487b3 add a5,s1,a5 + 800003a4: 0007b703 ld a4,0(a5) + 800003a8: 2604b423 sd zero,616(s1) + 800003ac: 26e4b023 sd a4,608(s1) + 800003b0: 00070463 beqz a4,800003b8 + 800003b4: 03473423 sd s4,40(a4) + 800003b8: 0147b023 sd s4,0(a5) + 800003bc: 2004b503 ld a0,512(s1) + 800003c0: 00a96533 or a0,s2,a0 + 800003c4: 20a4b023 sd a0,512(s1) + 800003c8: 00a03533 snez a0,a0 + 800003cc: e21ff0ef jal ra,800001ec <_assert> + 800003d0: 00048513 mv a0,s1 + 800003d4: 2084bc23 sd s0,536(s1) + 800003d8: 2204b023 sd zero,544(s1) + 800003dc: 2204b423 sd zero,552(s1) + 800003e0: 2204b823 sd zero,560(s1) + 800003e4: 2204bc23 sd zero,568(s1) + 800003e8: 02813083 ld ra,40(sp) + 800003ec: 02013403 ld s0,32(sp) + 800003f0: 01813483 ld s1,24(sp) + 800003f4: 01013903 ld s2,16(sp) + 800003f8: 00813983 ld s3,8(sp) + 800003fc: 00013a03 ld s4,0(sp) + 80000400: 03010113 addi sp,sp,48 + 80000404: 00008067 ret + 80000408: 00100513 li a0,1 + 8000040c: fff40413 addi s0,s0,-1 + 80000410: dddff0ef jal ra,800001ec <_assert> + 80000414: 03f47793 andi a5,s0,63 + 80000418: fe0798e3 bnez a5,80000408 + 8000041c: ed1ff06f j 800002ec + 80000420: 00000513 li a0,0 + 80000424: 00008067 ret + 80000428: 00100913 li s2,1 + 8000042c: 00000993 li s3,0 + 80000430: 00100513 li a0,1 + 80000434: f65ff06f j 80000398 diff --git a/bin/non-output/cpu-tests/matrix-mul-cpu-tests.bin b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.bin new file mode 100755 index 0000000..f7b8110 Binary files /dev/null and b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/matrix-mul-cpu-tests.elf b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.elf new file mode 100755 index 0000000..700537d Binary files /dev/null and b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/matrix-mul-cpu-tests.txt b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.txt new file mode 100755 index 0000000..c1ecb48 --- /dev/null +++ b/bin/non-output/cpu-tests/matrix-mul-cpu-tests.txt @@ -0,0 +1,266 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/matrix-mul-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 168000ef jal ra,80000174 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 12c000ef jal ra,80000150 + +0000000080000028
: + 80000028: f9010113 addi sp,sp,-112 + 8000002c: 03613823 sd s6,48(sp) + 80000030: 03713423 sd s7,40(sp) + 80000034: 03813023 sd s8,32(sp) + 80000038: 01913c23 sd s9,24(sp) + 8000003c: 01a13823 sd s10,16(sp) + 80000040: 01b13423 sd s11,8(sp) + 80000044: 06113423 sd ra,104(sp) + 80000048: 06813023 sd s0,96(sp) + 8000004c: 04913c23 sd s1,88(sp) + 80000050: 05213823 sd s2,80(sp) + 80000054: 05313423 sd s3,72(sp) + 80000058: 05413023 sd s4,64(sp) + 8000005c: 03513c23 sd s5,56(sp) + 80000060: 00000b17 auipc s6,0x0 + 80000064: 378b0b13 addi s6,s6,888 # 800003d8 + 80000068: 00001c97 auipc s9,0x1 + 8000006c: 838c8c93 addi s9,s9,-1992 # 800008a0 + 80000070: 00000c17 auipc s8,0x0 + 80000074: 4f8c0c13 addi s8,s8,1272 # 80000568 + 80000078: 00000d97 auipc s11,0x0 + 8000007c: 4f0d8d93 addi s11,s11,1264 # 80000568 + 80000080: 00001b97 auipc s7,0x1 + 80000084: 830b8b93 addi s7,s7,-2000 # 800008b0 + 80000088: 00001d17 auipc s10,0x1 + 8000008c: 800d0d13 addi s10,s10,-2048 # 80000888 + 80000090: 000d0993 mv s3,s10 + 80000094: 000c0a93 mv s5,s8 + 80000098: 000c8a13 mv s4,s9 + 8000009c: e7098413 addi s0,s3,-400 + 800000a0: 000b0493 mv s1,s6 + 800000a4: 00000913 li s2,0 + 800000a8: 00042583 lw a1,0(s0) + 800000ac: 0004a503 lw a0,0(s1) + 800000b0: 02840413 addi s0,s0,40 + 800000b4: 00448493 addi s1,s1,4 + 800000b8: 0e0000ef jal ra,80000198 <__muldi3> + 800000bc: 0125093b addw s2,a0,s2 + 800000c0: ff3414e3 bne s0,s3,800000a8 + 800000c4: 000aa503 lw a0,0(s5) + 800000c8: 012a2023 sw s2,0(s4) + 800000cc: 00440993 addi s3,s0,4 + 800000d0: 41250533 sub a0,a0,s2 + 800000d4: 00153513 seqz a0,a0 + 800000d8: f39ff0ef jal ra,80000010 + 800000dc: 00100513 li a0,1 + 800000e0: f31ff0ef jal ra,80000010 + 800000e4: 004a0a13 addi s4,s4,4 + 800000e8: 004a8a93 addi s5,s5,4 + 800000ec: fb3b98e3 bne s7,s3,8000009c + 800000f0: 00100513 li a0,1 + 800000f4: 028b0b13 addi s6,s6,40 + 800000f8: f19ff0ef jal ra,80000010 + 800000fc: 028c8c93 addi s9,s9,40 + 80000100: 028c0c13 addi s8,s8,40 + 80000104: f9bb16e3 bne s6,s11,80000090 + 80000108: 00100513 li a0,1 + 8000010c: f05ff0ef jal ra,80000010 + 80000110: 06813083 ld ra,104(sp) + 80000114: 06013403 ld s0,96(sp) + 80000118: 05813483 ld s1,88(sp) + 8000011c: 05013903 ld s2,80(sp) + 80000120: 04813983 ld s3,72(sp) + 80000124: 04013a03 ld s4,64(sp) + 80000128: 03813a83 ld s5,56(sp) + 8000012c: 03013b03 ld s6,48(sp) + 80000130: 02813b83 ld s7,40(sp) + 80000134: 02013c03 ld s8,32(sp) + 80000138: 01813c83 ld s9,24(sp) + 8000013c: 01013d03 ld s10,16(sp) + 80000140: 00813d83 ld s11,8(sp) + 80000144: 00000513 li a0,0 + 80000148: 07010113 addi sp,sp,112 + 8000014c: 00008067 ret + +0000000080000150 : + 80000150: 00050513 mv a0,a0 + 80000154: 0000006b 0x6b + 80000158: 0000006f j 80000158 + +000000008000015c <_assert>: + 8000015c: 00051a63 bnez a0,80000170 <_assert+0x14> + 80000160: 00100793 li a5,1 + 80000164: 00078513 mv a0,a5 + 80000168: 0000006b 0x6b + 8000016c: 0000006f j 8000016c <_assert+0x10> + 80000170: 00008067 ret + +0000000080000174 <_trm_init>: + 80000174: ff010113 addi sp,sp,-16 + 80000178: 00113423 sd ra,8(sp) + 8000017c: 040000ef jal ra,800001bc + 80000180: 00000517 auipc a0,0x0 + 80000184: 25050513 addi a0,a0,592 # 800003d0 + 80000188: ea1ff0ef jal ra,80000028
+ 8000018c: 00050513 mv a0,a0 + 80000190: 0000006b 0x6b + 80000194: 0000006f j 80000194 <_trm_init+0x20> + +0000000080000198 <__muldi3>: + 80000198: 00050613 mv a2,a0 + 8000019c: 00000513 li a0,0 + 800001a0: 0015f693 andi a3,a1,1 + 800001a4: 00068463 beqz a3,800001ac <__muldi3+0x14> + 800001a8: 00c50533 add a0,a0,a2 + 800001ac: 0015d593 srli a1,a1,0x1 + 800001b0: 00161613 slli a2,a2,0x1 + 800001b4: fe0596e3 bnez a1,800001a0 <__muldi3+0x8> + 800001b8: 00008067 ret + +00000000800001bc : + 800001bc: 00000797 auipc a5,0x0 + 800001c0: 6cc78793 addi a5,a5,1740 # 80000888 + 800001c4: 0007b503 ld a0,0(a5) + 800001c8: 0087b583 ld a1,8(a5) + 800001cc: ff010113 addi sp,sp,-16 + 800001d0: 00000693 li a3,0 + 800001d4: 00000613 li a2,0 + 800001d8: 40a585b3 sub a1,a1,a0 + 800001dc: 00113423 sd ra,8(sp) + 800001e0: 018000ef jal ra,800001f8 + 800001e4: 00813083 ld ra,8(sp) + 800001e8: 00000797 auipc a5,0x0 + 800001ec: 6aa7b823 sd a0,1712(a5) # 80000898 + 800001f0: 01010113 addi sp,sp,16 + 800001f4: 00008067 ret + +00000000800001f8 : + 800001f8: 1a050e63 beqz a0,800003b4 + 800001fc: fd010113 addi sp,sp,-48 + 80000200: 02813023 sd s0,32(sp) + 80000204: 00913c23 sd s1,24(sp) + 80000208: 01f57793 andi a5,a0,31 + 8000020c: 02113423 sd ra,40(sp) + 80000210: 01213823 sd s2,16(sp) + 80000214: 01313423 sd s3,8(sp) + 80000218: 01413023 sd s4,0(sp) + 8000021c: 00050493 mv s1,a0 + 80000220: 00050413 mv s0,a0 + 80000224: 00000513 li a0,0 + 80000228: 14079a63 bnez a5,8000037c + 8000022c: 27f00713 li a4,639 + 80000230: 00058913 mv s2,a1 + 80000234: 00078513 mv a0,a5 + 80000238: 14b77263 bgeu a4,a1,8000037c + 8000023c: 0074f513 andi a0,s1,7 + 80000240: 00153513 seqz a0,a0 + 80000244: 00060a13 mv s4,a2 + 80000248: 00068993 mv s3,a3 + 8000024c: f11ff0ef jal ra,8000015c <_assert> + 80000250: 20048793 addi a5,s1,512 + 80000254: 2004b023 sd zero,512(s1) + 80000258: 2144b423 sd s4,520(s1) + 8000025c: 2134b823 sd s3,528(s1) + 80000260: 00043023 sd zero,0(s0) + 80000264: 00840413 addi s0,s0,8 + 80000268: fe879ce3 bne a5,s0,80000260 + 8000026c: fff00793 li a5,-1 + 80000270: dc090413 addi s0,s2,-576 + 80000274: 03f79793 slli a5,a5,0x3f + 80000278: 1287f863 bgeu a5,s0,800003a8 + 8000027c: 00078413 mv s0,a5 + 80000280: 00100513 li a0,1 + 80000284: ed9ff0ef jal ra,8000015c <_assert> + 80000288: f8300793 li a5,-125 + 8000028c: 0017d793 srli a5,a5,0x1 + 80000290: fc040513 addi a0,s0,-64 + 80000294: 00f53533 sltu a0,a0,a5 + 80000298: ec5ff0ef jal ra,8000015c <_assert> + 8000029c: 01f4f513 andi a0,s1,31 + 800002a0: 00153513 seqz a0,a0 + 800002a4: eb9ff0ef jal ra,8000015c <_assert> + 800002a8: 00100513 li a0,1 + 800002ac: 2404b023 sd zero,576(s1) + 800002b0: 2404b423 sd zero,584(s1) + 800002b4: 2484b823 sd s0,592(s1) + 800002b8: 24048c23 sb zero,600(s1) + 800002bc: 2604b023 sd zero,608(s1) + 800002c0: 2604b423 sd zero,616(s1) + 800002c4: e99ff0ef jal ra,8000015c <_assert> + 800002c8: 00100513 li a0,1 + 800002cc: e91ff0ef jal ra,8000015c <_assert> + 800002d0: 2504b503 ld a0,592(s1) + 800002d4: 24048a13 addi s4,s1,576 + 800002d8: 04053513 sltiu a0,a0,64 + 800002dc: 00154513 xori a0,a0,1 + 800002e0: 00157513 andi a0,a0,1 + 800002e4: e79ff0ef jal ra,8000015c <_assert> + 800002e8: 2504b503 ld a0,592(s1) + 800002ec: 03f57513 andi a0,a0,63 + 800002f0: 00153513 seqz a0,a0 + 800002f4: e69ff0ef jal ra,8000015c <_assert> + 800002f8: 2504b703 ld a4,592(s1) + 800002fc: 00100793 li a5,1 + 80000300: 00675713 srli a4,a4,0x6 + 80000304: 0ae7fc63 bgeu a5,a4,800003bc + 80000308: 00000793 li a5,0 + 8000030c: 00100693 li a3,1 + 80000310: 0017879b addiw a5,a5,1 + 80000314: 00175713 srli a4,a4,0x1 + 80000318: 0ff7f793 andi a5,a5,255 + 8000031c: fed71ae3 bne a4,a3,80000310 + 80000320: 00078993 mv s3,a5 + 80000324: 0407b513 sltiu a0,a5,64 + 80000328: 00f71933 sll s2,a4,a5 + 8000032c: e31ff0ef jal ra,8000015c <_assert> + 80000330: 00399793 slli a5,s3,0x3 + 80000334: 00f487b3 add a5,s1,a5 + 80000338: 0007b703 ld a4,0(a5) + 8000033c: 2604b423 sd zero,616(s1) + 80000340: 26e4b023 sd a4,608(s1) + 80000344: 00070463 beqz a4,8000034c + 80000348: 03473423 sd s4,40(a4) + 8000034c: 0147b023 sd s4,0(a5) + 80000350: 2004b503 ld a0,512(s1) + 80000354: 00a96533 or a0,s2,a0 + 80000358: 20a4b023 sd a0,512(s1) + 8000035c: 00a03533 snez a0,a0 + 80000360: dfdff0ef jal ra,8000015c <_assert> + 80000364: 00048513 mv a0,s1 + 80000368: 2084bc23 sd s0,536(s1) + 8000036c: 2204b023 sd zero,544(s1) + 80000370: 2204b423 sd zero,552(s1) + 80000374: 2204b823 sd zero,560(s1) + 80000378: 2204bc23 sd zero,568(s1) + 8000037c: 02813083 ld ra,40(sp) + 80000380: 02013403 ld s0,32(sp) + 80000384: 01813483 ld s1,24(sp) + 80000388: 01013903 ld s2,16(sp) + 8000038c: 00813983 ld s3,8(sp) + 80000390: 00013a03 ld s4,0(sp) + 80000394: 03010113 addi sp,sp,48 + 80000398: 00008067 ret + 8000039c: 00100513 li a0,1 + 800003a0: fff40413 addi s0,s0,-1 + 800003a4: db9ff0ef jal ra,8000015c <_assert> + 800003a8: 03f47793 andi a5,s0,63 + 800003ac: fe0798e3 bnez a5,8000039c + 800003b0: ed1ff06f j 80000280 + 800003b4: 00000513 li a0,0 + 800003b8: 00008067 ret + 800003bc: 00100913 li s2,1 + 800003c0: 00000993 li s3,0 + 800003c4: 00100513 li a0,1 + 800003c8: f65ff06f j 8000032c diff --git a/bin/non-output/cpu-tests/max-cpu-tests.bin b/bin/non-output/cpu-tests/max-cpu-tests.bin new file mode 100755 index 0000000..e548cc0 Binary files /dev/null and b/bin/non-output/cpu-tests/max-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/max-cpu-tests.elf b/bin/non-output/cpu-tests/max-cpu-tests.elf new file mode 100755 index 0000000..4c22c4e Binary files /dev/null and b/bin/non-output/cpu-tests/max-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/max-cpu-tests.txt b/bin/non-output/cpu-tests/max-cpu-tests.txt new file mode 100755 index 0000000..f9ae213 --- /dev/null +++ b/bin/non-output/cpu-tests/max-cpu-tests.txt @@ -0,0 +1,238 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/max-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 124000ef jal ra,80000130 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0e8000ef jal ra,8000010c + +0000000080000028
: + 80000028: fa010113 addi sp,sp,-96 + 8000002c: 01813823 sd s8,16(sp) + 80000030: 00000c17 auipc s8,0x0 + 80000034: 440c0c13 addi s8,s8,1088 # 80000470 + 80000038: 03313c23 sd s3,56(sp) + 8000003c: 03513423 sd s5,40(sp) + 80000040: 03613023 sd s6,32(sp) + 80000044: 01713c23 sd s7,24(sp) + 80000048: 01913423 sd s9,8(sp) + 8000004c: 04113c23 sd ra,88(sp) + 80000050: 04813823 sd s0,80(sp) + 80000054: 04913423 sd s1,72(sp) + 80000058: 05213023 sd s2,64(sp) + 8000005c: 03413823 sd s4,48(sp) + 80000060: 00000b97 auipc s7,0x0 + 80000064: 310b8b93 addi s7,s7,784 # 80000370 + 80000068: 000c0b13 mv s6,s8 + 8000006c: 00000a93 li s5,0 + 80000070: 00000997 auipc s3,0x0 + 80000074: 42098993 addi s3,s3,1056 # 80000490 + 80000078: 04000c93 li s9,64 + 8000007c: 000b2903 lw s2,0(s6) + 80000080: 000b8493 mv s1,s7 + 80000084: 000c0413 mv s0,s8 + 80000088: 00090a13 mv s4,s2 + 8000008c: 00042503 lw a0,0(s0) + 80000090: 00440413 addi s0,s0,4 + 80000094: 01255463 bge a0,s2,8000009c + 80000098: 000a0513 mv a0,s4 + 8000009c: 0004a783 lw a5,0(s1) + 800000a0: 00448493 addi s1,s1,4 + 800000a4: 40f50533 sub a0,a0,a5 + 800000a8: 00153513 seqz a0,a0 + 800000ac: f65ff0ef jal ra,80000010 + 800000b0: fc899ee3 bne s3,s0,8000008c + 800000b4: 00100513 li a0,1 + 800000b8: 008a8a9b addiw s5,s5,8 + 800000bc: f55ff0ef jal ra,80000010 + 800000c0: 004b0b13 addi s6,s6,4 + 800000c4: 020b8b93 addi s7,s7,32 + 800000c8: fb9a9ae3 bne s5,s9,8000007c + 800000cc: 00100513 li a0,1 + 800000d0: f41ff0ef jal ra,80000010 + 800000d4: 05813083 ld ra,88(sp) + 800000d8: 05013403 ld s0,80(sp) + 800000dc: 04813483 ld s1,72(sp) + 800000e0: 04013903 ld s2,64(sp) + 800000e4: 03813983 ld s3,56(sp) + 800000e8: 03013a03 ld s4,48(sp) + 800000ec: 02813a83 ld s5,40(sp) + 800000f0: 02013b03 ld s6,32(sp) + 800000f4: 01813b83 ld s7,24(sp) + 800000f8: 01013c03 ld s8,16(sp) + 800000fc: 00813c83 ld s9,8(sp) + 80000100: 00000513 li a0,0 + 80000104: 06010113 addi sp,sp,96 + 80000108: 00008067 ret + +000000008000010c : + 8000010c: 00050513 mv a0,a0 + 80000110: 0000006b 0x6b + 80000114: 0000006f j 80000114 + +0000000080000118 <_assert>: + 80000118: 00051a63 bnez a0,8000012c <_assert+0x14> + 8000011c: 00100793 li a5,1 + 80000120: 00078513 mv a0,a5 + 80000124: 0000006b 0x6b + 80000128: 0000006f j 80000128 <_assert+0x10> + 8000012c: 00008067 ret + +0000000080000130 <_trm_init>: + 80000130: ff010113 addi sp,sp,-16 + 80000134: 00113423 sd ra,8(sp) + 80000138: 01c000ef jal ra,80000154 + 8000013c: 00000517 auipc a0,0x0 + 80000140: 22c50513 addi a0,a0,556 # 80000368 + 80000144: ee5ff0ef jal ra,80000028
+ 80000148: 00050513 mv a0,a0 + 8000014c: 0000006b 0x6b + 80000150: 0000006f j 80000150 <_trm_init+0x20> + +0000000080000154 : + 80000154: 00000797 auipc a5,0x0 + 80000158: 33c78793 addi a5,a5,828 # 80000490 + 8000015c: 0007b503 ld a0,0(a5) + 80000160: 0087b583 ld a1,8(a5) + 80000164: ff010113 addi sp,sp,-16 + 80000168: 00000693 li a3,0 + 8000016c: 00000613 li a2,0 + 80000170: 40a585b3 sub a1,a1,a0 + 80000174: 00113423 sd ra,8(sp) + 80000178: 018000ef jal ra,80000190 + 8000017c: 00813083 ld ra,8(sp) + 80000180: 00000797 auipc a5,0x0 + 80000184: 32a7b023 sd a0,800(a5) # 800004a0 + 80000188: 01010113 addi sp,sp,16 + 8000018c: 00008067 ret + +0000000080000190 : + 80000190: 1a050e63 beqz a0,8000034c + 80000194: fd010113 addi sp,sp,-48 + 80000198: 02813023 sd s0,32(sp) + 8000019c: 00913c23 sd s1,24(sp) + 800001a0: 01f57793 andi a5,a0,31 + 800001a4: 02113423 sd ra,40(sp) + 800001a8: 01213823 sd s2,16(sp) + 800001ac: 01313423 sd s3,8(sp) + 800001b0: 01413023 sd s4,0(sp) + 800001b4: 00050493 mv s1,a0 + 800001b8: 00050413 mv s0,a0 + 800001bc: 00000513 li a0,0 + 800001c0: 14079a63 bnez a5,80000314 + 800001c4: 27f00713 li a4,639 + 800001c8: 00058913 mv s2,a1 + 800001cc: 00078513 mv a0,a5 + 800001d0: 14b77263 bgeu a4,a1,80000314 + 800001d4: 0074f513 andi a0,s1,7 + 800001d8: 00153513 seqz a0,a0 + 800001dc: 00060a13 mv s4,a2 + 800001e0: 00068993 mv s3,a3 + 800001e4: f35ff0ef jal ra,80000118 <_assert> + 800001e8: 20048793 addi a5,s1,512 + 800001ec: 2004b023 sd zero,512(s1) + 800001f0: 2144b423 sd s4,520(s1) + 800001f4: 2134b823 sd s3,528(s1) + 800001f8: 00043023 sd zero,0(s0) + 800001fc: 00840413 addi s0,s0,8 + 80000200: fe879ce3 bne a5,s0,800001f8 + 80000204: fff00793 li a5,-1 + 80000208: dc090413 addi s0,s2,-576 + 8000020c: 03f79793 slli a5,a5,0x3f + 80000210: 1287f863 bgeu a5,s0,80000340 + 80000214: 00078413 mv s0,a5 + 80000218: 00100513 li a0,1 + 8000021c: efdff0ef jal ra,80000118 <_assert> + 80000220: f8300793 li a5,-125 + 80000224: 0017d793 srli a5,a5,0x1 + 80000228: fc040513 addi a0,s0,-64 + 8000022c: 00f53533 sltu a0,a0,a5 + 80000230: ee9ff0ef jal ra,80000118 <_assert> + 80000234: 01f4f513 andi a0,s1,31 + 80000238: 00153513 seqz a0,a0 + 8000023c: eddff0ef jal ra,80000118 <_assert> + 80000240: 00100513 li a0,1 + 80000244: 2404b023 sd zero,576(s1) + 80000248: 2404b423 sd zero,584(s1) + 8000024c: 2484b823 sd s0,592(s1) + 80000250: 24048c23 sb zero,600(s1) + 80000254: 2604b023 sd zero,608(s1) + 80000258: 2604b423 sd zero,616(s1) + 8000025c: ebdff0ef jal ra,80000118 <_assert> + 80000260: 00100513 li a0,1 + 80000264: eb5ff0ef jal ra,80000118 <_assert> + 80000268: 2504b503 ld a0,592(s1) + 8000026c: 24048a13 addi s4,s1,576 + 80000270: 04053513 sltiu a0,a0,64 + 80000274: 00154513 xori a0,a0,1 + 80000278: 00157513 andi a0,a0,1 + 8000027c: e9dff0ef jal ra,80000118 <_assert> + 80000280: 2504b503 ld a0,592(s1) + 80000284: 03f57513 andi a0,a0,63 + 80000288: 00153513 seqz a0,a0 + 8000028c: e8dff0ef jal ra,80000118 <_assert> + 80000290: 2504b703 ld a4,592(s1) + 80000294: 00100793 li a5,1 + 80000298: 00675713 srli a4,a4,0x6 + 8000029c: 0ae7fc63 bgeu a5,a4,80000354 + 800002a0: 00000793 li a5,0 + 800002a4: 00100693 li a3,1 + 800002a8: 0017879b addiw a5,a5,1 + 800002ac: 00175713 srli a4,a4,0x1 + 800002b0: 0ff7f793 andi a5,a5,255 + 800002b4: fed71ae3 bne a4,a3,800002a8 + 800002b8: 00078993 mv s3,a5 + 800002bc: 0407b513 sltiu a0,a5,64 + 800002c0: 00f71933 sll s2,a4,a5 + 800002c4: e55ff0ef jal ra,80000118 <_assert> + 800002c8: 00399793 slli a5,s3,0x3 + 800002cc: 00f487b3 add a5,s1,a5 + 800002d0: 0007b703 ld a4,0(a5) + 800002d4: 2604b423 sd zero,616(s1) + 800002d8: 26e4b023 sd a4,608(s1) + 800002dc: 00070463 beqz a4,800002e4 + 800002e0: 03473423 sd s4,40(a4) + 800002e4: 0147b023 sd s4,0(a5) + 800002e8: 2004b503 ld a0,512(s1) + 800002ec: 00a96533 or a0,s2,a0 + 800002f0: 20a4b023 sd a0,512(s1) + 800002f4: 00a03533 snez a0,a0 + 800002f8: e21ff0ef jal ra,80000118 <_assert> + 800002fc: 00048513 mv a0,s1 + 80000300: 2084bc23 sd s0,536(s1) + 80000304: 2204b023 sd zero,544(s1) + 80000308: 2204b423 sd zero,552(s1) + 8000030c: 2204b823 sd zero,560(s1) + 80000310: 2204bc23 sd zero,568(s1) + 80000314: 02813083 ld ra,40(sp) + 80000318: 02013403 ld s0,32(sp) + 8000031c: 01813483 ld s1,24(sp) + 80000320: 01013903 ld s2,16(sp) + 80000324: 00813983 ld s3,8(sp) + 80000328: 00013a03 ld s4,0(sp) + 8000032c: 03010113 addi sp,sp,48 + 80000330: 00008067 ret + 80000334: 00100513 li a0,1 + 80000338: fff40413 addi s0,s0,-1 + 8000033c: dddff0ef jal ra,80000118 <_assert> + 80000340: 03f47793 andi a5,s0,63 + 80000344: fe0798e3 bnez a5,80000334 + 80000348: ed1ff06f j 80000218 + 8000034c: 00000513 li a0,0 + 80000350: 00008067 ret + 80000354: 00100913 li s2,1 + 80000358: 00000993 li s3,0 + 8000035c: 00100513 li a0,1 + 80000360: f65ff06f j 800002c4 diff --git a/bin/non-output/cpu-tests/min3-cpu-tests.bin b/bin/non-output/cpu-tests/min3-cpu-tests.bin new file mode 100755 index 0000000..03a19a5 Binary files /dev/null and b/bin/non-output/cpu-tests/min3-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/min3-cpu-tests.elf b/bin/non-output/cpu-tests/min3-cpu-tests.elf new file mode 100755 index 0000000..7433735 Binary files /dev/null and b/bin/non-output/cpu-tests/min3-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/min3-cpu-tests.txt b/bin/non-output/cpu-tests/min3-cpu-tests.txt new file mode 100755 index 0000000..82345cf --- /dev/null +++ b/bin/non-output/cpu-tests/min3-cpu-tests.txt @@ -0,0 +1,250 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/min3-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 154000ef jal ra,80000160 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 118000ef jal ra,8000013c + +0000000080000028
: + 80000028: f9010113 addi sp,sp,-112 + 8000002c: 03613823 sd s6,48(sp) + 80000030: 00000b17 auipc s6,0x0 + 80000034: 470b0b13 addi s6,s6,1136 # 800004a0 + 80000038: 05213823 sd s2,80(sp) + 8000003c: 03713423 sd s7,40(sp) + 80000040: 03813023 sd s8,32(sp) + 80000044: 06113423 sd ra,104(sp) + 80000048: 06813023 sd s0,96(sp) + 8000004c: 04913c23 sd s1,88(sp) + 80000050: 05313423 sd s3,72(sp) + 80000054: 05413023 sd s4,64(sp) + 80000058: 03513c23 sd s5,56(sp) + 8000005c: 01913c23 sd s9,24(sp) + 80000060: 01a13823 sd s10,16(sp) + 80000064: 01b13423 sd s11,8(sp) + 80000068: 00000c17 auipc s8,0x0 + 8000006c: 338c0c13 addi s8,s8,824 # 800003a0 + 80000070: 00000917 auipc s2,0x0 + 80000074: 44090913 addi s2,s2,1088 # 800004b0 + 80000078: 000b0b93 mv s7,s6 + 8000007c: 000baa83 lw s5,0(s7) + 80000080: 000c0993 mv s3,s8 + 80000084: 000b0493 mv s1,s6 + 80000088: 000a8c93 mv s9,s5 + 8000008c: 0004a403 lw s0,0(s1) + 80000090: 008ad463 bge s5,s0,80000098 + 80000094: 000c8413 mv s0,s9 + 80000098: 00098d93 mv s11,s3 + 8000009c: 000b0d13 mv s10,s6 + 800000a0: 00040a13 mv s4,s0 + 800000a4: 000d2503 lw a0,0(s10) + 800000a8: 004d0d13 addi s10,s10,4 + 800000ac: 00a45463 bge s0,a0,800000b4 + 800000b0: 000a0513 mv a0,s4 + 800000b4: 000da783 lw a5,0(s11) + 800000b8: 004d8d93 addi s11,s11,4 + 800000bc: 40f50533 sub a0,a0,a5 + 800000c0: 00153513 seqz a0,a0 + 800000c4: f4dff0ef jal ra,80000010 + 800000c8: fd2d1ee3 bne s10,s2,800000a4 + 800000cc: 00100513 li a0,1 + 800000d0: 00448493 addi s1,s1,4 + 800000d4: f3dff0ef jal ra,80000010 + 800000d8: 01098993 addi s3,s3,16 + 800000dc: fba498e3 bne s1,s10,8000008c + 800000e0: 00100513 li a0,1 + 800000e4: 004b8b93 addi s7,s7,4 + 800000e8: f29ff0ef jal ra,80000010 + 800000ec: 040c0c13 addi s8,s8,64 + 800000f0: f89b96e3 bne s7,s1,8000007c + 800000f4: 00100513 li a0,1 + 800000f8: f19ff0ef jal ra,80000010 + 800000fc: 06813083 ld ra,104(sp) + 80000100: 06013403 ld s0,96(sp) + 80000104: 05813483 ld s1,88(sp) + 80000108: 05013903 ld s2,80(sp) + 8000010c: 04813983 ld s3,72(sp) + 80000110: 04013a03 ld s4,64(sp) + 80000114: 03813a83 ld s5,56(sp) + 80000118: 03013b03 ld s6,48(sp) + 8000011c: 02813b83 ld s7,40(sp) + 80000120: 02013c03 ld s8,32(sp) + 80000124: 01813c83 ld s9,24(sp) + 80000128: 01013d03 ld s10,16(sp) + 8000012c: 00813d83 ld s11,8(sp) + 80000130: 00000513 li a0,0 + 80000134: 07010113 addi sp,sp,112 + 80000138: 00008067 ret + +000000008000013c : + 8000013c: 00050513 mv a0,a0 + 80000140: 0000006b 0x6b + 80000144: 0000006f j 80000144 + +0000000080000148 <_assert>: + 80000148: 00051a63 bnez a0,8000015c <_assert+0x14> + 8000014c: 00100793 li a5,1 + 80000150: 00078513 mv a0,a5 + 80000154: 0000006b 0x6b + 80000158: 0000006f j 80000158 <_assert+0x10> + 8000015c: 00008067 ret + +0000000080000160 <_trm_init>: + 80000160: ff010113 addi sp,sp,-16 + 80000164: 00113423 sd ra,8(sp) + 80000168: 01c000ef jal ra,80000184 + 8000016c: 00000517 auipc a0,0x0 + 80000170: 22c50513 addi a0,a0,556 # 80000398 + 80000174: eb5ff0ef jal ra,80000028
+ 80000178: 00050513 mv a0,a0 + 8000017c: 0000006b 0x6b + 80000180: 0000006f j 80000180 <_trm_init+0x20> + +0000000080000184 : + 80000184: 00000797 auipc a5,0x0 + 80000188: 32c78793 addi a5,a5,812 # 800004b0 + 8000018c: 0007b503 ld a0,0(a5) + 80000190: 0087b583 ld a1,8(a5) + 80000194: ff010113 addi sp,sp,-16 + 80000198: 00000693 li a3,0 + 8000019c: 00000613 li a2,0 + 800001a0: 40a585b3 sub a1,a1,a0 + 800001a4: 00113423 sd ra,8(sp) + 800001a8: 018000ef jal ra,800001c0 + 800001ac: 00813083 ld ra,8(sp) + 800001b0: 00000797 auipc a5,0x0 + 800001b4: 30a7b823 sd a0,784(a5) # 800004c0 + 800001b8: 01010113 addi sp,sp,16 + 800001bc: 00008067 ret + +00000000800001c0 : + 800001c0: 1a050e63 beqz a0,8000037c + 800001c4: fd010113 addi sp,sp,-48 + 800001c8: 02813023 sd s0,32(sp) + 800001cc: 00913c23 sd s1,24(sp) + 800001d0: 01f57793 andi a5,a0,31 + 800001d4: 02113423 sd ra,40(sp) + 800001d8: 01213823 sd s2,16(sp) + 800001dc: 01313423 sd s3,8(sp) + 800001e0: 01413023 sd s4,0(sp) + 800001e4: 00050493 mv s1,a0 + 800001e8: 00050413 mv s0,a0 + 800001ec: 00000513 li a0,0 + 800001f0: 14079a63 bnez a5,80000344 + 800001f4: 27f00713 li a4,639 + 800001f8: 00058913 mv s2,a1 + 800001fc: 00078513 mv a0,a5 + 80000200: 14b77263 bgeu a4,a1,80000344 + 80000204: 0074f513 andi a0,s1,7 + 80000208: 00153513 seqz a0,a0 + 8000020c: 00060a13 mv s4,a2 + 80000210: 00068993 mv s3,a3 + 80000214: f35ff0ef jal ra,80000148 <_assert> + 80000218: 20048793 addi a5,s1,512 + 8000021c: 2004b023 sd zero,512(s1) + 80000220: 2144b423 sd s4,520(s1) + 80000224: 2134b823 sd s3,528(s1) + 80000228: 00043023 sd zero,0(s0) + 8000022c: 00840413 addi s0,s0,8 + 80000230: fe879ce3 bne a5,s0,80000228 + 80000234: fff00793 li a5,-1 + 80000238: dc090413 addi s0,s2,-576 + 8000023c: 03f79793 slli a5,a5,0x3f + 80000240: 1287f863 bgeu a5,s0,80000370 + 80000244: 00078413 mv s0,a5 + 80000248: 00100513 li a0,1 + 8000024c: efdff0ef jal ra,80000148 <_assert> + 80000250: f8300793 li a5,-125 + 80000254: 0017d793 srli a5,a5,0x1 + 80000258: fc040513 addi a0,s0,-64 + 8000025c: 00f53533 sltu a0,a0,a5 + 80000260: ee9ff0ef jal ra,80000148 <_assert> + 80000264: 01f4f513 andi a0,s1,31 + 80000268: 00153513 seqz a0,a0 + 8000026c: eddff0ef jal ra,80000148 <_assert> + 80000270: 00100513 li a0,1 + 80000274: 2404b023 sd zero,576(s1) + 80000278: 2404b423 sd zero,584(s1) + 8000027c: 2484b823 sd s0,592(s1) + 80000280: 24048c23 sb zero,600(s1) + 80000284: 2604b023 sd zero,608(s1) + 80000288: 2604b423 sd zero,616(s1) + 8000028c: ebdff0ef jal ra,80000148 <_assert> + 80000290: 00100513 li a0,1 + 80000294: eb5ff0ef jal ra,80000148 <_assert> + 80000298: 2504b503 ld a0,592(s1) + 8000029c: 24048a13 addi s4,s1,576 + 800002a0: 04053513 sltiu a0,a0,64 + 800002a4: 00154513 xori a0,a0,1 + 800002a8: 00157513 andi a0,a0,1 + 800002ac: e9dff0ef jal ra,80000148 <_assert> + 800002b0: 2504b503 ld a0,592(s1) + 800002b4: 03f57513 andi a0,a0,63 + 800002b8: 00153513 seqz a0,a0 + 800002bc: e8dff0ef jal ra,80000148 <_assert> + 800002c0: 2504b703 ld a4,592(s1) + 800002c4: 00100793 li a5,1 + 800002c8: 00675713 srli a4,a4,0x6 + 800002cc: 0ae7fc63 bgeu a5,a4,80000384 + 800002d0: 00000793 li a5,0 + 800002d4: 00100693 li a3,1 + 800002d8: 0017879b addiw a5,a5,1 + 800002dc: 00175713 srli a4,a4,0x1 + 800002e0: 0ff7f793 andi a5,a5,255 + 800002e4: fed71ae3 bne a4,a3,800002d8 + 800002e8: 00078993 mv s3,a5 + 800002ec: 0407b513 sltiu a0,a5,64 + 800002f0: 00f71933 sll s2,a4,a5 + 800002f4: e55ff0ef jal ra,80000148 <_assert> + 800002f8: 00399793 slli a5,s3,0x3 + 800002fc: 00f487b3 add a5,s1,a5 + 80000300: 0007b703 ld a4,0(a5) + 80000304: 2604b423 sd zero,616(s1) + 80000308: 26e4b023 sd a4,608(s1) + 8000030c: 00070463 beqz a4,80000314 + 80000310: 03473423 sd s4,40(a4) + 80000314: 0147b023 sd s4,0(a5) + 80000318: 2004b503 ld a0,512(s1) + 8000031c: 00a96533 or a0,s2,a0 + 80000320: 20a4b023 sd a0,512(s1) + 80000324: 00a03533 snez a0,a0 + 80000328: e21ff0ef jal ra,80000148 <_assert> + 8000032c: 00048513 mv a0,s1 + 80000330: 2084bc23 sd s0,536(s1) + 80000334: 2204b023 sd zero,544(s1) + 80000338: 2204b423 sd zero,552(s1) + 8000033c: 2204b823 sd zero,560(s1) + 80000340: 2204bc23 sd zero,568(s1) + 80000344: 02813083 ld ra,40(sp) + 80000348: 02013403 ld s0,32(sp) + 8000034c: 01813483 ld s1,24(sp) + 80000350: 01013903 ld s2,16(sp) + 80000354: 00813983 ld s3,8(sp) + 80000358: 00013a03 ld s4,0(sp) + 8000035c: 03010113 addi sp,sp,48 + 80000360: 00008067 ret + 80000364: 00100513 li a0,1 + 80000368: fff40413 addi s0,s0,-1 + 8000036c: dddff0ef jal ra,80000148 <_assert> + 80000370: 03f47793 andi a5,s0,63 + 80000374: fe0798e3 bnez a5,80000364 + 80000378: ed1ff06f j 80000248 + 8000037c: 00000513 li a0,0 + 80000380: 00008067 ret + 80000384: 00100913 li s2,1 + 80000388: 00000993 li s3,0 + 8000038c: 00100513 li a0,1 + 80000390: f65ff06f j 800002f4 diff --git a/bin/non-output/cpu-tests/mov-c-cpu-tests.bin b/bin/non-output/cpu-tests/mov-c-cpu-tests.bin new file mode 100755 index 0000000..cdcf756 Binary files /dev/null and b/bin/non-output/cpu-tests/mov-c-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/mov-c-cpu-tests.elf b/bin/non-output/cpu-tests/mov-c-cpu-tests.elf new file mode 100755 index 0000000..f89fceb Binary files /dev/null and b/bin/non-output/cpu-tests/mov-c-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/mov-c-cpu-tests.txt b/bin/non-output/cpu-tests/mov-c-cpu-tests.txt new file mode 100755 index 0000000..38b36c9 --- /dev/null +++ b/bin/non-output/cpu-tests/mov-c-cpu-tests.txt @@ -0,0 +1,240 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/mov-c-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 12c000ef jal ra,80000138 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0f0000ef jal ra,80000114 + +0000000080000028
: + 80000028: ff010113 addi sp,sp,-16 + 8000002c: 00813023 sd s0,0(sp) + 80000030: 00000417 auipc s0,0x0 + 80000034: 36840413 addi s0,s0,872 # 80000398 + 80000038: 00042023 sw zero,0(s0) + 8000003c: 00100793 li a5,1 + 80000040: 00f42223 sw a5,4(s0) + 80000044: 00200793 li a5,2 + 80000048: 00f42423 sw a5,8(s0) + 8000004c: 00300793 li a5,3 + 80000050: 00f42623 sw a5,12(s0) + 80000054: 00400793 li a5,4 + 80000058: 00f42823 sw a5,16(s0) + 8000005c: 00c42783 lw a5,12(s0) + 80000060: 00113423 sd ra,8(sp) + 80000064: 00000717 auipc a4,0x0 + 80000068: 32f72623 sw a5,812(a4) # 80000390 + 8000006c: 00000797 auipc a5,0x0 + 80000070: 3247a783 lw a5,804(a5) # 80000390 + 80000074: 00f42a23 sw a5,20(s0) + 80000078: 00042503 lw a0,0(s0) + 8000007c: 0005051b sext.w a0,a0 + 80000080: 00153513 seqz a0,a0 + 80000084: f8dff0ef jal ra,80000010 + 80000088: 00442503 lw a0,4(s0) + 8000008c: 0005051b sext.w a0,a0 + 80000090: fff50513 addi a0,a0,-1 + 80000094: 00153513 seqz a0,a0 + 80000098: f79ff0ef jal ra,80000010 + 8000009c: 00842503 lw a0,8(s0) + 800000a0: 0005051b sext.w a0,a0 + 800000a4: ffe50513 addi a0,a0,-2 + 800000a8: 00153513 seqz a0,a0 + 800000ac: f65ff0ef jal ra,80000010 + 800000b0: 00c42503 lw a0,12(s0) + 800000b4: 0005051b sext.w a0,a0 + 800000b8: ffd50513 addi a0,a0,-3 + 800000bc: 00153513 seqz a0,a0 + 800000c0: f51ff0ef jal ra,80000010 + 800000c4: 01042503 lw a0,16(s0) + 800000c8: 0005051b sext.w a0,a0 + 800000cc: ffc50513 addi a0,a0,-4 + 800000d0: 00153513 seqz a0,a0 + 800000d4: f3dff0ef jal ra,80000010 + 800000d8: 00000517 auipc a0,0x0 + 800000dc: 2b852503 lw a0,696(a0) # 80000390 + 800000e0: ffd50513 addi a0,a0,-3 + 800000e4: 00153513 seqz a0,a0 + 800000e8: f29ff0ef jal ra,80000010 + 800000ec: 01442503 lw a0,20(s0) + 800000f0: 0005051b sext.w a0,a0 + 800000f4: ffd50513 addi a0,a0,-3 + 800000f8: 00153513 seqz a0,a0 + 800000fc: f15ff0ef jal ra,80000010 + 80000100: 00813083 ld ra,8(sp) + 80000104: 00013403 ld s0,0(sp) + 80000108: 00000513 li a0,0 + 8000010c: 01010113 addi sp,sp,16 + 80000110: 00008067 ret + +0000000080000114 : + 80000114: 00050513 mv a0,a0 + 80000118: 0000006b 0x6b + 8000011c: 0000006f j 8000011c + +0000000080000120 <_assert>: + 80000120: 00051a63 bnez a0,80000134 <_assert+0x14> + 80000124: 00100793 li a5,1 + 80000128: 00078513 mv a0,a5 + 8000012c: 0000006b 0x6b + 80000130: 0000006f j 80000130 <_assert+0x10> + 80000134: 00008067 ret + +0000000080000138 <_trm_init>: + 80000138: ff010113 addi sp,sp,-16 + 8000013c: 00113423 sd ra,8(sp) + 80000140: 01c000ef jal ra,8000015c + 80000144: 00000517 auipc a0,0x0 + 80000148: 22c50513 addi a0,a0,556 # 80000370 + 8000014c: eddff0ef jal ra,80000028
+ 80000150: 00050513 mv a0,a0 + 80000154: 0000006b 0x6b + 80000158: 0000006f j 80000158 <_trm_init+0x20> + +000000008000015c : + 8000015c: 00000797 auipc a5,0x0 + 80000160: 21c78793 addi a5,a5,540 # 80000378 + 80000164: 0007b503 ld a0,0(a5) + 80000168: 0087b583 ld a1,8(a5) + 8000016c: ff010113 addi sp,sp,-16 + 80000170: 00000693 li a3,0 + 80000174: 00000613 li a2,0 + 80000178: 40a585b3 sub a1,a1,a0 + 8000017c: 00113423 sd ra,8(sp) + 80000180: 018000ef jal ra,80000198 + 80000184: 00813083 ld ra,8(sp) + 80000188: 00000797 auipc a5,0x0 + 8000018c: 20a7b023 sd a0,512(a5) # 80000388 + 80000190: 01010113 addi sp,sp,16 + 80000194: 00008067 ret + +0000000080000198 : + 80000198: 1a050e63 beqz a0,80000354 + 8000019c: fd010113 addi sp,sp,-48 + 800001a0: 02813023 sd s0,32(sp) + 800001a4: 00913c23 sd s1,24(sp) + 800001a8: 01f57793 andi a5,a0,31 + 800001ac: 02113423 sd ra,40(sp) + 800001b0: 01213823 sd s2,16(sp) + 800001b4: 01313423 sd s3,8(sp) + 800001b8: 01413023 sd s4,0(sp) + 800001bc: 00050493 mv s1,a0 + 800001c0: 00050413 mv s0,a0 + 800001c4: 00000513 li a0,0 + 800001c8: 14079a63 bnez a5,8000031c + 800001cc: 27f00713 li a4,639 + 800001d0: 00058913 mv s2,a1 + 800001d4: 00078513 mv a0,a5 + 800001d8: 14b77263 bgeu a4,a1,8000031c + 800001dc: 0074f513 andi a0,s1,7 + 800001e0: 00153513 seqz a0,a0 + 800001e4: 00060a13 mv s4,a2 + 800001e8: 00068993 mv s3,a3 + 800001ec: f35ff0ef jal ra,80000120 <_assert> + 800001f0: 20048793 addi a5,s1,512 + 800001f4: 2004b023 sd zero,512(s1) + 800001f8: 2144b423 sd s4,520(s1) + 800001fc: 2134b823 sd s3,528(s1) + 80000200: 00043023 sd zero,0(s0) + 80000204: 00840413 addi s0,s0,8 + 80000208: fe879ce3 bne a5,s0,80000200 + 8000020c: fff00793 li a5,-1 + 80000210: dc090413 addi s0,s2,-576 + 80000214: 03f79793 slli a5,a5,0x3f + 80000218: 1287f863 bgeu a5,s0,80000348 + 8000021c: 00078413 mv s0,a5 + 80000220: 00100513 li a0,1 + 80000224: efdff0ef jal ra,80000120 <_assert> + 80000228: f8300793 li a5,-125 + 8000022c: 0017d793 srli a5,a5,0x1 + 80000230: fc040513 addi a0,s0,-64 + 80000234: 00f53533 sltu a0,a0,a5 + 80000238: ee9ff0ef jal ra,80000120 <_assert> + 8000023c: 01f4f513 andi a0,s1,31 + 80000240: 00153513 seqz a0,a0 + 80000244: eddff0ef jal ra,80000120 <_assert> + 80000248: 00100513 li a0,1 + 8000024c: 2404b023 sd zero,576(s1) + 80000250: 2404b423 sd zero,584(s1) + 80000254: 2484b823 sd s0,592(s1) + 80000258: 24048c23 sb zero,600(s1) + 8000025c: 2604b023 sd zero,608(s1) + 80000260: 2604b423 sd zero,616(s1) + 80000264: ebdff0ef jal ra,80000120 <_assert> + 80000268: 00100513 li a0,1 + 8000026c: eb5ff0ef jal ra,80000120 <_assert> + 80000270: 2504b503 ld a0,592(s1) + 80000274: 24048a13 addi s4,s1,576 + 80000278: 04053513 sltiu a0,a0,64 + 8000027c: 00154513 xori a0,a0,1 + 80000280: 00157513 andi a0,a0,1 + 80000284: e9dff0ef jal ra,80000120 <_assert> + 80000288: 2504b503 ld a0,592(s1) + 8000028c: 03f57513 andi a0,a0,63 + 80000290: 00153513 seqz a0,a0 + 80000294: e8dff0ef jal ra,80000120 <_assert> + 80000298: 2504b703 ld a4,592(s1) + 8000029c: 00100793 li a5,1 + 800002a0: 00675713 srli a4,a4,0x6 + 800002a4: 0ae7fc63 bgeu a5,a4,8000035c + 800002a8: 00000793 li a5,0 + 800002ac: 00100693 li a3,1 + 800002b0: 0017879b addiw a5,a5,1 + 800002b4: 00175713 srli a4,a4,0x1 + 800002b8: 0ff7f793 andi a5,a5,255 + 800002bc: fed71ae3 bne a4,a3,800002b0 + 800002c0: 00078993 mv s3,a5 + 800002c4: 0407b513 sltiu a0,a5,64 + 800002c8: 00f71933 sll s2,a4,a5 + 800002cc: e55ff0ef jal ra,80000120 <_assert> + 800002d0: 00399793 slli a5,s3,0x3 + 800002d4: 00f487b3 add a5,s1,a5 + 800002d8: 0007b703 ld a4,0(a5) + 800002dc: 2604b423 sd zero,616(s1) + 800002e0: 26e4b023 sd a4,608(s1) + 800002e4: 00070463 beqz a4,800002ec + 800002e8: 03473423 sd s4,40(a4) + 800002ec: 0147b023 sd s4,0(a5) + 800002f0: 2004b503 ld a0,512(s1) + 800002f4: 00a96533 or a0,s2,a0 + 800002f8: 20a4b023 sd a0,512(s1) + 800002fc: 00a03533 snez a0,a0 + 80000300: e21ff0ef jal ra,80000120 <_assert> + 80000304: 00048513 mv a0,s1 + 80000308: 2084bc23 sd s0,536(s1) + 8000030c: 2204b023 sd zero,544(s1) + 80000310: 2204b423 sd zero,552(s1) + 80000314: 2204b823 sd zero,560(s1) + 80000318: 2204bc23 sd zero,568(s1) + 8000031c: 02813083 ld ra,40(sp) + 80000320: 02013403 ld s0,32(sp) + 80000324: 01813483 ld s1,24(sp) + 80000328: 01013903 ld s2,16(sp) + 8000032c: 00813983 ld s3,8(sp) + 80000330: 00013a03 ld s4,0(sp) + 80000334: 03010113 addi sp,sp,48 + 80000338: 00008067 ret + 8000033c: 00100513 li a0,1 + 80000340: fff40413 addi s0,s0,-1 + 80000344: dddff0ef jal ra,80000120 <_assert> + 80000348: 03f47793 andi a5,s0,63 + 8000034c: fe0798e3 bnez a5,8000033c + 80000350: ed1ff06f j 80000220 + 80000354: 00000513 li a0,0 + 80000358: 00008067 ret + 8000035c: 00100913 li s2,1 + 80000360: 00000993 li s3,0 + 80000364: 00100513 li a0,1 + 80000368: f65ff06f j 800002cc diff --git a/bin/non-output/cpu-tests/movsx-cpu-tests.bin b/bin/non-output/cpu-tests/movsx-cpu-tests.bin new file mode 100755 index 0000000..71e47d7 Binary files /dev/null and b/bin/non-output/cpu-tests/movsx-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/movsx-cpu-tests.elf b/bin/non-output/cpu-tests/movsx-cpu-tests.elf new file mode 100755 index 0000000..998fdcb Binary files /dev/null and b/bin/non-output/cpu-tests/movsx-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/movsx-cpu-tests.txt b/bin/non-output/cpu-tests/movsx-cpu-tests.txt new file mode 100755 index 0000000..07d12ab --- /dev/null +++ b/bin/non-output/cpu-tests/movsx-cpu-tests.txt @@ -0,0 +1,282 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/movsx-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 1d4000ef jal ra,800001e0 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 198000ef jal ra,800001bc + +0000000080000028
: + 80000028: fe010113 addi sp,sp,-32 + 8000002c: 00813823 sd s0,16(sp) + 80000030: 00000417 auipc s0,0x0 + 80000034: 41040413 addi s0,s0,1040 # 80000440 + 80000038: 00042023 sw zero,0(s0) + 8000003c: 00100793 li a5,1 + 80000040: 00f42223 sw a5,4(s0) + 80000044: 00200793 li a5,2 + 80000048: 00f42423 sw a5,8(s0) + 8000004c: 00300793 li a5,3 + 80000050: 00f42623 sw a5,12(s0) + 80000054: 00400793 li a5,4 + 80000058: 00f42823 sw a5,16(s0) + 8000005c: 00c42783 lw a5,12(s0) + 80000060: 00913423 sd s1,8(sp) + 80000064: 00000497 auipc s1,0x0 + 80000068: 40448493 addi s1,s1,1028 # 80000468 + 8000006c: 00000717 auipc a4,0x0 + 80000070: 3cf72623 sw a5,972(a4) # 80000438 + 80000074: 00000797 auipc a5,0x0 + 80000078: 3c47a783 lw a5,964(a5) # 80000438 + 8000007c: 00f42a23 sw a5,20(s0) + 80000080: 06100793 li a5,97 + 80000084: 00f48023 sb a5,0(s1) + 80000088: 0004c503 lbu a0,0(s1) + 8000008c: 00113c23 sd ra,24(sp) + 80000090: 0185151b slliw a0,a0,0x18 + 80000094: 4185551b sraiw a0,a0,0x18 + 80000098: f9f50513 addi a0,a0,-97 + 8000009c: 00153513 seqz a0,a0 + 800000a0: f71ff0ef jal ra,80000010 + 800000a4: 0004c783 lbu a5,0(s1) + 800000a8: 00f480a3 sb a5,1(s1) + 800000ac: 0014c503 lbu a0,1(s1) + 800000b0: 0185151b slliw a0,a0,0x18 + 800000b4: 4185551b sraiw a0,a0,0x18 + 800000b8: f9f50513 addi a0,a0,-97 + 800000bc: 00153513 seqz a0,a0 + 800000c0: f51ff0ef jal ra,80000010 + 800000c4: 0004c783 lbu a5,0(s1) + 800000c8: 0187979b slliw a5,a5,0x18 + 800000cc: 4187d79b sraiw a5,a5,0x18 + 800000d0: 00f42023 sw a5,0(s0) + 800000d4: 00042503 lw a0,0(s0) + 800000d8: 0005051b sext.w a0,a0 + 800000dc: f9f50513 addi a0,a0,-97 + 800000e0: 00153513 seqz a0,a0 + 800000e4: f2dff0ef jal ra,80000010 + 800000e8: f8000793 li a5,-128 + 800000ec: 00f480a3 sb a5,1(s1) + 800000f0: 0014c783 lbu a5,1(s1) + 800000f4: 0187979b slliw a5,a5,0x18 + 800000f8: 4187d79b sraiw a5,a5,0x18 + 800000fc: 00f42023 sw a5,0(s0) + 80000100: 00442503 lw a0,4(s0) + 80000104: 0005051b sext.w a0,a0 + 80000108: fff50513 addi a0,a0,-1 + 8000010c: 00153513 seqz a0,a0 + 80000110: f01ff0ef jal ra,80000010 + 80000114: 00842503 lw a0,8(s0) + 80000118: 0005051b sext.w a0,a0 + 8000011c: ffe50513 addi a0,a0,-2 + 80000120: 00153513 seqz a0,a0 + 80000124: eedff0ef jal ra,80000010 + 80000128: 00c42503 lw a0,12(s0) + 8000012c: 0005051b sext.w a0,a0 + 80000130: ffd50513 addi a0,a0,-3 + 80000134: 00153513 seqz a0,a0 + 80000138: ed9ff0ef jal ra,80000010 + 8000013c: 01042503 lw a0,16(s0) + 80000140: 0005051b sext.w a0,a0 + 80000144: ffc50513 addi a0,a0,-4 + 80000148: 00153513 seqz a0,a0 + 8000014c: ec5ff0ef jal ra,80000010 + 80000150: 00000517 auipc a0,0x0 + 80000154: 2e852503 lw a0,744(a0) # 80000438 + 80000158: ffd50513 addi a0,a0,-3 + 8000015c: 00153513 seqz a0,a0 + 80000160: eb1ff0ef jal ra,80000010 + 80000164: 01442503 lw a0,20(s0) + 80000168: 0005051b sext.w a0,a0 + 8000016c: ffd50513 addi a0,a0,-3 + 80000170: 00153513 seqz a0,a0 + 80000174: e9dff0ef jal ra,80000010 + 80000178: 0014c503 lbu a0,1(s1) + 8000017c: 0185151b slliw a0,a0,0x18 + 80000180: 4185551b sraiw a0,a0,0x18 + 80000184: 08050513 addi a0,a0,128 + 80000188: 00153513 seqz a0,a0 + 8000018c: e85ff0ef jal ra,80000010 + 80000190: 00042503 lw a0,0(s0) + 80000194: 0005051b sext.w a0,a0 + 80000198: 08050513 addi a0,a0,128 + 8000019c: 00153513 seqz a0,a0 + 800001a0: e71ff0ef jal ra,80000010 + 800001a4: 01813083 ld ra,24(sp) + 800001a8: 01013403 ld s0,16(sp) + 800001ac: 00813483 ld s1,8(sp) + 800001b0: 00000513 li a0,0 + 800001b4: 02010113 addi sp,sp,32 + 800001b8: 00008067 ret + +00000000800001bc : + 800001bc: 00050513 mv a0,a0 + 800001c0: 0000006b 0x6b + 800001c4: 0000006f j 800001c4 + +00000000800001c8 <_assert>: + 800001c8: 00051a63 bnez a0,800001dc <_assert+0x14> + 800001cc: 00100793 li a5,1 + 800001d0: 00078513 mv a0,a5 + 800001d4: 0000006b 0x6b + 800001d8: 0000006f j 800001d8 <_assert+0x10> + 800001dc: 00008067 ret + +00000000800001e0 <_trm_init>: + 800001e0: ff010113 addi sp,sp,-16 + 800001e4: 00113423 sd ra,8(sp) + 800001e8: 01c000ef jal ra,80000204 + 800001ec: 00000517 auipc a0,0x0 + 800001f0: 22c50513 addi a0,a0,556 # 80000418 + 800001f4: e35ff0ef jal ra,80000028
+ 800001f8: 00050513 mv a0,a0 + 800001fc: 0000006b 0x6b + 80000200: 0000006f j 80000200 <_trm_init+0x20> + +0000000080000204 : + 80000204: 00000797 auipc a5,0x0 + 80000208: 21c78793 addi a5,a5,540 # 80000420 + 8000020c: 0007b503 ld a0,0(a5) + 80000210: 0087b583 ld a1,8(a5) + 80000214: ff010113 addi sp,sp,-16 + 80000218: 00000693 li a3,0 + 8000021c: 00000613 li a2,0 + 80000220: 40a585b3 sub a1,a1,a0 + 80000224: 00113423 sd ra,8(sp) + 80000228: 018000ef jal ra,80000240 + 8000022c: 00813083 ld ra,8(sp) + 80000230: 00000797 auipc a5,0x0 + 80000234: 20a7b023 sd a0,512(a5) # 80000430 + 80000238: 01010113 addi sp,sp,16 + 8000023c: 00008067 ret + +0000000080000240 : + 80000240: 1a050e63 beqz a0,800003fc + 80000244: fd010113 addi sp,sp,-48 + 80000248: 02813023 sd s0,32(sp) + 8000024c: 00913c23 sd s1,24(sp) + 80000250: 01f57793 andi a5,a0,31 + 80000254: 02113423 sd ra,40(sp) + 80000258: 01213823 sd s2,16(sp) + 8000025c: 01313423 sd s3,8(sp) + 80000260: 01413023 sd s4,0(sp) + 80000264: 00050493 mv s1,a0 + 80000268: 00050413 mv s0,a0 + 8000026c: 00000513 li a0,0 + 80000270: 14079a63 bnez a5,800003c4 + 80000274: 27f00713 li a4,639 + 80000278: 00058913 mv s2,a1 + 8000027c: 00078513 mv a0,a5 + 80000280: 14b77263 bgeu a4,a1,800003c4 + 80000284: 0074f513 andi a0,s1,7 + 80000288: 00153513 seqz a0,a0 + 8000028c: 00060a13 mv s4,a2 + 80000290: 00068993 mv s3,a3 + 80000294: f35ff0ef jal ra,800001c8 <_assert> + 80000298: 20048793 addi a5,s1,512 + 8000029c: 2004b023 sd zero,512(s1) + 800002a0: 2144b423 sd s4,520(s1) + 800002a4: 2134b823 sd s3,528(s1) + 800002a8: 00043023 sd zero,0(s0) + 800002ac: 00840413 addi s0,s0,8 + 800002b0: fe879ce3 bne a5,s0,800002a8 + 800002b4: fff00793 li a5,-1 + 800002b8: dc090413 addi s0,s2,-576 + 800002bc: 03f79793 slli a5,a5,0x3f + 800002c0: 1287f863 bgeu a5,s0,800003f0 + 800002c4: 00078413 mv s0,a5 + 800002c8: 00100513 li a0,1 + 800002cc: efdff0ef jal ra,800001c8 <_assert> + 800002d0: f8300793 li a5,-125 + 800002d4: 0017d793 srli a5,a5,0x1 + 800002d8: fc040513 addi a0,s0,-64 + 800002dc: 00f53533 sltu a0,a0,a5 + 800002e0: ee9ff0ef jal ra,800001c8 <_assert> + 800002e4: 01f4f513 andi a0,s1,31 + 800002e8: 00153513 seqz a0,a0 + 800002ec: eddff0ef jal ra,800001c8 <_assert> + 800002f0: 00100513 li a0,1 + 800002f4: 2404b023 sd zero,576(s1) + 800002f8: 2404b423 sd zero,584(s1) + 800002fc: 2484b823 sd s0,592(s1) + 80000300: 24048c23 sb zero,600(s1) + 80000304: 2604b023 sd zero,608(s1) + 80000308: 2604b423 sd zero,616(s1) + 8000030c: ebdff0ef jal ra,800001c8 <_assert> + 80000310: 00100513 li a0,1 + 80000314: eb5ff0ef jal ra,800001c8 <_assert> + 80000318: 2504b503 ld a0,592(s1) + 8000031c: 24048a13 addi s4,s1,576 + 80000320: 04053513 sltiu a0,a0,64 + 80000324: 00154513 xori a0,a0,1 + 80000328: 00157513 andi a0,a0,1 + 8000032c: e9dff0ef jal ra,800001c8 <_assert> + 80000330: 2504b503 ld a0,592(s1) + 80000334: 03f57513 andi a0,a0,63 + 80000338: 00153513 seqz a0,a0 + 8000033c: e8dff0ef jal ra,800001c8 <_assert> + 80000340: 2504b703 ld a4,592(s1) + 80000344: 00100793 li a5,1 + 80000348: 00675713 srli a4,a4,0x6 + 8000034c: 0ae7fc63 bgeu a5,a4,80000404 + 80000350: 00000793 li a5,0 + 80000354: 00100693 li a3,1 + 80000358: 0017879b addiw a5,a5,1 + 8000035c: 00175713 srli a4,a4,0x1 + 80000360: 0ff7f793 andi a5,a5,255 + 80000364: fed71ae3 bne a4,a3,80000358 + 80000368: 00078993 mv s3,a5 + 8000036c: 0407b513 sltiu a0,a5,64 + 80000370: 00f71933 sll s2,a4,a5 + 80000374: e55ff0ef jal ra,800001c8 <_assert> + 80000378: 00399793 slli a5,s3,0x3 + 8000037c: 00f487b3 add a5,s1,a5 + 80000380: 0007b703 ld a4,0(a5) + 80000384: 2604b423 sd zero,616(s1) + 80000388: 26e4b023 sd a4,608(s1) + 8000038c: 00070463 beqz a4,80000394 + 80000390: 03473423 sd s4,40(a4) + 80000394: 0147b023 sd s4,0(a5) + 80000398: 2004b503 ld a0,512(s1) + 8000039c: 00a96533 or a0,s2,a0 + 800003a0: 20a4b023 sd a0,512(s1) + 800003a4: 00a03533 snez a0,a0 + 800003a8: e21ff0ef jal ra,800001c8 <_assert> + 800003ac: 00048513 mv a0,s1 + 800003b0: 2084bc23 sd s0,536(s1) + 800003b4: 2204b023 sd zero,544(s1) + 800003b8: 2204b423 sd zero,552(s1) + 800003bc: 2204b823 sd zero,560(s1) + 800003c0: 2204bc23 sd zero,568(s1) + 800003c4: 02813083 ld ra,40(sp) + 800003c8: 02013403 ld s0,32(sp) + 800003cc: 01813483 ld s1,24(sp) + 800003d0: 01013903 ld s2,16(sp) + 800003d4: 00813983 ld s3,8(sp) + 800003d8: 00013a03 ld s4,0(sp) + 800003dc: 03010113 addi sp,sp,48 + 800003e0: 00008067 ret + 800003e4: 00100513 li a0,1 + 800003e8: fff40413 addi s0,s0,-1 + 800003ec: dddff0ef jal ra,800001c8 <_assert> + 800003f0: 03f47793 andi a5,s0,63 + 800003f4: fe0798e3 bnez a5,800003e4 + 800003f8: ed1ff06f j 800002c8 + 800003fc: 00000513 li a0,0 + 80000400: 00008067 ret + 80000404: 00100913 li s2,1 + 80000408: 00000993 li s3,0 + 8000040c: 00100513 li a0,1 + 80000410: f65ff06f j 80000374 diff --git a/bin/non-output/cpu-tests/mul-longlong-cpu-tests.bin b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.bin new file mode 100755 index 0000000..da76bf5 Binary files /dev/null and b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/mul-longlong-cpu-tests.elf b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.elf new file mode 100755 index 0000000..a323edd Binary files /dev/null and b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/mul-longlong-cpu-tests.txt b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.txt new file mode 100755 index 0000000..c23e801 --- /dev/null +++ b/bin/non-output/cpu-tests/mul-longlong-cpu-tests.txt @@ -0,0 +1,259 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/mul-longlong-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 14c000ef jal ra,80000158 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 110000ef jal ra,80000134 + +0000000080000028
: + 80000028: fa010113 addi sp,sp,-96 + 8000002c: 04913423 sd s1,72(sp) + 80000030: 03313c23 sd s3,56(sp) + 80000034: 03413823 sd s4,48(sp) + 80000038: 03513423 sd s5,40(sp) + 8000003c: 03613023 sd s6,32(sp) + 80000040: 01713c23 sd s7,24(sp) + 80000044: 01813823 sd s8,16(sp) + 80000048: 00000993 li s3,0 + 8000004c: 04113c23 sd ra,88(sp) + 80000050: 04813823 sd s0,80(sp) + 80000054: 05213023 sd s2,64(sp) + 80000058: 01913423 sd s9,8(sp) + 8000005c: 00400a13 li s4,4 + 80000060: 00000b17 auipc s6,0x0 + 80000064: 3a8b0b13 addi s6,s6,936 # 80000408 + 80000068: 00000a93 li s5,0 + 8000006c: 00000c17 auipc s8,0x0 + 80000070: 34cc0c13 addi s8,s8,844 # 800003b8 + 80000074: 00300b93 li s7,3 + 80000078: 0009849b sext.w s1,s3 + 8000007c: 07498663 beq s3,s4,800000e8 + 80000080: 000b2503 lw a0,0(s6) + 80000084: 003a9413 slli s0,s5,0x3 + 80000088: 008c0433 add s0,s8,s0 + 8000008c: 00050c93 mv s9,a0 + 80000090: 000b0913 mv s2,s6 + 80000094: 000c8593 mv a1,s9 + 80000098: 0e4000ef jal ra,8000017c <__muldi3> + 8000009c: 00043783 ld a5,0(s0) + 800000a0: 0014849b addiw s1,s1,1 + 800000a4: 00490913 addi s2,s2,4 + 800000a8: 40a78533 sub a0,a5,a0 + 800000ac: 00153513 seqz a0,a0 + 800000b0: f61ff0ef jal ra,80000010 + 800000b4: 00840413 addi s0,s0,8 + 800000b8: 01448663 beq s1,s4,800000c4 + 800000bc: 00092503 lw a0,0(s2) + 800000c0: fd5ff06f j 80000094 + 800000c4: 004a8a9b addiw s5,s5,4 + 800000c8: 00100513 li a0,1 + 800000cc: 413a8abb subw s5,s5,s3 + 800000d0: f41ff0ef jal ra,80000010 + 800000d4: 03798063 beq s3,s7,800000f4 + 800000d8: 0019899b addiw s3,s3,1 + 800000dc: 004b0b13 addi s6,s6,4 + 800000e0: 0009849b sext.w s1,s3 + 800000e4: f9499ee3 bne s3,s4,80000080 + 800000e8: 00100513 li a0,1 + 800000ec: f25ff0ef jal ra,80000010 + 800000f0: fe9ff06f j 800000d8 + 800000f4: 00100513 li a0,1 + 800000f8: f19ff0ef jal ra,80000010 + 800000fc: 05813083 ld ra,88(sp) + 80000100: 05013403 ld s0,80(sp) + 80000104: 04813483 ld s1,72(sp) + 80000108: 04013903 ld s2,64(sp) + 8000010c: 03813983 ld s3,56(sp) + 80000110: 03013a03 ld s4,48(sp) + 80000114: 02813a83 ld s5,40(sp) + 80000118: 02013b03 ld s6,32(sp) + 8000011c: 01813b83 ld s7,24(sp) + 80000120: 01013c03 ld s8,16(sp) + 80000124: 00813c83 ld s9,8(sp) + 80000128: 00000513 li a0,0 + 8000012c: 06010113 addi sp,sp,96 + 80000130: 00008067 ret + +0000000080000134 : + 80000134: 00050513 mv a0,a0 + 80000138: 0000006b 0x6b + 8000013c: 0000006f j 8000013c + +0000000080000140 <_assert>: + 80000140: 00051a63 bnez a0,80000154 <_assert+0x14> + 80000144: 00100793 li a5,1 + 80000148: 00078513 mv a0,a5 + 8000014c: 0000006b 0x6b + 80000150: 0000006f j 80000150 <_assert+0x10> + 80000154: 00008067 ret + +0000000080000158 <_trm_init>: + 80000158: ff010113 addi sp,sp,-16 + 8000015c: 00113423 sd ra,8(sp) + 80000160: 040000ef jal ra,800001a0 + 80000164: 00000517 auipc a0,0x0 + 80000168: 24c50513 addi a0,a0,588 # 800003b0 <_etext> + 8000016c: ebdff0ef jal ra,80000028
+ 80000170: 00050513 mv a0,a0 + 80000174: 0000006b 0x6b + 80000178: 0000006f j 80000178 <_trm_init+0x20> + +000000008000017c <__muldi3>: + 8000017c: 00050613 mv a2,a0 + 80000180: 00000513 li a0,0 + 80000184: 0015f693 andi a3,a1,1 + 80000188: 00068463 beqz a3,80000190 <__muldi3+0x14> + 8000018c: 00c50533 add a0,a0,a2 + 80000190: 0015d593 srli a1,a1,0x1 + 80000194: 00161613 slli a2,a2,0x1 + 80000198: fe0596e3 bnez a1,80000184 <__muldi3+0x8> + 8000019c: 00008067 ret + +00000000800001a0 : + 800001a0: 00000797 auipc a5,0x0 + 800001a4: 27878793 addi a5,a5,632 # 80000418 + 800001a8: 0007b503 ld a0,0(a5) + 800001ac: 0087b583 ld a1,8(a5) + 800001b0: ff010113 addi sp,sp,-16 + 800001b4: 00000693 li a3,0 + 800001b8: 00000613 li a2,0 + 800001bc: 40a585b3 sub a1,a1,a0 + 800001c0: 00113423 sd ra,8(sp) + 800001c4: 018000ef jal ra,800001dc + 800001c8: 00813083 ld ra,8(sp) + 800001cc: 00000797 auipc a5,0x0 + 800001d0: 24a7be23 sd a0,604(a5) # 80000428 + 800001d4: 01010113 addi sp,sp,16 + 800001d8: 00008067 ret + +00000000800001dc : + 800001dc: 1a050e63 beqz a0,80000398 + 800001e0: fd010113 addi sp,sp,-48 + 800001e4: 02813023 sd s0,32(sp) + 800001e8: 00913c23 sd s1,24(sp) + 800001ec: 01f57793 andi a5,a0,31 + 800001f0: 02113423 sd ra,40(sp) + 800001f4: 01213823 sd s2,16(sp) + 800001f8: 01313423 sd s3,8(sp) + 800001fc: 01413023 sd s4,0(sp) + 80000200: 00050493 mv s1,a0 + 80000204: 00050413 mv s0,a0 + 80000208: 00000513 li a0,0 + 8000020c: 14079a63 bnez a5,80000360 + 80000210: 27f00713 li a4,639 + 80000214: 00058913 mv s2,a1 + 80000218: 00078513 mv a0,a5 + 8000021c: 14b77263 bgeu a4,a1,80000360 + 80000220: 0074f513 andi a0,s1,7 + 80000224: 00153513 seqz a0,a0 + 80000228: 00060a13 mv s4,a2 + 8000022c: 00068993 mv s3,a3 + 80000230: f11ff0ef jal ra,80000140 <_assert> + 80000234: 20048793 addi a5,s1,512 + 80000238: 2004b023 sd zero,512(s1) + 8000023c: 2144b423 sd s4,520(s1) + 80000240: 2134b823 sd s3,528(s1) + 80000244: 00043023 sd zero,0(s0) + 80000248: 00840413 addi s0,s0,8 + 8000024c: fe879ce3 bne a5,s0,80000244 + 80000250: fff00793 li a5,-1 + 80000254: dc090413 addi s0,s2,-576 + 80000258: 03f79793 slli a5,a5,0x3f + 8000025c: 1287f863 bgeu a5,s0,8000038c + 80000260: 00078413 mv s0,a5 + 80000264: 00100513 li a0,1 + 80000268: ed9ff0ef jal ra,80000140 <_assert> + 8000026c: f8300793 li a5,-125 + 80000270: 0017d793 srli a5,a5,0x1 + 80000274: fc040513 addi a0,s0,-64 + 80000278: 00f53533 sltu a0,a0,a5 + 8000027c: ec5ff0ef jal ra,80000140 <_assert> + 80000280: 01f4f513 andi a0,s1,31 + 80000284: 00153513 seqz a0,a0 + 80000288: eb9ff0ef jal ra,80000140 <_assert> + 8000028c: 00100513 li a0,1 + 80000290: 2404b023 sd zero,576(s1) + 80000294: 2404b423 sd zero,584(s1) + 80000298: 2484b823 sd s0,592(s1) + 8000029c: 24048c23 sb zero,600(s1) + 800002a0: 2604b023 sd zero,608(s1) + 800002a4: 2604b423 sd zero,616(s1) + 800002a8: e99ff0ef jal ra,80000140 <_assert> + 800002ac: 00100513 li a0,1 + 800002b0: e91ff0ef jal ra,80000140 <_assert> + 800002b4: 2504b503 ld a0,592(s1) + 800002b8: 24048a13 addi s4,s1,576 + 800002bc: 04053513 sltiu a0,a0,64 + 800002c0: 00154513 xori a0,a0,1 + 800002c4: 00157513 andi a0,a0,1 + 800002c8: e79ff0ef jal ra,80000140 <_assert> + 800002cc: 2504b503 ld a0,592(s1) + 800002d0: 03f57513 andi a0,a0,63 + 800002d4: 00153513 seqz a0,a0 + 800002d8: e69ff0ef jal ra,80000140 <_assert> + 800002dc: 2504b703 ld a4,592(s1) + 800002e0: 00100793 li a5,1 + 800002e4: 00675713 srli a4,a4,0x6 + 800002e8: 0ae7fc63 bgeu a5,a4,800003a0 + 800002ec: 00000793 li a5,0 + 800002f0: 00100693 li a3,1 + 800002f4: 0017879b addiw a5,a5,1 + 800002f8: 00175713 srli a4,a4,0x1 + 800002fc: 0ff7f793 andi a5,a5,255 + 80000300: fed71ae3 bne a4,a3,800002f4 + 80000304: 00078993 mv s3,a5 + 80000308: 0407b513 sltiu a0,a5,64 + 8000030c: 00f71933 sll s2,a4,a5 + 80000310: e31ff0ef jal ra,80000140 <_assert> + 80000314: 00399793 slli a5,s3,0x3 + 80000318: 00f487b3 add a5,s1,a5 + 8000031c: 0007b703 ld a4,0(a5) + 80000320: 2604b423 sd zero,616(s1) + 80000324: 26e4b023 sd a4,608(s1) + 80000328: 00070463 beqz a4,80000330 + 8000032c: 03473423 sd s4,40(a4) + 80000330: 0147b023 sd s4,0(a5) + 80000334: 2004b503 ld a0,512(s1) + 80000338: 00a96533 or a0,s2,a0 + 8000033c: 20a4b023 sd a0,512(s1) + 80000340: 00a03533 snez a0,a0 + 80000344: dfdff0ef jal ra,80000140 <_assert> + 80000348: 00048513 mv a0,s1 + 8000034c: 2084bc23 sd s0,536(s1) + 80000350: 2204b023 sd zero,544(s1) + 80000354: 2204b423 sd zero,552(s1) + 80000358: 2204b823 sd zero,560(s1) + 8000035c: 2204bc23 sd zero,568(s1) + 80000360: 02813083 ld ra,40(sp) + 80000364: 02013403 ld s0,32(sp) + 80000368: 01813483 ld s1,24(sp) + 8000036c: 01013903 ld s2,16(sp) + 80000370: 00813983 ld s3,8(sp) + 80000374: 00013a03 ld s4,0(sp) + 80000378: 03010113 addi sp,sp,48 + 8000037c: 00008067 ret + 80000380: 00100513 li a0,1 + 80000384: fff40413 addi s0,s0,-1 + 80000388: db9ff0ef jal ra,80000140 <_assert> + 8000038c: 03f47793 andi a5,s0,63 + 80000390: fe0798e3 bnez a5,80000380 + 80000394: ed1ff06f j 80000264 + 80000398: 00000513 li a0,0 + 8000039c: 00008067 ret + 800003a0: 00100913 li s2,1 + 800003a4: 00000993 li s3,0 + 800003a8: 00100513 li a0,1 + 800003ac: f65ff06f j 80000310 diff --git a/bin/non-output/cpu-tests/pascal-cpu-tests.bin b/bin/non-output/cpu-tests/pascal-cpu-tests.bin new file mode 100755 index 0000000..f2b40c3 Binary files /dev/null and b/bin/non-output/cpu-tests/pascal-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/pascal-cpu-tests.elf b/bin/non-output/cpu-tests/pascal-cpu-tests.elf new file mode 100755 index 0000000..bc70645 Binary files /dev/null and b/bin/non-output/cpu-tests/pascal-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/pascal-cpu-tests.txt b/bin/non-output/cpu-tests/pascal-cpu-tests.txt new file mode 100755 index 0000000..6295a9f --- /dev/null +++ b/bin/non-output/cpu-tests/pascal-cpu-tests.txt @@ -0,0 +1,236 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/pascal-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 11c000ef jal ra,80000128 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0e0000ef jal ra,80000104 + +0000000080000028
: + 80000028: fe010113 addi sp,sp,-32 + 8000002c: 00113c23 sd ra,24(sp) + 80000030: 00813823 sd s0,16(sp) + 80000034: 00913423 sd s1,8(sp) + 80000038: 01213023 sd s2,0(sp) + 8000003c: 00000517 auipc a0,0x0 + 80000040: 3c450513 addi a0,a0,964 # 80000400 + 80000044: 00100793 li a5,1 + 80000048: 00f52223 sw a5,4(a0) + 8000004c: 00f52023 sw a5,0(a0) + 80000050: 00000597 auipc a1,0x0 + 80000054: 3b858593 addi a1,a1,952 # 80000408 + 80000058: 00000897 auipc a7,0x0 + 8000005c: 42488893 addi a7,a7,1060 # 8000047c + 80000060: 00100713 li a4,1 + 80000064: 00100813 li a6,1 + 80000068: 00000417 auipc s0,0x0 + 8000006c: 39c40413 addi s0,s0,924 # 80000404 + 80000070: 00040793 mv a5,s0 + 80000074: 00100693 li a3,1 + 80000078: 0080006f j 80000080 + 8000007c: 0007a703 lw a4,0(a5) + 80000080: 00d7063b addw a2,a4,a3 + 80000084: 00c7a023 sw a2,0(a5) + 80000088: 00478793 addi a5,a5,4 + 8000008c: 00070693 mv a3,a4 + 80000090: feb796e3 bne a5,a1,8000007c + 80000094: 0107a023 sw a6,0(a5) + 80000098: 00478593 addi a1,a5,4 + 8000009c: 01158663 beq a1,a7,800000a8 + 800000a0: 00452703 lw a4,4(a0) + 800000a4: fc5ff06f j 80000068 + 800000a8: 00000497 auipc s1,0x0 + 800000ac: 2c048493 addi s1,s1,704 # 80000368 + 800000b0: 00000917 auipc s2,0x0 + 800000b4: 33490913 addi s2,s2,820 # 800003e4 + 800000b8: 00100793 li a5,1 + 800000bc: 00c0006f j 800000c8 + 800000c0: 00042783 lw a5,0(s0) + 800000c4: 00440413 addi s0,s0,4 + 800000c8: 0004a503 lw a0,0(s1) + 800000cc: 00448493 addi s1,s1,4 + 800000d0: 40f50533 sub a0,a0,a5 + 800000d4: 00153513 seqz a0,a0 + 800000d8: f39ff0ef jal ra,80000010 + 800000dc: fe9912e3 bne s2,s1,800000c0 + 800000e0: 00100513 li a0,1 + 800000e4: f2dff0ef jal ra,80000010 + 800000e8: 01813083 ld ra,24(sp) + 800000ec: 01013403 ld s0,16(sp) + 800000f0: 00813483 ld s1,8(sp) + 800000f4: 00013903 ld s2,0(sp) + 800000f8: 00000513 li a0,0 + 800000fc: 02010113 addi sp,sp,32 + 80000100: 00008067 ret + +0000000080000104 : + 80000104: 00050513 mv a0,a0 + 80000108: 0000006b 0x6b + 8000010c: 0000006f j 8000010c + +0000000080000110 <_assert>: + 80000110: 00051a63 bnez a0,80000124 <_assert+0x14> + 80000114: 00100793 li a5,1 + 80000118: 00078513 mv a0,a5 + 8000011c: 0000006b 0x6b + 80000120: 0000006f j 80000120 <_assert+0x10> + 80000124: 00008067 ret + +0000000080000128 <_trm_init>: + 80000128: ff010113 addi sp,sp,-16 + 8000012c: 00113423 sd ra,8(sp) + 80000130: 01c000ef jal ra,8000014c + 80000134: 00000517 auipc a0,0x0 + 80000138: 22c50513 addi a0,a0,556 # 80000360 + 8000013c: eedff0ef jal ra,80000028
+ 80000140: 00050513 mv a0,a0 + 80000144: 0000006b 0x6b + 80000148: 0000006f j 80000148 <_trm_init+0x20> + +000000008000014c : + 8000014c: 00000797 auipc a5,0x0 + 80000150: 29c78793 addi a5,a5,668 # 800003e8 + 80000154: 0007b503 ld a0,0(a5) + 80000158: 0087b583 ld a1,8(a5) + 8000015c: ff010113 addi sp,sp,-16 + 80000160: 00000693 li a3,0 + 80000164: 00000613 li a2,0 + 80000168: 40a585b3 sub a1,a1,a0 + 8000016c: 00113423 sd ra,8(sp) + 80000170: 018000ef jal ra,80000188 + 80000174: 00813083 ld ra,8(sp) + 80000178: 00000797 auipc a5,0x0 + 8000017c: 28a7b023 sd a0,640(a5) # 800003f8 + 80000180: 01010113 addi sp,sp,16 + 80000184: 00008067 ret + +0000000080000188 : + 80000188: 1a050e63 beqz a0,80000344 + 8000018c: fd010113 addi sp,sp,-48 + 80000190: 02813023 sd s0,32(sp) + 80000194: 00913c23 sd s1,24(sp) + 80000198: 01f57793 andi a5,a0,31 + 8000019c: 02113423 sd ra,40(sp) + 800001a0: 01213823 sd s2,16(sp) + 800001a4: 01313423 sd s3,8(sp) + 800001a8: 01413023 sd s4,0(sp) + 800001ac: 00050493 mv s1,a0 + 800001b0: 00050413 mv s0,a0 + 800001b4: 00000513 li a0,0 + 800001b8: 14079a63 bnez a5,8000030c + 800001bc: 27f00713 li a4,639 + 800001c0: 00058913 mv s2,a1 + 800001c4: 00078513 mv a0,a5 + 800001c8: 14b77263 bgeu a4,a1,8000030c + 800001cc: 0074f513 andi a0,s1,7 + 800001d0: 00153513 seqz a0,a0 + 800001d4: 00060a13 mv s4,a2 + 800001d8: 00068993 mv s3,a3 + 800001dc: f35ff0ef jal ra,80000110 <_assert> + 800001e0: 20048793 addi a5,s1,512 + 800001e4: 2004b023 sd zero,512(s1) + 800001e8: 2144b423 sd s4,520(s1) + 800001ec: 2134b823 sd s3,528(s1) + 800001f0: 00043023 sd zero,0(s0) + 800001f4: 00840413 addi s0,s0,8 + 800001f8: fe879ce3 bne a5,s0,800001f0 + 800001fc: fff00793 li a5,-1 + 80000200: dc090413 addi s0,s2,-576 + 80000204: 03f79793 slli a5,a5,0x3f + 80000208: 1287f863 bgeu a5,s0,80000338 + 8000020c: 00078413 mv s0,a5 + 80000210: 00100513 li a0,1 + 80000214: efdff0ef jal ra,80000110 <_assert> + 80000218: f8300793 li a5,-125 + 8000021c: 0017d793 srli a5,a5,0x1 + 80000220: fc040513 addi a0,s0,-64 + 80000224: 00f53533 sltu a0,a0,a5 + 80000228: ee9ff0ef jal ra,80000110 <_assert> + 8000022c: 01f4f513 andi a0,s1,31 + 80000230: 00153513 seqz a0,a0 + 80000234: eddff0ef jal ra,80000110 <_assert> + 80000238: 00100513 li a0,1 + 8000023c: 2404b023 sd zero,576(s1) + 80000240: 2404b423 sd zero,584(s1) + 80000244: 2484b823 sd s0,592(s1) + 80000248: 24048c23 sb zero,600(s1) + 8000024c: 2604b023 sd zero,608(s1) + 80000250: 2604b423 sd zero,616(s1) + 80000254: ebdff0ef jal ra,80000110 <_assert> + 80000258: 00100513 li a0,1 + 8000025c: eb5ff0ef jal ra,80000110 <_assert> + 80000260: 2504b503 ld a0,592(s1) + 80000264: 24048a13 addi s4,s1,576 + 80000268: 04053513 sltiu a0,a0,64 + 8000026c: 00154513 xori a0,a0,1 + 80000270: 00157513 andi a0,a0,1 + 80000274: e9dff0ef jal ra,80000110 <_assert> + 80000278: 2504b503 ld a0,592(s1) + 8000027c: 03f57513 andi a0,a0,63 + 80000280: 00153513 seqz a0,a0 + 80000284: e8dff0ef jal ra,80000110 <_assert> + 80000288: 2504b703 ld a4,592(s1) + 8000028c: 00100793 li a5,1 + 80000290: 00675713 srli a4,a4,0x6 + 80000294: 0ae7fc63 bgeu a5,a4,8000034c + 80000298: 00000793 li a5,0 + 8000029c: 00100693 li a3,1 + 800002a0: 0017879b addiw a5,a5,1 + 800002a4: 00175713 srli a4,a4,0x1 + 800002a8: 0ff7f793 andi a5,a5,255 + 800002ac: fed71ae3 bne a4,a3,800002a0 + 800002b0: 00078993 mv s3,a5 + 800002b4: 0407b513 sltiu a0,a5,64 + 800002b8: 00f71933 sll s2,a4,a5 + 800002bc: e55ff0ef jal ra,80000110 <_assert> + 800002c0: 00399793 slli a5,s3,0x3 + 800002c4: 00f487b3 add a5,s1,a5 + 800002c8: 0007b703 ld a4,0(a5) + 800002cc: 2604b423 sd zero,616(s1) + 800002d0: 26e4b023 sd a4,608(s1) + 800002d4: 00070463 beqz a4,800002dc + 800002d8: 03473423 sd s4,40(a4) + 800002dc: 0147b023 sd s4,0(a5) + 800002e0: 2004b503 ld a0,512(s1) + 800002e4: 00a96533 or a0,s2,a0 + 800002e8: 20a4b023 sd a0,512(s1) + 800002ec: 00a03533 snez a0,a0 + 800002f0: e21ff0ef jal ra,80000110 <_assert> + 800002f4: 00048513 mv a0,s1 + 800002f8: 2084bc23 sd s0,536(s1) + 800002fc: 2204b023 sd zero,544(s1) + 80000300: 2204b423 sd zero,552(s1) + 80000304: 2204b823 sd zero,560(s1) + 80000308: 2204bc23 sd zero,568(s1) + 8000030c: 02813083 ld ra,40(sp) + 80000310: 02013403 ld s0,32(sp) + 80000314: 01813483 ld s1,24(sp) + 80000318: 01013903 ld s2,16(sp) + 8000031c: 00813983 ld s3,8(sp) + 80000320: 00013a03 ld s4,0(sp) + 80000324: 03010113 addi sp,sp,48 + 80000328: 00008067 ret + 8000032c: 00100513 li a0,1 + 80000330: fff40413 addi s0,s0,-1 + 80000334: dddff0ef jal ra,80000110 <_assert> + 80000338: 03f47793 andi a5,s0,63 + 8000033c: fe0798e3 bnez a5,8000032c + 80000340: ed1ff06f j 80000210 + 80000344: 00000513 li a0,0 + 80000348: 00008067 ret + 8000034c: 00100913 li s2,1 + 80000350: 00000993 li s3,0 + 80000354: 00100513 li a0,1 + 80000358: f65ff06f j 800002bc diff --git a/bin/non-output/cpu-tests/prime-cpu-tests.bin b/bin/non-output/cpu-tests/prime-cpu-tests.bin new file mode 100755 index 0000000..376ea88 Binary files /dev/null and b/bin/non-output/cpu-tests/prime-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/prime-cpu-tests.elf b/bin/non-output/cpu-tests/prime-cpu-tests.elf new file mode 100755 index 0000000..842bbfd Binary files /dev/null and b/bin/non-output/cpu-tests/prime-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/prime-cpu-tests.txt b/bin/non-output/cpu-tests/prime-cpu-tests.txt new file mode 100755 index 0000000..42744f3 --- /dev/null +++ b/bin/non-output/cpu-tests/prime-cpu-tests.txt @@ -0,0 +1,300 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/prime-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 0e4000ef jal ra,800000f0 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0a8000ef jal ra,800000cc + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 00913c23 sd s1,24(sp) + 80000030: 01213823 sd s2,16(sp) + 80000034: 01313423 sd s3,8(sp) + 80000038: 01413023 sd s4,0(sp) + 8000003c: 02113423 sd ra,40(sp) + 80000040: 02813023 sd s0,32(sp) + 80000044: 00000913 li s2,0 + 80000048: 06500493 li s1,101 + 8000004c: 00000a17 auipc s4,0x0 + 80000050: 3e4a0a13 addi s4,s4,996 # 80000430 + 80000054: 09700993 li s3,151 + 80000058: 00200413 li s0,2 + 8000005c: 00040593 mv a1,s0 + 80000060: 00048513 mv a0,s1 + 80000064: 174000ef jal ra,800001d8 <__moddi3> + 80000068: 0005051b sext.w a0,a0 + 8000006c: 0014041b addiw s0,s0,1 + 80000070: 02050263 beqz a0,80000094 + 80000074: fe9414e3 bne s0,s1,8000005c + 80000078: 00291793 slli a5,s2,0x2 + 8000007c: 00fa07b3 add a5,s4,a5 + 80000080: 0007a503 lw a0,0(a5) + 80000084: 0019091b addiw s2,s2,1 + 80000088: 40950533 sub a0,a0,s1 + 8000008c: 00153513 seqz a0,a0 + 80000090: f81ff0ef jal ra,80000010 + 80000094: 0024849b addiw s1,s1,2 + 80000098: fd3490e3 bne s1,s3,80000058 + 8000009c: ff690513 addi a0,s2,-10 + 800000a0: 00153513 seqz a0,a0 + 800000a4: f6dff0ef jal ra,80000010 + 800000a8: 02813083 ld ra,40(sp) + 800000ac: 02013403 ld s0,32(sp) + 800000b0: 01813483 ld s1,24(sp) + 800000b4: 01013903 ld s2,16(sp) + 800000b8: 00813983 ld s3,8(sp) + 800000bc: 00013a03 ld s4,0(sp) + 800000c0: 00000513 li a0,0 + 800000c4: 03010113 addi sp,sp,48 + 800000c8: 00008067 ret + +00000000800000cc : + 800000cc: 00050513 mv a0,a0 + 800000d0: 0000006b 0x6b + 800000d4: 0000006f j 800000d4 + +00000000800000d8 <_assert>: + 800000d8: 00051a63 bnez a0,800000ec <_assert+0x14> + 800000dc: 00100793 li a5,1 + 800000e0: 00078513 mv a0,a5 + 800000e4: 0000006b 0x6b + 800000e8: 0000006f j 800000e8 <_assert+0x10> + 800000ec: 00008067 ret + +00000000800000f0 <_trm_init>: + 800000f0: ff010113 addi sp,sp,-16 + 800000f4: 00113423 sd ra,8(sp) + 800000f8: 11c000ef jal ra,80000214 + 800000fc: 00000517 auipc a0,0x0 + 80000100: 32c50513 addi a0,a0,812 # 80000428 + 80000104: f25ff0ef jal ra,80000028
+ 80000108: 00050513 mv a0,a0 + 8000010c: 0000006b 0x6b + 80000110: 0000006f j 80000110 <_trm_init+0x20> + +0000000080000114 <__udivsi3>: + 80000114: 02051513 slli a0,a0,0x20 + 80000118: 02059593 slli a1,a1,0x20 + 8000011c: 00008293 mv t0,ra + 80000120: 03c000ef jal ra,8000015c <__udivdi3> + 80000124: 0005051b sext.w a0,a0 + 80000128: 00028067 jr t0 + +000000008000012c <__umodsi3>: + 8000012c: 02051513 slli a0,a0,0x20 + 80000130: 02059593 slli a1,a1,0x20 + 80000134: 02055513 srli a0,a0,0x20 + 80000138: 0205d593 srli a1,a1,0x20 + 8000013c: 00008293 mv t0,ra + 80000140: 01c000ef jal ra,8000015c <__udivdi3> + 80000144: 0005851b sext.w a0,a1 + 80000148: 00028067 jr t0 + +000000008000014c <__divsi3>: + 8000014c: fff00293 li t0,-1 + 80000150: 0a558c63 beq a1,t0,80000208 <__moddi3+0x30> + +0000000080000154 <__divdi3>: + 80000154: 06054063 bltz a0,800001b4 <__umoddi3+0x10> + 80000158: 0605c663 bltz a1,800001c4 <__umoddi3+0x20> + +000000008000015c <__udivdi3>: + 8000015c: 00058613 mv a2,a1 + 80000160: 00050593 mv a1,a0 + 80000164: fff00513 li a0,-1 + 80000168: 02060c63 beqz a2,800001a0 <__udivdi3+0x44> + 8000016c: 00100693 li a3,1 + 80000170: 00b67a63 bgeu a2,a1,80000184 <__udivdi3+0x28> + 80000174: 00c05863 blez a2,80000184 <__udivdi3+0x28> + 80000178: 00161613 slli a2,a2,0x1 + 8000017c: 00169693 slli a3,a3,0x1 + 80000180: feb66ae3 bltu a2,a1,80000174 <__udivdi3+0x18> + 80000184: 00000513 li a0,0 + 80000188: 00c5e663 bltu a1,a2,80000194 <__udivdi3+0x38> + 8000018c: 40c585b3 sub a1,a1,a2 + 80000190: 00d56533 or a0,a0,a3 + 80000194: 0016d693 srli a3,a3,0x1 + 80000198: 00165613 srli a2,a2,0x1 + 8000019c: fe0696e3 bnez a3,80000188 <__udivdi3+0x2c> + 800001a0: 00008067 ret + +00000000800001a4 <__umoddi3>: + 800001a4: 00008293 mv t0,ra + 800001a8: fb5ff0ef jal ra,8000015c <__udivdi3> + 800001ac: 00058513 mv a0,a1 + 800001b0: 00028067 jr t0 + 800001b4: 40a00533 neg a0,a0 + 800001b8: 00b04863 bgtz a1,800001c8 <__umoddi3+0x24> + 800001bc: 40b005b3 neg a1,a1 + 800001c0: f9dff06f j 8000015c <__udivdi3> + 800001c4: 40b005b3 neg a1,a1 + 800001c8: 00008293 mv t0,ra + 800001cc: f91ff0ef jal ra,8000015c <__udivdi3> + 800001d0: 40a00533 neg a0,a0 + 800001d4: 00028067 jr t0 + +00000000800001d8 <__moddi3>: + 800001d8: 00008293 mv t0,ra + 800001dc: 0005ca63 bltz a1,800001f0 <__moddi3+0x18> + 800001e0: 00054c63 bltz a0,800001f8 <__moddi3+0x20> + 800001e4: f79ff0ef jal ra,8000015c <__udivdi3> + 800001e8: 00058513 mv a0,a1 + 800001ec: 00028067 jr t0 + 800001f0: 40b005b3 neg a1,a1 + 800001f4: fe0558e3 bgez a0,800001e4 <__moddi3+0xc> + 800001f8: 40a00533 neg a0,a0 + 800001fc: f61ff0ef jal ra,8000015c <__udivdi3> + 80000200: 40b00533 neg a0,a1 + 80000204: 00028067 jr t0 + 80000208: 01f29293 slli t0,t0,0x1f + 8000020c: f45514e3 bne a0,t0,80000154 <__divdi3> + 80000210: 00008067 ret + +0000000080000214 : + 80000214: 00000797 auipc a5,0x0 + 80000218: 24478793 addi a5,a5,580 # 80000458 + 8000021c: 0007b503 ld a0,0(a5) + 80000220: 0087b583 ld a1,8(a5) + 80000224: ff010113 addi sp,sp,-16 + 80000228: 00000693 li a3,0 + 8000022c: 00000613 li a2,0 + 80000230: 40a585b3 sub a1,a1,a0 + 80000234: 00113423 sd ra,8(sp) + 80000238: 018000ef jal ra,80000250 + 8000023c: 00813083 ld ra,8(sp) + 80000240: 00000797 auipc a5,0x0 + 80000244: 22a7b423 sd a0,552(a5) # 80000468 + 80000248: 01010113 addi sp,sp,16 + 8000024c: 00008067 ret + +0000000080000250 : + 80000250: 1a050e63 beqz a0,8000040c + 80000254: fd010113 addi sp,sp,-48 + 80000258: 02813023 sd s0,32(sp) + 8000025c: 00913c23 sd s1,24(sp) + 80000260: 01f57793 andi a5,a0,31 + 80000264: 02113423 sd ra,40(sp) + 80000268: 01213823 sd s2,16(sp) + 8000026c: 01313423 sd s3,8(sp) + 80000270: 01413023 sd s4,0(sp) + 80000274: 00050493 mv s1,a0 + 80000278: 00050413 mv s0,a0 + 8000027c: 00000513 li a0,0 + 80000280: 14079a63 bnez a5,800003d4 + 80000284: 27f00713 li a4,639 + 80000288: 00058913 mv s2,a1 + 8000028c: 00078513 mv a0,a5 + 80000290: 14b77263 bgeu a4,a1,800003d4 + 80000294: 0074f513 andi a0,s1,7 + 80000298: 00153513 seqz a0,a0 + 8000029c: 00060a13 mv s4,a2 + 800002a0: 00068993 mv s3,a3 + 800002a4: e35ff0ef jal ra,800000d8 <_assert> + 800002a8: 20048793 addi a5,s1,512 + 800002ac: 2004b023 sd zero,512(s1) + 800002b0: 2144b423 sd s4,520(s1) + 800002b4: 2134b823 sd s3,528(s1) + 800002b8: 00043023 sd zero,0(s0) + 800002bc: 00840413 addi s0,s0,8 + 800002c0: fe879ce3 bne a5,s0,800002b8 + 800002c4: fff00793 li a5,-1 + 800002c8: dc090413 addi s0,s2,-576 + 800002cc: 03f79793 slli a5,a5,0x3f + 800002d0: 1287f863 bgeu a5,s0,80000400 + 800002d4: 00078413 mv s0,a5 + 800002d8: 00100513 li a0,1 + 800002dc: dfdff0ef jal ra,800000d8 <_assert> + 800002e0: f8300793 li a5,-125 + 800002e4: 0017d793 srli a5,a5,0x1 + 800002e8: fc040513 addi a0,s0,-64 + 800002ec: 00f53533 sltu a0,a0,a5 + 800002f0: de9ff0ef jal ra,800000d8 <_assert> + 800002f4: 01f4f513 andi a0,s1,31 + 800002f8: 00153513 seqz a0,a0 + 800002fc: dddff0ef jal ra,800000d8 <_assert> + 80000300: 00100513 li a0,1 + 80000304: 2404b023 sd zero,576(s1) + 80000308: 2404b423 sd zero,584(s1) + 8000030c: 2484b823 sd s0,592(s1) + 80000310: 24048c23 sb zero,600(s1) + 80000314: 2604b023 sd zero,608(s1) + 80000318: 2604b423 sd zero,616(s1) + 8000031c: dbdff0ef jal ra,800000d8 <_assert> + 80000320: 00100513 li a0,1 + 80000324: db5ff0ef jal ra,800000d8 <_assert> + 80000328: 2504b503 ld a0,592(s1) + 8000032c: 24048a13 addi s4,s1,576 + 80000330: 04053513 sltiu a0,a0,64 + 80000334: 00154513 xori a0,a0,1 + 80000338: 00157513 andi a0,a0,1 + 8000033c: d9dff0ef jal ra,800000d8 <_assert> + 80000340: 2504b503 ld a0,592(s1) + 80000344: 03f57513 andi a0,a0,63 + 80000348: 00153513 seqz a0,a0 + 8000034c: d8dff0ef jal ra,800000d8 <_assert> + 80000350: 2504b703 ld a4,592(s1) + 80000354: 00100793 li a5,1 + 80000358: 00675713 srli a4,a4,0x6 + 8000035c: 0ae7fc63 bgeu a5,a4,80000414 + 80000360: 00000793 li a5,0 + 80000364: 00100693 li a3,1 + 80000368: 0017879b addiw a5,a5,1 + 8000036c: 00175713 srli a4,a4,0x1 + 80000370: 0ff7f793 andi a5,a5,255 + 80000374: fed71ae3 bne a4,a3,80000368 + 80000378: 00078993 mv s3,a5 + 8000037c: 0407b513 sltiu a0,a5,64 + 80000380: 00f71933 sll s2,a4,a5 + 80000384: d55ff0ef jal ra,800000d8 <_assert> + 80000388: 00399793 slli a5,s3,0x3 + 8000038c: 00f487b3 add a5,s1,a5 + 80000390: 0007b703 ld a4,0(a5) + 80000394: 2604b423 sd zero,616(s1) + 80000398: 26e4b023 sd a4,608(s1) + 8000039c: 00070463 beqz a4,800003a4 + 800003a0: 03473423 sd s4,40(a4) + 800003a4: 0147b023 sd s4,0(a5) + 800003a8: 2004b503 ld a0,512(s1) + 800003ac: 00a96533 or a0,s2,a0 + 800003b0: 20a4b023 sd a0,512(s1) + 800003b4: 00a03533 snez a0,a0 + 800003b8: d21ff0ef jal ra,800000d8 <_assert> + 800003bc: 00048513 mv a0,s1 + 800003c0: 2084bc23 sd s0,536(s1) + 800003c4: 2204b023 sd zero,544(s1) + 800003c8: 2204b423 sd zero,552(s1) + 800003cc: 2204b823 sd zero,560(s1) + 800003d0: 2204bc23 sd zero,568(s1) + 800003d4: 02813083 ld ra,40(sp) + 800003d8: 02013403 ld s0,32(sp) + 800003dc: 01813483 ld s1,24(sp) + 800003e0: 01013903 ld s2,16(sp) + 800003e4: 00813983 ld s3,8(sp) + 800003e8: 00013a03 ld s4,0(sp) + 800003ec: 03010113 addi sp,sp,48 + 800003f0: 00008067 ret + 800003f4: 00100513 li a0,1 + 800003f8: fff40413 addi s0,s0,-1 + 800003fc: cddff0ef jal ra,800000d8 <_assert> + 80000400: 03f47793 andi a5,s0,63 + 80000404: fe0798e3 bnez a5,800003f4 + 80000408: ed1ff06f j 800002d8 + 8000040c: 00000513 li a0,0 + 80000410: 00008067 ret + 80000414: 00100913 li s2,1 + 80000418: 00000993 li s3,0 + 8000041c: 00100513 li a0,1 + 80000420: f65ff06f j 80000384 diff --git a/bin/non-output/cpu-tests/quick-sort-cpu-tests.bin b/bin/non-output/cpu-tests/quick-sort-cpu-tests.bin new file mode 100755 index 0000000..b305d52 Binary files /dev/null and b/bin/non-output/cpu-tests/quick-sort-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/quick-sort-cpu-tests.elf b/bin/non-output/cpu-tests/quick-sort-cpu-tests.elf new file mode 100755 index 0000000..b74220f Binary files /dev/null and b/bin/non-output/cpu-tests/quick-sort-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/quick-sort-cpu-tests.txt b/bin/non-output/cpu-tests/quick-sort-cpu-tests.txt new file mode 100755 index 0000000..7e91e85 --- /dev/null +++ b/bin/non-output/cpu-tests/quick-sort-cpu-tests.txt @@ -0,0 +1,306 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/quick-sort-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 224000ef jal ra,80000230 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 1e8000ef jal ra,8000020c + +0000000080000028 : + 80000028: 00259693 slli a3,a1,0x2 + 8000002c: 00d506b3 add a3,a0,a3 + 80000030: 0006a803 lw a6,0(a3) + 80000034: 00050893 mv a7,a0 + 80000038: 08c5de63 bge a1,a2,800000d4 + 8000003c: 00261793 slli a5,a2,0x2 + 80000040: 00f507b3 add a5,a0,a5 + 80000044: 0007a783 lw a5,0(a5) + 80000048: 00058513 mv a0,a1 + 8000004c: fff60693 addi a3,a2,-1 + 80000050: 00269693 slli a3,a3,0x2 + 80000054: 00d886b3 add a3,a7,a3 + 80000058: 00068713 mv a4,a3 + 8000005c: 02f85663 bge a6,a5,80000088 + 80000060: fff6061b addiw a2,a2,-1 + 80000064: 00072783 lw a5,0(a4) + 80000068: ffc70693 addi a3,a4,-4 + 8000006c: fec546e3 blt a0,a2,80000058 + 80000070: 00251693 slli a3,a0,0x2 + 80000074: 00d886b3 add a3,a7,a3 + 80000078: 00f6a023 sw a5,0(a3) + 8000007c: 00f72023 sw a5,0(a4) + 80000080: 0106a023 sw a6,0(a3) + 80000084: 00008067 ret + 80000088: 00251593 slli a1,a0,0x2 + 8000008c: 00b886b3 add a3,a7,a1 + 80000090: 00261713 slli a4,a2,0x2 + 80000094: 00f6a023 sw a5,0(a3) + 80000098: 00e88733 add a4,a7,a4 + 8000009c: fec550e3 bge a0,a2,8000007c + 800000a0: 00458593 addi a1,a1,4 + 800000a4: 00b885b3 add a1,a7,a1 + 800000a8: 0015051b addiw a0,a0,1 + 800000ac: 0005a783 lw a5,0(a1) + 800000b0: 00058693 mv a3,a1 + 800000b4: 00458593 addi a1,a1,4 + 800000b8: fcc502e3 beq a0,a2,8000007c + 800000bc: fef856e3 bge a6,a5,800000a8 + 800000c0: 00f72023 sw a5,0(a4) + 800000c4: f8c544e3 blt a0,a2,8000004c + 800000c8: 00251693 slli a3,a0,0x2 + 800000cc: 00d886b3 add a3,a7,a3 + 800000d0: fb1ff06f j 80000080 + 800000d4: 00058513 mv a0,a1 + 800000d8: 0106a023 sw a6,0(a3) + 800000dc: 00008067 ret + +00000000800000e0 : + 800000e0: 06c5d463 bge a1,a2,80000148 + 800000e4: fe010113 addi sp,sp,-32 + 800000e8: 00813823 sd s0,16(sp) + 800000ec: 00913423 sd s1,8(sp) + 800000f0: 01213023 sd s2,0(sp) + 800000f4: 00113c23 sd ra,24(sp) + 800000f8: 00058413 mv s0,a1 + 800000fc: 00060493 mv s1,a2 + 80000100: 00050913 mv s2,a0 + 80000104: 00040593 mv a1,s0 + 80000108: 00048613 mv a2,s1 + 8000010c: 00090513 mv a0,s2 + 80000110: f19ff0ef jal ra,80000028 + 80000114: 00050793 mv a5,a0 + 80000118: 00040593 mv a1,s0 + 8000011c: fff5061b addiw a2,a0,-1 + 80000120: 0017841b addiw s0,a5,1 + 80000124: 00090513 mv a0,s2 + 80000128: fb9ff0ef jal ra,800000e0 + 8000012c: fc944ce3 blt s0,s1,80000104 + 80000130: 01813083 ld ra,24(sp) + 80000134: 01013403 ld s0,16(sp) + 80000138: 00813483 ld s1,8(sp) + 8000013c: 00013903 ld s2,0(sp) + 80000140: 02010113 addi sp,sp,32 + 80000144: 00008067 ret + 80000148: 00008067 ret + +000000008000014c
: + 8000014c: fd010113 addi sp,sp,-48 + 80000150: 00000517 auipc a0,0x0 + 80000154: 32050513 addi a0,a0,800 # 80000470 + 80000158: 00913c23 sd s1,24(sp) + 8000015c: 01300613 li a2,19 + 80000160: 00050493 mv s1,a0 + 80000164: 00000593 li a1,0 + 80000168: 02813023 sd s0,32(sp) + 8000016c: 01213823 sd s2,16(sp) + 80000170: 01313423 sd s3,8(sp) + 80000174: 02113423 sd ra,40(sp) + 80000178: 00048913 mv s2,s1 + 8000017c: f65ff0ef jal ra,800000e0 + 80000180: 00000413 li s0,0 + 80000184: 01400993 li s3,20 + 80000188: 00092503 lw a0,0(s2) + 8000018c: 00490913 addi s2,s2,4 + 80000190: 40850533 sub a0,a0,s0 + 80000194: 00153513 seqz a0,a0 + 80000198: 0014041b addiw s0,s0,1 + 8000019c: e75ff0ef jal ra,80000010 + 800001a0: ff3414e3 bne s0,s3,80000188 + 800001a4: 00100513 li a0,1 + 800001a8: e69ff0ef jal ra,80000010 + 800001ac: 01300613 li a2,19 + 800001b0: 00000593 li a1,0 + 800001b4: 00000517 auipc a0,0x0 + 800001b8: 2bc50513 addi a0,a0,700 # 80000470 + 800001bc: f25ff0ef jal ra,800000e0 + 800001c0: 00000413 li s0,0 + 800001c4: 01400913 li s2,20 + 800001c8: 0004a503 lw a0,0(s1) + 800001cc: 00448493 addi s1,s1,4 + 800001d0: 40850533 sub a0,a0,s0 + 800001d4: 00153513 seqz a0,a0 + 800001d8: 0014041b addiw s0,s0,1 + 800001dc: e35ff0ef jal ra,80000010 + 800001e0: ff2414e3 bne s0,s2,800001c8 + 800001e4: 00100513 li a0,1 + 800001e8: e29ff0ef jal ra,80000010 + 800001ec: 02813083 ld ra,40(sp) + 800001f0: 02013403 ld s0,32(sp) + 800001f4: 01813483 ld s1,24(sp) + 800001f8: 01013903 ld s2,16(sp) + 800001fc: 00813983 ld s3,8(sp) + 80000200: 00000513 li a0,0 + 80000204: 03010113 addi sp,sp,48 + 80000208: 00008067 ret + +000000008000020c : + 8000020c: 00050513 mv a0,a0 + 80000210: 0000006b 0x6b + 80000214: 0000006f j 80000214 + +0000000080000218 <_assert>: + 80000218: 00051a63 bnez a0,8000022c <_assert+0x14> + 8000021c: 00100793 li a5,1 + 80000220: 00078513 mv a0,a5 + 80000224: 0000006b 0x6b + 80000228: 0000006f j 80000228 <_assert+0x10> + 8000022c: 00008067 ret + +0000000080000230 <_trm_init>: + 80000230: ff010113 addi sp,sp,-16 + 80000234: 00113423 sd ra,8(sp) + 80000238: 01c000ef jal ra,80000254 + 8000023c: 00000517 auipc a0,0x0 + 80000240: 22c50513 addi a0,a0,556 # 80000468 + 80000244: f09ff0ef jal ra,8000014c
+ 80000248: 00050513 mv a0,a0 + 8000024c: 0000006b 0x6b + 80000250: 0000006f j 80000250 <_trm_init+0x20> + +0000000080000254 : + 80000254: 00000797 auipc a5,0x0 + 80000258: 26c78793 addi a5,a5,620 # 800004c0 + 8000025c: 0007b503 ld a0,0(a5) + 80000260: 0087b583 ld a1,8(a5) + 80000264: ff010113 addi sp,sp,-16 + 80000268: 00000693 li a3,0 + 8000026c: 00000613 li a2,0 + 80000270: 40a585b3 sub a1,a1,a0 + 80000274: 00113423 sd ra,8(sp) + 80000278: 018000ef jal ra,80000290 + 8000027c: 00813083 ld ra,8(sp) + 80000280: 00000797 auipc a5,0x0 + 80000284: 24a7b823 sd a0,592(a5) # 800004d0 + 80000288: 01010113 addi sp,sp,16 + 8000028c: 00008067 ret + +0000000080000290 : + 80000290: 1a050e63 beqz a0,8000044c + 80000294: fd010113 addi sp,sp,-48 + 80000298: 02813023 sd s0,32(sp) + 8000029c: 00913c23 sd s1,24(sp) + 800002a0: 01f57793 andi a5,a0,31 + 800002a4: 02113423 sd ra,40(sp) + 800002a8: 01213823 sd s2,16(sp) + 800002ac: 01313423 sd s3,8(sp) + 800002b0: 01413023 sd s4,0(sp) + 800002b4: 00050493 mv s1,a0 + 800002b8: 00050413 mv s0,a0 + 800002bc: 00000513 li a0,0 + 800002c0: 14079a63 bnez a5,80000414 + 800002c4: 27f00713 li a4,639 + 800002c8: 00058913 mv s2,a1 + 800002cc: 00078513 mv a0,a5 + 800002d0: 14b77263 bgeu a4,a1,80000414 + 800002d4: 0074f513 andi a0,s1,7 + 800002d8: 00153513 seqz a0,a0 + 800002dc: 00060a13 mv s4,a2 + 800002e0: 00068993 mv s3,a3 + 800002e4: f35ff0ef jal ra,80000218 <_assert> + 800002e8: 20048793 addi a5,s1,512 + 800002ec: 2004b023 sd zero,512(s1) + 800002f0: 2144b423 sd s4,520(s1) + 800002f4: 2134b823 sd s3,528(s1) + 800002f8: 00043023 sd zero,0(s0) + 800002fc: 00840413 addi s0,s0,8 + 80000300: fe879ce3 bne a5,s0,800002f8 + 80000304: fff00793 li a5,-1 + 80000308: dc090413 addi s0,s2,-576 + 8000030c: 03f79793 slli a5,a5,0x3f + 80000310: 1287f863 bgeu a5,s0,80000440 + 80000314: 00078413 mv s0,a5 + 80000318: 00100513 li a0,1 + 8000031c: efdff0ef jal ra,80000218 <_assert> + 80000320: f8300793 li a5,-125 + 80000324: 0017d793 srli a5,a5,0x1 + 80000328: fc040513 addi a0,s0,-64 + 8000032c: 00f53533 sltu a0,a0,a5 + 80000330: ee9ff0ef jal ra,80000218 <_assert> + 80000334: 01f4f513 andi a0,s1,31 + 80000338: 00153513 seqz a0,a0 + 8000033c: eddff0ef jal ra,80000218 <_assert> + 80000340: 00100513 li a0,1 + 80000344: 2404b023 sd zero,576(s1) + 80000348: 2404b423 sd zero,584(s1) + 8000034c: 2484b823 sd s0,592(s1) + 80000350: 24048c23 sb zero,600(s1) + 80000354: 2604b023 sd zero,608(s1) + 80000358: 2604b423 sd zero,616(s1) + 8000035c: ebdff0ef jal ra,80000218 <_assert> + 80000360: 00100513 li a0,1 + 80000364: eb5ff0ef jal ra,80000218 <_assert> + 80000368: 2504b503 ld a0,592(s1) + 8000036c: 24048a13 addi s4,s1,576 + 80000370: 04053513 sltiu a0,a0,64 + 80000374: 00154513 xori a0,a0,1 + 80000378: 00157513 andi a0,a0,1 + 8000037c: e9dff0ef jal ra,80000218 <_assert> + 80000380: 2504b503 ld a0,592(s1) + 80000384: 03f57513 andi a0,a0,63 + 80000388: 00153513 seqz a0,a0 + 8000038c: e8dff0ef jal ra,80000218 <_assert> + 80000390: 2504b703 ld a4,592(s1) + 80000394: 00100793 li a5,1 + 80000398: 00675713 srli a4,a4,0x6 + 8000039c: 0ae7fc63 bgeu a5,a4,80000454 + 800003a0: 00000793 li a5,0 + 800003a4: 00100693 li a3,1 + 800003a8: 0017879b addiw a5,a5,1 + 800003ac: 00175713 srli a4,a4,0x1 + 800003b0: 0ff7f793 andi a5,a5,255 + 800003b4: fed71ae3 bne a4,a3,800003a8 + 800003b8: 00078993 mv s3,a5 + 800003bc: 0407b513 sltiu a0,a5,64 + 800003c0: 00f71933 sll s2,a4,a5 + 800003c4: e55ff0ef jal ra,80000218 <_assert> + 800003c8: 00399793 slli a5,s3,0x3 + 800003cc: 00f487b3 add a5,s1,a5 + 800003d0: 0007b703 ld a4,0(a5) + 800003d4: 2604b423 sd zero,616(s1) + 800003d8: 26e4b023 sd a4,608(s1) + 800003dc: 00070463 beqz a4,800003e4 + 800003e0: 03473423 sd s4,40(a4) + 800003e4: 0147b023 sd s4,0(a5) + 800003e8: 2004b503 ld a0,512(s1) + 800003ec: 00a96533 or a0,s2,a0 + 800003f0: 20a4b023 sd a0,512(s1) + 800003f4: 00a03533 snez a0,a0 + 800003f8: e21ff0ef jal ra,80000218 <_assert> + 800003fc: 00048513 mv a0,s1 + 80000400: 2084bc23 sd s0,536(s1) + 80000404: 2204b023 sd zero,544(s1) + 80000408: 2204b423 sd zero,552(s1) + 8000040c: 2204b823 sd zero,560(s1) + 80000410: 2204bc23 sd zero,568(s1) + 80000414: 02813083 ld ra,40(sp) + 80000418: 02013403 ld s0,32(sp) + 8000041c: 01813483 ld s1,24(sp) + 80000420: 01013903 ld s2,16(sp) + 80000424: 00813983 ld s3,8(sp) + 80000428: 00013a03 ld s4,0(sp) + 8000042c: 03010113 addi sp,sp,48 + 80000430: 00008067 ret + 80000434: 00100513 li a0,1 + 80000438: fff40413 addi s0,s0,-1 + 8000043c: dddff0ef jal ra,80000218 <_assert> + 80000440: 03f47793 andi a5,s0,63 + 80000444: fe0798e3 bnez a5,80000434 + 80000448: ed1ff06f j 80000318 + 8000044c: 00000513 li a0,0 + 80000450: 00008067 ret + 80000454: 00100913 li s2,1 + 80000458: 00000993 li s3,0 + 8000045c: 00100513 li a0,1 + 80000460: f65ff06f j 800003c4 diff --git a/bin/non-output/cpu-tests/recursion-cpu-tests.bin b/bin/non-output/cpu-tests/recursion-cpu-tests.bin new file mode 100755 index 0000000..c2756d9 Binary files /dev/null and b/bin/non-output/cpu-tests/recursion-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/recursion-cpu-tests.elf b/bin/non-output/cpu-tests/recursion-cpu-tests.elf new file mode 100755 index 0000000..044eed5 Binary files /dev/null and b/bin/non-output/cpu-tests/recursion-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/recursion-cpu-tests.txt b/bin/non-output/cpu-tests/recursion-cpu-tests.txt new file mode 100755 index 0000000..5e534cc --- /dev/null +++ b/bin/non-output/cpu-tests/recursion-cpu-tests.txt @@ -0,0 +1,412 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/recursion-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 284000ef jal ra,80000290 <_trm_init> + +0000000080000010 : + 80000010: 00000717 auipc a4,0x0 + 80000014: 60070713 addi a4,a4,1536 # 80000610 + 80000018: 00072683 lw a3,0(a4) + 8000001c: 00058793 mv a5,a1 + 80000020: 00b6d463 bge a3,a1,80000028 + 80000024: 00b72023 sw a1,0(a4) + 80000028: 00000697 auipc a3,0x0 + 8000002c: 5ec68693 addi a3,a3,1516 # 80000614 + 80000030: 0006a703 lw a4,0(a3) + 80000034: 0017071b addiw a4,a4,1 + 80000038: 00e6a023 sw a4,0(a3) + 8000003c: 02a05e63 blez a0,80000078 + 80000040: ff010113 addi sp,sp,-16 + 80000044: 00300593 li a1,3 + 80000048: 00813023 sd s0,0(sp) + 8000004c: 00113423 sd ra,8(sp) + 80000050: 0017841b addiw s0,a5,1 + 80000054: 2a0000ef jal ra,800002f4 <__divdi3> + 80000058: 00040593 mv a1,s0 + 8000005c: 00013403 ld s0,0(sp) + 80000060: 00813083 ld ra,8(sp) + 80000064: 00000317 auipc t1,0x0 + 80000068: 59433303 ld t1,1428(t1) # 800005f8 + 8000006c: 0005051b sext.w a0,a0 + 80000070: 01010113 addi sp,sp,16 + 80000074: 00030067 jr t1 + 80000078: 00100513 li a0,1 + 8000007c: 00008067 ret + +0000000080000080 : + 80000080: 00000797 auipc a5,0x0 + 80000084: 59078793 addi a5,a5,1424 # 80000610 + 80000088: 0007a703 lw a4,0(a5) + 8000008c: 00b75463 bge a4,a1,80000094 + 80000090: 00b7a023 sw a1,0(a5) + 80000094: 00000717 auipc a4,0x0 + 80000098: 58070713 addi a4,a4,1408 # 80000614 + 8000009c: 00072783 lw a5,0(a4) + 800000a0: 0017879b addiw a5,a5,1 + 800000a4: 00f72023 sw a5,0(a4) + 800000a8: 00a05c63 blez a0,800000c0 + 800000ac: 0015859b addiw a1,a1,1 + 800000b0: fff5051b addiw a0,a0,-1 + 800000b4: 00000317 auipc t1,0x0 + 800000b8: 52c33303 ld t1,1324(t1) # 800005e0 + 800000bc: 00030067 jr t1 + 800000c0: 00100513 li a0,1 + 800000c4: 00008067 ret + +00000000800000c8 : + 800000c8: 00000717 auipc a4,0x0 + 800000cc: 54870713 addi a4,a4,1352 # 80000610 + 800000d0: 00072683 lw a3,0(a4) + 800000d4: 00050793 mv a5,a0 + 800000d8: 00b6d463 bge a3,a1,800000e0 + 800000dc: 00b72023 sw a1,0(a4) + 800000e0: 00000697 auipc a3,0x0 + 800000e4: 53468693 addi a3,a3,1332 # 80000614 + 800000e8: 0006a703 lw a4,0(a3) + 800000ec: 00100513 li a0,1 + 800000f0: 0017071b addiw a4,a4,1 + 800000f4: 00e6a023 sw a4,0(a3) + 800000f8: 02f05863 blez a5,80000128 + 800000fc: ff010113 addi sp,sp,-16 + 80000100: 00113423 sd ra,8(sp) + 80000104: 00078513 mv a0,a5 + 80000108: 0015859b addiw a1,a1,1 + 8000010c: 00000797 auipc a5,0x0 + 80000110: 4dc7b783 ld a5,1244(a5) # 800005e8 + 80000114: 000780e7 jalr a5 + 80000118: 00813083 ld ra,8(sp) + 8000011c: 0095051b addiw a0,a0,9 + 80000120: 01010113 addi sp,sp,16 + 80000124: 00008067 ret + 80000128: 00008067 ret + +000000008000012c : + 8000012c: 00000717 auipc a4,0x0 + 80000130: 4e470713 addi a4,a4,1252 # 80000610 + 80000134: 00072683 lw a3,0(a4) + 80000138: 00050793 mv a5,a0 + 8000013c: 00b6d463 bge a3,a1,80000144 + 80000140: 00b72023 sw a1,0(a4) + 80000144: 00000697 auipc a3,0x0 + 80000148: 4d068693 addi a3,a3,1232 # 80000614 + 8000014c: 0006a703 lw a4,0(a3) + 80000150: 00100513 li a0,1 + 80000154: 0017071b addiw a4,a4,1 + 80000158: 00e6a023 sw a4,0(a3) + 8000015c: 06f05a63 blez a5,800001d0 + 80000160: fe010113 addi sp,sp,-32 + 80000164: 01213023 sd s2,0(sp) + 80000168: 00000917 auipc s2,0x0 + 8000016c: 47890913 addi s2,s2,1144 # 800005e0 + 80000170: 01093703 ld a4,16(s2) + 80000174: 00813823 sd s0,16(sp) + 80000178: 00913423 sd s1,8(sp) + 8000017c: 0015841b addiw s0,a1,1 + 80000180: 4017d493 srai s1,a5,0x1 + 80000184: 00113c23 sd ra,24(sp) + 80000188: 00040593 mv a1,s0 + 8000018c: 00048513 mv a0,s1 + 80000190: 000700e7 jalr a4 + 80000194: 01093783 ld a5,16(s2) + 80000198: 00040593 mv a1,s0 + 8000019c: 00050913 mv s2,a0 + 800001a0: 00048513 mv a0,s1 + 800001a4: 000780e7 jalr a5 + 800001a8: 01813083 ld ra,24(sp) + 800001ac: 01013403 ld s0,16(sp) + 800001b0: 0019179b slliw a5,s2,0x1 + 800001b4: 012787bb addw a5,a5,s2 + 800001b8: 0015151b slliw a0,a0,0x1 + 800001bc: 00813483 ld s1,8(sp) + 800001c0: 00013903 ld s2,0(sp) + 800001c4: 00a7853b addw a0,a5,a0 + 800001c8: 02010113 addi sp,sp,32 + 800001cc: 00008067 ret + 800001d0: 00008067 ret + +00000000800001d4 : + 800001d4: 00050463 beqz a0,800001dc + 800001d8: 00008067 ret + 800001dc: ff010113 addi sp,sp,-16 + 800001e0: 00100513 li a0,1 + 800001e4: 00113423 sd ra,8(sp) + 800001e8: 084000ef jal ra,8000026c + +00000000800001ec
: + 800001ec: ff010113 addi sp,sp,-16 + 800001f0: 00004537 lui a0,0x4 + 800001f4: 00113423 sd ra,8(sp) + 800001f8: 00813023 sd s0,0(sp) + 800001fc: 00000593 li a1,0 + 80000200: 00000797 auipc a5,0x0 + 80000204: 3e07b783 ld a5,992(a5) # 800005e0 + 80000208: 82350513 addi a0,a0,-2013 # 3823 <_entry_offset+0x3823> + 8000020c: 000780e7 jalr a5 + 80000210: 00000417 auipc s0,0x0 + 80000214: 3c040413 addi s0,s0,960 # 800005d0 + 80000218: 00042783 lw a5,0(s0) + 8000021c: 40a78533 sub a0,a5,a0 + 80000220: 00153513 seqz a0,a0 + 80000224: fb1ff0ef jal ra,800001d4 + 80000228: 00442503 lw a0,4(s0) + 8000022c: 00000797 auipc a5,0x0 + 80000230: 3e87a783 lw a5,1000(a5) # 80000614 + 80000234: 40f50533 sub a0,a0,a5 + 80000238: 00153513 seqz a0,a0 + 8000023c: f99ff0ef jal ra,800001d4 + 80000240: 00842503 lw a0,8(s0) + 80000244: 00000797 auipc a5,0x0 + 80000248: 3cc7a783 lw a5,972(a5) # 80000610 + 8000024c: 40f50533 sub a0,a0,a5 + 80000250: 00153513 seqz a0,a0 + 80000254: f81ff0ef jal ra,800001d4 + 80000258: 00813083 ld ra,8(sp) + 8000025c: 00013403 ld s0,0(sp) + 80000260: 00000513 li a0,0 + 80000264: 01010113 addi sp,sp,16 + 80000268: 00008067 ret + +000000008000026c : + 8000026c: 00050513 mv a0,a0 + 80000270: 0000006b 0x6b + 80000274: 0000006f j 80000274 + +0000000080000278 <_assert>: + 80000278: 00051a63 bnez a0,8000028c <_assert+0x14> + 8000027c: 00100793 li a5,1 + 80000280: 00078513 mv a0,a5 + 80000284: 0000006b 0x6b + 80000288: 0000006f j 80000288 <_assert+0x10> + 8000028c: 00008067 ret + +0000000080000290 <_trm_init>: + 80000290: ff010113 addi sp,sp,-16 + 80000294: 00113423 sd ra,8(sp) + 80000298: 11c000ef jal ra,800003b4 + 8000029c: 00000517 auipc a0,0x0 + 800002a0: 32c50513 addi a0,a0,812 # 800005c8 + 800002a4: f49ff0ef jal ra,800001ec
+ 800002a8: 00050513 mv a0,a0 + 800002ac: 0000006b 0x6b + 800002b0: 0000006f j 800002b0 <_trm_init+0x20> + +00000000800002b4 <__udivsi3>: + 800002b4: 02051513 slli a0,a0,0x20 + 800002b8: 02059593 slli a1,a1,0x20 + 800002bc: 00008293 mv t0,ra + 800002c0: 03c000ef jal ra,800002fc <__udivdi3> + 800002c4: 0005051b sext.w a0,a0 + 800002c8: 00028067 jr t0 + +00000000800002cc <__umodsi3>: + 800002cc: 02051513 slli a0,a0,0x20 + 800002d0: 02059593 slli a1,a1,0x20 + 800002d4: 02055513 srli a0,a0,0x20 + 800002d8: 0205d593 srli a1,a1,0x20 + 800002dc: 00008293 mv t0,ra + 800002e0: 01c000ef jal ra,800002fc <__udivdi3> + 800002e4: 0005851b sext.w a0,a1 + 800002e8: 00028067 jr t0 + +00000000800002ec <__divsi3>: + 800002ec: fff00293 li t0,-1 + 800002f0: 0a558c63 beq a1,t0,800003a8 <__moddi3+0x30> + +00000000800002f4 <__divdi3>: + 800002f4: 06054063 bltz a0,80000354 <__umoddi3+0x10> + 800002f8: 0605c663 bltz a1,80000364 <__umoddi3+0x20> + +00000000800002fc <__udivdi3>: + 800002fc: 00058613 mv a2,a1 + 80000300: 00050593 mv a1,a0 + 80000304: fff00513 li a0,-1 + 80000308: 02060c63 beqz a2,80000340 <__udivdi3+0x44> + 8000030c: 00100693 li a3,1 + 80000310: 00b67a63 bgeu a2,a1,80000324 <__udivdi3+0x28> + 80000314: 00c05863 blez a2,80000324 <__udivdi3+0x28> + 80000318: 00161613 slli a2,a2,0x1 + 8000031c: 00169693 slli a3,a3,0x1 + 80000320: feb66ae3 bltu a2,a1,80000314 <__udivdi3+0x18> + 80000324: 00000513 li a0,0 + 80000328: 00c5e663 bltu a1,a2,80000334 <__udivdi3+0x38> + 8000032c: 40c585b3 sub a1,a1,a2 + 80000330: 00d56533 or a0,a0,a3 + 80000334: 0016d693 srli a3,a3,0x1 + 80000338: 00165613 srli a2,a2,0x1 + 8000033c: fe0696e3 bnez a3,80000328 <__udivdi3+0x2c> + 80000340: 00008067 ret + +0000000080000344 <__umoddi3>: + 80000344: 00008293 mv t0,ra + 80000348: fb5ff0ef jal ra,800002fc <__udivdi3> + 8000034c: 00058513 mv a0,a1 + 80000350: 00028067 jr t0 + 80000354: 40a00533 neg a0,a0 + 80000358: 00b04863 bgtz a1,80000368 <__umoddi3+0x24> + 8000035c: 40b005b3 neg a1,a1 + 80000360: f9dff06f j 800002fc <__udivdi3> + 80000364: 40b005b3 neg a1,a1 + 80000368: 00008293 mv t0,ra + 8000036c: f91ff0ef jal ra,800002fc <__udivdi3> + 80000370: 40a00533 neg a0,a0 + 80000374: 00028067 jr t0 + +0000000080000378 <__moddi3>: + 80000378: 00008293 mv t0,ra + 8000037c: 0005ca63 bltz a1,80000390 <__moddi3+0x18> + 80000380: 00054c63 bltz a0,80000398 <__moddi3+0x20> + 80000384: f79ff0ef jal ra,800002fc <__udivdi3> + 80000388: 00058513 mv a0,a1 + 8000038c: 00028067 jr t0 + 80000390: 40b005b3 neg a1,a1 + 80000394: fe0558e3 bgez a0,80000384 <__moddi3+0xc> + 80000398: 40a00533 neg a0,a0 + 8000039c: f61ff0ef jal ra,800002fc <__udivdi3> + 800003a0: 40b00533 neg a0,a1 + 800003a4: 00028067 jr t0 + 800003a8: 01f29293 slli t0,t0,0x1f + 800003ac: f45514e3 bne a0,t0,800002f4 <__divdi3> + 800003b0: 00008067 ret + +00000000800003b4 : + 800003b4: 00000797 auipc a5,0x0 + 800003b8: 24c78793 addi a5,a5,588 # 80000600 + 800003bc: 0007b503 ld a0,0(a5) + 800003c0: 0087b583 ld a1,8(a5) + 800003c4: ff010113 addi sp,sp,-16 + 800003c8: 00000693 li a3,0 + 800003cc: 00000613 li a2,0 + 800003d0: 40a585b3 sub a1,a1,a0 + 800003d4: 00113423 sd ra,8(sp) + 800003d8: 018000ef jal ra,800003f0 + 800003dc: 00813083 ld ra,8(sp) + 800003e0: 00000797 auipc a5,0x0 + 800003e4: 22a7bc23 sd a0,568(a5) # 80000618 + 800003e8: 01010113 addi sp,sp,16 + 800003ec: 00008067 ret + +00000000800003f0 : + 800003f0: 1a050e63 beqz a0,800005ac + 800003f4: fd010113 addi sp,sp,-48 + 800003f8: 02813023 sd s0,32(sp) + 800003fc: 00913c23 sd s1,24(sp) + 80000400: 01f57793 andi a5,a0,31 + 80000404: 02113423 sd ra,40(sp) + 80000408: 01213823 sd s2,16(sp) + 8000040c: 01313423 sd s3,8(sp) + 80000410: 01413023 sd s4,0(sp) + 80000414: 00050493 mv s1,a0 + 80000418: 00050413 mv s0,a0 + 8000041c: 00000513 li a0,0 + 80000420: 14079a63 bnez a5,80000574 + 80000424: 27f00713 li a4,639 + 80000428: 00058913 mv s2,a1 + 8000042c: 00078513 mv a0,a5 + 80000430: 14b77263 bgeu a4,a1,80000574 + 80000434: 0074f513 andi a0,s1,7 + 80000438: 00153513 seqz a0,a0 + 8000043c: 00060a13 mv s4,a2 + 80000440: 00068993 mv s3,a3 + 80000444: e35ff0ef jal ra,80000278 <_assert> + 80000448: 20048793 addi a5,s1,512 + 8000044c: 2004b023 sd zero,512(s1) + 80000450: 2144b423 sd s4,520(s1) + 80000454: 2134b823 sd s3,528(s1) + 80000458: 00043023 sd zero,0(s0) + 8000045c: 00840413 addi s0,s0,8 + 80000460: fe879ce3 bne a5,s0,80000458 + 80000464: fff00793 li a5,-1 + 80000468: dc090413 addi s0,s2,-576 + 8000046c: 03f79793 slli a5,a5,0x3f + 80000470: 1287f863 bgeu a5,s0,800005a0 + 80000474: 00078413 mv s0,a5 + 80000478: 00100513 li a0,1 + 8000047c: dfdff0ef jal ra,80000278 <_assert> + 80000480: f8300793 li a5,-125 + 80000484: 0017d793 srli a5,a5,0x1 + 80000488: fc040513 addi a0,s0,-64 + 8000048c: 00f53533 sltu a0,a0,a5 + 80000490: de9ff0ef jal ra,80000278 <_assert> + 80000494: 01f4f513 andi a0,s1,31 + 80000498: 00153513 seqz a0,a0 + 8000049c: dddff0ef jal ra,80000278 <_assert> + 800004a0: 00100513 li a0,1 + 800004a4: 2404b023 sd zero,576(s1) + 800004a8: 2404b423 sd zero,584(s1) + 800004ac: 2484b823 sd s0,592(s1) + 800004b0: 24048c23 sb zero,600(s1) + 800004b4: 2604b023 sd zero,608(s1) + 800004b8: 2604b423 sd zero,616(s1) + 800004bc: dbdff0ef jal ra,80000278 <_assert> + 800004c0: 00100513 li a0,1 + 800004c4: db5ff0ef jal ra,80000278 <_assert> + 800004c8: 2504b503 ld a0,592(s1) + 800004cc: 24048a13 addi s4,s1,576 + 800004d0: 04053513 sltiu a0,a0,64 + 800004d4: 00154513 xori a0,a0,1 + 800004d8: 00157513 andi a0,a0,1 + 800004dc: d9dff0ef jal ra,80000278 <_assert> + 800004e0: 2504b503 ld a0,592(s1) + 800004e4: 03f57513 andi a0,a0,63 + 800004e8: 00153513 seqz a0,a0 + 800004ec: d8dff0ef jal ra,80000278 <_assert> + 800004f0: 2504b703 ld a4,592(s1) + 800004f4: 00100793 li a5,1 + 800004f8: 00675713 srli a4,a4,0x6 + 800004fc: 0ae7fc63 bgeu a5,a4,800005b4 + 80000500: 00000793 li a5,0 + 80000504: 00100693 li a3,1 + 80000508: 0017879b addiw a5,a5,1 + 8000050c: 00175713 srli a4,a4,0x1 + 80000510: 0ff7f793 andi a5,a5,255 + 80000514: fed71ae3 bne a4,a3,80000508 + 80000518: 00078993 mv s3,a5 + 8000051c: 0407b513 sltiu a0,a5,64 + 80000520: 00f71933 sll s2,a4,a5 + 80000524: d55ff0ef jal ra,80000278 <_assert> + 80000528: 00399793 slli a5,s3,0x3 + 8000052c: 00f487b3 add a5,s1,a5 + 80000530: 0007b703 ld a4,0(a5) + 80000534: 2604b423 sd zero,616(s1) + 80000538: 26e4b023 sd a4,608(s1) + 8000053c: 00070463 beqz a4,80000544 + 80000540: 03473423 sd s4,40(a4) + 80000544: 0147b023 sd s4,0(a5) + 80000548: 2004b503 ld a0,512(s1) + 8000054c: 00a96533 or a0,s2,a0 + 80000550: 20a4b023 sd a0,512(s1) + 80000554: 00a03533 snez a0,a0 + 80000558: d21ff0ef jal ra,80000278 <_assert> + 8000055c: 00048513 mv a0,s1 + 80000560: 2084bc23 sd s0,536(s1) + 80000564: 2204b023 sd zero,544(s1) + 80000568: 2204b423 sd zero,552(s1) + 8000056c: 2204b823 sd zero,560(s1) + 80000570: 2204bc23 sd zero,568(s1) + 80000574: 02813083 ld ra,40(sp) + 80000578: 02013403 ld s0,32(sp) + 8000057c: 01813483 ld s1,24(sp) + 80000580: 01013903 ld s2,16(sp) + 80000584: 00813983 ld s3,8(sp) + 80000588: 00013a03 ld s4,0(sp) + 8000058c: 03010113 addi sp,sp,48 + 80000590: 00008067 ret + 80000594: 00100513 li a0,1 + 80000598: fff40413 addi s0,s0,-1 + 8000059c: cddff0ef jal ra,80000278 <_assert> + 800005a0: 03f47793 andi a5,s0,63 + 800005a4: fe0798e3 bnez a5,80000594 + 800005a8: ed1ff06f j 80000478 + 800005ac: 00000513 li a0,0 + 800005b0: 00008067 ret + 800005b4: 00100913 li s2,1 + 800005b8: 00000993 li s3,0 + 800005bc: 00100513 li a0,1 + 800005c0: f65ff06f j 80000524 diff --git a/bin/non-output/cpu-tests/select-sort-cpu-tests.bin b/bin/non-output/cpu-tests/select-sort-cpu-tests.bin new file mode 100755 index 0000000..86d57e2 Binary files /dev/null and b/bin/non-output/cpu-tests/select-sort-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/select-sort-cpu-tests.elf b/bin/non-output/cpu-tests/select-sort-cpu-tests.elf new file mode 100755 index 0000000..48edb45 Binary files /dev/null and b/bin/non-output/cpu-tests/select-sort-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/select-sort-cpu-tests.txt b/bin/non-output/cpu-tests/select-sort-cpu-tests.txt new file mode 100755 index 0000000..d41ab20 --- /dev/null +++ b/bin/non-output/cpu-tests/select-sort-cpu-tests.txt @@ -0,0 +1,274 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/select-sort-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 1b4000ef jal ra,800001c0 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 178000ef jal ra,8000019c + +0000000080000028
: + 80000028: fc010113 addi sp,sp,-64 + 8000002c: 02813823 sd s0,48(sp) + 80000030: 00000417 auipc s0,0x0 + 80000034: 3d440413 addi s0,s0,980 # 80000404 + 80000038: 01413823 sd s4,16(sp) + 8000003c: 02113c23 sd ra,56(sp) + 80000040: 02913423 sd s1,40(sp) + 80000044: 03213023 sd s2,32(sp) + 80000048: 01313c23 sd s3,24(sp) + 8000004c: 01513423 sd s5,8(sp) + 80000050: 00040893 mv a7,s0 + 80000054: 00000813 li a6,0 + 80000058: 00000a17 auipc s4,0x0 + 8000005c: 3a8a0a13 addi s4,s4,936 # 80000400 + 80000060: 01400513 li a0,20 + 80000064: 01300e13 li t3,19 + 80000068: ffc8a303 lw t1,-4(a7) + 8000006c: 00080593 mv a1,a6 + 80000070: 0018081b addiw a6,a6,1 + 80000074: 00088713 mv a4,a7 + 80000078: 00030613 mv a2,t1 + 8000007c: 00080793 mv a5,a6 + 80000080: 00072683 lw a3,0(a4) + 80000084: 00470713 addi a4,a4,4 + 80000088: 00c6d663 bge a3,a2,80000094 + 8000008c: 00078593 mv a1,a5 + 80000090: 00068613 mv a2,a3 + 80000094: 0017879b addiw a5,a5,1 + 80000098: fea794e3 bne a5,a0,80000080 + 8000009c: 00259593 slli a1,a1,0x2 + 800000a0: fec8ae23 sw a2,-4(a7) + 800000a4: 00ba05b3 add a1,s4,a1 + 800000a8: 0065a023 sw t1,0(a1) + 800000ac: 00488893 addi a7,a7,4 + 800000b0: fbc81ce3 bne a6,t3,80000068 + 800000b4: 00000a17 auipc s4,0x0 + 800000b8: 34ca0a13 addi s4,s4,844 # 80000400 + 800000bc: 000a0493 mv s1,s4 + 800000c0: 000a0993 mv s3,s4 + 800000c4: 00000913 li s2,0 + 800000c8: 01400a93 li s5,20 + 800000cc: 0009a503 lw a0,0(s3) + 800000d0: 00498993 addi s3,s3,4 + 800000d4: 41250533 sub a0,a0,s2 + 800000d8: 00153513 seqz a0,a0 + 800000dc: 0019091b addiw s2,s2,1 + 800000e0: f31ff0ef jal ra,80000010 + 800000e4: ff5914e3 bne s2,s5,800000cc + 800000e8: 00100513 li a0,1 + 800000ec: f25ff0ef jal ra,80000010 + 800000f0: 00000813 li a6,0 + 800000f4: 01400513 li a0,20 + 800000f8: 01300313 li t1,19 + 800000fc: ffc42883 lw a7,-4(s0) + 80000100: 00080593 mv a1,a6 + 80000104: 0018081b addiw a6,a6,1 + 80000108: 00040713 mv a4,s0 + 8000010c: 00088613 mv a2,a7 + 80000110: 00080793 mv a5,a6 + 80000114: 00072683 lw a3,0(a4) + 80000118: 00470713 addi a4,a4,4 + 8000011c: 00c6d663 bge a3,a2,80000128 + 80000120: 00078593 mv a1,a5 + 80000124: 00068613 mv a2,a3 + 80000128: 0017879b addiw a5,a5,1 + 8000012c: fea794e3 bne a5,a0,80000114 + 80000130: 00259593 slli a1,a1,0x2 + 80000134: fec42e23 sw a2,-4(s0) + 80000138: 00ba05b3 add a1,s4,a1 + 8000013c: 0115a023 sw a7,0(a1) + 80000140: 00440413 addi s0,s0,4 + 80000144: fa681ce3 bne a6,t1,800000fc + 80000148: 00000413 li s0,0 + 8000014c: 01400913 li s2,20 + 80000150: 0004a503 lw a0,0(s1) + 80000154: 00448493 addi s1,s1,4 + 80000158: 40850533 sub a0,a0,s0 + 8000015c: 00153513 seqz a0,a0 + 80000160: 0014041b addiw s0,s0,1 + 80000164: eadff0ef jal ra,80000010 + 80000168: ff2414e3 bne s0,s2,80000150 + 8000016c: 00100513 li a0,1 + 80000170: ea1ff0ef jal ra,80000010 + 80000174: 03813083 ld ra,56(sp) + 80000178: 03013403 ld s0,48(sp) + 8000017c: 02813483 ld s1,40(sp) + 80000180: 02013903 ld s2,32(sp) + 80000184: 01813983 ld s3,24(sp) + 80000188: 01013a03 ld s4,16(sp) + 8000018c: 00813a83 ld s5,8(sp) + 80000190: 00000513 li a0,0 + 80000194: 04010113 addi sp,sp,64 + 80000198: 00008067 ret + +000000008000019c : + 8000019c: 00050513 mv a0,a0 + 800001a0: 0000006b 0x6b + 800001a4: 0000006f j 800001a4 + +00000000800001a8 <_assert>: + 800001a8: 00051a63 bnez a0,800001bc <_assert+0x14> + 800001ac: 00100793 li a5,1 + 800001b0: 00078513 mv a0,a5 + 800001b4: 0000006b 0x6b + 800001b8: 0000006f j 800001b8 <_assert+0x10> + 800001bc: 00008067 ret + +00000000800001c0 <_trm_init>: + 800001c0: ff010113 addi sp,sp,-16 + 800001c4: 00113423 sd ra,8(sp) + 800001c8: 01c000ef jal ra,800001e4 + 800001cc: 00000517 auipc a0,0x0 + 800001d0: 22c50513 addi a0,a0,556 # 800003f8 + 800001d4: e55ff0ef jal ra,80000028
+ 800001d8: 00050513 mv a0,a0 + 800001dc: 0000006b 0x6b + 800001e0: 0000006f j 800001e0 <_trm_init+0x20> + +00000000800001e4 : + 800001e4: 00000797 auipc a5,0x0 + 800001e8: 26c78793 addi a5,a5,620 # 80000450 + 800001ec: 0007b503 ld a0,0(a5) + 800001f0: 0087b583 ld a1,8(a5) + 800001f4: ff010113 addi sp,sp,-16 + 800001f8: 00000693 li a3,0 + 800001fc: 00000613 li a2,0 + 80000200: 40a585b3 sub a1,a1,a0 + 80000204: 00113423 sd ra,8(sp) + 80000208: 018000ef jal ra,80000220 + 8000020c: 00813083 ld ra,8(sp) + 80000210: 00000797 auipc a5,0x0 + 80000214: 24a7b823 sd a0,592(a5) # 80000460 + 80000218: 01010113 addi sp,sp,16 + 8000021c: 00008067 ret + +0000000080000220 : + 80000220: 1a050e63 beqz a0,800003dc + 80000224: fd010113 addi sp,sp,-48 + 80000228: 02813023 sd s0,32(sp) + 8000022c: 00913c23 sd s1,24(sp) + 80000230: 01f57793 andi a5,a0,31 + 80000234: 02113423 sd ra,40(sp) + 80000238: 01213823 sd s2,16(sp) + 8000023c: 01313423 sd s3,8(sp) + 80000240: 01413023 sd s4,0(sp) + 80000244: 00050493 mv s1,a0 + 80000248: 00050413 mv s0,a0 + 8000024c: 00000513 li a0,0 + 80000250: 14079a63 bnez a5,800003a4 + 80000254: 27f00713 li a4,639 + 80000258: 00058913 mv s2,a1 + 8000025c: 00078513 mv a0,a5 + 80000260: 14b77263 bgeu a4,a1,800003a4 + 80000264: 0074f513 andi a0,s1,7 + 80000268: 00153513 seqz a0,a0 + 8000026c: 00060a13 mv s4,a2 + 80000270: 00068993 mv s3,a3 + 80000274: f35ff0ef jal ra,800001a8 <_assert> + 80000278: 20048793 addi a5,s1,512 + 8000027c: 2004b023 sd zero,512(s1) + 80000280: 2144b423 sd s4,520(s1) + 80000284: 2134b823 sd s3,528(s1) + 80000288: 00043023 sd zero,0(s0) + 8000028c: 00840413 addi s0,s0,8 + 80000290: fe879ce3 bne a5,s0,80000288 + 80000294: fff00793 li a5,-1 + 80000298: dc090413 addi s0,s2,-576 + 8000029c: 03f79793 slli a5,a5,0x3f + 800002a0: 1287f863 bgeu a5,s0,800003d0 + 800002a4: 00078413 mv s0,a5 + 800002a8: 00100513 li a0,1 + 800002ac: efdff0ef jal ra,800001a8 <_assert> + 800002b0: f8300793 li a5,-125 + 800002b4: 0017d793 srli a5,a5,0x1 + 800002b8: fc040513 addi a0,s0,-64 + 800002bc: 00f53533 sltu a0,a0,a5 + 800002c0: ee9ff0ef jal ra,800001a8 <_assert> + 800002c4: 01f4f513 andi a0,s1,31 + 800002c8: 00153513 seqz a0,a0 + 800002cc: eddff0ef jal ra,800001a8 <_assert> + 800002d0: 00100513 li a0,1 + 800002d4: 2404b023 sd zero,576(s1) + 800002d8: 2404b423 sd zero,584(s1) + 800002dc: 2484b823 sd s0,592(s1) + 800002e0: 24048c23 sb zero,600(s1) + 800002e4: 2604b023 sd zero,608(s1) + 800002e8: 2604b423 sd zero,616(s1) + 800002ec: ebdff0ef jal ra,800001a8 <_assert> + 800002f0: 00100513 li a0,1 + 800002f4: eb5ff0ef jal ra,800001a8 <_assert> + 800002f8: 2504b503 ld a0,592(s1) + 800002fc: 24048a13 addi s4,s1,576 + 80000300: 04053513 sltiu a0,a0,64 + 80000304: 00154513 xori a0,a0,1 + 80000308: 00157513 andi a0,a0,1 + 8000030c: e9dff0ef jal ra,800001a8 <_assert> + 80000310: 2504b503 ld a0,592(s1) + 80000314: 03f57513 andi a0,a0,63 + 80000318: 00153513 seqz a0,a0 + 8000031c: e8dff0ef jal ra,800001a8 <_assert> + 80000320: 2504b703 ld a4,592(s1) + 80000324: 00100793 li a5,1 + 80000328: 00675713 srli a4,a4,0x6 + 8000032c: 0ae7fc63 bgeu a5,a4,800003e4 + 80000330: 00000793 li a5,0 + 80000334: 00100693 li a3,1 + 80000338: 0017879b addiw a5,a5,1 + 8000033c: 00175713 srli a4,a4,0x1 + 80000340: 0ff7f793 andi a5,a5,255 + 80000344: fed71ae3 bne a4,a3,80000338 + 80000348: 00078993 mv s3,a5 + 8000034c: 0407b513 sltiu a0,a5,64 + 80000350: 00f71933 sll s2,a4,a5 + 80000354: e55ff0ef jal ra,800001a8 <_assert> + 80000358: 00399793 slli a5,s3,0x3 + 8000035c: 00f487b3 add a5,s1,a5 + 80000360: 0007b703 ld a4,0(a5) + 80000364: 2604b423 sd zero,616(s1) + 80000368: 26e4b023 sd a4,608(s1) + 8000036c: 00070463 beqz a4,80000374 + 80000370: 03473423 sd s4,40(a4) + 80000374: 0147b023 sd s4,0(a5) + 80000378: 2004b503 ld a0,512(s1) + 8000037c: 00a96533 or a0,s2,a0 + 80000380: 20a4b023 sd a0,512(s1) + 80000384: 00a03533 snez a0,a0 + 80000388: e21ff0ef jal ra,800001a8 <_assert> + 8000038c: 00048513 mv a0,s1 + 80000390: 2084bc23 sd s0,536(s1) + 80000394: 2204b023 sd zero,544(s1) + 80000398: 2204b423 sd zero,552(s1) + 8000039c: 2204b823 sd zero,560(s1) + 800003a0: 2204bc23 sd zero,568(s1) + 800003a4: 02813083 ld ra,40(sp) + 800003a8: 02013403 ld s0,32(sp) + 800003ac: 01813483 ld s1,24(sp) + 800003b0: 01013903 ld s2,16(sp) + 800003b4: 00813983 ld s3,8(sp) + 800003b8: 00013a03 ld s4,0(sp) + 800003bc: 03010113 addi sp,sp,48 + 800003c0: 00008067 ret + 800003c4: 00100513 li a0,1 + 800003c8: fff40413 addi s0,s0,-1 + 800003cc: dddff0ef jal ra,800001a8 <_assert> + 800003d0: 03f47793 andi a5,s0,63 + 800003d4: fe0798e3 bnez a5,800003c4 + 800003d8: ed1ff06f j 800002a8 + 800003dc: 00000513 li a0,0 + 800003e0: 00008067 ret + 800003e4: 00100913 li s2,1 + 800003e8: 00000993 li s3,0 + 800003ec: 00100513 li a0,1 + 800003f0: f65ff06f j 80000354 diff --git a/bin/non-output/cpu-tests/shift-cpu-tests.bin b/bin/non-output/cpu-tests/shift-cpu-tests.bin new file mode 100755 index 0000000..5f173e2 Binary files /dev/null and b/bin/non-output/cpu-tests/shift-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/shift-cpu-tests.elf b/bin/non-output/cpu-tests/shift-cpu-tests.elf new file mode 100755 index 0000000..ceead3b Binary files /dev/null and b/bin/non-output/cpu-tests/shift-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/shift-cpu-tests.txt b/bin/non-output/cpu-tests/shift-cpu-tests.txt new file mode 100755 index 0000000..f6e112a --- /dev/null +++ b/bin/non-output/cpu-tests/shift-cpu-tests.txt @@ -0,0 +1,243 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/shift-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 138000ef jal ra,80000144 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0fc000ef jal ra,80000120 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 00913c23 sd s1,24(sp) + 80000030: 00000497 auipc s1,0x0 + 80000034: 3b048493 addi s1,s1,944 # 800003e0 + 80000038: 02813023 sd s0,32(sp) + 8000003c: 01213823 sd s2,16(sp) + 80000040: 01313423 sd s3,8(sp) + 80000044: 02113423 sd ra,40(sp) + 80000048: 01413023 sd s4,0(sp) + 8000004c: 00000917 auipc s2,0x0 + 80000050: 35490913 addi s2,s2,852 # 800003a0 + 80000054: 00000997 auipc s3,0x0 + 80000058: 3ac98993 addi s3,s3,940 # 80000400 + 8000005c: 00048413 mv s0,s1 + 80000060: 00042503 lw a0,0(s0) + 80000064: 00092783 lw a5,0(s2) + 80000068: 00440413 addi s0,s0,4 + 8000006c: 0075551b srliw a0,a0,0x7 + 80000070: 40f50533 sub a0,a0,a5 + 80000074: 00153513 seqz a0,a0 + 80000078: f99ff0ef jal ra,80000010 + 8000007c: 00490913 addi s2,s2,4 + 80000080: ff3410e3 bne s0,s3,80000060 + 80000084: 00000997 auipc s3,0x0 + 80000088: 2fc98993 addi s3,s3,764 # 80000380 + 8000008c: 00000917 auipc s2,0x0 + 80000090: 35490913 addi s2,s2,852 # 800003e0 + 80000094: 00400413 li s0,4 + 80000098: 00c00a13 li s4,12 + 8000009c: 00092503 lw a0,0(s2) + 800000a0: 0009a783 lw a5,0(s3) + 800000a4: 00490913 addi s2,s2,4 + 800000a8: 4085553b sraw a0,a0,s0 + 800000ac: 40f50533 sub a0,a0,a5 + 800000b0: 00153513 seqz a0,a0 + 800000b4: 0014041b addiw s0,s0,1 + 800000b8: f59ff0ef jal ra,80000010 + 800000bc: 00498993 addi s3,s3,4 + 800000c0: fd441ee3 bne s0,s4,8000009c + 800000c4: 00000917 auipc s2,0x0 + 800000c8: 2fc90913 addi s2,s2,764 # 800003c0 + 800000cc: 00400413 li s0,4 + 800000d0: 00c00993 li s3,12 + 800000d4: 0004a503 lw a0,0(s1) + 800000d8: 00092783 lw a5,0(s2) + 800000dc: 00448493 addi s1,s1,4 + 800000e0: 0085553b srlw a0,a0,s0 + 800000e4: 40f50533 sub a0,a0,a5 + 800000e8: 00153513 seqz a0,a0 + 800000ec: 0014041b addiw s0,s0,1 + 800000f0: f21ff0ef jal ra,80000010 + 800000f4: 00490913 addi s2,s2,4 + 800000f8: fd341ee3 bne s0,s3,800000d4 + 800000fc: 02813083 ld ra,40(sp) + 80000100: 02013403 ld s0,32(sp) + 80000104: 01813483 ld s1,24(sp) + 80000108: 01013903 ld s2,16(sp) + 8000010c: 00813983 ld s3,8(sp) + 80000110: 00013a03 ld s4,0(sp) + 80000114: 00000513 li a0,0 + 80000118: 03010113 addi sp,sp,48 + 8000011c: 00008067 ret + +0000000080000120 : + 80000120: 00050513 mv a0,a0 + 80000124: 0000006b 0x6b + 80000128: 0000006f j 80000128 + +000000008000012c <_assert>: + 8000012c: 00051a63 bnez a0,80000140 <_assert+0x14> + 80000130: 00100793 li a5,1 + 80000134: 00078513 mv a0,a5 + 80000138: 0000006b 0x6b + 8000013c: 0000006f j 8000013c <_assert+0x10> + 80000140: 00008067 ret + +0000000080000144 <_trm_init>: + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00113423 sd ra,8(sp) + 8000014c: 01c000ef jal ra,80000168 + 80000150: 00000517 auipc a0,0x0 + 80000154: 22850513 addi a0,a0,552 # 80000378 <_etext> + 80000158: ed1ff0ef jal ra,80000028
+ 8000015c: 00050513 mv a0,a0 + 80000160: 0000006b 0x6b + 80000164: 0000006f j 80000164 <_trm_init+0x20> + +0000000080000168 : + 80000168: 00000797 auipc a5,0x0 + 8000016c: 29878793 addi a5,a5,664 # 80000400 + 80000170: 0007b503 ld a0,0(a5) + 80000174: 0087b583 ld a1,8(a5) + 80000178: ff010113 addi sp,sp,-16 + 8000017c: 00000693 li a3,0 + 80000180: 00000613 li a2,0 + 80000184: 40a585b3 sub a1,a1,a0 + 80000188: 00113423 sd ra,8(sp) + 8000018c: 018000ef jal ra,800001a4 + 80000190: 00813083 ld ra,8(sp) + 80000194: 00000797 auipc a5,0x0 + 80000198: 26a7be23 sd a0,636(a5) # 80000410 + 8000019c: 01010113 addi sp,sp,16 + 800001a0: 00008067 ret + +00000000800001a4 : + 800001a4: 1a050e63 beqz a0,80000360 + 800001a8: fd010113 addi sp,sp,-48 + 800001ac: 02813023 sd s0,32(sp) + 800001b0: 00913c23 sd s1,24(sp) + 800001b4: 01f57793 andi a5,a0,31 + 800001b8: 02113423 sd ra,40(sp) + 800001bc: 01213823 sd s2,16(sp) + 800001c0: 01313423 sd s3,8(sp) + 800001c4: 01413023 sd s4,0(sp) + 800001c8: 00050493 mv s1,a0 + 800001cc: 00050413 mv s0,a0 + 800001d0: 00000513 li a0,0 + 800001d4: 14079a63 bnez a5,80000328 + 800001d8: 27f00713 li a4,639 + 800001dc: 00058913 mv s2,a1 + 800001e0: 00078513 mv a0,a5 + 800001e4: 14b77263 bgeu a4,a1,80000328 + 800001e8: 0074f513 andi a0,s1,7 + 800001ec: 00153513 seqz a0,a0 + 800001f0: 00060a13 mv s4,a2 + 800001f4: 00068993 mv s3,a3 + 800001f8: f35ff0ef jal ra,8000012c <_assert> + 800001fc: 20048793 addi a5,s1,512 + 80000200: 2004b023 sd zero,512(s1) + 80000204: 2144b423 sd s4,520(s1) + 80000208: 2134b823 sd s3,528(s1) + 8000020c: 00043023 sd zero,0(s0) + 80000210: 00840413 addi s0,s0,8 + 80000214: fe879ce3 bne a5,s0,8000020c + 80000218: fff00793 li a5,-1 + 8000021c: dc090413 addi s0,s2,-576 + 80000220: 03f79793 slli a5,a5,0x3f + 80000224: 1287f863 bgeu a5,s0,80000354 + 80000228: 00078413 mv s0,a5 + 8000022c: 00100513 li a0,1 + 80000230: efdff0ef jal ra,8000012c <_assert> + 80000234: f8300793 li a5,-125 + 80000238: 0017d793 srli a5,a5,0x1 + 8000023c: fc040513 addi a0,s0,-64 + 80000240: 00f53533 sltu a0,a0,a5 + 80000244: ee9ff0ef jal ra,8000012c <_assert> + 80000248: 01f4f513 andi a0,s1,31 + 8000024c: 00153513 seqz a0,a0 + 80000250: eddff0ef jal ra,8000012c <_assert> + 80000254: 00100513 li a0,1 + 80000258: 2404b023 sd zero,576(s1) + 8000025c: 2404b423 sd zero,584(s1) + 80000260: 2484b823 sd s0,592(s1) + 80000264: 24048c23 sb zero,600(s1) + 80000268: 2604b023 sd zero,608(s1) + 8000026c: 2604b423 sd zero,616(s1) + 80000270: ebdff0ef jal ra,8000012c <_assert> + 80000274: 00100513 li a0,1 + 80000278: eb5ff0ef jal ra,8000012c <_assert> + 8000027c: 2504b503 ld a0,592(s1) + 80000280: 24048a13 addi s4,s1,576 + 80000284: 04053513 sltiu a0,a0,64 + 80000288: 00154513 xori a0,a0,1 + 8000028c: 00157513 andi a0,a0,1 + 80000290: e9dff0ef jal ra,8000012c <_assert> + 80000294: 2504b503 ld a0,592(s1) + 80000298: 03f57513 andi a0,a0,63 + 8000029c: 00153513 seqz a0,a0 + 800002a0: e8dff0ef jal ra,8000012c <_assert> + 800002a4: 2504b703 ld a4,592(s1) + 800002a8: 00100793 li a5,1 + 800002ac: 00675713 srli a4,a4,0x6 + 800002b0: 0ae7fc63 bgeu a5,a4,80000368 + 800002b4: 00000793 li a5,0 + 800002b8: 00100693 li a3,1 + 800002bc: 0017879b addiw a5,a5,1 + 800002c0: 00175713 srli a4,a4,0x1 + 800002c4: 0ff7f793 andi a5,a5,255 + 800002c8: fed71ae3 bne a4,a3,800002bc + 800002cc: 00078993 mv s3,a5 + 800002d0: 0407b513 sltiu a0,a5,64 + 800002d4: 00f71933 sll s2,a4,a5 + 800002d8: e55ff0ef jal ra,8000012c <_assert> + 800002dc: 00399793 slli a5,s3,0x3 + 800002e0: 00f487b3 add a5,s1,a5 + 800002e4: 0007b703 ld a4,0(a5) + 800002e8: 2604b423 sd zero,616(s1) + 800002ec: 26e4b023 sd a4,608(s1) + 800002f0: 00070463 beqz a4,800002f8 + 800002f4: 03473423 sd s4,40(a4) + 800002f8: 0147b023 sd s4,0(a5) + 800002fc: 2004b503 ld a0,512(s1) + 80000300: 00a96533 or a0,s2,a0 + 80000304: 20a4b023 sd a0,512(s1) + 80000308: 00a03533 snez a0,a0 + 8000030c: e21ff0ef jal ra,8000012c <_assert> + 80000310: 00048513 mv a0,s1 + 80000314: 2084bc23 sd s0,536(s1) + 80000318: 2204b023 sd zero,544(s1) + 8000031c: 2204b423 sd zero,552(s1) + 80000320: 2204b823 sd zero,560(s1) + 80000324: 2204bc23 sd zero,568(s1) + 80000328: 02813083 ld ra,40(sp) + 8000032c: 02013403 ld s0,32(sp) + 80000330: 01813483 ld s1,24(sp) + 80000334: 01013903 ld s2,16(sp) + 80000338: 00813983 ld s3,8(sp) + 8000033c: 00013a03 ld s4,0(sp) + 80000340: 03010113 addi sp,sp,48 + 80000344: 00008067 ret + 80000348: 00100513 li a0,1 + 8000034c: fff40413 addi s0,s0,-1 + 80000350: dddff0ef jal ra,8000012c <_assert> + 80000354: 03f47793 andi a5,s0,63 + 80000358: fe0798e3 bnez a5,80000348 + 8000035c: ed1ff06f j 8000022c + 80000360: 00000513 li a0,0 + 80000364: 00008067 ret + 80000368: 00100913 li s2,1 + 8000036c: 00000993 li s3,0 + 80000370: 00100513 li a0,1 + 80000374: f65ff06f j 800002d8 diff --git a/bin/non-output/cpu-tests/shuixianhua-cpu-tests.bin b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.bin new file mode 100755 index 0000000..3f07ffc Binary files /dev/null and b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/shuixianhua-cpu-tests.elf b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.elf new file mode 100755 index 0000000..0051888 Binary files /dev/null and b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/shuixianhua-cpu-tests.txt b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.txt new file mode 100755 index 0000000..cb9c463 --- /dev/null +++ b/bin/non-output/cpu-tests/shuixianhua-cpu-tests.txt @@ -0,0 +1,352 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/shuixianhua-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 188000ef jal ra,80000194 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 14c000ef jal ra,80000170 + +0000000080000028
: + 80000028: fc010113 addi sp,sp,-64 + 8000002c: 02913423 sd s1,40(sp) + 80000030: 01413823 sd s4,16(sp) + 80000034: 01513423 sd s5,8(sp) + 80000038: 01613023 sd s6,0(sp) + 8000003c: 02113c23 sd ra,56(sp) + 80000040: 02813823 sd s0,48(sp) + 80000044: 03213023 sd s2,32(sp) + 80000048: 01313c23 sd s3,24(sp) + 8000004c: 06400493 li s1,100 + 80000050: 00000a93 li s5,0 + 80000054: 1f400a13 li s4,500 + 80000058: 00000b17 auipc s6,0x0 + 8000005c: 4a0b0b13 addi s6,s6,1184 # 800004f8 + 80000060: 0014849b addiw s1,s1,1 + 80000064: 06400593 li a1,100 + 80000068: 00048513 mv a0,s1 + 8000006c: 0d448663 beq s1,s4,80000138 + 80000070: 1ac000ef jal ra,8000021c <__divdi3> + 80000074: 00050993 mv s3,a0 + 80000078: 00a00593 li a1,10 + 8000007c: 00048513 mv a0,s1 + 80000080: 19c000ef jal ra,8000021c <__divdi3> + 80000084: 00a00593 li a1,10 + 80000088: 0005051b sext.w a0,a0 + 8000008c: 214000ef jal ra,800002a0 <__moddi3> + 80000090: 00050413 mv s0,a0 + 80000094: 00a00593 li a1,10 + 80000098: 00048513 mv a0,s1 + 8000009c: 204000ef jal ra,800002a0 <__moddi3> + 800000a0: 0004041b sext.w s0,s0 + 800000a4: 00040593 mv a1,s0 + 800000a8: 00050913 mv s2,a0 + 800000ac: 00040513 mv a0,s0 + 800000b0: 108000ef jal ra,800001b8 <__muldi3> + 800000b4: 00050593 mv a1,a0 + 800000b8: 00040513 mv a0,s0 + 800000bc: 0fc000ef jal ra,800001b8 <__muldi3> + 800000c0: 0009899b sext.w s3,s3 + 800000c4: 00050413 mv s0,a0 + 800000c8: 00098593 mv a1,s3 + 800000cc: 00098513 mv a0,s3 + 800000d0: 0e8000ef jal ra,800001b8 <__muldi3> + 800000d4: 00050593 mv a1,a0 + 800000d8: 00098513 mv a0,s3 + 800000dc: 0dc000ef jal ra,800001b8 <__muldi3> + 800000e0: 0009091b sext.w s2,s2 + 800000e4: 00050793 mv a5,a0 + 800000e8: 00090593 mv a1,s2 + 800000ec: 00090513 mv a0,s2 + 800000f0: 00f4043b addw s0,s0,a5 + 800000f4: 0c4000ef jal ra,800001b8 <__muldi3> + 800000f8: 00050593 mv a1,a0 + 800000fc: 00090513 mv a0,s2 + 80000100: 0b8000ef jal ra,800001b8 <__muldi3> + 80000104: 00a4043b addw s0,s0,a0 + 80000108: f4941ce3 bne s0,s1,80000060 + 8000010c: 002a9793 slli a5,s5,0x2 + 80000110: 00fb07b3 add a5,s6,a5 + 80000114: 0007a503 lw a0,0(a5) + 80000118: 001a8a9b addiw s5,s5,1 + 8000011c: 40950533 sub a0,a0,s1 + 80000120: 00153513 seqz a0,a0 + 80000124: eedff0ef jal ra,80000010 + 80000128: 0014849b addiw s1,s1,1 + 8000012c: 06400593 li a1,100 + 80000130: 00048513 mv a0,s1 + 80000134: f3449ee3 bne s1,s4,80000070 + 80000138: ffca8513 addi a0,s5,-4 + 8000013c: 00153513 seqz a0,a0 + 80000140: ed1ff0ef jal ra,80000010 + 80000144: 03813083 ld ra,56(sp) + 80000148: 03013403 ld s0,48(sp) + 8000014c: 02813483 ld s1,40(sp) + 80000150: 02013903 ld s2,32(sp) + 80000154: 01813983 ld s3,24(sp) + 80000158: 01013a03 ld s4,16(sp) + 8000015c: 00813a83 ld s5,8(sp) + 80000160: 00013b03 ld s6,0(sp) + 80000164: 00000513 li a0,0 + 80000168: 04010113 addi sp,sp,64 + 8000016c: 00008067 ret + +0000000080000170 : + 80000170: 00050513 mv a0,a0 + 80000174: 0000006b 0x6b + 80000178: 0000006f j 80000178 + +000000008000017c <_assert>: + 8000017c: 00051a63 bnez a0,80000190 <_assert+0x14> + 80000180: 00100793 li a5,1 + 80000184: 00078513 mv a0,a5 + 80000188: 0000006b 0x6b + 8000018c: 0000006f j 8000018c <_assert+0x10> + 80000190: 00008067 ret + +0000000080000194 <_trm_init>: + 80000194: ff010113 addi sp,sp,-16 + 80000198: 00113423 sd ra,8(sp) + 8000019c: 140000ef jal ra,800002dc + 800001a0: 00000517 auipc a0,0x0 + 800001a4: 35050513 addi a0,a0,848 # 800004f0 + 800001a8: e81ff0ef jal ra,80000028
+ 800001ac: 00050513 mv a0,a0 + 800001b0: 0000006b 0x6b + 800001b4: 0000006f j 800001b4 <_trm_init+0x20> + +00000000800001b8 <__muldi3>: + 800001b8: 00050613 mv a2,a0 + 800001bc: 00000513 li a0,0 + 800001c0: 0015f693 andi a3,a1,1 + 800001c4: 00068463 beqz a3,800001cc <__muldi3+0x14> + 800001c8: 00c50533 add a0,a0,a2 + 800001cc: 0015d593 srli a1,a1,0x1 + 800001d0: 00161613 slli a2,a2,0x1 + 800001d4: fe0596e3 bnez a1,800001c0 <__muldi3+0x8> + 800001d8: 00008067 ret + +00000000800001dc <__udivsi3>: + 800001dc: 02051513 slli a0,a0,0x20 + 800001e0: 02059593 slli a1,a1,0x20 + 800001e4: 00008293 mv t0,ra + 800001e8: 03c000ef jal ra,80000224 <__udivdi3> + 800001ec: 0005051b sext.w a0,a0 + 800001f0: 00028067 jr t0 + +00000000800001f4 <__umodsi3>: + 800001f4: 02051513 slli a0,a0,0x20 + 800001f8: 02059593 slli a1,a1,0x20 + 800001fc: 02055513 srli a0,a0,0x20 + 80000200: 0205d593 srli a1,a1,0x20 + 80000204: 00008293 mv t0,ra + 80000208: 01c000ef jal ra,80000224 <__udivdi3> + 8000020c: 0005851b sext.w a0,a1 + 80000210: 00028067 jr t0 + +0000000080000214 <__divsi3>: + 80000214: fff00293 li t0,-1 + 80000218: 0a558c63 beq a1,t0,800002d0 <__moddi3+0x30> + +000000008000021c <__divdi3>: + 8000021c: 06054063 bltz a0,8000027c <__umoddi3+0x10> + 80000220: 0605c663 bltz a1,8000028c <__umoddi3+0x20> + +0000000080000224 <__udivdi3>: + 80000224: 00058613 mv a2,a1 + 80000228: 00050593 mv a1,a0 + 8000022c: fff00513 li a0,-1 + 80000230: 02060c63 beqz a2,80000268 <__udivdi3+0x44> + 80000234: 00100693 li a3,1 + 80000238: 00b67a63 bgeu a2,a1,8000024c <__udivdi3+0x28> + 8000023c: 00c05863 blez a2,8000024c <__udivdi3+0x28> + 80000240: 00161613 slli a2,a2,0x1 + 80000244: 00169693 slli a3,a3,0x1 + 80000248: feb66ae3 bltu a2,a1,8000023c <__udivdi3+0x18> + 8000024c: 00000513 li a0,0 + 80000250: 00c5e663 bltu a1,a2,8000025c <__udivdi3+0x38> + 80000254: 40c585b3 sub a1,a1,a2 + 80000258: 00d56533 or a0,a0,a3 + 8000025c: 0016d693 srli a3,a3,0x1 + 80000260: 00165613 srli a2,a2,0x1 + 80000264: fe0696e3 bnez a3,80000250 <__udivdi3+0x2c> + 80000268: 00008067 ret + +000000008000026c <__umoddi3>: + 8000026c: 00008293 mv t0,ra + 80000270: fb5ff0ef jal ra,80000224 <__udivdi3> + 80000274: 00058513 mv a0,a1 + 80000278: 00028067 jr t0 + 8000027c: 40a00533 neg a0,a0 + 80000280: 00b04863 bgtz a1,80000290 <__umoddi3+0x24> + 80000284: 40b005b3 neg a1,a1 + 80000288: f9dff06f j 80000224 <__udivdi3> + 8000028c: 40b005b3 neg a1,a1 + 80000290: 00008293 mv t0,ra + 80000294: f91ff0ef jal ra,80000224 <__udivdi3> + 80000298: 40a00533 neg a0,a0 + 8000029c: 00028067 jr t0 + +00000000800002a0 <__moddi3>: + 800002a0: 00008293 mv t0,ra + 800002a4: 0005ca63 bltz a1,800002b8 <__moddi3+0x18> + 800002a8: 00054c63 bltz a0,800002c0 <__moddi3+0x20> + 800002ac: f79ff0ef jal ra,80000224 <__udivdi3> + 800002b0: 00058513 mv a0,a1 + 800002b4: 00028067 jr t0 + 800002b8: 40b005b3 neg a1,a1 + 800002bc: fe0558e3 bgez a0,800002ac <__moddi3+0xc> + 800002c0: 40a00533 neg a0,a0 + 800002c4: f61ff0ef jal ra,80000224 <__udivdi3> + 800002c8: 40b00533 neg a0,a1 + 800002cc: 00028067 jr t0 + 800002d0: 01f29293 slli t0,t0,0x1f + 800002d4: f45514e3 bne a0,t0,8000021c <__divdi3> + 800002d8: 00008067 ret + +00000000800002dc : + 800002dc: 00000797 auipc a5,0x0 + 800002e0: 22c78793 addi a5,a5,556 # 80000508 + 800002e4: 0007b503 ld a0,0(a5) + 800002e8: 0087b583 ld a1,8(a5) + 800002ec: ff010113 addi sp,sp,-16 + 800002f0: 00000693 li a3,0 + 800002f4: 00000613 li a2,0 + 800002f8: 40a585b3 sub a1,a1,a0 + 800002fc: 00113423 sd ra,8(sp) + 80000300: 018000ef jal ra,80000318 + 80000304: 00813083 ld ra,8(sp) + 80000308: 00000797 auipc a5,0x0 + 8000030c: 20a7b823 sd a0,528(a5) # 80000518 + 80000310: 01010113 addi sp,sp,16 + 80000314: 00008067 ret + +0000000080000318 : + 80000318: 1a050e63 beqz a0,800004d4 + 8000031c: fd010113 addi sp,sp,-48 + 80000320: 02813023 sd s0,32(sp) + 80000324: 00913c23 sd s1,24(sp) + 80000328: 01f57793 andi a5,a0,31 + 8000032c: 02113423 sd ra,40(sp) + 80000330: 01213823 sd s2,16(sp) + 80000334: 01313423 sd s3,8(sp) + 80000338: 01413023 sd s4,0(sp) + 8000033c: 00050493 mv s1,a0 + 80000340: 00050413 mv s0,a0 + 80000344: 00000513 li a0,0 + 80000348: 14079a63 bnez a5,8000049c + 8000034c: 27f00713 li a4,639 + 80000350: 00058913 mv s2,a1 + 80000354: 00078513 mv a0,a5 + 80000358: 14b77263 bgeu a4,a1,8000049c + 8000035c: 0074f513 andi a0,s1,7 + 80000360: 00153513 seqz a0,a0 + 80000364: 00060a13 mv s4,a2 + 80000368: 00068993 mv s3,a3 + 8000036c: e11ff0ef jal ra,8000017c <_assert> + 80000370: 20048793 addi a5,s1,512 + 80000374: 2004b023 sd zero,512(s1) + 80000378: 2144b423 sd s4,520(s1) + 8000037c: 2134b823 sd s3,528(s1) + 80000380: 00043023 sd zero,0(s0) + 80000384: 00840413 addi s0,s0,8 + 80000388: fe879ce3 bne a5,s0,80000380 + 8000038c: fff00793 li a5,-1 + 80000390: dc090413 addi s0,s2,-576 + 80000394: 03f79793 slli a5,a5,0x3f + 80000398: 1287f863 bgeu a5,s0,800004c8 + 8000039c: 00078413 mv s0,a5 + 800003a0: 00100513 li a0,1 + 800003a4: dd9ff0ef jal ra,8000017c <_assert> + 800003a8: f8300793 li a5,-125 + 800003ac: 0017d793 srli a5,a5,0x1 + 800003b0: fc040513 addi a0,s0,-64 + 800003b4: 00f53533 sltu a0,a0,a5 + 800003b8: dc5ff0ef jal ra,8000017c <_assert> + 800003bc: 01f4f513 andi a0,s1,31 + 800003c0: 00153513 seqz a0,a0 + 800003c4: db9ff0ef jal ra,8000017c <_assert> + 800003c8: 00100513 li a0,1 + 800003cc: 2404b023 sd zero,576(s1) + 800003d0: 2404b423 sd zero,584(s1) + 800003d4: 2484b823 sd s0,592(s1) + 800003d8: 24048c23 sb zero,600(s1) + 800003dc: 2604b023 sd zero,608(s1) + 800003e0: 2604b423 sd zero,616(s1) + 800003e4: d99ff0ef jal ra,8000017c <_assert> + 800003e8: 00100513 li a0,1 + 800003ec: d91ff0ef jal ra,8000017c <_assert> + 800003f0: 2504b503 ld a0,592(s1) + 800003f4: 24048a13 addi s4,s1,576 + 800003f8: 04053513 sltiu a0,a0,64 + 800003fc: 00154513 xori a0,a0,1 + 80000400: 00157513 andi a0,a0,1 + 80000404: d79ff0ef jal ra,8000017c <_assert> + 80000408: 2504b503 ld a0,592(s1) + 8000040c: 03f57513 andi a0,a0,63 + 80000410: 00153513 seqz a0,a0 + 80000414: d69ff0ef jal ra,8000017c <_assert> + 80000418: 2504b703 ld a4,592(s1) + 8000041c: 00100793 li a5,1 + 80000420: 00675713 srli a4,a4,0x6 + 80000424: 0ae7fc63 bgeu a5,a4,800004dc + 80000428: 00000793 li a5,0 + 8000042c: 00100693 li a3,1 + 80000430: 0017879b addiw a5,a5,1 + 80000434: 00175713 srli a4,a4,0x1 + 80000438: 0ff7f793 andi a5,a5,255 + 8000043c: fed71ae3 bne a4,a3,80000430 + 80000440: 00078993 mv s3,a5 + 80000444: 0407b513 sltiu a0,a5,64 + 80000448: 00f71933 sll s2,a4,a5 + 8000044c: d31ff0ef jal ra,8000017c <_assert> + 80000450: 00399793 slli a5,s3,0x3 + 80000454: 00f487b3 add a5,s1,a5 + 80000458: 0007b703 ld a4,0(a5) + 8000045c: 2604b423 sd zero,616(s1) + 80000460: 26e4b023 sd a4,608(s1) + 80000464: 00070463 beqz a4,8000046c + 80000468: 03473423 sd s4,40(a4) + 8000046c: 0147b023 sd s4,0(a5) + 80000470: 2004b503 ld a0,512(s1) + 80000474: 00a96533 or a0,s2,a0 + 80000478: 20a4b023 sd a0,512(s1) + 8000047c: 00a03533 snez a0,a0 + 80000480: cfdff0ef jal ra,8000017c <_assert> + 80000484: 00048513 mv a0,s1 + 80000488: 2084bc23 sd s0,536(s1) + 8000048c: 2204b023 sd zero,544(s1) + 80000490: 2204b423 sd zero,552(s1) + 80000494: 2204b823 sd zero,560(s1) + 80000498: 2204bc23 sd zero,568(s1) + 8000049c: 02813083 ld ra,40(sp) + 800004a0: 02013403 ld s0,32(sp) + 800004a4: 01813483 ld s1,24(sp) + 800004a8: 01013903 ld s2,16(sp) + 800004ac: 00813983 ld s3,8(sp) + 800004b0: 00013a03 ld s4,0(sp) + 800004b4: 03010113 addi sp,sp,48 + 800004b8: 00008067 ret + 800004bc: 00100513 li a0,1 + 800004c0: fff40413 addi s0,s0,-1 + 800004c4: cb9ff0ef jal ra,8000017c <_assert> + 800004c8: 03f47793 andi a5,s0,63 + 800004cc: fe0798e3 bnez a5,800004bc + 800004d0: ed1ff06f j 800003a0 + 800004d4: 00000513 li a0,0 + 800004d8: 00008067 ret + 800004dc: 00100913 li s2,1 + 800004e0: 00000993 li s3,0 + 800004e4: 00100513 li a0,1 + 800004e8: f65ff06f j 8000044c diff --git a/bin/non-output/cpu-tests/string-cpu-tests.bin b/bin/non-output/cpu-tests/string-cpu-tests.bin new file mode 100755 index 0000000..dc205f8 Binary files /dev/null and b/bin/non-output/cpu-tests/string-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/string-cpu-tests.elf b/bin/non-output/cpu-tests/string-cpu-tests.elf new file mode 100755 index 0000000..a7ff97b Binary files /dev/null and b/bin/non-output/cpu-tests/string-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/string-cpu-tests.txt b/bin/non-output/cpu-tests/string-cpu-tests.txt new file mode 100755 index 0000000..4a350d5 --- /dev/null +++ b/bin/non-output/cpu-tests/string-cpu-tests.txt @@ -0,0 +1,609 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/string-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 138000ef jal ra,80000144 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0fc000ef jal ra,80000120 + +0000000080000028
: + 80000028: ff010113 addi sp,sp,-16 + 8000002c: 00813023 sd s0,0(sp) + 80000030: 00001417 auipc s0,0x1 + 80000034: 95840413 addi s0,s0,-1704 # 80000988 + 80000038: 01043583 ld a1,16(s0) + 8000003c: 00043503 ld a0,0(s0) + 80000040: 00113423 sd ra,8(sp) + 80000044: 124000ef jal ra,80000168 + 80000048: 00153513 seqz a0,a0 + 8000004c: fc5ff0ef jal ra,80000010 + 80000050: 00843583 ld a1,8(s0) + 80000054: 00043503 ld a0,0(s0) + 80000058: 110000ef jal ra,80000168 + 8000005c: 01f5551b srliw a0,a0,0x1f + 80000060: fb1ff0ef jal ra,80000010 + 80000064: 00843583 ld a1,8(s0) + 80000068: 00043503 ld a0,0(s0) + 8000006c: 00158593 addi a1,a1,1 + 80000070: 00150513 addi a0,a0,1 + 80000074: 0f4000ef jal ra,80000168 + 80000078: 01f5551b srliw a0,a0,0x1f + 8000007c: f95ff0ef jal ra,80000010 + 80000080: 00843583 ld a1,8(s0) + 80000084: 00043503 ld a0,0(s0) + 80000088: 00258593 addi a1,a1,2 + 8000008c: 00250513 addi a0,a0,2 + 80000090: 0d8000ef jal ra,80000168 + 80000094: 01f5551b srliw a0,a0,0x1f + 80000098: f79ff0ef jal ra,80000010 + 8000009c: 00843583 ld a1,8(s0) + 800000a0: 00043503 ld a0,0(s0) + 800000a4: 00358593 addi a1,a1,3 + 800000a8: 00350513 addi a0,a0,3 + 800000ac: 0bc000ef jal ra,80000168 + 800000b0: 01f5551b srliw a0,a0,0x1f + 800000b4: f5dff0ef jal ra,80000010 + 800000b8: 00001597 auipc a1,0x1 + 800000bc: 90058593 addi a1,a1,-1792 # 800009b8 + 800000c0: 00001517 auipc a0,0x1 + 800000c4: 91850513 addi a0,a0,-1768 # 800009d8 + 800000c8: 620000ef jal ra,800006e8 + 800000cc: 01843583 ld a1,24(s0) + 800000d0: 634000ef jal ra,80000704 + 800000d4: 02043583 ld a1,32(s0) + 800000d8: 090000ef jal ra,80000168 + 800000dc: 00153513 seqz a0,a0 + 800000e0: f31ff0ef jal ra,80000010 + 800000e4: 00500613 li a2,5 + 800000e8: 02300593 li a1,35 + 800000ec: 00001517 auipc a0,0x1 + 800000f0: 8ec50513 addi a0,a0,-1812 # 800009d8 + 800000f4: 514000ef jal ra,80000608 + 800000f8: 02843583 ld a1,40(s0) + 800000fc: 00500613 li a2,5 + 80000100: 0cc000ef jal ra,800001cc + 80000104: 00153513 seqz a0,a0 + 80000108: f09ff0ef jal ra,80000010 + 8000010c: 00813083 ld ra,8(sp) + 80000110: 00013403 ld s0,0(sp) + 80000114: 00000513 li a0,0 + 80000118: 01010113 addi sp,sp,16 + 8000011c: 00008067 ret + +0000000080000120 : + 80000120: 00050513 mv a0,a0 + 80000124: 0000006b 0x6b + 80000128: 0000006f j 80000128 + +000000008000012c <_assert>: + 8000012c: 00051a63 bnez a0,80000140 <_assert+0x14> + 80000130: 00100793 li a5,1 + 80000134: 00078513 mv a0,a5 + 80000138: 0000006b 0x6b + 8000013c: 0000006f j 8000013c <_assert+0x10> + 80000140: 00008067 ret + +0000000080000144 <_trm_init>: + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00113423 sd ra,8(sp) + 8000014c: 044000ef jal ra,80000190 + 80000150: 00001517 auipc a0,0x1 + 80000154: 83050513 addi a0,a0,-2000 # 80000980 + 80000158: ed1ff0ef jal ra,80000028
+ 8000015c: 00050513 mv a0,a0 + 80000160: 0000006b 0x6b + 80000164: 0000006f j 80000164 <_trm_init+0x20> + +0000000080000168 : + 80000168: 00054783 lbu a5,0(a0) + 8000016c: 00158593 addi a1,a1,1 + 80000170: 00150513 addi a0,a0,1 + 80000174: fff5c703 lbu a4,-1(a1) + 80000178: 00078863 beqz a5,80000188 + 8000017c: fee786e3 beq a5,a4,80000168 + 80000180: 40e7853b subw a0,a5,a4 + 80000184: 00008067 ret + 80000188: 40e0053b negw a0,a4 + 8000018c: 00008067 ret + +0000000080000190 : + 80000190: 00001797 auipc a5,0x1 + 80000194: 83078793 addi a5,a5,-2000 # 800009c0 + 80000198: 0007b503 ld a0,0(a5) + 8000019c: 0087b583 ld a1,8(a5) + 800001a0: ff010113 addi sp,sp,-16 + 800001a4: 00000693 li a3,0 + 800001a8: 00000613 li a2,0 + 800001ac: 40a585b3 sub a1,a1,a0 + 800001b0: 00113423 sd ra,8(sp) + 800001b4: 580000ef jal ra,80000734 + 800001b8: 00813083 ld ra,8(sp) + 800001bc: 00001797 auipc a5,0x1 + 800001c0: 80a7ba23 sd a0,-2028(a5) # 800009d0 + 800001c4: 01010113 addi sp,sp,16 + 800001c8: 00008067 ret + +00000000800001cc : + 800001cc: 00f00793 li a5,15 + 800001d0: 02c7ea63 bltu a5,a2,80000204 + 800001d4: 02060463 beqz a2,800001fc + 800001d8: 00a60633 add a2,a2,a0 + 800001dc: 40a585b3 sub a1,a1,a0 + 800001e0: 00a587b3 add a5,a1,a0 + 800001e4: 00054703 lbu a4,0(a0) + 800001e8: 0007c783 lbu a5,0(a5) + 800001ec: 00150513 addi a0,a0,1 + 800001f0: 40f706b3 sub a3,a4,a5 + 800001f4: 04f71663 bne a4,a5,80000240 + 800001f8: fec514e3 bne a0,a2,800001e0 + 800001fc: 00000513 li a0,0 + 80000200: 00008067 ret + 80000204: 0075f793 andi a5,a1,7 + 80000208: 00058713 mv a4,a1 + 8000020c: 02078e63 beqz a5,80000248 + 80000210: 00c50633 add a2,a0,a2 + 80000214: 0100006f j 80000224 + 80000218: 40a606b3 sub a3,a2,a0 + 8000021c: 00058713 mv a4,a1 + 80000220: 02080663 beqz a6,8000024c + 80000224: 0005c783 lbu a5,0(a1) + 80000228: 00054703 lbu a4,0(a0) + 8000022c: 00158593 addi a1,a1,1 + 80000230: 0075f813 andi a6,a1,7 + 80000234: 00150513 addi a0,a0,1 + 80000238: 40f706b3 sub a3,a4,a5 + 8000023c: fcf70ee3 beq a4,a5,80000218 + 80000240: 0006851b sext.w a0,a3 + 80000244: 00008067 ret + 80000248: 00060693 mv a3,a2 + 8000024c: 0036d793 srli a5,a3,0x3 + 80000250: 00757813 andi a6,a0,7 + 80000254: ff010113 addi sp,sp,-16 + 80000258: 0037f613 andi a2,a5,3 + 8000025c: 0a081663 bnez a6,80000308 + 80000260: 00100813 li a6,1 + 80000264: 1d060263 beq a2,a6,80000428 + 80000268: 00300813 li a6,3 + 8000026c: 17060a63 beq a2,a6,800003e0 + 80000270: 12060663 beqz a2,8000039c + 80000274: 00053e03 ld t3,0(a0) + 80000278: 0005b303 ld t1,0(a1) + 8000027c: ff050613 addi a2,a0,-16 + 80000280: ff070593 addi a1,a4,-16 + 80000284: 00278793 addi a5,a5,2 + 80000288: 01863883 ld a7,24(a2) + 8000028c: 0185b803 ld a6,24(a1) + 80000290: 346e0863 beq t3,t1,800005e0 + 80000294: 01c13423 sd t3,8(sp) + 80000298: 00613023 sd t1,0(sp) + 8000029c: 00810593 addi a1,sp,8 + 800002a0: 00010613 mv a2,sp + 800002a4: 0005c783 lbu a5,0(a1) + 800002a8: 00064803 lbu a6,0(a2) + 800002ac: 00158593 addi a1,a1,1 + 800002b0: 00160613 addi a2,a2,1 + 800002b4: ff0788e3 beq a5,a6,800002a4 + 800002b8: 410787bb subw a5,a5,a6 + 800002bc: 0c079c63 bnez a5,80000394 + 800002c0: ff86f593 andi a1,a3,-8 + 800002c4: 0076f613 andi a2,a3,7 + 800002c8: 00b50533 add a0,a0,a1 + 800002cc: 00e585b3 add a1,a1,a4 + 800002d0: 0a060c63 beqz a2,80000388 + 800002d4: 00a60633 add a2,a2,a0 + 800002d8: 40a585b3 sub a1,a1,a0 + 800002dc: 0080006f j 800002e4 + 800002e0: 0ac50463 beq a0,a2,80000388 + 800002e4: 00a587b3 add a5,a1,a0 + 800002e8: 00054703 lbu a4,0(a0) + 800002ec: 0007c783 lbu a5,0(a5) + 800002f0: 00150513 addi a0,a0,1 + 800002f4: 40f706b3 sub a3,a4,a5 + 800002f8: fef704e3 beq a4,a5,800002e0 + 800002fc: 0006851b sext.w a0,a3 + 80000300: 01010113 addi sp,sp,16 + 80000304: 00008067 ret + 80000308: 0038189b slliw a7,a6,0x3 + 8000030c: 04000313 li t1,64 + 80000310: 00100e13 li t3,1 + 80000314: 411308bb subw a7,t1,a7 + 80000318: 00381813 slli a6,a6,0x3 + 8000031c: ff857313 andi t1,a0,-8 + 80000320: 21c60063 beq a2,t3,80000520 + 80000324: 00300e13 li t3,3 + 80000328: 1bc60063 beq a2,t3,800004c8 + 8000032c: 14060463 beqz a2,80000474 + 80000330: 00033e83 ld t4,0(t1) + 80000334: 00833603 ld a2,8(t1) + 80000338: 0005b283 ld t0,0(a1) + 8000033c: ff830f13 addi t5,t1,-8 + 80000340: ff070593 addi a1,a4,-16 + 80000344: 00278793 addi a5,a5,2 + 80000348: 010ede33 srl t3,t4,a6 + 8000034c: 01161333 sll t1,a2,a7 + 80000350: 006e6e33 or t3,t3,t1 + 80000354: 018f3e83 ld t4,24(t5) + 80000358: 0185bf83 ld t6,24(a1) + 8000035c: 285e0c63 beq t3,t0,800005f4 + 80000360: 01c13423 sd t3,8(sp) + 80000364: 00513023 sd t0,0(sp) + 80000368: 00810593 addi a1,sp,8 + 8000036c: 00010613 mv a2,sp + 80000370: 0005c783 lbu a5,0(a1) + 80000374: 00064803 lbu a6,0(a2) + 80000378: 00158593 addi a1,a1,1 + 8000037c: 00160613 addi a2,a2,1 + 80000380: ff0788e3 beq a5,a6,80000370 + 80000384: f35ff06f j 800002b8 + 80000388: 00000513 li a0,0 + 8000038c: 01010113 addi sp,sp,16 + 80000390: 00008067 ret + 80000394: 0007851b sext.w a0,a5 + 80000398: f69ff06f j 80000300 + 8000039c: f20782e3 beqz a5,800002c0 + 800003a0: 00053e03 ld t3,0(a0) + 800003a4: 0005b303 ld t1,0(a1) + 800003a8: 00050613 mv a2,a0 + 800003ac: 00863883 ld a7,8(a2) + 800003b0: 0085b803 ld a6,8(a1) + 800003b4: 046e0063 beq t3,t1,800003f4 + 800003b8: 01c13423 sd t3,8(sp) + 800003bc: 00613023 sd t1,0(sp) + 800003c0: 00810593 addi a1,sp,8 + 800003c4: 00010613 mv a2,sp + 800003c8: 0005c783 lbu a5,0(a1) + 800003cc: 00064803 lbu a6,0(a2) + 800003d0: 00158593 addi a1,a1,1 + 800003d4: 00160613 addi a2,a2,1 + 800003d8: ff0788e3 beq a5,a6,800003c8 + 800003dc: eddff06f j 800002b8 + 800003e0: 00053883 ld a7,0(a0) + 800003e4: 0005b803 ld a6,0(a1) + 800003e8: ff850613 addi a2,a0,-8 + 800003ec: ff870593 addi a1,a4,-8 + 800003f0: 00178793 addi a5,a5,1 + 800003f4: 01063e03 ld t3,16(a2) + 800003f8: 0105b303 ld t1,16(a1) + 800003fc: e90886e3 beq a7,a6,80000288 + 80000400: 01113423 sd a7,8(sp) + 80000404: 01013023 sd a6,0(sp) + 80000408: 00810593 addi a1,sp,8 + 8000040c: 00010613 mv a2,sp + 80000410: 0005c783 lbu a5,0(a1) + 80000414: 00064803 lbu a6,0(a2) + 80000418: 00158593 addi a1,a1,1 + 8000041c: 00160613 addi a2,a2,1 + 80000420: ff0788e3 beq a5,a6,80000410 + 80000424: e95ff06f j 800002b8 + 80000428: fff78793 addi a5,a5,-1 + 8000042c: 00053883 ld a7,0(a0) + 80000430: 0005b803 ld a6,0(a1) + 80000434: 14078463 beqz a5,8000057c + 80000438: 00850613 addi a2,a0,8 + 8000043c: 00870593 addi a1,a4,8 + 80000440: 00063e03 ld t3,0(a2) + 80000444: 0005b303 ld t1,0(a1) + 80000448: f70882e3 beq a7,a6,800003ac + 8000044c: 01113423 sd a7,8(sp) + 80000450: 01013023 sd a6,0(sp) + 80000454: 00810593 addi a1,sp,8 + 80000458: 00010613 mv a2,sp + 8000045c: 0005c783 lbu a5,0(a1) + 80000460: 00064803 lbu a6,0(a2) + 80000464: 00158593 addi a1,a1,1 + 80000468: 00160613 addi a2,a2,1 + 8000046c: ff0788e3 beq a5,a6,8000045c + 80000470: e49ff06f j 800002b8 + 80000474: e40786e3 beqz a5,800002c0 + 80000478: 00033e83 ld t4,0(t1) + 8000047c: 00833e03 ld t3,8(t1) + 80000480: 0005b283 ld t0,0(a1) + 80000484: 00830f13 addi t5,t1,8 + 80000488: 010ed633 srl a2,t4,a6 + 8000048c: 011e1333 sll t1,t3,a7 + 80000490: 00666633 or a2,a2,t1 + 80000494: 008f3e83 ld t4,8(t5) + 80000498: 0085b303 ld t1,8(a1) + 8000049c: 04560263 beq a2,t0,800004e0 + 800004a0: 00c13423 sd a2,8(sp) + 800004a4: 00513023 sd t0,0(sp) + 800004a8: 00810593 addi a1,sp,8 + 800004ac: 00010613 mv a2,sp + 800004b0: 0005c783 lbu a5,0(a1) + 800004b4: 00064803 lbu a6,0(a2) + 800004b8: 00158593 addi a1,a1,1 + 800004bc: 00160613 addi a2,a2,1 + 800004c0: ff0788e3 beq a5,a6,800004b0 + 800004c4: df5ff06f j 800002b8 + 800004c8: 00033e03 ld t3,0(t1) + 800004cc: 00833e83 ld t4,8(t1) + 800004d0: 00030f13 mv t5,t1 + 800004d4: 00178793 addi a5,a5,1 + 800004d8: 0005b303 ld t1,0(a1) + 800004dc: ff870593 addi a1,a4,-8 + 800004e0: 011e9633 sll a2,t4,a7 + 800004e4: 010e5e33 srl t3,t3,a6 + 800004e8: 00ce6e33 or t3,t3,a2 + 800004ec: 0105b283 ld t0,16(a1) + 800004f0: 010f3603 ld a2,16(t5) + 800004f4: e46e0ae3 beq t3,t1,80000348 + 800004f8: 01c13423 sd t3,8(sp) + 800004fc: 00613023 sd t1,0(sp) + 80000500: 00810593 addi a1,sp,8 + 80000504: 00010613 mv a2,sp + 80000508: 0005c783 lbu a5,0(a1) + 8000050c: 00064803 lbu a6,0(a2) + 80000510: 00158593 addi a1,a1,1 + 80000514: 00160613 addi a2,a2,1 + 80000518: ff0788e3 beq a5,a6,80000508 + 8000051c: d9dff06f j 800002b8 + 80000520: fff78793 addi a5,a5,-1 + 80000524: 00033603 ld a2,0(t1) + 80000528: 00833e83 ld t4,8(t1) + 8000052c: 0005bf83 ld t6,0(a1) + 80000530: 06078c63 beqz a5,800005a8 + 80000534: 01030f13 addi t5,t1,16 + 80000538: 00870593 addi a1,a4,8 + 8000053c: 01065633 srl a2,a2,a6 + 80000540: 011e9333 sll t1,t4,a7 + 80000544: 00666633 or a2,a2,t1 + 80000548: 000f3e03 ld t3,0(t5) + 8000054c: 0005b283 ld t0,0(a1) + 80000550: f3f60ce3 beq a2,t6,80000488 + 80000554: 00c13423 sd a2,8(sp) + 80000558: 01f13023 sd t6,0(sp) + 8000055c: 00810593 addi a1,sp,8 + 80000560: 00010613 mv a2,sp + 80000564: 0005c783 lbu a5,0(a1) + 80000568: 00064803 lbu a6,0(a2) + 8000056c: 00158593 addi a1,a1,1 + 80000570: 00160613 addi a2,a2,1 + 80000574: ff0788e3 beq a5,a6,80000564 + 80000578: d41ff06f j 800002b8 + 8000057c: d50882e3 beq a7,a6,800002c0 + 80000580: 01113423 sd a7,8(sp) + 80000584: 01013023 sd a6,0(sp) + 80000588: 00810593 addi a1,sp,8 + 8000058c: 00010613 mv a2,sp + 80000590: 0005c783 lbu a5,0(a1) + 80000594: 00064803 lbu a6,0(a2) + 80000598: 00158593 addi a1,a1,1 + 8000059c: 00160613 addi a2,a2,1 + 800005a0: ff0788e3 beq a5,a6,80000590 + 800005a4: d15ff06f j 800002b8 + 800005a8: 01065833 srl a6,a2,a6 + 800005ac: 011e97b3 sll a5,t4,a7 + 800005b0: 00f867b3 or a5,a6,a5 + 800005b4: d1f786e3 beq a5,t6,800002c0 + 800005b8: 00f13423 sd a5,8(sp) + 800005bc: 01f13023 sd t6,0(sp) + 800005c0: 00810593 addi a1,sp,8 + 800005c4: 00010613 mv a2,sp + 800005c8: 0005c783 lbu a5,0(a1) + 800005cc: 00064803 lbu a6,0(a2) + 800005d0: 00158593 addi a1,a1,1 + 800005d4: 00160613 addi a2,a2,1 + 800005d8: ff0788e3 beq a5,a6,800005c8 + 800005dc: cddff06f j 800002b8 + 800005e0: ffc78793 addi a5,a5,-4 + 800005e4: f8078ce3 beqz a5,8000057c + 800005e8: 02060613 addi a2,a2,32 + 800005ec: 02058593 addi a1,a1,32 + 800005f0: e51ff06f j 80000440 + 800005f4: ffc78793 addi a5,a5,-4 + 800005f8: fa0788e3 beqz a5,800005a8 + 800005fc: 020f0f13 addi t5,t5,32 + 80000600: 02058593 addi a1,a1,32 + 80000604: f39ff06f j 8000053c + +0000000080000608 : + 80000608: 00700713 li a4,7 + 8000060c: 00050793 mv a5,a0 + 80000610: 0ac77a63 bgeu a4,a2,800006c4 + 80000614: 0ff5f893 andi a7,a1,255 + 80000618: 00889693 slli a3,a7,0x8 + 8000061c: 0116e6b3 or a3,a3,a7 + 80000620: 01069713 slli a4,a3,0x10 + 80000624: 00d766b3 or a3,a4,a3 + 80000628: 02069713 slli a4,a3,0x20 + 8000062c: 00757813 andi a6,a0,7 + 80000630: 00d76733 or a4,a4,a3 + 80000634: 0a080663 beqz a6,800006e0 + 80000638: 00c50633 add a2,a0,a2 + 8000063c: 01178023 sb a7,0(a5) + 80000640: 00178793 addi a5,a5,1 + 80000644: 0077f813 andi a6,a5,7 + 80000648: 40f606b3 sub a3,a2,a5 + 8000064c: fe0818e3 bnez a6,8000063c + 80000650: 0066d813 srli a6,a3,0x6 + 80000654: 04080063 beqz a6,80000694 + 80000658: 00681613 slli a2,a6,0x6 + 8000065c: 00078893 mv a7,a5 + 80000660: 00f60633 add a2,a2,a5 + 80000664: 00e7b023 sd a4,0(a5) + 80000668: 00e7b423 sd a4,8(a5) + 8000066c: 00e7b823 sd a4,16(a5) + 80000670: 00e7bc23 sd a4,24(a5) + 80000674: 02e7b023 sd a4,32(a5) + 80000678: 02e7b423 sd a4,40(a5) + 8000067c: 02e7b823 sd a4,48(a5) + 80000680: 02e7bc23 sd a4,56(a5) + 80000684: 04078793 addi a5,a5,64 + 80000688: fcf61ee3 bne a2,a5,80000664 + 8000068c: 00681793 slli a5,a6,0x6 + 80000690: 011787b3 add a5,a5,a7 + 80000694: 0036d813 srli a6,a3,0x3 + 80000698: 00787813 andi a6,a6,7 + 8000069c: 02080263 beqz a6,800006c0 + 800006a0: 00381613 slli a2,a6,0x3 + 800006a4: 00078893 mv a7,a5 + 800006a8: 00f60633 add a2,a2,a5 + 800006ac: 00e7b023 sd a4,0(a5) + 800006b0: 00878793 addi a5,a5,8 + 800006b4: fef61ce3 bne a2,a5,800006ac + 800006b8: 00381793 slli a5,a6,0x3 + 800006bc: 011787b3 add a5,a5,a7 + 800006c0: 0076f613 andi a2,a3,7 + 800006c4: 0ff5f593 andi a1,a1,255 + 800006c8: 00c78733 add a4,a5,a2 + 800006cc: 00060863 beqz a2,800006dc + 800006d0: 00b78023 sb a1,0(a5) + 800006d4: 00178793 addi a5,a5,1 + 800006d8: fef71ce3 bne a4,a5,800006d0 + 800006dc: 00008067 ret + 800006e0: 00060693 mv a3,a2 + 800006e4: f6dff06f j 80000650 + +00000000800006e8 : + 800006e8: 40b506b3 sub a3,a0,a1 + 800006ec: 0005c703 lbu a4,0(a1) + 800006f0: 00d587b3 add a5,a1,a3 + 800006f4: 00158593 addi a1,a1,1 + 800006f8: 00e78023 sb a4,0(a5) + 800006fc: fe0718e3 bnez a4,800006ec + 80000700: 00008067 ret + +0000000080000704 : + 80000704: 00050713 mv a4,a0 + 80000708: 00074683 lbu a3,0(a4) + 8000070c: 00070793 mv a5,a4 + 80000710: 00170713 addi a4,a4,1 + 80000714: fe069ae3 bnez a3,80000708 + 80000718: fff78793 addi a5,a5,-1 + 8000071c: 0005c703 lbu a4,0(a1) + 80000720: 00178793 addi a5,a5,1 + 80000724: 00158593 addi a1,a1,1 + 80000728: 00e78023 sb a4,0(a5) + 8000072c: fe0718e3 bnez a4,8000071c + 80000730: 00008067 ret + +0000000080000734 : + 80000734: 1a050e63 beqz a0,800008f0 + 80000738: fd010113 addi sp,sp,-48 + 8000073c: 02813023 sd s0,32(sp) + 80000740: 00913c23 sd s1,24(sp) + 80000744: 01f57793 andi a5,a0,31 + 80000748: 02113423 sd ra,40(sp) + 8000074c: 01213823 sd s2,16(sp) + 80000750: 01313423 sd s3,8(sp) + 80000754: 01413023 sd s4,0(sp) + 80000758: 00050493 mv s1,a0 + 8000075c: 00050413 mv s0,a0 + 80000760: 00000513 li a0,0 + 80000764: 14079a63 bnez a5,800008b8 + 80000768: 27f00713 li a4,639 + 8000076c: 00058913 mv s2,a1 + 80000770: 00078513 mv a0,a5 + 80000774: 14b77263 bgeu a4,a1,800008b8 + 80000778: 0074f513 andi a0,s1,7 + 8000077c: 00153513 seqz a0,a0 + 80000780: 00060a13 mv s4,a2 + 80000784: 00068993 mv s3,a3 + 80000788: 9a5ff0ef jal ra,8000012c <_assert> + 8000078c: 20048793 addi a5,s1,512 + 80000790: 2004b023 sd zero,512(s1) + 80000794: 2144b423 sd s4,520(s1) + 80000798: 2134b823 sd s3,528(s1) + 8000079c: 00043023 sd zero,0(s0) + 800007a0: 00840413 addi s0,s0,8 + 800007a4: fe879ce3 bne a5,s0,8000079c + 800007a8: fff00793 li a5,-1 + 800007ac: dc090413 addi s0,s2,-576 + 800007b0: 03f79793 slli a5,a5,0x3f + 800007b4: 1287f863 bgeu a5,s0,800008e4 + 800007b8: 00078413 mv s0,a5 + 800007bc: 00100513 li a0,1 + 800007c0: 96dff0ef jal ra,8000012c <_assert> + 800007c4: f8300793 li a5,-125 + 800007c8: 0017d793 srli a5,a5,0x1 + 800007cc: fc040513 addi a0,s0,-64 + 800007d0: 00f53533 sltu a0,a0,a5 + 800007d4: 959ff0ef jal ra,8000012c <_assert> + 800007d8: 01f4f513 andi a0,s1,31 + 800007dc: 00153513 seqz a0,a0 + 800007e0: 94dff0ef jal ra,8000012c <_assert> + 800007e4: 00100513 li a0,1 + 800007e8: 2404b023 sd zero,576(s1) + 800007ec: 2404b423 sd zero,584(s1) + 800007f0: 2484b823 sd s0,592(s1) + 800007f4: 24048c23 sb zero,600(s1) + 800007f8: 2604b023 sd zero,608(s1) + 800007fc: 2604b423 sd zero,616(s1) + 80000800: 92dff0ef jal ra,8000012c <_assert> + 80000804: 00100513 li a0,1 + 80000808: 925ff0ef jal ra,8000012c <_assert> + 8000080c: 2504b503 ld a0,592(s1) + 80000810: 24048a13 addi s4,s1,576 + 80000814: 04053513 sltiu a0,a0,64 + 80000818: 00154513 xori a0,a0,1 + 8000081c: 00157513 andi a0,a0,1 + 80000820: 90dff0ef jal ra,8000012c <_assert> + 80000824: 2504b503 ld a0,592(s1) + 80000828: 03f57513 andi a0,a0,63 + 8000082c: 00153513 seqz a0,a0 + 80000830: 8fdff0ef jal ra,8000012c <_assert> + 80000834: 2504b703 ld a4,592(s1) + 80000838: 00100793 li a5,1 + 8000083c: 00675713 srli a4,a4,0x6 + 80000840: 0ae7fc63 bgeu a5,a4,800008f8 + 80000844: 00000793 li a5,0 + 80000848: 00100693 li a3,1 + 8000084c: 0017879b addiw a5,a5,1 + 80000850: 00175713 srli a4,a4,0x1 + 80000854: 0ff7f793 andi a5,a5,255 + 80000858: fed71ae3 bne a4,a3,8000084c + 8000085c: 00078993 mv s3,a5 + 80000860: 0407b513 sltiu a0,a5,64 + 80000864: 00f71933 sll s2,a4,a5 + 80000868: 8c5ff0ef jal ra,8000012c <_assert> + 8000086c: 00399793 slli a5,s3,0x3 + 80000870: 00f487b3 add a5,s1,a5 + 80000874: 0007b703 ld a4,0(a5) + 80000878: 2604b423 sd zero,616(s1) + 8000087c: 26e4b023 sd a4,608(s1) + 80000880: 00070463 beqz a4,80000888 + 80000884: 03473423 sd s4,40(a4) + 80000888: 0147b023 sd s4,0(a5) + 8000088c: 2004b503 ld a0,512(s1) + 80000890: 00a96533 or a0,s2,a0 + 80000894: 20a4b023 sd a0,512(s1) + 80000898: 00a03533 snez a0,a0 + 8000089c: 891ff0ef jal ra,8000012c <_assert> + 800008a0: 00048513 mv a0,s1 + 800008a4: 2084bc23 sd s0,536(s1) + 800008a8: 2204b023 sd zero,544(s1) + 800008ac: 2204b423 sd zero,552(s1) + 800008b0: 2204b823 sd zero,560(s1) + 800008b4: 2204bc23 sd zero,568(s1) + 800008b8: 02813083 ld ra,40(sp) + 800008bc: 02013403 ld s0,32(sp) + 800008c0: 01813483 ld s1,24(sp) + 800008c4: 01013903 ld s2,16(sp) + 800008c8: 00813983 ld s3,8(sp) + 800008cc: 00013a03 ld s4,0(sp) + 800008d0: 03010113 addi sp,sp,48 + 800008d4: 00008067 ret + 800008d8: 00100513 li a0,1 + 800008dc: fff40413 addi s0,s0,-1 + 800008e0: 84dff0ef jal ra,8000012c <_assert> + 800008e4: 03f47793 andi a5,s0,63 + 800008e8: fe0798e3 bnez a5,800008d8 + 800008ec: ed1ff06f j 800007bc + 800008f0: 00000513 li a0,0 + 800008f4: 00008067 ret + 800008f8: 00100913 li s2,1 + 800008fc: 00000993 li s3,0 + 80000900: 00100513 li a0,1 + 80000904: f65ff06f j 80000868 diff --git a/bin/non-output/cpu-tests/sub-longlong-cpu-tests.bin b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.bin new file mode 100755 index 0000000..71ae525 Binary files /dev/null and b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/sub-longlong-cpu-tests.elf b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.elf new file mode 100755 index 0000000..2ecf723 Binary files /dev/null and b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/sub-longlong-cpu-tests.txt b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.txt new file mode 100755 index 0000000..d7af581 --- /dev/null +++ b/bin/non-output/cpu-tests/sub-longlong-cpu-tests.txt @@ -0,0 +1,230 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/sub-longlong-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 104000ef jal ra,80000110 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0c8000ef jal ra,800000ec + +0000000080000028
: + 80000028: fb010113 addi sp,sp,-80 + 8000002c: 01713423 sd s7,8(sp) + 80000030: 00000b97 auipc s7,0x0 + 80000034: 520b8b93 addi s7,s7,1312 # 80000550 + 80000038: 03313423 sd s3,40(sp) + 8000003c: 03413023 sd s4,32(sp) + 80000040: 01513c23 sd s5,24(sp) + 80000044: 01613823 sd s6,16(sp) + 80000048: 01813023 sd s8,0(sp) + 8000004c: 04113423 sd ra,72(sp) + 80000050: 04813023 sd s0,64(sp) + 80000054: 02913c23 sd s1,56(sp) + 80000058: 03213823 sd s2,48(sp) + 8000005c: 00000b17 auipc s6,0x0 + 80000060: 2f4b0b13 addi s6,s6,756 # 80000350 + 80000064: 000b8a93 mv s5,s7 + 80000068: 00000a13 li s4,0 + 8000006c: 00000997 auipc s3,0x0 + 80000070: 52498993 addi s3,s3,1316 # 80000590 + 80000074: 04000c13 li s8,64 + 80000078: 000ab903 ld s2,0(s5) + 8000007c: 000b0493 mv s1,s6 + 80000080: 000b8413 mv s0,s7 + 80000084: 00043503 ld a0,0(s0) + 80000088: 0004b783 ld a5,0(s1) + 8000008c: 00840413 addi s0,s0,8 + 80000090: 40a90533 sub a0,s2,a0 + 80000094: 40f50533 sub a0,a0,a5 + 80000098: 00153513 seqz a0,a0 + 8000009c: f75ff0ef jal ra,80000010 + 800000a0: 00848493 addi s1,s1,8 + 800000a4: fe8990e3 bne s3,s0,80000084 + 800000a8: 008a0a1b addiw s4,s4,8 + 800000ac: 008a8a93 addi s5,s5,8 + 800000b0: 040b0b13 addi s6,s6,64 + 800000b4: fd8a12e3 bne s4,s8,80000078 + 800000b8: 04813083 ld ra,72(sp) + 800000bc: 04013403 ld s0,64(sp) + 800000c0: 03813483 ld s1,56(sp) + 800000c4: 03013903 ld s2,48(sp) + 800000c8: 02813983 ld s3,40(sp) + 800000cc: 02013a03 ld s4,32(sp) + 800000d0: 01813a83 ld s5,24(sp) + 800000d4: 01013b03 ld s6,16(sp) + 800000d8: 00813b83 ld s7,8(sp) + 800000dc: 00013c03 ld s8,0(sp) + 800000e0: 00000513 li a0,0 + 800000e4: 05010113 addi sp,sp,80 + 800000e8: 00008067 ret + +00000000800000ec : + 800000ec: 00050513 mv a0,a0 + 800000f0: 0000006b 0x6b + 800000f4: 0000006f j 800000f4 + +00000000800000f8 <_assert>: + 800000f8: 00051a63 bnez a0,8000010c <_assert+0x14> + 800000fc: 00100793 li a5,1 + 80000100: 00078513 mv a0,a5 + 80000104: 0000006b 0x6b + 80000108: 0000006f j 80000108 <_assert+0x10> + 8000010c: 00008067 ret + +0000000080000110 <_trm_init>: + 80000110: ff010113 addi sp,sp,-16 + 80000114: 00113423 sd ra,8(sp) + 80000118: 01c000ef jal ra,80000134 + 8000011c: 00000517 auipc a0,0x0 + 80000120: 22c50513 addi a0,a0,556 # 80000348 + 80000124: f05ff0ef jal ra,80000028
+ 80000128: 00050513 mv a0,a0 + 8000012c: 0000006b 0x6b + 80000130: 0000006f j 80000130 <_trm_init+0x20> + +0000000080000134 : + 80000134: 00000797 auipc a5,0x0 + 80000138: 45c78793 addi a5,a5,1116 # 80000590 + 8000013c: 0007b503 ld a0,0(a5) + 80000140: 0087b583 ld a1,8(a5) + 80000144: ff010113 addi sp,sp,-16 + 80000148: 00000693 li a3,0 + 8000014c: 00000613 li a2,0 + 80000150: 40a585b3 sub a1,a1,a0 + 80000154: 00113423 sd ra,8(sp) + 80000158: 018000ef jal ra,80000170 + 8000015c: 00813083 ld ra,8(sp) + 80000160: 00000797 auipc a5,0x0 + 80000164: 44a7b023 sd a0,1088(a5) # 800005a0 + 80000168: 01010113 addi sp,sp,16 + 8000016c: 00008067 ret + +0000000080000170 : + 80000170: 1a050e63 beqz a0,8000032c + 80000174: fd010113 addi sp,sp,-48 + 80000178: 02813023 sd s0,32(sp) + 8000017c: 00913c23 sd s1,24(sp) + 80000180: 01f57793 andi a5,a0,31 + 80000184: 02113423 sd ra,40(sp) + 80000188: 01213823 sd s2,16(sp) + 8000018c: 01313423 sd s3,8(sp) + 80000190: 01413023 sd s4,0(sp) + 80000194: 00050493 mv s1,a0 + 80000198: 00050413 mv s0,a0 + 8000019c: 00000513 li a0,0 + 800001a0: 14079a63 bnez a5,800002f4 + 800001a4: 27f00713 li a4,639 + 800001a8: 00058913 mv s2,a1 + 800001ac: 00078513 mv a0,a5 + 800001b0: 14b77263 bgeu a4,a1,800002f4 + 800001b4: 0074f513 andi a0,s1,7 + 800001b8: 00153513 seqz a0,a0 + 800001bc: 00060a13 mv s4,a2 + 800001c0: 00068993 mv s3,a3 + 800001c4: f35ff0ef jal ra,800000f8 <_assert> + 800001c8: 20048793 addi a5,s1,512 + 800001cc: 2004b023 sd zero,512(s1) + 800001d0: 2144b423 sd s4,520(s1) + 800001d4: 2134b823 sd s3,528(s1) + 800001d8: 00043023 sd zero,0(s0) + 800001dc: 00840413 addi s0,s0,8 + 800001e0: fe879ce3 bne a5,s0,800001d8 + 800001e4: fff00793 li a5,-1 + 800001e8: dc090413 addi s0,s2,-576 + 800001ec: 03f79793 slli a5,a5,0x3f + 800001f0: 1287f863 bgeu a5,s0,80000320 + 800001f4: 00078413 mv s0,a5 + 800001f8: 00100513 li a0,1 + 800001fc: efdff0ef jal ra,800000f8 <_assert> + 80000200: f8300793 li a5,-125 + 80000204: 0017d793 srli a5,a5,0x1 + 80000208: fc040513 addi a0,s0,-64 + 8000020c: 00f53533 sltu a0,a0,a5 + 80000210: ee9ff0ef jal ra,800000f8 <_assert> + 80000214: 01f4f513 andi a0,s1,31 + 80000218: 00153513 seqz a0,a0 + 8000021c: eddff0ef jal ra,800000f8 <_assert> + 80000220: 00100513 li a0,1 + 80000224: 2404b023 sd zero,576(s1) + 80000228: 2404b423 sd zero,584(s1) + 8000022c: 2484b823 sd s0,592(s1) + 80000230: 24048c23 sb zero,600(s1) + 80000234: 2604b023 sd zero,608(s1) + 80000238: 2604b423 sd zero,616(s1) + 8000023c: ebdff0ef jal ra,800000f8 <_assert> + 80000240: 00100513 li a0,1 + 80000244: eb5ff0ef jal ra,800000f8 <_assert> + 80000248: 2504b503 ld a0,592(s1) + 8000024c: 24048a13 addi s4,s1,576 + 80000250: 04053513 sltiu a0,a0,64 + 80000254: 00154513 xori a0,a0,1 + 80000258: 00157513 andi a0,a0,1 + 8000025c: e9dff0ef jal ra,800000f8 <_assert> + 80000260: 2504b503 ld a0,592(s1) + 80000264: 03f57513 andi a0,a0,63 + 80000268: 00153513 seqz a0,a0 + 8000026c: e8dff0ef jal ra,800000f8 <_assert> + 80000270: 2504b703 ld a4,592(s1) + 80000274: 00100793 li a5,1 + 80000278: 00675713 srli a4,a4,0x6 + 8000027c: 0ae7fc63 bgeu a5,a4,80000334 + 80000280: 00000793 li a5,0 + 80000284: 00100693 li a3,1 + 80000288: 0017879b addiw a5,a5,1 + 8000028c: 00175713 srli a4,a4,0x1 + 80000290: 0ff7f793 andi a5,a5,255 + 80000294: fed71ae3 bne a4,a3,80000288 + 80000298: 00078993 mv s3,a5 + 8000029c: 0407b513 sltiu a0,a5,64 + 800002a0: 00f71933 sll s2,a4,a5 + 800002a4: e55ff0ef jal ra,800000f8 <_assert> + 800002a8: 00399793 slli a5,s3,0x3 + 800002ac: 00f487b3 add a5,s1,a5 + 800002b0: 0007b703 ld a4,0(a5) + 800002b4: 2604b423 sd zero,616(s1) + 800002b8: 26e4b023 sd a4,608(s1) + 800002bc: 00070463 beqz a4,800002c4 + 800002c0: 03473423 sd s4,40(a4) + 800002c4: 0147b023 sd s4,0(a5) + 800002c8: 2004b503 ld a0,512(s1) + 800002cc: 00a96533 or a0,s2,a0 + 800002d0: 20a4b023 sd a0,512(s1) + 800002d4: 00a03533 snez a0,a0 + 800002d8: e21ff0ef jal ra,800000f8 <_assert> + 800002dc: 00048513 mv a0,s1 + 800002e0: 2084bc23 sd s0,536(s1) + 800002e4: 2204b023 sd zero,544(s1) + 800002e8: 2204b423 sd zero,552(s1) + 800002ec: 2204b823 sd zero,560(s1) + 800002f0: 2204bc23 sd zero,568(s1) + 800002f4: 02813083 ld ra,40(sp) + 800002f8: 02013403 ld s0,32(sp) + 800002fc: 01813483 ld s1,24(sp) + 80000300: 01013903 ld s2,16(sp) + 80000304: 00813983 ld s3,8(sp) + 80000308: 00013a03 ld s4,0(sp) + 8000030c: 03010113 addi sp,sp,48 + 80000310: 00008067 ret + 80000314: 00100513 li a0,1 + 80000318: fff40413 addi s0,s0,-1 + 8000031c: dddff0ef jal ra,800000f8 <_assert> + 80000320: 03f47793 andi a5,s0,63 + 80000324: fe0798e3 bnez a5,80000314 + 80000328: ed1ff06f j 800001f8 + 8000032c: 00000513 li a0,0 + 80000330: 00008067 ret + 80000334: 00100913 li s2,1 + 80000338: 00000993 li s3,0 + 8000033c: 00100513 li a0,1 + 80000340: f65ff06f j 800002a4 diff --git a/bin/non-output/cpu-tests/sum-cpu-tests.bin b/bin/non-output/cpu-tests/sum-cpu-tests.bin new file mode 100755 index 0000000..17d5ad9 Binary files /dev/null and b/bin/non-output/cpu-tests/sum-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/sum-cpu-tests.elf b/bin/non-output/cpu-tests/sum-cpu-tests.elf new file mode 100755 index 0000000..68770fa Binary files /dev/null and b/bin/non-output/cpu-tests/sum-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/sum-cpu-tests.txt b/bin/non-output/cpu-tests/sum-cpu-tests.txt new file mode 100755 index 0000000..20319d0 --- /dev/null +++ b/bin/non-output/cpu-tests/sum-cpu-tests.txt @@ -0,0 +1,202 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/sum-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 094000ef jal ra,800000a0 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 058000ef jal ra,8000007c + +0000000080000028
: + 80000028: fe010113 addi sp,sp,-32 + 8000002c: 00113c23 sd ra,24(sp) + 80000030: 00012623 sw zero,12(sp) + 80000034: 00100793 li a5,1 + 80000038: 06500693 li a3,101 + 8000003c: 00c12703 lw a4,12(sp) + 80000040: 00f7073b addw a4,a4,a5 + 80000044: 00e12623 sw a4,12(sp) + 80000048: 0017879b addiw a5,a5,1 + 8000004c: fed798e3 bne a5,a3,8000003c + 80000050: 00c12503 lw a0,12(sp) + 80000054: 000017b7 lui a5,0x1 + 80000058: 3ba78793 addi a5,a5,954 # 13ba <_entry_offset+0x13ba> + 8000005c: 0005051b sext.w a0,a0 + 80000060: 40f50533 sub a0,a0,a5 + 80000064: 00153513 seqz a0,a0 + 80000068: fa9ff0ef jal ra,80000010 + 8000006c: 01813083 ld ra,24(sp) + 80000070: 00000513 li a0,0 + 80000074: 02010113 addi sp,sp,32 + 80000078: 00008067 ret + +000000008000007c : + 8000007c: 00050513 mv a0,a0 + 80000080: 0000006b 0x6b + 80000084: 0000006f j 80000084 + +0000000080000088 <_assert>: + 80000088: 00051a63 bnez a0,8000009c <_assert+0x14> + 8000008c: 00100793 li a5,1 + 80000090: 00078513 mv a0,a5 + 80000094: 0000006b 0x6b + 80000098: 0000006f j 80000098 <_assert+0x10> + 8000009c: 00008067 ret + +00000000800000a0 <_trm_init>: + 800000a0: ff010113 addi sp,sp,-16 + 800000a4: 00113423 sd ra,8(sp) + 800000a8: 01c000ef jal ra,800000c4 + 800000ac: 00000517 auipc a0,0x0 + 800000b0: 22c50513 addi a0,a0,556 # 800002d8 + 800000b4: f75ff0ef jal ra,80000028
+ 800000b8: 00050513 mv a0,a0 + 800000bc: 0000006b 0x6b + 800000c0: 0000006f j 800000c0 <_trm_init+0x20> + +00000000800000c4 : + 800000c4: 00000797 auipc a5,0x0 + 800000c8: 21c78793 addi a5,a5,540 # 800002e0 + 800000cc: 0007b503 ld a0,0(a5) + 800000d0: 0087b583 ld a1,8(a5) + 800000d4: ff010113 addi sp,sp,-16 + 800000d8: 00000693 li a3,0 + 800000dc: 00000613 li a2,0 + 800000e0: 40a585b3 sub a1,a1,a0 + 800000e4: 00113423 sd ra,8(sp) + 800000e8: 018000ef jal ra,80000100 + 800000ec: 00813083 ld ra,8(sp) + 800000f0: 00000797 auipc a5,0x0 + 800000f4: 20a7b023 sd a0,512(a5) # 800002f0 + 800000f8: 01010113 addi sp,sp,16 + 800000fc: 00008067 ret + +0000000080000100 : + 80000100: 1a050e63 beqz a0,800002bc + 80000104: fd010113 addi sp,sp,-48 + 80000108: 02813023 sd s0,32(sp) + 8000010c: 00913c23 sd s1,24(sp) + 80000110: 01f57793 andi a5,a0,31 + 80000114: 02113423 sd ra,40(sp) + 80000118: 01213823 sd s2,16(sp) + 8000011c: 01313423 sd s3,8(sp) + 80000120: 01413023 sd s4,0(sp) + 80000124: 00050493 mv s1,a0 + 80000128: 00050413 mv s0,a0 + 8000012c: 00000513 li a0,0 + 80000130: 14079a63 bnez a5,80000284 + 80000134: 27f00713 li a4,639 + 80000138: 00058913 mv s2,a1 + 8000013c: 00078513 mv a0,a5 + 80000140: 14b77263 bgeu a4,a1,80000284 + 80000144: 0074f513 andi a0,s1,7 + 80000148: 00153513 seqz a0,a0 + 8000014c: 00060a13 mv s4,a2 + 80000150: 00068993 mv s3,a3 + 80000154: f35ff0ef jal ra,80000088 <_assert> + 80000158: 20048793 addi a5,s1,512 + 8000015c: 2004b023 sd zero,512(s1) + 80000160: 2144b423 sd s4,520(s1) + 80000164: 2134b823 sd s3,528(s1) + 80000168: 00043023 sd zero,0(s0) + 8000016c: 00840413 addi s0,s0,8 + 80000170: fe879ce3 bne a5,s0,80000168 + 80000174: fff00793 li a5,-1 + 80000178: dc090413 addi s0,s2,-576 + 8000017c: 03f79793 slli a5,a5,0x3f + 80000180: 1287f863 bgeu a5,s0,800002b0 + 80000184: 00078413 mv s0,a5 + 80000188: 00100513 li a0,1 + 8000018c: efdff0ef jal ra,80000088 <_assert> + 80000190: f8300793 li a5,-125 + 80000194: 0017d793 srli a5,a5,0x1 + 80000198: fc040513 addi a0,s0,-64 + 8000019c: 00f53533 sltu a0,a0,a5 + 800001a0: ee9ff0ef jal ra,80000088 <_assert> + 800001a4: 01f4f513 andi a0,s1,31 + 800001a8: 00153513 seqz a0,a0 + 800001ac: eddff0ef jal ra,80000088 <_assert> + 800001b0: 00100513 li a0,1 + 800001b4: 2404b023 sd zero,576(s1) + 800001b8: 2404b423 sd zero,584(s1) + 800001bc: 2484b823 sd s0,592(s1) + 800001c0: 24048c23 sb zero,600(s1) + 800001c4: 2604b023 sd zero,608(s1) + 800001c8: 2604b423 sd zero,616(s1) + 800001cc: ebdff0ef jal ra,80000088 <_assert> + 800001d0: 00100513 li a0,1 + 800001d4: eb5ff0ef jal ra,80000088 <_assert> + 800001d8: 2504b503 ld a0,592(s1) + 800001dc: 24048a13 addi s4,s1,576 + 800001e0: 04053513 sltiu a0,a0,64 + 800001e4: 00154513 xori a0,a0,1 + 800001e8: 00157513 andi a0,a0,1 + 800001ec: e9dff0ef jal ra,80000088 <_assert> + 800001f0: 2504b503 ld a0,592(s1) + 800001f4: 03f57513 andi a0,a0,63 + 800001f8: 00153513 seqz a0,a0 + 800001fc: e8dff0ef jal ra,80000088 <_assert> + 80000200: 2504b703 ld a4,592(s1) + 80000204: 00100793 li a5,1 + 80000208: 00675713 srli a4,a4,0x6 + 8000020c: 0ae7fc63 bgeu a5,a4,800002c4 + 80000210: 00000793 li a5,0 + 80000214: 00100693 li a3,1 + 80000218: 0017879b addiw a5,a5,1 + 8000021c: 00175713 srli a4,a4,0x1 + 80000220: 0ff7f793 andi a5,a5,255 + 80000224: fed71ae3 bne a4,a3,80000218 + 80000228: 00078993 mv s3,a5 + 8000022c: 0407b513 sltiu a0,a5,64 + 80000230: 00f71933 sll s2,a4,a5 + 80000234: e55ff0ef jal ra,80000088 <_assert> + 80000238: 00399793 slli a5,s3,0x3 + 8000023c: 00f487b3 add a5,s1,a5 + 80000240: 0007b703 ld a4,0(a5) + 80000244: 2604b423 sd zero,616(s1) + 80000248: 26e4b023 sd a4,608(s1) + 8000024c: 00070463 beqz a4,80000254 + 80000250: 03473423 sd s4,40(a4) + 80000254: 0147b023 sd s4,0(a5) + 80000258: 2004b503 ld a0,512(s1) + 8000025c: 00a96533 or a0,s2,a0 + 80000260: 20a4b023 sd a0,512(s1) + 80000264: 00a03533 snez a0,a0 + 80000268: e21ff0ef jal ra,80000088 <_assert> + 8000026c: 00048513 mv a0,s1 + 80000270: 2084bc23 sd s0,536(s1) + 80000274: 2204b023 sd zero,544(s1) + 80000278: 2204b423 sd zero,552(s1) + 8000027c: 2204b823 sd zero,560(s1) + 80000280: 2204bc23 sd zero,568(s1) + 80000284: 02813083 ld ra,40(sp) + 80000288: 02013403 ld s0,32(sp) + 8000028c: 01813483 ld s1,24(sp) + 80000290: 01013903 ld s2,16(sp) + 80000294: 00813983 ld s3,8(sp) + 80000298: 00013a03 ld s4,0(sp) + 8000029c: 03010113 addi sp,sp,48 + 800002a0: 00008067 ret + 800002a4: 00100513 li a0,1 + 800002a8: fff40413 addi s0,s0,-1 + 800002ac: dddff0ef jal ra,80000088 <_assert> + 800002b0: 03f47793 andi a5,s0,63 + 800002b4: fe0798e3 bnez a5,800002a4 + 800002b8: ed1ff06f j 80000188 + 800002bc: 00000513 li a0,0 + 800002c0: 00008067 ret + 800002c4: 00100913 li s2,1 + 800002c8: 00000993 li s3,0 + 800002cc: 00100513 li a0,1 + 800002d0: f65ff06f j 80000234 diff --git a/bin/non-output/cpu-tests/switch-cpu-tests.bin b/bin/non-output/cpu-tests/switch-cpu-tests.bin new file mode 100755 index 0000000..7b19be4 Binary files /dev/null and b/bin/non-output/cpu-tests/switch-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/switch-cpu-tests.elf b/bin/non-output/cpu-tests/switch-cpu-tests.elf new file mode 100755 index 0000000..5d9ef59 Binary files /dev/null and b/bin/non-output/cpu-tests/switch-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/switch-cpu-tests.txt b/bin/non-output/cpu-tests/switch-cpu-tests.txt new file mode 100755 index 0000000..fd97046 --- /dev/null +++ b/bin/non-output/cpu-tests/switch-cpu-tests.txt @@ -0,0 +1,220 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/switch-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 0dc000ef jal ra,800000e8 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0a0000ef jal ra,800000c4 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 02813023 sd s0,32(sp) + 80000030: 00913c23 sd s1,24(sp) + 80000034: 01213823 sd s2,16(sp) + 80000038: 01313423 sd s3,8(sp) + 8000003c: 01413023 sd s4,0(sp) + 80000040: 02113423 sd ra,40(sp) + 80000044: 00000497 auipc s1,0x0 + 80000048: 31c48493 addi s1,s1,796 # 80000360 + 8000004c: 00000413 li s0,0 + 80000050: fff00713 li a4,-1 + 80000054: 00e00993 li s3,14 + 80000058: 00d00a13 li s4,13 + 8000005c: 00000917 auipc s2,0x0 + 80000060: 2c490913 addi s2,s2,708 # 80000320 + 80000064: 0004a503 lw a0,0(s1) + 80000068: 00448493 addi s1,s1,4 + 8000006c: 40e50533 sub a0,a0,a4 + 80000070: 00153513 seqz a0,a0 + 80000074: f9dff0ef jal ra,80000010 + 80000078: 00241793 slli a5,s0,0x2 + 8000007c: 00f907b3 add a5,s2,a5 + 80000080: 01340c63 beq s0,s3,80000098 + 80000084: fff00713 li a4,-1 + 80000088: 01440463 beq s0,s4,80000090 + 8000008c: 0007a703 lw a4,0(a5) + 80000090: 00140413 addi s0,s0,1 + 80000094: fd1ff06f j 80000064 + 80000098: 00100513 li a0,1 + 8000009c: f75ff0ef jal ra,80000010 + 800000a0: 02813083 ld ra,40(sp) + 800000a4: 02013403 ld s0,32(sp) + 800000a8: 01813483 ld s1,24(sp) + 800000ac: 01013903 ld s2,16(sp) + 800000b0: 00813983 ld s3,8(sp) + 800000b4: 00013a03 ld s4,0(sp) + 800000b8: 00000513 li a0,0 + 800000bc: 03010113 addi sp,sp,48 + 800000c0: 00008067 ret + +00000000800000c4 : + 800000c4: 00050513 mv a0,a0 + 800000c8: 0000006b 0x6b + 800000cc: 0000006f j 800000cc + +00000000800000d0 <_assert>: + 800000d0: 00051a63 bnez a0,800000e4 <_assert+0x14> + 800000d4: 00100793 li a5,1 + 800000d8: 00078513 mv a0,a5 + 800000dc: 0000006b 0x6b + 800000e0: 0000006f j 800000e0 <_assert+0x10> + 800000e4: 00008067 ret + +00000000800000e8 <_trm_init>: + 800000e8: ff010113 addi sp,sp,-16 + 800000ec: 00113423 sd ra,8(sp) + 800000f0: 01c000ef jal ra,8000010c + 800000f4: 00000517 auipc a0,0x0 + 800000f8: 26450513 addi a0,a0,612 # 80000358 + 800000fc: f2dff0ef jal ra,80000028
+ 80000100: 00050513 mv a0,a0 + 80000104: 0000006b 0x6b + 80000108: 0000006f j 80000108 <_trm_init+0x20> + +000000008000010c : + 8000010c: 00000797 auipc a5,0x0 + 80000110: 29478793 addi a5,a5,660 # 800003a0 + 80000114: 0007b503 ld a0,0(a5) + 80000118: 0087b583 ld a1,8(a5) + 8000011c: ff010113 addi sp,sp,-16 + 80000120: 00000693 li a3,0 + 80000124: 00000613 li a2,0 + 80000128: 40a585b3 sub a1,a1,a0 + 8000012c: 00113423 sd ra,8(sp) + 80000130: 018000ef jal ra,80000148 + 80000134: 00813083 ld ra,8(sp) + 80000138: 00000797 auipc a5,0x0 + 8000013c: 26a7bc23 sd a0,632(a5) # 800003b0 + 80000140: 01010113 addi sp,sp,16 + 80000144: 00008067 ret + +0000000080000148 : + 80000148: 1a050e63 beqz a0,80000304 + 8000014c: fd010113 addi sp,sp,-48 + 80000150: 02813023 sd s0,32(sp) + 80000154: 00913c23 sd s1,24(sp) + 80000158: 01f57793 andi a5,a0,31 + 8000015c: 02113423 sd ra,40(sp) + 80000160: 01213823 sd s2,16(sp) + 80000164: 01313423 sd s3,8(sp) + 80000168: 01413023 sd s4,0(sp) + 8000016c: 00050493 mv s1,a0 + 80000170: 00050413 mv s0,a0 + 80000174: 00000513 li a0,0 + 80000178: 14079a63 bnez a5,800002cc + 8000017c: 27f00713 li a4,639 + 80000180: 00058913 mv s2,a1 + 80000184: 00078513 mv a0,a5 + 80000188: 14b77263 bgeu a4,a1,800002cc + 8000018c: 0074f513 andi a0,s1,7 + 80000190: 00153513 seqz a0,a0 + 80000194: 00060a13 mv s4,a2 + 80000198: 00068993 mv s3,a3 + 8000019c: f35ff0ef jal ra,800000d0 <_assert> + 800001a0: 20048793 addi a5,s1,512 + 800001a4: 2004b023 sd zero,512(s1) + 800001a8: 2144b423 sd s4,520(s1) + 800001ac: 2134b823 sd s3,528(s1) + 800001b0: 00043023 sd zero,0(s0) + 800001b4: 00840413 addi s0,s0,8 + 800001b8: fe879ce3 bne a5,s0,800001b0 + 800001bc: fff00793 li a5,-1 + 800001c0: dc090413 addi s0,s2,-576 + 800001c4: 03f79793 slli a5,a5,0x3f + 800001c8: 1287f863 bgeu a5,s0,800002f8 + 800001cc: 00078413 mv s0,a5 + 800001d0: 00100513 li a0,1 + 800001d4: efdff0ef jal ra,800000d0 <_assert> + 800001d8: f8300793 li a5,-125 + 800001dc: 0017d793 srli a5,a5,0x1 + 800001e0: fc040513 addi a0,s0,-64 + 800001e4: 00f53533 sltu a0,a0,a5 + 800001e8: ee9ff0ef jal ra,800000d0 <_assert> + 800001ec: 01f4f513 andi a0,s1,31 + 800001f0: 00153513 seqz a0,a0 + 800001f4: eddff0ef jal ra,800000d0 <_assert> + 800001f8: 00100513 li a0,1 + 800001fc: 2404b023 sd zero,576(s1) + 80000200: 2404b423 sd zero,584(s1) + 80000204: 2484b823 sd s0,592(s1) + 80000208: 24048c23 sb zero,600(s1) + 8000020c: 2604b023 sd zero,608(s1) + 80000210: 2604b423 sd zero,616(s1) + 80000214: ebdff0ef jal ra,800000d0 <_assert> + 80000218: 00100513 li a0,1 + 8000021c: eb5ff0ef jal ra,800000d0 <_assert> + 80000220: 2504b503 ld a0,592(s1) + 80000224: 24048a13 addi s4,s1,576 + 80000228: 04053513 sltiu a0,a0,64 + 8000022c: 00154513 xori a0,a0,1 + 80000230: 00157513 andi a0,a0,1 + 80000234: e9dff0ef jal ra,800000d0 <_assert> + 80000238: 2504b503 ld a0,592(s1) + 8000023c: 03f57513 andi a0,a0,63 + 80000240: 00153513 seqz a0,a0 + 80000244: e8dff0ef jal ra,800000d0 <_assert> + 80000248: 2504b703 ld a4,592(s1) + 8000024c: 00100793 li a5,1 + 80000250: 00675713 srli a4,a4,0x6 + 80000254: 0ae7fc63 bgeu a5,a4,8000030c + 80000258: 00000793 li a5,0 + 8000025c: 00100693 li a3,1 + 80000260: 0017879b addiw a5,a5,1 + 80000264: 00175713 srli a4,a4,0x1 + 80000268: 0ff7f793 andi a5,a5,255 + 8000026c: fed71ae3 bne a4,a3,80000260 + 80000270: 00078993 mv s3,a5 + 80000274: 0407b513 sltiu a0,a5,64 + 80000278: 00f71933 sll s2,a4,a5 + 8000027c: e55ff0ef jal ra,800000d0 <_assert> + 80000280: 00399793 slli a5,s3,0x3 + 80000284: 00f487b3 add a5,s1,a5 + 80000288: 0007b703 ld a4,0(a5) + 8000028c: 2604b423 sd zero,616(s1) + 80000290: 26e4b023 sd a4,608(s1) + 80000294: 00070463 beqz a4,8000029c + 80000298: 03473423 sd s4,40(a4) + 8000029c: 0147b023 sd s4,0(a5) + 800002a0: 2004b503 ld a0,512(s1) + 800002a4: 00a96533 or a0,s2,a0 + 800002a8: 20a4b023 sd a0,512(s1) + 800002ac: 00a03533 snez a0,a0 + 800002b0: e21ff0ef jal ra,800000d0 <_assert> + 800002b4: 00048513 mv a0,s1 + 800002b8: 2084bc23 sd s0,536(s1) + 800002bc: 2204b023 sd zero,544(s1) + 800002c0: 2204b423 sd zero,552(s1) + 800002c4: 2204b823 sd zero,560(s1) + 800002c8: 2204bc23 sd zero,568(s1) + 800002cc: 02813083 ld ra,40(sp) + 800002d0: 02013403 ld s0,32(sp) + 800002d4: 01813483 ld s1,24(sp) + 800002d8: 01013903 ld s2,16(sp) + 800002dc: 00813983 ld s3,8(sp) + 800002e0: 00013a03 ld s4,0(sp) + 800002e4: 03010113 addi sp,sp,48 + 800002e8: 00008067 ret + 800002ec: 00100513 li a0,1 + 800002f0: fff40413 addi s0,s0,-1 + 800002f4: dddff0ef jal ra,800000d0 <_assert> + 800002f8: 03f47793 andi a5,s0,63 + 800002fc: fe0798e3 bnez a5,800002ec + 80000300: ed1ff06f j 800001d0 + 80000304: 00000513 li a0,0 + 80000308: 00008067 ret + 8000030c: 00100913 li s2,1 + 80000310: 00000993 li s3,0 + 80000314: 00100513 li a0,1 + 80000318: f65ff06f j 8000027c diff --git a/bin/non-output/cpu-tests/to-lower-case-cpu-tests.bin b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.bin new file mode 100755 index 0000000..96f690c Binary files /dev/null and b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/to-lower-case-cpu-tests.elf b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.elf new file mode 100755 index 0000000..6c45fa5 Binary files /dev/null and b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/to-lower-case-cpu-tests.txt b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.txt new file mode 100755 index 0000000..97b02b7 --- /dev/null +++ b/bin/non-output/cpu-tests/to-lower-case-cpu-tests.txt @@ -0,0 +1,216 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/to-lower-case-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 0cc000ef jal ra,800000d8 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 090000ef jal ra,800000b4 + +0000000080000028
: + 80000028: fd010113 addi sp,sp,-48 + 8000002c: 02813023 sd s0,32(sp) + 80000030: 00913c23 sd s1,24(sp) + 80000034: 01213823 sd s2,16(sp) + 80000038: 01313423 sd s3,8(sp) + 8000003c: 02113423 sd ra,40(sp) + 80000040: 00000413 li s0,0 + 80000044: 00000793 li a5,0 + 80000048: 00000917 auipc s2,0x0 + 8000004c: 2d090913 addi s2,s2,720 # 80000318 + 80000050: 08000493 li s1,128 + 80000054: 01900993 li s3,25 + 80000058: 00890733 add a4,s2,s0 + 8000005c: 00074503 lbu a0,0(a4) + 80000060: 0014041b addiw s0,s0,1 + 80000064: 0ff57513 andi a0,a0,255 + 80000068: 40f50533 sub a0,a0,a5 + 8000006c: 00153513 seqz a0,a0 + 80000070: fa1ff0ef jal ra,80000010 + 80000074: 0ff47793 andi a5,s0,255 + 80000078: fbf7871b addiw a4,a5,-65 + 8000007c: 0ff77713 andi a4,a4,255 + 80000080: 0207869b addiw a3,a5,32 + 80000084: 00940863 beq s0,s1,80000094 + 80000088: fce9e8e3 bltu s3,a4,80000058 + 8000008c: 0ff6f793 andi a5,a3,255 + 80000090: fc9ff06f j 80000058 + 80000094: 02813083 ld ra,40(sp) + 80000098: 02013403 ld s0,32(sp) + 8000009c: 01813483 ld s1,24(sp) + 800000a0: 01013903 ld s2,16(sp) + 800000a4: 00813983 ld s3,8(sp) + 800000a8: 00000513 li a0,0 + 800000ac: 03010113 addi sp,sp,48 + 800000b0: 00008067 ret + +00000000800000b4 : + 800000b4: 00050513 mv a0,a0 + 800000b8: 0000006b 0x6b + 800000bc: 0000006f j 800000bc + +00000000800000c0 <_assert>: + 800000c0: 00051a63 bnez a0,800000d4 <_assert+0x14> + 800000c4: 00100793 li a5,1 + 800000c8: 00078513 mv a0,a5 + 800000cc: 0000006b 0x6b + 800000d0: 0000006f j 800000d0 <_assert+0x10> + 800000d4: 00008067 ret + +00000000800000d8 <_trm_init>: + 800000d8: ff010113 addi sp,sp,-16 + 800000dc: 00113423 sd ra,8(sp) + 800000e0: 01c000ef jal ra,800000fc + 800000e4: 00000517 auipc a0,0x0 + 800000e8: 22c50513 addi a0,a0,556 # 80000310 + 800000ec: f3dff0ef jal ra,80000028
+ 800000f0: 00050513 mv a0,a0 + 800000f4: 0000006b 0x6b + 800000f8: 0000006f j 800000f8 <_trm_init+0x20> + +00000000800000fc : + 800000fc: 00000797 auipc a5,0x0 + 80000100: 29c78793 addi a5,a5,668 # 80000398 + 80000104: 0007b503 ld a0,0(a5) + 80000108: 0087b583 ld a1,8(a5) + 8000010c: ff010113 addi sp,sp,-16 + 80000110: 00000693 li a3,0 + 80000114: 00000613 li a2,0 + 80000118: 40a585b3 sub a1,a1,a0 + 8000011c: 00113423 sd ra,8(sp) + 80000120: 018000ef jal ra,80000138 + 80000124: 00813083 ld ra,8(sp) + 80000128: 00000797 auipc a5,0x0 + 8000012c: 28a7b023 sd a0,640(a5) # 800003a8 + 80000130: 01010113 addi sp,sp,16 + 80000134: 00008067 ret + +0000000080000138 : + 80000138: 1a050e63 beqz a0,800002f4 + 8000013c: fd010113 addi sp,sp,-48 + 80000140: 02813023 sd s0,32(sp) + 80000144: 00913c23 sd s1,24(sp) + 80000148: 01f57793 andi a5,a0,31 + 8000014c: 02113423 sd ra,40(sp) + 80000150: 01213823 sd s2,16(sp) + 80000154: 01313423 sd s3,8(sp) + 80000158: 01413023 sd s4,0(sp) + 8000015c: 00050493 mv s1,a0 + 80000160: 00050413 mv s0,a0 + 80000164: 00000513 li a0,0 + 80000168: 14079a63 bnez a5,800002bc + 8000016c: 27f00713 li a4,639 + 80000170: 00058913 mv s2,a1 + 80000174: 00078513 mv a0,a5 + 80000178: 14b77263 bgeu a4,a1,800002bc + 8000017c: 0074f513 andi a0,s1,7 + 80000180: 00153513 seqz a0,a0 + 80000184: 00060a13 mv s4,a2 + 80000188: 00068993 mv s3,a3 + 8000018c: f35ff0ef jal ra,800000c0 <_assert> + 80000190: 20048793 addi a5,s1,512 + 80000194: 2004b023 sd zero,512(s1) + 80000198: 2144b423 sd s4,520(s1) + 8000019c: 2134b823 sd s3,528(s1) + 800001a0: 00043023 sd zero,0(s0) + 800001a4: 00840413 addi s0,s0,8 + 800001a8: fe879ce3 bne a5,s0,800001a0 + 800001ac: fff00793 li a5,-1 + 800001b0: dc090413 addi s0,s2,-576 + 800001b4: 03f79793 slli a5,a5,0x3f + 800001b8: 1287f863 bgeu a5,s0,800002e8 + 800001bc: 00078413 mv s0,a5 + 800001c0: 00100513 li a0,1 + 800001c4: efdff0ef jal ra,800000c0 <_assert> + 800001c8: f8300793 li a5,-125 + 800001cc: 0017d793 srli a5,a5,0x1 + 800001d0: fc040513 addi a0,s0,-64 + 800001d4: 00f53533 sltu a0,a0,a5 + 800001d8: ee9ff0ef jal ra,800000c0 <_assert> + 800001dc: 01f4f513 andi a0,s1,31 + 800001e0: 00153513 seqz a0,a0 + 800001e4: eddff0ef jal ra,800000c0 <_assert> + 800001e8: 00100513 li a0,1 + 800001ec: 2404b023 sd zero,576(s1) + 800001f0: 2404b423 sd zero,584(s1) + 800001f4: 2484b823 sd s0,592(s1) + 800001f8: 24048c23 sb zero,600(s1) + 800001fc: 2604b023 sd zero,608(s1) + 80000200: 2604b423 sd zero,616(s1) + 80000204: ebdff0ef jal ra,800000c0 <_assert> + 80000208: 00100513 li a0,1 + 8000020c: eb5ff0ef jal ra,800000c0 <_assert> + 80000210: 2504b503 ld a0,592(s1) + 80000214: 24048a13 addi s4,s1,576 + 80000218: 04053513 sltiu a0,a0,64 + 8000021c: 00154513 xori a0,a0,1 + 80000220: 00157513 andi a0,a0,1 + 80000224: e9dff0ef jal ra,800000c0 <_assert> + 80000228: 2504b503 ld a0,592(s1) + 8000022c: 03f57513 andi a0,a0,63 + 80000230: 00153513 seqz a0,a0 + 80000234: e8dff0ef jal ra,800000c0 <_assert> + 80000238: 2504b703 ld a4,592(s1) + 8000023c: 00100793 li a5,1 + 80000240: 00675713 srli a4,a4,0x6 + 80000244: 0ae7fc63 bgeu a5,a4,800002fc + 80000248: 00000793 li a5,0 + 8000024c: 00100693 li a3,1 + 80000250: 0017879b addiw a5,a5,1 + 80000254: 00175713 srli a4,a4,0x1 + 80000258: 0ff7f793 andi a5,a5,255 + 8000025c: fed71ae3 bne a4,a3,80000250 + 80000260: 00078993 mv s3,a5 + 80000264: 0407b513 sltiu a0,a5,64 + 80000268: 00f71933 sll s2,a4,a5 + 8000026c: e55ff0ef jal ra,800000c0 <_assert> + 80000270: 00399793 slli a5,s3,0x3 + 80000274: 00f487b3 add a5,s1,a5 + 80000278: 0007b703 ld a4,0(a5) + 8000027c: 2604b423 sd zero,616(s1) + 80000280: 26e4b023 sd a4,608(s1) + 80000284: 00070463 beqz a4,8000028c + 80000288: 03473423 sd s4,40(a4) + 8000028c: 0147b023 sd s4,0(a5) + 80000290: 2004b503 ld a0,512(s1) + 80000294: 00a96533 or a0,s2,a0 + 80000298: 20a4b023 sd a0,512(s1) + 8000029c: 00a03533 snez a0,a0 + 800002a0: e21ff0ef jal ra,800000c0 <_assert> + 800002a4: 00048513 mv a0,s1 + 800002a8: 2084bc23 sd s0,536(s1) + 800002ac: 2204b023 sd zero,544(s1) + 800002b0: 2204b423 sd zero,552(s1) + 800002b4: 2204b823 sd zero,560(s1) + 800002b8: 2204bc23 sd zero,568(s1) + 800002bc: 02813083 ld ra,40(sp) + 800002c0: 02013403 ld s0,32(sp) + 800002c4: 01813483 ld s1,24(sp) + 800002c8: 01013903 ld s2,16(sp) + 800002cc: 00813983 ld s3,8(sp) + 800002d0: 00013a03 ld s4,0(sp) + 800002d4: 03010113 addi sp,sp,48 + 800002d8: 00008067 ret + 800002dc: 00100513 li a0,1 + 800002e0: fff40413 addi s0,s0,-1 + 800002e4: dddff0ef jal ra,800000c0 <_assert> + 800002e8: 03f47793 andi a5,s0,63 + 800002ec: fe0798e3 bnez a5,800002dc + 800002f0: ed1ff06f j 800001c0 + 800002f4: 00000513 li a0,0 + 800002f8: 00008067 ret + 800002fc: 00100913 li s2,1 + 80000300: 00000993 li s3,0 + 80000304: 00100513 li a0,1 + 80000308: f65ff06f j 8000026c diff --git a/bin/non-output/cpu-tests/unalign-cpu-tests.bin b/bin/non-output/cpu-tests/unalign-cpu-tests.bin new file mode 100755 index 0000000..b5b33c5 Binary files /dev/null and b/bin/non-output/cpu-tests/unalign-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/unalign-cpu-tests.elf b/bin/non-output/cpu-tests/unalign-cpu-tests.elf new file mode 100755 index 0000000..a2b3656 Binary files /dev/null and b/bin/non-output/cpu-tests/unalign-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/unalign-cpu-tests.txt b/bin/non-output/cpu-tests/unalign-cpu-tests.txt new file mode 100755 index 0000000..c3cffb5 --- /dev/null +++ b/bin/non-output/cpu-tests/unalign-cpu-tests.txt @@ -0,0 +1,249 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/unalign-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 150000ef jal ra,8000015c <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 114000ef jal ra,80000138 + +0000000080000028
: + 80000028: fb010113 addi sp,sp,-80 + 8000002c: 02913c23 sd s1,56(sp) + 80000030: aabbd4b7 lui s1,0xaabbd + 80000034: 04813023 sd s0,64(sp) + 80000038: 03213823 sd s2,48(sp) + 8000003c: 03313423 sd s3,40(sp) + 80000040: 03413023 sd s4,32(sp) + 80000044: 01513c23 sd s5,24(sp) + 80000048: 01613823 sd s6,16(sp) + 8000004c: 01713423 sd s7,8(sp) + 80000050: 04113423 sd ra,72(sp) + 80000054: 00400b13 li s6,4 + 80000058: 00000417 auipc s0,0x0 + 8000005c: 35840413 addi s0,s0,856 # 800003b0 + 80000060: 00000b97 auipc s7,0x0 + 80000064: 334b8b93 addi s7,s7,820 # 80000394 + 80000068: fdd00a93 li s5,-35 + 8000006c: fcc00a13 li s4,-52 + 80000070: fbb00993 li s3,-69 + 80000074: faa00913 li s2,-86 + 80000078: cdd48493 addi s1,s1,-803 # ffffffffaabbccdd <_end+0xffffffff2abb3cdd> + 8000007c: 00344783 lbu a5,3(s0) + 80000080: 015401a3 sb s5,3(s0) + 80000084: 00444783 lbu a5,4(s0) + 80000088: 01440223 sb s4,4(s0) + 8000008c: 00544783 lbu a5,5(s0) + 80000090: 013402a3 sb s3,5(s0) + 80000094: 00644783 lbu a5,6(s0) + 80000098: 01240323 sb s2,6(s0) + 8000009c: 00344603 lbu a2,3(s0) + 800000a0: 00444683 lbu a3,4(s0) + 800000a4: 00544703 lbu a4,5(s0) + 800000a8: 00644783 lbu a5,6(s0) + 800000ac: 0ff6f693 andi a3,a3,255 + 800000b0: 0ff67613 andi a2,a2,255 + 800000b4: 00869693 slli a3,a3,0x8 + 800000b8: 0ff77713 andi a4,a4,255 + 800000bc: 00c6e6b3 or a3,a3,a2 + 800000c0: 01071713 slli a4,a4,0x10 + 800000c4: 0ff7f793 andi a5,a5,255 + 800000c8: 00d76733 or a4,a4,a3 + 800000cc: 01879793 slli a5,a5,0x18 + 800000d0: 00e7e7b3 or a5,a5,a4 + 800000d4: 0007879b sext.w a5,a5 + 800000d8: 00fba023 sw a5,0(s7) + 800000dc: 000ba503 lw a0,0(s7) + 800000e0: fffb0b1b addiw s6,s6,-1 + 800000e4: 0005051b sext.w a0,a0 + 800000e8: 40950533 sub a0,a0,s1 + 800000ec: 00153513 seqz a0,a0 + 800000f0: f21ff0ef jal ra,80000010 + 800000f4: 00000797 auipc a5,0x0 + 800000f8: 2a078ea3 sb zero,701(a5) # 800003b1 + 800000fc: 00000797 auipc a5,0x0 + 80000100: 2a078a23 sb zero,692(a5) # 800003b0 + 80000104: f60b1ce3 bnez s6,8000007c + 80000108: 04813083 ld ra,72(sp) + 8000010c: 04013403 ld s0,64(sp) + 80000110: 03813483 ld s1,56(sp) + 80000114: 03013903 ld s2,48(sp) + 80000118: 02813983 ld s3,40(sp) + 8000011c: 02013a03 ld s4,32(sp) + 80000120: 01813a83 ld s5,24(sp) + 80000124: 01013b03 ld s6,16(sp) + 80000128: 00813b83 ld s7,8(sp) + 8000012c: 00000513 li a0,0 + 80000130: 05010113 addi sp,sp,80 + 80000134: 00008067 ret + +0000000080000138 : + 80000138: 00050513 mv a0,a0 + 8000013c: 0000006b 0x6b + 80000140: 0000006f j 80000140 + +0000000080000144 <_assert>: + 80000144: 00051a63 bnez a0,80000158 <_assert+0x14> + 80000148: 00100793 li a5,1 + 8000014c: 00078513 mv a0,a5 + 80000150: 0000006b 0x6b + 80000154: 0000006f j 80000154 <_assert+0x10> + 80000158: 00008067 ret + +000000008000015c <_trm_init>: + 8000015c: ff010113 addi sp,sp,-16 + 80000160: 00113423 sd ra,8(sp) + 80000164: 01c000ef jal ra,80000180 + 80000168: 00000517 auipc a0,0x0 + 8000016c: 22850513 addi a0,a0,552 # 80000390 <_etext> + 80000170: eb9ff0ef jal ra,80000028
+ 80000174: 00050513 mv a0,a0 + 80000178: 0000006b 0x6b + 8000017c: 0000006f j 8000017c <_trm_init+0x20> + +0000000080000180 : + 80000180: 00000797 auipc a5,0x0 + 80000184: 21878793 addi a5,a5,536 # 80000398 + 80000188: 0007b503 ld a0,0(a5) + 8000018c: 0087b583 ld a1,8(a5) + 80000190: ff010113 addi sp,sp,-16 + 80000194: 00000693 li a3,0 + 80000198: 00000613 li a2,0 + 8000019c: 40a585b3 sub a1,a1,a0 + 800001a0: 00113423 sd ra,8(sp) + 800001a4: 018000ef jal ra,800001bc + 800001a8: 00813083 ld ra,8(sp) + 800001ac: 00000797 auipc a5,0x0 + 800001b0: 1ea7be23 sd a0,508(a5) # 800003a8 + 800001b4: 01010113 addi sp,sp,16 + 800001b8: 00008067 ret + +00000000800001bc : + 800001bc: 1a050e63 beqz a0,80000378 + 800001c0: fd010113 addi sp,sp,-48 + 800001c4: 02813023 sd s0,32(sp) + 800001c8: 00913c23 sd s1,24(sp) + 800001cc: 01f57793 andi a5,a0,31 + 800001d0: 02113423 sd ra,40(sp) + 800001d4: 01213823 sd s2,16(sp) + 800001d8: 01313423 sd s3,8(sp) + 800001dc: 01413023 sd s4,0(sp) + 800001e0: 00050493 mv s1,a0 + 800001e4: 00050413 mv s0,a0 + 800001e8: 00000513 li a0,0 + 800001ec: 14079a63 bnez a5,80000340 + 800001f0: 27f00713 li a4,639 + 800001f4: 00058913 mv s2,a1 + 800001f8: 00078513 mv a0,a5 + 800001fc: 14b77263 bgeu a4,a1,80000340 + 80000200: 0074f513 andi a0,s1,7 + 80000204: 00153513 seqz a0,a0 + 80000208: 00060a13 mv s4,a2 + 8000020c: 00068993 mv s3,a3 + 80000210: f35ff0ef jal ra,80000144 <_assert> + 80000214: 20048793 addi a5,s1,512 + 80000218: 2004b023 sd zero,512(s1) + 8000021c: 2144b423 sd s4,520(s1) + 80000220: 2134b823 sd s3,528(s1) + 80000224: 00043023 sd zero,0(s0) + 80000228: 00840413 addi s0,s0,8 + 8000022c: fe879ce3 bne a5,s0,80000224 + 80000230: fff00793 li a5,-1 + 80000234: dc090413 addi s0,s2,-576 + 80000238: 03f79793 slli a5,a5,0x3f + 8000023c: 1287f863 bgeu a5,s0,8000036c + 80000240: 00078413 mv s0,a5 + 80000244: 00100513 li a0,1 + 80000248: efdff0ef jal ra,80000144 <_assert> + 8000024c: f8300793 li a5,-125 + 80000250: 0017d793 srli a5,a5,0x1 + 80000254: fc040513 addi a0,s0,-64 + 80000258: 00f53533 sltu a0,a0,a5 + 8000025c: ee9ff0ef jal ra,80000144 <_assert> + 80000260: 01f4f513 andi a0,s1,31 + 80000264: 00153513 seqz a0,a0 + 80000268: eddff0ef jal ra,80000144 <_assert> + 8000026c: 00100513 li a0,1 + 80000270: 2404b023 sd zero,576(s1) + 80000274: 2404b423 sd zero,584(s1) + 80000278: 2484b823 sd s0,592(s1) + 8000027c: 24048c23 sb zero,600(s1) + 80000280: 2604b023 sd zero,608(s1) + 80000284: 2604b423 sd zero,616(s1) + 80000288: ebdff0ef jal ra,80000144 <_assert> + 8000028c: 00100513 li a0,1 + 80000290: eb5ff0ef jal ra,80000144 <_assert> + 80000294: 2504b503 ld a0,592(s1) + 80000298: 24048a13 addi s4,s1,576 + 8000029c: 04053513 sltiu a0,a0,64 + 800002a0: 00154513 xori a0,a0,1 + 800002a4: 00157513 andi a0,a0,1 + 800002a8: e9dff0ef jal ra,80000144 <_assert> + 800002ac: 2504b503 ld a0,592(s1) + 800002b0: 03f57513 andi a0,a0,63 + 800002b4: 00153513 seqz a0,a0 + 800002b8: e8dff0ef jal ra,80000144 <_assert> + 800002bc: 2504b703 ld a4,592(s1) + 800002c0: 00100793 li a5,1 + 800002c4: 00675713 srli a4,a4,0x6 + 800002c8: 0ae7fc63 bgeu a5,a4,80000380 + 800002cc: 00000793 li a5,0 + 800002d0: 00100693 li a3,1 + 800002d4: 0017879b addiw a5,a5,1 + 800002d8: 00175713 srli a4,a4,0x1 + 800002dc: 0ff7f793 andi a5,a5,255 + 800002e0: fed71ae3 bne a4,a3,800002d4 + 800002e4: 00078993 mv s3,a5 + 800002e8: 0407b513 sltiu a0,a5,64 + 800002ec: 00f71933 sll s2,a4,a5 + 800002f0: e55ff0ef jal ra,80000144 <_assert> + 800002f4: 00399793 slli a5,s3,0x3 + 800002f8: 00f487b3 add a5,s1,a5 + 800002fc: 0007b703 ld a4,0(a5) + 80000300: 2604b423 sd zero,616(s1) + 80000304: 26e4b023 sd a4,608(s1) + 80000308: 00070463 beqz a4,80000310 + 8000030c: 03473423 sd s4,40(a4) + 80000310: 0147b023 sd s4,0(a5) + 80000314: 2004b503 ld a0,512(s1) + 80000318: 00a96533 or a0,s2,a0 + 8000031c: 20a4b023 sd a0,512(s1) + 80000320: 00a03533 snez a0,a0 + 80000324: e21ff0ef jal ra,80000144 <_assert> + 80000328: 00048513 mv a0,s1 + 8000032c: 2084bc23 sd s0,536(s1) + 80000330: 2204b023 sd zero,544(s1) + 80000334: 2204b423 sd zero,552(s1) + 80000338: 2204b823 sd zero,560(s1) + 8000033c: 2204bc23 sd zero,568(s1) + 80000340: 02813083 ld ra,40(sp) + 80000344: 02013403 ld s0,32(sp) + 80000348: 01813483 ld s1,24(sp) + 8000034c: 01013903 ld s2,16(sp) + 80000350: 00813983 ld s3,8(sp) + 80000354: 00013a03 ld s4,0(sp) + 80000358: 03010113 addi sp,sp,48 + 8000035c: 00008067 ret + 80000360: 00100513 li a0,1 + 80000364: fff40413 addi s0,s0,-1 + 80000368: dddff0ef jal ra,80000144 <_assert> + 8000036c: 03f47793 andi a5,s0,63 + 80000370: fe0798e3 bnez a5,80000360 + 80000374: ed1ff06f j 80000244 + 80000378: 00000513 li a0,0 + 8000037c: 00008067 ret + 80000380: 00100913 li s2,1 + 80000384: 00000993 li s3,0 + 80000388: 00100513 li a0,1 + 8000038c: f65ff06f j 800002f0 diff --git a/bin/non-output/cpu-tests/wanshu-cpu-tests.bin b/bin/non-output/cpu-tests/wanshu-cpu-tests.bin new file mode 100755 index 0000000..4a183be Binary files /dev/null and b/bin/non-output/cpu-tests/wanshu-cpu-tests.bin differ diff --git a/bin/non-output/cpu-tests/wanshu-cpu-tests.elf b/bin/non-output/cpu-tests/wanshu-cpu-tests.elf new file mode 100755 index 0000000..c68c443 Binary files /dev/null and b/bin/non-output/cpu-tests/wanshu-cpu-tests.elf differ diff --git a/bin/non-output/cpu-tests/wanshu-cpu-tests.txt b/bin/non-output/cpu-tests/wanshu-cpu-tests.txt new file mode 100755 index 0000000..3734b6b --- /dev/null +++ b/bin/non-output/cpu-tests/wanshu-cpu-tests.txt @@ -0,0 +1,314 @@ + +/home/hzb/test/am-kernels/tests/cpu-tests/build/wanshu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00009117 auipc sp,0x9 + 80000008: ffc10113 addi sp,sp,-4 # 80009000 <_end> + 8000000c: 11c000ef jal ra,80000128 <_trm_init> + +0000000080000010 : + 80000010: 00050463 beqz a0,80000018 + 80000014: 00008067 ret + 80000018: ff010113 addi sp,sp,-16 + 8000001c: 00100513 li a0,1 + 80000020: 00113423 sd ra,8(sp) + 80000024: 0e0000ef jal ra,80000104 + +0000000080000028
: + 80000028: fc010113 addi sp,sp,-64 + 8000002c: 03213023 sd s2,32(sp) + 80000030: 00100913 li s2,1 + 80000034: 02913423 sd s1,40(sp) + 80000038: 01413823 sd s4,16(sp) + 8000003c: 01513423 sd s5,8(sp) + 80000040: 01613023 sd s6,0(sp) + 80000044: 02113c23 sd ra,56(sp) + 80000048: 02813823 sd s0,48(sp) + 8000004c: 01313c23 sd s3,24(sp) + 80000050: 01e00a13 li s4,30 + 80000054: 0019049b addiw s1,s2,1 + 80000058: 00000a93 li s5,0 + 8000005c: 00000b17 auipc s6,0x0 + 80000060: 40cb0b13 addi s6,s6,1036 # 80000468 + 80000064: 05448263 beq s1,s4,800000a8 + 80000068: 00100413 li s0,1 + 8000006c: 00000993 li s3,0 + 80000070: 0080006f j 80000078 + 80000074: 00078413 mv s0,a5 + 80000078: 00040593 mv a1,s0 + 8000007c: 00048513 mv a0,s1 + 80000080: 190000ef jal ra,80000210 <__moddi3> + 80000084: 0005051b sext.w a0,a0 + 80000088: 00051463 bnez a0,80000090 + 8000008c: 013409bb addw s3,s0,s3 + 80000090: 0014079b addiw a5,s0,1 + 80000094: ff2410e3 bne s0,s2,80000074 + 80000098: 04998463 beq s3,s1,800000e0 + 8000009c: 00048913 mv s2,s1 + 800000a0: 0019049b addiw s1,s2,1 + 800000a4: fd4492e3 bne s1,s4,80000068 + 800000a8: ffea8513 addi a0,s5,-2 + 800000ac: 00153513 seqz a0,a0 + 800000b0: f61ff0ef jal ra,80000010 + 800000b4: 03813083 ld ra,56(sp) + 800000b8: 03013403 ld s0,48(sp) + 800000bc: 02813483 ld s1,40(sp) + 800000c0: 02013903 ld s2,32(sp) + 800000c4: 01813983 ld s3,24(sp) + 800000c8: 01013a03 ld s4,16(sp) + 800000cc: 00813a83 ld s5,8(sp) + 800000d0: 00013b03 ld s6,0(sp) + 800000d4: 00000513 li a0,0 + 800000d8: 04010113 addi sp,sp,64 + 800000dc: 00008067 ret + 800000e0: 002a9793 slli a5,s5,0x2 + 800000e4: 00fb07b3 add a5,s6,a5 + 800000e8: 0007a503 lw a0,0(a5) + 800000ec: 001a8a9b addiw s5,s5,1 + 800000f0: 00048913 mv s2,s1 + 800000f4: 40950533 sub a0,a0,s1 + 800000f8: 00153513 seqz a0,a0 + 800000fc: f15ff0ef jal ra,80000010 + 80000100: fa1ff06f j 800000a0 + +0000000080000104 : + 80000104: 00050513 mv a0,a0 + 80000108: 0000006b 0x6b + 8000010c: 0000006f j 8000010c + +0000000080000110 <_assert>: + 80000110: 00051a63 bnez a0,80000124 <_assert+0x14> + 80000114: 00100793 li a5,1 + 80000118: 00078513 mv a0,a5 + 8000011c: 0000006b 0x6b + 80000120: 0000006f j 80000120 <_assert+0x10> + 80000124: 00008067 ret + +0000000080000128 <_trm_init>: + 80000128: ff010113 addi sp,sp,-16 + 8000012c: 00113423 sd ra,8(sp) + 80000130: 11c000ef jal ra,8000024c + 80000134: 00000517 auipc a0,0x0 + 80000138: 32c50513 addi a0,a0,812 # 80000460 + 8000013c: eedff0ef jal ra,80000028
+ 80000140: 00050513 mv a0,a0 + 80000144: 0000006b 0x6b + 80000148: 0000006f j 80000148 <_trm_init+0x20> + +000000008000014c <__udivsi3>: + 8000014c: 02051513 slli a0,a0,0x20 + 80000150: 02059593 slli a1,a1,0x20 + 80000154: 00008293 mv t0,ra + 80000158: 03c000ef jal ra,80000194 <__udivdi3> + 8000015c: 0005051b sext.w a0,a0 + 80000160: 00028067 jr t0 + +0000000080000164 <__umodsi3>: + 80000164: 02051513 slli a0,a0,0x20 + 80000168: 02059593 slli a1,a1,0x20 + 8000016c: 02055513 srli a0,a0,0x20 + 80000170: 0205d593 srli a1,a1,0x20 + 80000174: 00008293 mv t0,ra + 80000178: 01c000ef jal ra,80000194 <__udivdi3> + 8000017c: 0005851b sext.w a0,a1 + 80000180: 00028067 jr t0 + +0000000080000184 <__divsi3>: + 80000184: fff00293 li t0,-1 + 80000188: 0a558c63 beq a1,t0,80000240 <__moddi3+0x30> + +000000008000018c <__divdi3>: + 8000018c: 06054063 bltz a0,800001ec <__umoddi3+0x10> + 80000190: 0605c663 bltz a1,800001fc <__umoddi3+0x20> + +0000000080000194 <__udivdi3>: + 80000194: 00058613 mv a2,a1 + 80000198: 00050593 mv a1,a0 + 8000019c: fff00513 li a0,-1 + 800001a0: 02060c63 beqz a2,800001d8 <__udivdi3+0x44> + 800001a4: 00100693 li a3,1 + 800001a8: 00b67a63 bgeu a2,a1,800001bc <__udivdi3+0x28> + 800001ac: 00c05863 blez a2,800001bc <__udivdi3+0x28> + 800001b0: 00161613 slli a2,a2,0x1 + 800001b4: 00169693 slli a3,a3,0x1 + 800001b8: feb66ae3 bltu a2,a1,800001ac <__udivdi3+0x18> + 800001bc: 00000513 li a0,0 + 800001c0: 00c5e663 bltu a1,a2,800001cc <__udivdi3+0x38> + 800001c4: 40c585b3 sub a1,a1,a2 + 800001c8: 00d56533 or a0,a0,a3 + 800001cc: 0016d693 srli a3,a3,0x1 + 800001d0: 00165613 srli a2,a2,0x1 + 800001d4: fe0696e3 bnez a3,800001c0 <__udivdi3+0x2c> + 800001d8: 00008067 ret + +00000000800001dc <__umoddi3>: + 800001dc: 00008293 mv t0,ra + 800001e0: fb5ff0ef jal ra,80000194 <__udivdi3> + 800001e4: 00058513 mv a0,a1 + 800001e8: 00028067 jr t0 + 800001ec: 40a00533 neg a0,a0 + 800001f0: 00b04863 bgtz a1,80000200 <__umoddi3+0x24> + 800001f4: 40b005b3 neg a1,a1 + 800001f8: f9dff06f j 80000194 <__udivdi3> + 800001fc: 40b005b3 neg a1,a1 + 80000200: 00008293 mv t0,ra + 80000204: f91ff0ef jal ra,80000194 <__udivdi3> + 80000208: 40a00533 neg a0,a0 + 8000020c: 00028067 jr t0 + +0000000080000210 <__moddi3>: + 80000210: 00008293 mv t0,ra + 80000214: 0005ca63 bltz a1,80000228 <__moddi3+0x18> + 80000218: 00054c63 bltz a0,80000230 <__moddi3+0x20> + 8000021c: f79ff0ef jal ra,80000194 <__udivdi3> + 80000220: 00058513 mv a0,a1 + 80000224: 00028067 jr t0 + 80000228: 40b005b3 neg a1,a1 + 8000022c: fe0558e3 bgez a0,8000021c <__moddi3+0xc> + 80000230: 40a00533 neg a0,a0 + 80000234: f61ff0ef jal ra,80000194 <__udivdi3> + 80000238: 40b00533 neg a0,a1 + 8000023c: 00028067 jr t0 + 80000240: 01f29293 slli t0,t0,0x1f + 80000244: f45514e3 bne a0,t0,8000018c <__divdi3> + 80000248: 00008067 ret + +000000008000024c : + 8000024c: 00000797 auipc a5,0x0 + 80000250: 22478793 addi a5,a5,548 # 80000470 + 80000254: 0007b503 ld a0,0(a5) + 80000258: 0087b583 ld a1,8(a5) + 8000025c: ff010113 addi sp,sp,-16 + 80000260: 00000693 li a3,0 + 80000264: 00000613 li a2,0 + 80000268: 40a585b3 sub a1,a1,a0 + 8000026c: 00113423 sd ra,8(sp) + 80000270: 018000ef jal ra,80000288 + 80000274: 00813083 ld ra,8(sp) + 80000278: 00000797 auipc a5,0x0 + 8000027c: 20a7b423 sd a0,520(a5) # 80000480 + 80000280: 01010113 addi sp,sp,16 + 80000284: 00008067 ret + +0000000080000288 : + 80000288: 1a050e63 beqz a0,80000444 + 8000028c: fd010113 addi sp,sp,-48 + 80000290: 02813023 sd s0,32(sp) + 80000294: 00913c23 sd s1,24(sp) + 80000298: 01f57793 andi a5,a0,31 + 8000029c: 02113423 sd ra,40(sp) + 800002a0: 01213823 sd s2,16(sp) + 800002a4: 01313423 sd s3,8(sp) + 800002a8: 01413023 sd s4,0(sp) + 800002ac: 00050493 mv s1,a0 + 800002b0: 00050413 mv s0,a0 + 800002b4: 00000513 li a0,0 + 800002b8: 14079a63 bnez a5,8000040c + 800002bc: 27f00713 li a4,639 + 800002c0: 00058913 mv s2,a1 + 800002c4: 00078513 mv a0,a5 + 800002c8: 14b77263 bgeu a4,a1,8000040c + 800002cc: 0074f513 andi a0,s1,7 + 800002d0: 00153513 seqz a0,a0 + 800002d4: 00060a13 mv s4,a2 + 800002d8: 00068993 mv s3,a3 + 800002dc: e35ff0ef jal ra,80000110 <_assert> + 800002e0: 20048793 addi a5,s1,512 + 800002e4: 2004b023 sd zero,512(s1) + 800002e8: 2144b423 sd s4,520(s1) + 800002ec: 2134b823 sd s3,528(s1) + 800002f0: 00043023 sd zero,0(s0) + 800002f4: 00840413 addi s0,s0,8 + 800002f8: fe879ce3 bne a5,s0,800002f0 + 800002fc: fff00793 li a5,-1 + 80000300: dc090413 addi s0,s2,-576 + 80000304: 03f79793 slli a5,a5,0x3f + 80000308: 1287f863 bgeu a5,s0,80000438 + 8000030c: 00078413 mv s0,a5 + 80000310: 00100513 li a0,1 + 80000314: dfdff0ef jal ra,80000110 <_assert> + 80000318: f8300793 li a5,-125 + 8000031c: 0017d793 srli a5,a5,0x1 + 80000320: fc040513 addi a0,s0,-64 + 80000324: 00f53533 sltu a0,a0,a5 + 80000328: de9ff0ef jal ra,80000110 <_assert> + 8000032c: 01f4f513 andi a0,s1,31 + 80000330: 00153513 seqz a0,a0 + 80000334: dddff0ef jal ra,80000110 <_assert> + 80000338: 00100513 li a0,1 + 8000033c: 2404b023 sd zero,576(s1) + 80000340: 2404b423 sd zero,584(s1) + 80000344: 2484b823 sd s0,592(s1) + 80000348: 24048c23 sb zero,600(s1) + 8000034c: 2604b023 sd zero,608(s1) + 80000350: 2604b423 sd zero,616(s1) + 80000354: dbdff0ef jal ra,80000110 <_assert> + 80000358: 00100513 li a0,1 + 8000035c: db5ff0ef jal ra,80000110 <_assert> + 80000360: 2504b503 ld a0,592(s1) + 80000364: 24048a13 addi s4,s1,576 + 80000368: 04053513 sltiu a0,a0,64 + 8000036c: 00154513 xori a0,a0,1 + 80000370: 00157513 andi a0,a0,1 + 80000374: d9dff0ef jal ra,80000110 <_assert> + 80000378: 2504b503 ld a0,592(s1) + 8000037c: 03f57513 andi a0,a0,63 + 80000380: 00153513 seqz a0,a0 + 80000384: d8dff0ef jal ra,80000110 <_assert> + 80000388: 2504b703 ld a4,592(s1) + 8000038c: 00100793 li a5,1 + 80000390: 00675713 srli a4,a4,0x6 + 80000394: 0ae7fc63 bgeu a5,a4,8000044c + 80000398: 00000793 li a5,0 + 8000039c: 00100693 li a3,1 + 800003a0: 0017879b addiw a5,a5,1 + 800003a4: 00175713 srli a4,a4,0x1 + 800003a8: 0ff7f793 andi a5,a5,255 + 800003ac: fed71ae3 bne a4,a3,800003a0 + 800003b0: 00078993 mv s3,a5 + 800003b4: 0407b513 sltiu a0,a5,64 + 800003b8: 00f71933 sll s2,a4,a5 + 800003bc: d55ff0ef jal ra,80000110 <_assert> + 800003c0: 00399793 slli a5,s3,0x3 + 800003c4: 00f487b3 add a5,s1,a5 + 800003c8: 0007b703 ld a4,0(a5) + 800003cc: 2604b423 sd zero,616(s1) + 800003d0: 26e4b023 sd a4,608(s1) + 800003d4: 00070463 beqz a4,800003dc + 800003d8: 03473423 sd s4,40(a4) + 800003dc: 0147b023 sd s4,0(a5) + 800003e0: 2004b503 ld a0,512(s1) + 800003e4: 00a96533 or a0,s2,a0 + 800003e8: 20a4b023 sd a0,512(s1) + 800003ec: 00a03533 snez a0,a0 + 800003f0: d21ff0ef jal ra,80000110 <_assert> + 800003f4: 00048513 mv a0,s1 + 800003f8: 2084bc23 sd s0,536(s1) + 800003fc: 2204b023 sd zero,544(s1) + 80000400: 2204b423 sd zero,552(s1) + 80000404: 2204b823 sd zero,560(s1) + 80000408: 2204bc23 sd zero,568(s1) + 8000040c: 02813083 ld ra,40(sp) + 80000410: 02013403 ld s0,32(sp) + 80000414: 01813483 ld s1,24(sp) + 80000418: 01013903 ld s2,16(sp) + 8000041c: 00813983 ld s3,8(sp) + 80000420: 00013a03 ld s4,0(sp) + 80000424: 03010113 addi sp,sp,48 + 80000428: 00008067 ret + 8000042c: 00100513 li a0,1 + 80000430: fff40413 addi s0,s0,-1 + 80000434: cddff0ef jal ra,80000110 <_assert> + 80000438: 03f47793 andi a5,s0,63 + 8000043c: fe0798e3 bnez a5,8000042c + 80000440: ed1ff06f j 80000310 + 80000444: 00000513 li a0,0 + 80000448: 00008067 ret + 8000044c: 00100913 li s2,1 + 80000450: 00000993 li s3,0 + 80000454: 00100513 li a0,1 + 80000458: f65ff06f j 800003bc diff --git a/bin/non-output/dhrystone/dhrystone.bin b/bin/non-output/dhrystone/dhrystone.bin new file mode 100755 index 0000000..8cf7306 Binary files /dev/null and b/bin/non-output/dhrystone/dhrystone.bin differ diff --git a/bin/non-output/dhrystone/dhrystone.elf b/bin/non-output/dhrystone/dhrystone.elf new file mode 100755 index 0000000..78de80e Binary files /dev/null and b/bin/non-output/dhrystone/dhrystone.elf differ diff --git a/bin/non-output/dhrystone/dhrystone.txt b/bin/non-output/dhrystone/dhrystone.txt new file mode 100755 index 0000000..5d93919 --- /dev/null +++ b/bin/non-output/dhrystone/dhrystone.txt @@ -0,0 +1,2314 @@ + +/home/hzb/test/am-kernels/benchmarks/dhrystone/build/dhrystone-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 0000e117 auipc sp,0xe + 80000008: ffc10113 addi sp,sp,-4 # 8000e000 <_end> + 8000000c: 209000ef jal ra,80000a14 <_trm_init> + +0000000080000010 : + 80000010: 00200713 li a4,2 + 80000014: 04e50263 beq a0,a4,80000058 + 80000018: 00300793 li a5,3 + 8000001c: 00f5a023 sw a5,0(a1) + 80000020: 00100793 li a5,1 + 80000024: 00f50c63 beq a0,a5,8000003c + 80000028: 02a7f263 bgeu a5,a0,8000004c + 8000002c: 00400793 li a5,4 + 80000030: 02f51263 bne a0,a5,80000054 + 80000034: 00e5a023 sw a4,0(a1) + 80000038: 00008067 ret + 8000003c: 00006717 auipc a4,0x6 + 80000040: a4c72703 lw a4,-1460(a4) # 80005a88 + 80000044: 06400793 li a5,100 + 80000048: fee7d8e3 bge a5,a4,80000038 + 8000004c: 0005a023 sw zero,0(a1) + 80000050: 00008067 ret + 80000054: 00008067 ret + 80000058: 00100793 li a5,1 + 8000005c: 00f5a023 sw a5,0(a1) + 80000060: 00008067 ret + +0000000080000064 : + 80000064: fe010113 addi sp,sp,-32 + 80000068: 00913423 sd s1,8(sp) + 8000006c: 00006497 auipc s1,0x6 + 80000070: a2448493 addi s1,s1,-1500 # 80005a90 + 80000074: 0004b783 ld a5,0(s1) + 80000078: 00813823 sd s0,16(sp) + 8000007c: 00053403 ld s0,0(a0) + 80000080: 0007b683 ld a3,0(a5) + 80000084: 0307b703 ld a4,48(a5) + 80000088: 0087b303 ld t1,8(a5) + 8000008c: 0107b883 ld a7,16(a5) + 80000090: 0187b803 ld a6,24(a5) + 80000094: 0207b583 ld a1,32(a5) + 80000098: 0287b603 ld a2,40(a5) + 8000009c: 00113c23 sd ra,24(sp) + 800000a0: 00d43023 sd a3,0(s0) + 800000a4: 00053683 ld a3,0(a0) + 800000a8: 00643423 sd t1,8(s0) + 800000ac: 01143823 sd a7,16(s0) + 800000b0: 02e43823 sd a4,48(s0) + 800000b4: 01043c23 sd a6,24(s0) + 800000b8: 00500713 li a4,5 + 800000bc: 02b43023 sd a1,32(s0) + 800000c0: 02c43423 sd a2,40(s0) + 800000c4: 00e52823 sw a4,16(a0) + 800000c8: 00d43023 sd a3,0(s0) + 800000cc: 0007b683 ld a3,0(a5) + 800000d0: 00006797 auipc a5,0x6 + 800000d4: 9b87a783 lw a5,-1608(a5) # 80005a88 + 800000d8: 00e42823 sw a4,16(s0) + 800000dc: 00d43023 sd a3,0(s0) + 800000e0: 0004b703 ld a4,0(s1) + 800000e4: 00c7879b addiw a5,a5,12 + 800000e8: 00f72823 sw a5,16(a4) + 800000ec: 00842783 lw a5,8(s0) + 800000f0: 04078a63 beqz a5,80000144 + 800000f4: 00053783 ld a5,0(a0) + 800000f8: 01813083 ld ra,24(sp) + 800000fc: 01013403 ld s0,16(sp) + 80000100: 0007b883 ld a7,0(a5) + 80000104: 0087b803 ld a6,8(a5) + 80000108: 0107b583 ld a1,16(a5) + 8000010c: 0187b603 ld a2,24(a5) + 80000110: 0207b683 ld a3,32(a5) + 80000114: 0287b703 ld a4,40(a5) + 80000118: 0307b783 ld a5,48(a5) + 8000011c: 01153023 sd a7,0(a0) + 80000120: 01053423 sd a6,8(a0) + 80000124: 00b53823 sd a1,16(a0) + 80000128: 00c53c23 sd a2,24(a0) + 8000012c: 02d53023 sd a3,32(a0) + 80000130: 02e53423 sd a4,40(a0) + 80000134: 02f53823 sd a5,48(a0) + 80000138: 00813483 ld s1,8(sp) + 8000013c: 02010113 addi sp,sp,32 + 80000140: 00008067 ret + 80000144: 00c52503 lw a0,12(a0) + 80000148: 00600793 li a5,6 + 8000014c: 00f42823 sw a5,16(s0) + 80000150: 00c40593 addi a1,s0,12 + 80000154: ebdff0ef jal ra,80000010 + 80000158: 0004b703 ld a4,0(s1) + 8000015c: 01042783 lw a5,16(s0) + 80000160: 01813083 ld ra,24(sp) + 80000164: 00073703 ld a4,0(a4) + 80000168: 00c7879b addiw a5,a5,12 + 8000016c: 00f42823 sw a5,16(s0) + 80000170: 00e43023 sd a4,0(s0) + 80000174: 01013403 ld s0,16(sp) + 80000178: 00813483 ld s1,8(sp) + 8000017c: 02010113 addi sp,sp,32 + 80000180: 00008067 ret + +0000000080000184 : + 80000184: 0056071b addiw a4,a2,5 + 80000188: 00171793 slli a5,a4,0x1 + 8000018c: 00e787b3 add a5,a5,a4 + 80000190: 00379793 slli a5,a5,0x3 + 80000194: 00e787b3 add a5,a5,a4 + 80000198: 00070813 mv a6,a4 + 8000019c: 00379793 slli a5,a5,0x3 + 800001a0: 00261613 slli a2,a2,0x2 + 800001a4: 00271713 slli a4,a4,0x2 + 800001a8: 00e50533 add a0,a0,a4 + 800001ac: 00c78733 add a4,a5,a2 + 800001b0: 00d52023 sw a3,0(a0) + 800001b4: 00d52223 sw a3,4(a0) + 800001b8: 07052c23 sw a6,120(a0) + 800001bc: 00e58733 add a4,a1,a4 + 800001c0: 01072683 lw a3,16(a4) + 800001c4: 01072a23 sw a6,20(a4) + 800001c8: 01072c23 sw a6,24(a4) + 800001cc: 0016869b addiw a3,a3,1 + 800001d0: 00d72823 sw a3,16(a4) + 800001d4: 00052703 lw a4,0(a0) + 800001d8: 00f587b3 add a5,a1,a5 + 800001dc: 00c787b3 add a5,a5,a2 + 800001e0: 000015b7 lui a1,0x1 + 800001e4: 00f587b3 add a5,a1,a5 + 800001e8: fae7aa23 sw a4,-76(a5) + 800001ec: 00500793 li a5,5 + 800001f0: 00006717 auipc a4,0x6 + 800001f4: 88f72c23 sw a5,-1896(a4) # 80005a88 + 800001f8: 00008067 ret + +00000000800001fc : + 800001fc: ff010113 addi sp,sp,-16 + 80000200: 00113423 sd ra,8(sp) + 80000204: 00006817 auipc a6,0x6 + 80000208: 88880813 addi a6,a6,-1912 # 80005a8c + 8000020c: 00254783 lbu a5,2(a0) + 80000210: 0035c703 lbu a4,3(a1) # 1003 <_entry_offset+0x1003> + 80000214: 00084603 lbu a2,0(a6) + 80000218: 00000693 li a3,0 + 8000021c: 02e78c63 beq a5,a4,80000254 + 80000220: 00068463 beqz a3,80000228 + 80000224: 00c80023 sb a2,0(a6) + 80000228: 4b5000ef jal ra,80000edc + 8000022c: 00000793 li a5,0 + 80000230: 00a05a63 blez a0,80000244 + 80000234: 00a00793 li a5,10 + 80000238: 00006717 auipc a4,0x6 + 8000023c: 84f72823 sw a5,-1968(a4) # 80005a88 + 80000240: 00100793 li a5,1 + 80000244: 00813083 ld ra,8(sp) + 80000248: 00078513 mv a0,a5 + 8000024c: 01010113 addi sp,sp,16 + 80000250: 00008067 ret + 80000254: 00100693 li a3,1 + 80000258: 00078613 mv a2,a5 + 8000025c: fc1ff06f j 8000021c + +0000000080000260
: + 80000260: f4010113 addi sp,sp,-192 + 80000264: 0a113c23 sd ra,184(sp) + 80000268: 0a813823 sd s0,176(sp) + 8000026c: 0a913423 sd s1,168(sp) + 80000270: 0b213023 sd s2,160(sp) + 80000274: 09313c23 sd s3,152(sp) + 80000278: 09413823 sd s4,144(sp) + 8000027c: 09513423 sd s5,136(sp) + 80000280: 09613023 sd s6,128(sp) + 80000284: 07713c23 sd s7,120(sp) + 80000288: 07813823 sd s8,112(sp) + 8000028c: 07913423 sd s9,104(sp) + 80000290: 07a13023 sd s10,96(sp) + 80000294: 05b13c23 sd s11,88(sp) + 80000298: 125000ef jal ra,80000bbc + 8000029c: 00003697 auipc a3,0x3 + 800002a0: 88c68693 addi a3,a3,-1908 # 80002b28 + 800002a4: 0006b783 ld a5,0(a3) + 800002a8: 0037f713 andi a4,a5,3 + 800002ac: 00070c63 beqz a4,800002c4 + 800002b0: 00178713 addi a4,a5,1 + 800002b4: 00377613 andi a2,a4,3 + 800002b8: 00070793 mv a5,a4 + 800002bc: 00170713 addi a4,a4,1 + 800002c0: fe061ae3 bnez a2,800002b4 + 800002c4: 00005717 auipc a4,0x5 + 800002c8: 7bc70713 addi a4,a4,1980 # 80005a80 + 800002cc: 00f73023 sd a5,0(a4) + 800002d0: 0037f713 andi a4,a5,3 + 800002d4: 03878513 addi a0,a5,56 + 800002d8: 00070c63 beqz a4,800002f0 + 800002dc: 03978713 addi a4,a5,57 + 800002e0: 00377613 andi a2,a4,3 + 800002e4: 00070513 mv a0,a4 + 800002e8: 00170713 addi a4,a4,1 + 800002ec: fe061ae3 bnez a2,800002e0 + 800002f0: 00005a97 auipc s5,0x5 + 800002f4: 7a0a8a93 addi s5,s5,1952 # 80005a90 + 800002f8: 00aab023 sd a0,0(s5) + 800002fc: 00f53023 sd a5,0(a0) + 80000300: 00100793 li a5,1 + 80000304: 02179793 slli a5,a5,0x21 + 80000308: 00f53423 sd a5,8(a0) + 8000030c: 02800793 li a5,40 + 80000310: 03850713 addi a4,a0,56 + 80000314: 00f52823 sw a5,16(a0) + 80000318: 00002597 auipc a1,0x2 + 8000031c: fd858593 addi a1,a1,-40 # 800022f0 <_etext+0x14> + 80000320: 01450513 addi a0,a0,20 + 80000324: 00e6b023 sd a4,0(a3) + 80000328: 5c5010ef jal ra,800020ec + 8000032c: 00002597 auipc a1,0x2 + 80000330: fe458593 addi a1,a1,-28 # 80002310 <_etext+0x34> + 80000334: 01010513 addi a0,sp,16 + 80000338: 5b5010ef jal ra,800020ec + 8000033c: 00a00793 li a5,10 + 80000340: 00003717 auipc a4,0x3 + 80000344: 02870713 addi a4,a4,40 # 80003368 + 80000348: 00002597 auipc a1,0x2 + 8000034c: fe858593 addi a1,a1,-24 # 80002330 <_etext+0x54> + 80000350: 00002517 auipc a0,0x2 + 80000354: ff050513 addi a0,a0,-16 # 80002340 <_etext+0x64> + 80000358: 64f72e23 sw a5,1628(a4) + 8000035c: 0007ab37 lui s6,0x7a + 80000360: 459010ef jal ra,80001fb8 + 80000364: 120b0593 addi a1,s6,288 # 7a120 <_entry_offset+0x7a120> + 80000368: 00002517 auipc a0,0x2 + 8000036c: 00050513 mv a0,a0 + 80000370: 00005797 auipc a5,0x5 + 80000374: 7207a423 sw zero,1832(a5) # 80005a98 + 80000378: 441010ef jal ra,80001fb8 + 8000037c: 03010593 addi a1,sp,48 + 80000380: 00600513 li a0,6 + 80000384: 08d000ef jal ra,80000c10 + 80000388: 03013503 ld a0,48(sp) + 8000038c: 3e800593 li a1,1000 + 80000390: 00100413 li s0,1 + 80000394: 6ec000ef jal ra,80000a80 <__udivdi3> + 80000398: 02051793 slli a5,a0,0x20 + 8000039c: 0207d793 srli a5,a5,0x20 + 800003a0: 00003717 auipc a4,0x3 + 800003a4: fc070713 addi a4,a4,-64 # 80003360 + 800003a8: 00f73023 sd a5,0(a4) + 800003ac: 00005497 auipc s1,0x5 + 800003b0: 6e048493 addi s1,s1,1760 # 80005a8c + 800003b4: 00005917 auipc s2,0x5 + 800003b8: 7b490913 addi s2,s2,1972 # 80005b68 + 800003bc: 00005b97 auipc s7,0x5 + 800003c0: 6d1b8b93 addi s7,s7,1745 # 80005a8d + 800003c4: 00005997 auipc s3,0x5 + 800003c8: 6c498993 addi s3,s3,1732 # 80005a88 + 800003cc: 04300c13 li s8,67 + 800003d0: 00002a17 auipc s4,0x2 + 800003d4: fe0a0a13 addi s4,s4,-32 # 800023b0 <_etext+0xd4> + 800003d8: 121b0b13 addi s6,s6,289 + 800003dc: 04100793 li a5,65 + 800003e0: 00f48023 sb a5,0(s1) + 800003e4: 00100793 li a5,1 + 800003e8: 00002597 auipc a1,0x2 + 800003ec: fa858593 addi a1,a1,-88 # 80002390 <_etext+0xb4> + 800003f0: 00f92023 sw a5,0(s2) + 800003f4: 03010513 addi a0,sp,48 + 800003f8: 04200793 li a5,66 + 800003fc: 00fb8023 sb a5,0(s7) + 80000400: 4ed010ef jal ra,800020ec + 80000404: 03010593 addi a1,sp,48 + 80000408: 01010513 addi a0,sp,16 + 8000040c: df1ff0ef jal ra,800001fc + 80000410: 00153793 seqz a5,a0 + 80000414: 00300613 li a2,3 + 80000418: 00003597 auipc a1,0x3 + 8000041c: f5058593 addi a1,a1,-176 # 80003368 + 80000420: 00700693 li a3,7 + 80000424: 00005517 auipc a0,0x5 + 80000428: 67c50513 addi a0,a0,1660 # 80005aa0 + 8000042c: 00f92023 sw a5,0(s2) + 80000430: d55ff0ef jal ra,80000184 + 80000434: 000ab503 ld a0,0(s5) + 80000438: 04100c93 li s9,65 + 8000043c: 00100d13 li s10,1 + 80000440: c25ff0ef jal ra,80000064 + 80000444: 000bc583 lbu a1,0(s7) + 80000448: 04000713 li a4,64 + 8000044c: 00300613 li a2,3 + 80000450: 58b77863 bgeu a4,a1,800009e0 + 80000454: 000bc703 lbu a4,0(s7) + 80000458: 001c879b addiw a5,s9,1 + 8000045c: 0ff7fc93 andi s9,a5,255 + 80000460: 03976c63 bltu a4,s9,80000498 + 80000464: 00000713 li a4,0 + 80000468: 578c8463 beq s9,s8,800009d0 + 8000046c: feed14e3 bne s10,a4,80000454 + 80000470: 000a0593 mv a1,s4 + 80000474: 03010513 addi a0,sp,48 + 80000478: 475010ef jal ra,800020ec + 8000047c: 000bc703 lbu a4,0(s7) + 80000480: 001c879b addiw a5,s9,1 + 80000484: 0089a023 sw s0,0(s3) + 80000488: 0ff7fc93 andi s9,a5,255 + 8000048c: 00000d13 li s10,0 + 80000490: 00040613 mv a2,s0 + 80000494: fd9778e3 bgeu a4,s9,80000464 + 80000498: 0016179b slliw a5,a2,0x1 + 8000049c: 00c7853b addw a0,a5,a2 + 800004a0: 00700593 li a1,7 + 800004a4: 00050c93 mv s9,a0 + 800004a8: 5d0000ef jal ra,80000a78 <__divdi3> + 800004ac: ff9c879b addiw a5,s9,-7 + 800004b0: 0037971b slliw a4,a5,0x3 + 800004b4: 40f707bb subw a5,a4,a5 + 800004b8: 00050c9b sext.w s9,a0 + 800004bc: 40a78dbb subw s11,a5,a0 + 800004c0: 0004c603 lbu a2,0(s1) + 800004c4: 04100793 li a5,65 + 800004c8: 00f61863 bne a2,a5,800004d8 + 800004cc: 0009a603 lw a2,0(s3) + 800004d0: 009c871b addiw a4,s9,9 + 800004d4: 40c70cbb subw s9,a4,a2 + 800004d8: 0014041b addiw s0,s0,1 + 800004dc: f16410e3 bne s0,s6,800003dc + 800004e0: 00810593 addi a1,sp,8 + 800004e4: 00600513 li a0,6 + 800004e8: 728000ef jal ra,80000c10 + 800004ec: 00813503 ld a0,8(sp) + 800004f0: 3e800593 li a1,1000 + 800004f4: 00003417 auipc s0,0x3 + 800004f8: e6440413 addi s0,s0,-412 # 80003358 + 800004fc: 584000ef jal ra,80000a80 <__udivdi3> + 80000500: 00003797 auipc a5,0x3 + 80000504: e6078793 addi a5,a5,-416 # 80003360 + 80000508: 0007b883 ld a7,0(a5) + 8000050c: 02051613 slli a2,a0,0x20 + 80000510: 02065613 srli a2,a2,0x20 + 80000514: 0009a583 lw a1,0(s3) + 80000518: 411608b3 sub a7,a2,a7 + 8000051c: 00005797 auipc a5,0x5 + 80000520: 54c7be23 sd a2,1372(a5) # 80005a78 + 80000524: 00100613 li a2,1 + 80000528: 00005797 auipc a5,0x5 + 8000052c: 56c7a823 sw a2,1392(a5) # 80005a98 + 80000530: 01143023 sd a7,0(s0) + 80000534: 00500613 li a2,5 + 80000538: 02c58463 beq a1,a2,80000560 + 8000053c: 00002517 auipc a0,0x2 + 80000540: e9450513 addi a0,a0,-364 # 800023d0 <_etext+0xf4> + 80000544: 00002797 auipc a5,0x2 + 80000548: 5e07a623 sw zero,1516(a5) # 80002b30 + 8000054c: 26d010ef jal ra,80001fb8 + 80000550: 00500593 li a1,5 + 80000554: 00002517 auipc a0,0x2 + 80000558: e9c50513 addi a0,a0,-356 # 800023f0 <_etext+0x114> + 8000055c: 25d010ef jal ra,80001fb8 + 80000560: 00092583 lw a1,0(s2) + 80000564: 00100613 li a2,1 + 80000568: 02c58463 beq a1,a2,80000590 + 8000056c: 00002517 auipc a0,0x2 + 80000570: ea450513 addi a0,a0,-348 # 80002410 <_etext+0x134> + 80000574: 00002797 auipc a5,0x2 + 80000578: 5a07ae23 sw zero,1468(a5) # 80002b30 + 8000057c: 23d010ef jal ra,80001fb8 + 80000580: 00100593 li a1,1 + 80000584: 00002517 auipc a0,0x2 + 80000588: e6c50513 addi a0,a0,-404 # 800023f0 <_etext+0x114> + 8000058c: 22d010ef jal ra,80001fb8 + 80000590: 0004c583 lbu a1,0(s1) + 80000594: 04100613 li a2,65 + 80000598: 02c58463 beq a1,a2,800005c0 + 8000059c: 00002517 auipc a0,0x2 + 800005a0: e9450513 addi a0,a0,-364 # 80002430 <_etext+0x154> + 800005a4: 00002797 auipc a5,0x2 + 800005a8: 5807a623 sw zero,1420(a5) # 80002b30 + 800005ac: 20d010ef jal ra,80001fb8 + 800005b0: 04100593 li a1,65 + 800005b4: 00002517 auipc a0,0x2 + 800005b8: e9c50513 addi a0,a0,-356 # 80002450 <_etext+0x174> + 800005bc: 1fd010ef jal ra,80001fb8 + 800005c0: 000bc583 lbu a1,0(s7) + 800005c4: 04200613 li a2,66 + 800005c8: 02c58463 beq a1,a2,800005f0 + 800005cc: 00002517 auipc a0,0x2 + 800005d0: ea450513 addi a0,a0,-348 # 80002470 <_etext+0x194> + 800005d4: 00002797 auipc a5,0x2 + 800005d8: 5407ae23 sw zero,1372(a5) # 80002b30 + 800005dc: 1dd010ef jal ra,80001fb8 + 800005e0: 04200593 li a1,66 + 800005e4: 00002517 auipc a0,0x2 + 800005e8: e6c50513 addi a0,a0,-404 # 80002450 <_etext+0x174> + 800005ec: 1cd010ef jal ra,80001fb8 + 800005f0: 00005597 auipc a1,0x5 + 800005f4: 4d05a583 lw a1,1232(a1) # 80005ac0 + 800005f8: 00700613 li a2,7 + 800005fc: 02c58463 beq a1,a2,80000624 + 80000600: 00002517 auipc a0,0x2 + 80000604: e9050513 addi a0,a0,-368 # 80002490 <_etext+0x1b4> + 80000608: 00002797 auipc a5,0x2 + 8000060c: 5207a423 sw zero,1320(a5) # 80002b30 + 80000610: 1a9010ef jal ra,80001fb8 + 80000614: 00700593 li a1,7 + 80000618: 00002517 auipc a0,0x2 + 8000061c: dd850513 addi a0,a0,-552 # 800023f0 <_etext+0x114> + 80000620: 199010ef jal ra,80001fb8 + 80000624: 00003797 auipc a5,0x3 + 80000628: d4478793 addi a5,a5,-700 # 80003368 + 8000062c: 65c7a583 lw a1,1628(a5) + 80000630: 0007a637 lui a2,0x7a + 80000634: 12a60613 addi a2,a2,298 # 7a12a <_entry_offset+0x7a12a> + 80000638: 02c58263 beq a1,a2,8000065c + 8000063c: 00002517 auipc a0,0x2 + 80000640: e7450513 addi a0,a0,-396 # 800024b0 <_etext+0x1d4> + 80000644: 00002797 auipc a5,0x2 + 80000648: 4e07a623 sw zero,1260(a5) # 80002b30 + 8000064c: 16d010ef jal ra,80001fb8 + 80000650: 00002517 auipc a0,0x2 + 80000654: e8050513 addi a0,a0,-384 # 800024d0 <_etext+0x1f4> + 80000658: 161010ef jal ra,80001fb8 + 8000065c: 000ab603 ld a2,0(s5) + 80000660: 00862583 lw a1,8(a2) + 80000664: 02058463 beqz a1,8000068c + 80000668: 00002517 auipc a0,0x2 + 8000066c: e9850513 addi a0,a0,-360 # 80002500 <_etext+0x224> + 80000670: 00002797 auipc a5,0x2 + 80000674: 4c07a023 sw zero,1216(a5) # 80002b30 + 80000678: 141010ef jal ra,80001fb8 + 8000067c: 00000593 li a1,0 + 80000680: 00002517 auipc a0,0x2 + 80000684: d7050513 addi a0,a0,-656 # 800023f0 <_etext+0x114> + 80000688: 131010ef jal ra,80001fb8 + 8000068c: 000ab583 ld a1,0(s5) + 80000690: 00200613 li a2,2 + 80000694: 00c5a583 lw a1,12(a1) + 80000698: 02c58463 beq a1,a2,800006c0 + 8000069c: 00002517 auipc a0,0x2 + 800006a0: e8c50513 addi a0,a0,-372 # 80002528 <_etext+0x24c> + 800006a4: 00002797 auipc a5,0x2 + 800006a8: 4807a623 sw zero,1164(a5) # 80002b30 + 800006ac: 10d010ef jal ra,80001fb8 + 800006b0: 00200593 li a1,2 + 800006b4: 00002517 auipc a0,0x2 + 800006b8: d3c50513 addi a0,a0,-708 # 800023f0 <_etext+0x114> + 800006bc: 0fd010ef jal ra,80001fb8 + 800006c0: 000ab583 ld a1,0(s5) + 800006c4: 01100613 li a2,17 + 800006c8: 0105a583 lw a1,16(a1) + 800006cc: 02c58463 beq a1,a2,800006f4 + 800006d0: 00002517 auipc a0,0x2 + 800006d4: e8050513 addi a0,a0,-384 # 80002550 <_etext+0x274> + 800006d8: 00002797 auipc a5,0x2 + 800006dc: 4407ac23 sw zero,1112(a5) # 80002b30 + 800006e0: 0d9010ef jal ra,80001fb8 + 800006e4: 01100593 li a1,17 + 800006e8: 00002517 auipc a0,0x2 + 800006ec: d0850513 addi a0,a0,-760 # 800023f0 <_etext+0x114> + 800006f0: 0c9010ef jal ra,80001fb8 + 800006f4: 000ab503 ld a0,0(s5) + 800006f8: 00002597 auipc a1,0x2 + 800006fc: bf858593 addi a1,a1,-1032 # 800022f0 <_etext+0x14> + 80000700: 01450513 addi a0,a0,20 + 80000704: 7d8000ef jal ra,80000edc + 80000708: 02050663 beqz a0,80000734 + 8000070c: 000ab583 ld a1,0(s5) + 80000710: 00002517 auipc a0,0x2 + 80000714: e6850513 addi a0,a0,-408 # 80002578 <_etext+0x29c> + 80000718: 00002797 auipc a5,0x2 + 8000071c: 4007ac23 sw zero,1048(a5) # 80002b30 + 80000720: 01458593 addi a1,a1,20 + 80000724: 095010ef jal ra,80001fb8 + 80000728: 00002517 auipc a0,0x2 + 8000072c: e7850513 addi a0,a0,-392 # 800025a0 <_etext+0x2c4> + 80000730: 089010ef jal ra,80001fb8 + 80000734: 00005797 auipc a5,0x5 + 80000738: 34c78793 addi a5,a5,844 # 80005a80 + 8000073c: 0007b603 ld a2,0(a5) + 80000740: 00862583 lw a1,8(a2) + 80000744: 02058463 beqz a1,8000076c + 80000748: 00002517 auipc a0,0x2 + 8000074c: e9050513 addi a0,a0,-368 # 800025d8 <_etext+0x2fc> + 80000750: 00002797 auipc a5,0x2 + 80000754: 3e07a023 sw zero,992(a5) # 80002b30 + 80000758: 061010ef jal ra,80001fb8 + 8000075c: 00000593 li a1,0 + 80000760: 00002517 auipc a0,0x2 + 80000764: c9050513 addi a0,a0,-880 # 800023f0 <_etext+0x114> + 80000768: 051010ef jal ra,80001fb8 + 8000076c: 00005797 auipc a5,0x5 + 80000770: 31478793 addi a5,a5,788 # 80005a80 + 80000774: 0007b583 ld a1,0(a5) + 80000778: 00100613 li a2,1 + 8000077c: 00c5a583 lw a1,12(a1) + 80000780: 02c58463 beq a1,a2,800007a8 + 80000784: 00002517 auipc a0,0x2 + 80000788: e7c50513 addi a0,a0,-388 # 80002600 <_etext+0x324> + 8000078c: 00002797 auipc a5,0x2 + 80000790: 3a07a223 sw zero,932(a5) # 80002b30 + 80000794: 025010ef jal ra,80001fb8 + 80000798: 00100593 li a1,1 + 8000079c: 00002517 auipc a0,0x2 + 800007a0: c5450513 addi a0,a0,-940 # 800023f0 <_etext+0x114> + 800007a4: 015010ef jal ra,80001fb8 + 800007a8: 00005797 auipc a5,0x5 + 800007ac: 2d878793 addi a5,a5,728 # 80005a80 + 800007b0: 0007b583 ld a1,0(a5) + 800007b4: 01200613 li a2,18 + 800007b8: 0105a583 lw a1,16(a1) + 800007bc: 02c58463 beq a1,a2,800007e4 + 800007c0: 00002517 auipc a0,0x2 + 800007c4: e6850513 addi a0,a0,-408 # 80002628 <_etext+0x34c> + 800007c8: 00002797 auipc a5,0x2 + 800007cc: 3607a423 sw zero,872(a5) # 80002b30 + 800007d0: 7e8010ef jal ra,80001fb8 + 800007d4: 01200593 li a1,18 + 800007d8: 00002517 auipc a0,0x2 + 800007dc: c1850513 addi a0,a0,-1000 # 800023f0 <_etext+0x114> + 800007e0: 7d8010ef jal ra,80001fb8 + 800007e4: 00005497 auipc s1,0x5 + 800007e8: 29c48493 addi s1,s1,668 # 80005a80 + 800007ec: 0004b503 ld a0,0(s1) + 800007f0: 00002597 auipc a1,0x2 + 800007f4: b0058593 addi a1,a1,-1280 # 800022f0 <_etext+0x14> + 800007f8: 01450513 addi a0,a0,20 + 800007fc: 6e0000ef jal ra,80000edc + 80000800: 02050663 beqz a0,8000082c + 80000804: 0004b583 ld a1,0(s1) + 80000808: 00002517 auipc a0,0x2 + 8000080c: e4850513 addi a0,a0,-440 # 80002650 <_etext+0x374> + 80000810: 00002797 auipc a5,0x2 + 80000814: 3207a023 sw zero,800(a5) # 80002b30 + 80000818: 01458593 addi a1,a1,20 + 8000081c: 79c010ef jal ra,80001fb8 + 80000820: 00002517 auipc a0,0x2 + 80000824: d8050513 addi a0,a0,-640 # 800025a0 <_etext+0x2c4> + 80000828: 790010ef jal ra,80001fb8 + 8000082c: 00500613 li a2,5 + 80000830: 02cc8663 beq s9,a2,8000085c + 80000834: 000c8593 mv a1,s9 + 80000838: 00002517 auipc a0,0x2 + 8000083c: e4050513 addi a0,a0,-448 # 80002678 <_etext+0x39c> + 80000840: 00002797 auipc a5,0x2 + 80000844: 2e07a823 sw zero,752(a5) # 80002b30 + 80000848: 770010ef jal ra,80001fb8 + 8000084c: 00500593 li a1,5 + 80000850: 00002517 auipc a0,0x2 + 80000854: ba050513 addi a0,a0,-1120 # 800023f0 <_etext+0x114> + 80000858: 760010ef jal ra,80001fb8 + 8000085c: 00d00713 li a4,13 + 80000860: 02ed8663 beq s11,a4,8000088c + 80000864: 000d8593 mv a1,s11 + 80000868: 00002517 auipc a0,0x2 + 8000086c: e3050513 addi a0,a0,-464 # 80002698 <_etext+0x3bc> + 80000870: 00002797 auipc a5,0x2 + 80000874: 2c07a023 sw zero,704(a5) # 80002b30 + 80000878: 740010ef jal ra,80001fb8 + 8000087c: 00d00593 li a1,13 + 80000880: 00002517 auipc a0,0x2 + 80000884: b7050513 addi a0,a0,-1168 # 800023f0 <_etext+0x114> + 80000888: 730010ef jal ra,80001fb8 + 8000088c: 020d1663 bnez s10,800008b8 + 80000890: 000d0593 mv a1,s10 + 80000894: 00002517 auipc a0,0x2 + 80000898: e2450513 addi a0,a0,-476 # 800026b8 <_etext+0x3dc> + 8000089c: 00002797 auipc a5,0x2 + 800008a0: 2807aa23 sw zero,660(a5) # 80002b30 + 800008a4: 714010ef jal ra,80001fb8 + 800008a8: 00100593 li a1,1 + 800008ac: 00002517 auipc a0,0x2 + 800008b0: b4450513 addi a0,a0,-1212 # 800023f0 <_etext+0x114> + 800008b4: 704010ef jal ra,80001fb8 + 800008b8: 00002597 auipc a1,0x2 + 800008bc: a5858593 addi a1,a1,-1448 # 80002310 <_etext+0x34> + 800008c0: 01010513 addi a0,sp,16 + 800008c4: 618000ef jal ra,80000edc + 800008c8: 02050463 beqz a0,800008f0 + 800008cc: 01010593 addi a1,sp,16 + 800008d0: 00002517 auipc a0,0x2 + 800008d4: e0850513 addi a0,a0,-504 # 800026d8 <_etext+0x3fc> + 800008d8: 00002797 auipc a5,0x2 + 800008dc: 2407ac23 sw zero,600(a5) # 80002b30 + 800008e0: 6d8010ef jal ra,80001fb8 + 800008e4: 00002517 auipc a0,0x2 + 800008e8: e1450513 addi a0,a0,-492 # 800026f8 <_etext+0x41c> + 800008ec: 6cc010ef jal ra,80001fb8 + 800008f0: 00002597 auipc a1,0x2 + 800008f4: aa058593 addi a1,a1,-1376 # 80002390 <_etext+0xb4> + 800008f8: 03010513 addi a0,sp,48 + 800008fc: 5e0000ef jal ra,80000edc + 80000900: 02050463 beqz a0,80000928 + 80000904: 03010593 addi a1,sp,48 + 80000908: 00002517 auipc a0,0x2 + 8000090c: e2850513 addi a0,a0,-472 # 80002730 <_etext+0x454> + 80000910: 00002797 auipc a5,0x2 + 80000914: 2207a023 sw zero,544(a5) # 80002b30 + 80000918: 6a0010ef jal ra,80001fb8 + 8000091c: 00002517 auipc a0,0x2 + 80000920: e3450513 addi a0,a0,-460 # 80002750 <_etext+0x474> + 80000924: 694010ef jal ra,80001fb8 + 80000928: 00042583 lw a1,0(s0) + 8000092c: 00002517 auipc a0,0x2 + 80000930: e5c50513 addi a0,a0,-420 # 80002788 <_etext+0x4ac> + 80000934: 00002497 auipc s1,0x2 + 80000938: 9ac48493 addi s1,s1,-1620 # 800022e0 <_etext+0x4> + 8000093c: 67c010ef jal ra,80001fb8 + 80000940: 00002517 auipc a0,0x2 + 80000944: e6050513 addi a0,a0,-416 # 800027a0 <_etext+0x4c4> + 80000948: 670010ef jal ra,80001fb8 + 8000094c: 00002797 auipc a5,0x2 + 80000950: 1e47a783 lw a5,484(a5) # 80002b30 + 80000954: 00079663 bnez a5,80000960 + 80000958: 00002497 auipc s1,0x2 + 8000095c: 99048493 addi s1,s1,-1648 # 800022e8 <_etext+0xc> + 80000960: 00042583 lw a1,0(s0) + 80000964: 000d7537 lui a0,0xd7 + 80000968: 10450513 addi a0,a0,260 # d7104 <_entry_offset+0xd7104> + 8000096c: 10c000ef jal ra,80000a78 <__divdi3> + 80000970: 0005061b sext.w a2,a0 + 80000974: 00048593 mv a1,s1 + 80000978: 00002517 auipc a0,0x2 + 8000097c: e6050513 addi a0,a0,-416 # 800027d8 <_etext+0x4fc> + 80000980: 638010ef jal ra,80001fb8 + 80000984: 00002517 auipc a0,0x2 + 80000988: e7450513 addi a0,a0,-396 # 800027f8 <_etext+0x51c> + 8000098c: 62c010ef jal ra,80001fb8 + 80000990: 0b813083 ld ra,184(sp) + 80000994: 0b013403 ld s0,176(sp) + 80000998: 0a813483 ld s1,168(sp) + 8000099c: 0a013903 ld s2,160(sp) + 800009a0: 09813983 ld s3,152(sp) + 800009a4: 09013a03 ld s4,144(sp) + 800009a8: 08813a83 ld s5,136(sp) + 800009ac: 08013b03 ld s6,128(sp) + 800009b0: 07813b83 ld s7,120(sp) + 800009b4: 07013c03 ld s8,112(sp) + 800009b8: 06813c83 ld s9,104(sp) + 800009bc: 06013d03 ld s10,96(sp) + 800009c0: 05813d83 ld s11,88(sp) + 800009c4: 00000513 li a0,0 + 800009c8: 0c010113 addi sp,sp,192 + 800009cc: 00008067 ret + 800009d0: 01948023 sb s9,0(s1) + 800009d4: 00100713 li a4,1 + 800009d8: a6ed1ee3 bne s10,a4,80000454 + 800009dc: a95ff06f j 80000470 + 800009e0: 00d00d93 li s11,13 + 800009e4: 00100c93 li s9,1 + 800009e8: ad9ff06f j 800004c0 + +00000000800009ec : + 800009ec: 00008067 ret + +00000000800009f0 : + 800009f0: 00050513 mv a0,a0 + 800009f4: 0000006b 0x6b + 800009f8: 0000006f j 800009f8 + +00000000800009fc <_assert>: + 800009fc: 00051a63 bnez a0,80000a10 <_assert+0x14> + 80000a00: 00100793 li a5,1 + 80000a04: 00078513 mv a0,a5 + 80000a08: 0000006b 0x6b + 80000a0c: 0000006f j 80000a0c <_assert+0x10> + 80000a10: 00008067 ret + +0000000080000a14 <_trm_init>: + 80000a14: ff010113 addi sp,sp,-16 + 80000a18: 00113423 sd ra,8(sp) + 80000a1c: 4e8000ef jal ra,80000f04 + 80000a20: 00002517 auipc a0,0x2 + 80000a24: 0f850513 addi a0,a0,248 # 80002b18 + 80000a28: 839ff0ef jal ra,80000260
+ 80000a2c: 00050513 mv a0,a0 + 80000a30: 0000006b 0x6b + 80000a34: 0000006f j 80000a34 <_trm_init+0x20> + +0000000080000a38 <__udivsi3>: + 80000a38: 02051513 slli a0,a0,0x20 + 80000a3c: 02059593 slli a1,a1,0x20 + 80000a40: 00008293 mv t0,ra + 80000a44: 03c000ef jal ra,80000a80 <__udivdi3> + 80000a48: 0005051b sext.w a0,a0 + 80000a4c: 00028067 jr t0 + +0000000080000a50 <__umodsi3>: + 80000a50: 02051513 slli a0,a0,0x20 + 80000a54: 02059593 slli a1,a1,0x20 + 80000a58: 02055513 srli a0,a0,0x20 + 80000a5c: 0205d593 srli a1,a1,0x20 + 80000a60: 00008293 mv t0,ra + 80000a64: 01c000ef jal ra,80000a80 <__udivdi3> + 80000a68: 0005851b sext.w a0,a1 + 80000a6c: 00028067 jr t0 + +0000000080000a70 <__divsi3>: + 80000a70: fff00293 li t0,-1 + 80000a74: 0a558c63 beq a1,t0,80000b2c <__moddi3+0x30> + +0000000080000a78 <__divdi3>: + 80000a78: 06054063 bltz a0,80000ad8 <__umoddi3+0x10> + 80000a7c: 0605c663 bltz a1,80000ae8 <__umoddi3+0x20> + +0000000080000a80 <__udivdi3>: + 80000a80: 00058613 mv a2,a1 + 80000a84: 00050593 mv a1,a0 + 80000a88: fff00513 li a0,-1 + 80000a8c: 02060c63 beqz a2,80000ac4 <__udivdi3+0x44> + 80000a90: 00100693 li a3,1 + 80000a94: 00b67a63 bgeu a2,a1,80000aa8 <__udivdi3+0x28> + 80000a98: 00c05863 blez a2,80000aa8 <__udivdi3+0x28> + 80000a9c: 00161613 slli a2,a2,0x1 + 80000aa0: 00169693 slli a3,a3,0x1 + 80000aa4: feb66ae3 bltu a2,a1,80000a98 <__udivdi3+0x18> + 80000aa8: 00000513 li a0,0 + 80000aac: 00c5e663 bltu a1,a2,80000ab8 <__udivdi3+0x38> + 80000ab0: 40c585b3 sub a1,a1,a2 + 80000ab4: 00d56533 or a0,a0,a3 + 80000ab8: 0016d693 srli a3,a3,0x1 + 80000abc: 00165613 srli a2,a2,0x1 + 80000ac0: fe0696e3 bnez a3,80000aac <__udivdi3+0x2c> + 80000ac4: 00008067 ret + +0000000080000ac8 <__umoddi3>: + 80000ac8: 00008293 mv t0,ra + 80000acc: fb5ff0ef jal ra,80000a80 <__udivdi3> + 80000ad0: 00058513 mv a0,a1 + 80000ad4: 00028067 jr t0 + 80000ad8: 40a00533 neg a0,a0 + 80000adc: 00b04863 bgtz a1,80000aec <__umoddi3+0x24> + 80000ae0: 40b005b3 neg a1,a1 + 80000ae4: f9dff06f j 80000a80 <__udivdi3> + 80000ae8: 40b005b3 neg a1,a1 + 80000aec: 00008293 mv t0,ra + 80000af0: f91ff0ef jal ra,80000a80 <__udivdi3> + 80000af4: 40a00533 neg a0,a0 + 80000af8: 00028067 jr t0 + +0000000080000afc <__moddi3>: + 80000afc: 00008293 mv t0,ra + 80000b00: 0005ca63 bltz a1,80000b14 <__moddi3+0x18> + 80000b04: 00054c63 bltz a0,80000b1c <__moddi3+0x20> + 80000b08: f79ff0ef jal ra,80000a80 <__udivdi3> + 80000b0c: 00058513 mv a0,a1 + 80000b10: 00028067 jr t0 + 80000b14: 40b005b3 neg a1,a1 + 80000b18: fe0558e3 bgez a0,80000b08 <__moddi3+0xc> + 80000b1c: 40a00533 neg a0,a0 + 80000b20: f61ff0ef jal ra,80000a80 <__udivdi3> + 80000b24: 40b00533 neg a0,a1 + 80000b28: 00028067 jr t0 + 80000b2c: 01f29293 slli t0,t0,0x1f + 80000b30: f45514e3 bne a0,t0,80000a78 <__divdi3> + 80000b34: 00008067 ret + +0000000080000b38 <__am_timer_config>: + 80000b38: 00100793 li a5,1 + 80000b3c: 00f50023 sb a5,0(a0) + 80000b40: 00f500a3 sb a5,1(a0) + 80000b44: 00008067 ret + +0000000080000b48 <__am_input_config>: + 80000b48: 00100793 li a5,1 + 80000b4c: 00f50023 sb a5,0(a0) + 80000b50: 00008067 ret + +0000000080000b54 : + 80000b54: ff010113 addi sp,sp,-16 + 80000b58: 00813023 sd s0,0(sp) + 80000b5c: 00113423 sd ra,8(sp) + 80000b60: 00002417 auipc s0,0x2 + 80000b64: cd840413 addi s0,s0,-808 # 80002838 <_etext+0x55c> + 80000b68: 04100513 li a0,65 + 80000b6c: 00140413 addi s0,s0,1 + 80000b70: e7dff0ef jal ra,800009ec + 80000b74: 00044503 lbu a0,0(s0) + 80000b78: fe051ae3 bnez a0,80000b6c + 80000b7c: 00002417 auipc s0,0x2 + 80000b80: ccc40413 addi s0,s0,-820 # 80002848 <_etext+0x56c> + 80000b84: 06100513 li a0,97 + 80000b88: 00140413 addi s0,s0,1 + 80000b8c: e61ff0ef jal ra,800009ec + 80000b90: 00044503 lbu a0,0(s0) + 80000b94: fe051ae3 bnez a0,80000b88 + 80000b98: 00002417 auipc s0,0x2 + 80000b9c: cd040413 addi s0,s0,-816 # 80002868 <_etext+0x58c> + 80000ba0: 02000513 li a0,32 + 80000ba4: 00140413 addi s0,s0,1 + 80000ba8: e45ff0ef jal ra,800009ec + 80000bac: 00044503 lbu a0,0(s0) + 80000bb0: fe051ae3 bnez a0,80000ba4 + 80000bb4: 00100513 li a0,1 + 80000bb8: e39ff0ef jal ra,800009f0 + +0000000080000bbc : + 80000bbc: ff010113 addi sp,sp,-16 + 80000bc0: 00113423 sd ra,8(sp) + 80000bc4: 00002797 auipc a5,0x2 + 80000bc8: f8478793 addi a5,a5,-124 # 80002b48 + 80000bcc: 00002697 auipc a3,0x2 + 80000bd0: 37c68693 addi a3,a3,892 # 80002f48 + 80000bd4: 00000617 auipc a2,0x0 + 80000bd8: f8060613 addi a2,a2,-128 # 80000b54 + 80000bdc: 00c0006f j 80000be8 + 80000be0: 00878793 addi a5,a5,8 + 80000be4: 00d78c63 beq a5,a3,80000bfc + 80000be8: 0007b703 ld a4,0(a5) + 80000bec: fe071ae3 bnez a4,80000be0 + 80000bf0: 00c7b023 sd a2,0(a5) + 80000bf4: 00878793 addi a5,a5,8 + 80000bf8: fed798e3 bne a5,a3,80000be8 + 80000bfc: 030000ef jal ra,80000c2c <__am_timer_init> + 80000c00: 00813083 ld ra,8(sp) + 80000c04: 00100513 li a0,1 + 80000c08: 01010113 addi sp,sp,16 + 80000c0c: 00008067 ret + +0000000080000c10 : + 80000c10: 00351793 slli a5,a0,0x3 + 80000c14: 00002517 auipc a0,0x2 + 80000c18: f3450513 addi a0,a0,-204 # 80002b48 + 80000c1c: 00f50533 add a0,a0,a5 + 80000c20: 00053303 ld t1,0(a0) + 80000c24: 00058513 mv a0,a1 + 80000c28: 00030067 jr t1 + +0000000080000c2c <__am_timer_init>: + 80000c2c: 00008067 ret + +0000000080000c30 <__am_timer_uptime>: + 80000c30: 00053023 sd zero,0(a0) + 80000c34: 00008067 ret + +0000000080000c38 : + 80000c38: fc010113 addi sp,sp,-64 + 80000c3c: 01313c23 sd s3,24(sp) + 80000c40: 00058993 mv s3,a1 + 80000c44: 00050793 mv a5,a0 + 80000c48: 03800613 li a2,56 + 80000c4c: 00000593 li a1,0 + 80000c50: 00098513 mv a0,s3 + 80000c54: 02813823 sd s0,48(sp) + 80000c58: 03213023 sd s2,32(sp) + 80000c5c: 0007b403 ld s0,0(a5) + 80000c60: 01413823 sd s4,16(sp) + 80000c64: 02113c23 sd ra,56(sp) + 80000c68: 02913423 sd s1,40(sp) + 80000c6c: 01513423 sd s5,8(sp) + 80000c70: 39c010ef jal ra,8000200c + 80000c74: 7b200793 li a5,1970 + 80000c78: 00f9aa23 sw a5,20(s3) + 80000c7c: 7b200913 li s2,1970 + 80000c80: 00002a17 auipc s4,0x2 + 80000c84: ea0a0a13 addi s4,s4,-352 # 80002b20 + 80000c88: 0100006f j 80000c98 + 80000c8c: 40e40433 sub s0,s0,a4 + 80000c90: 00d9aa23 sw a3,20(s3) + 80000c94: 00068913 mv s2,a3 + 80000c98: 0009049b sext.w s1,s2 + 80000c9c: 06400593 li a1,100 + 80000ca0: 00048513 mv a0,s1 + 80000ca4: e59ff0ef jal ra,80000afc <__moddi3> + 80000ca8: 00050793 mv a5,a0 + 80000cac: 0007879b sext.w a5,a5 + 80000cb0: 00048513 mv a0,s1 + 80000cb4: 00397493 andi s1,s2,3 + 80000cb8: 19000593 li a1,400 + 80000cbc: 0014b493 seqz s1,s1 + 80000cc0: 00079863 bnez a5,80000cd0 + 80000cc4: e39ff0ef jal ra,80000afc <__moddi3> + 80000cc8: 0005049b sext.w s1,a0 + 80000ccc: 0014b493 seqz s1,s1 + 80000cd0: 00249793 slli a5,s1,0x2 + 80000cd4: 00fa07b3 add a5,s4,a5 + 80000cd8: 0007e703 lwu a4,0(a5) + 80000cdc: 0019069b addiw a3,s2,1 + 80000ce0: fae456e3 bge s0,a4,80000c8c + 80000ce4: 000155b7 lui a1,0x15 + 80000ce8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000cec: 00040513 mv a0,s0 + 80000cf0: d89ff0ef jal ra,80000a78 <__divdi3> + 80000cf4: 00149793 slli a5,s1,0x1 + 80000cf8: 009784b3 add s1,a5,s1 + 80000cfc: 00449793 slli a5,s1,0x4 + 80000d00: 00002497 auipc s1,0x2 + 80000d04: ba848493 addi s1,s1,-1112 # 800028a8 + 80000d08: 00f484b3 add s1,s1,a5 + 80000d0c: 0004e783 lwu a5,0(s1) + 80000d10: 0005051b sext.w a0,a0 + 80000d14: 00a9ae23 sw a0,28(s3) + 80000d18: 14f44463 blt s0,a5,80000e60 + 80000d1c: 00100713 li a4,1 + 80000d20: 40f40433 sub s0,s0,a5 + 80000d24: 0044e783 lwu a5,4(s1) + 80000d28: 00070a1b sext.w s4,a4 + 80000d2c: 00448493 addi s1,s1,4 + 80000d30: 00170713 addi a4,a4,1 + 80000d34: fef456e3 bge s0,a5,80000d20 + 80000d38: 000155b7 lui a1,0x15 + 80000d3c: 0149a823 sw s4,16(s3) + 80000d40: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000d44: 00040513 mv a0,s0 + 80000d48: d31ff0ef jal ra,80000a78 <__divdi3> + 80000d4c: 0005051b sext.w a0,a0 + 80000d50: 0015079b addiw a5,a0,1 + 80000d54: 000155b7 lui a1,0x15 + 80000d58: 00f9a623 sw a5,12(s3) + 80000d5c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80000d60: 00040513 mv a0,s0 + 80000d64: 00001ab7 lui s5,0x1 + 80000d68: 0007841b sext.w s0,a5 + 80000d6c: d91ff0ef jal ra,80000afc <__moddi3> + 80000d70: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80000d74: 00050493 mv s1,a0 + 80000d78: d01ff0ef jal ra,80000a78 <__divdi3> + 80000d7c: e10a8593 addi a1,s5,-496 + 80000d80: 00a9a423 sw a0,8(s3) + 80000d84: 00048513 mv a0,s1 + 80000d88: d75ff0ef jal ra,80000afc <__moddi3> + 80000d8c: 03c00593 li a1,60 + 80000d90: 00050493 mv s1,a0 + 80000d94: ce5ff0ef jal ra,80000a78 <__divdi3> + 80000d98: 00a9a223 sw a0,4(s3) + 80000d9c: 03c00593 li a1,60 + 80000da0: 00048513 mv a0,s1 + 80000da4: d59ff0ef jal ra,80000afc <__moddi3> + 80000da8: 001a0a1b addiw s4,s4,1 + 80000dac: 0ffa7793 andi a5,s4,255 + 80000db0: 0037b793 sltiu a5,a5,3 + 80000db4: 40f9093b subw s2,s2,a5 + 80000db8: 03091913 slli s2,s2,0x30 + 80000dbc: 03095913 srli s2,s2,0x30 + 80000dc0: 00a9a023 sw a0,0(s3) + 80000dc4: 06400593 li a1,100 + 80000dc8: 00090513 mv a0,s2 + 80000dcc: cb5ff0ef jal ra,80000a80 <__udivdi3> + 80000dd0: 0029549b srliw s1,s2,0x2 + 80000dd4: 0105179b slliw a5,a0,0x10 + 80000dd8: 0107d79b srliw a5,a5,0x10 + 80000ddc: 012484bb addw s1,s1,s2 + 80000de0: 19000593 li a1,400 + 80000de4: 00090513 mv a0,s2 + 80000de8: 0ffa7a13 andi s4,s4,255 + 80000dec: 40f484bb subw s1,s1,a5 + 80000df0: fffa0a1b addiw s4,s4,-1 + 80000df4: c8dff0ef jal ra,80000a80 <__udivdi3> + 80000df8: 00002797 auipc a5,0x2 + 80000dfc: b1078793 addi a5,a5,-1264 # 80002908 + 80000e00: 002a1a13 slli s4,s4,0x2 + 80000e04: 01478a33 add s4,a5,s4 + 80000e08: 000a2783 lw a5,0(s4) + 80000e0c: 0105151b slliw a0,a0,0x10 + 80000e10: 0105551b srliw a0,a0,0x10 + 80000e14: 00a484bb addw s1,s1,a0 + 80000e18: 00f484bb addw s1,s1,a5 + 80000e1c: 0ff47513 andi a0,s0,255 + 80000e20: 00a4853b addw a0,s1,a0 + 80000e24: 00700593 li a1,7 + 80000e28: cd5ff0ef jal ra,80000afc <__moddi3> + 80000e2c: 03813083 ld ra,56(sp) + 80000e30: 03013403 ld s0,48(sp) + 80000e34: 0ff57513 andi a0,a0,255 + 80000e38: 00a9ac23 sw a0,24(s3) + 80000e3c: 0209a023 sw zero,32(s3) + 80000e40: 02813483 ld s1,40(sp) + 80000e44: 02013903 ld s2,32(sp) + 80000e48: 01013a03 ld s4,16(sp) + 80000e4c: 00813a83 ld s5,8(sp) + 80000e50: 00098513 mv a0,s3 + 80000e54: 01813983 ld s3,24(sp) + 80000e58: 04010113 addi sp,sp,64 + 80000e5c: 00008067 ret + 80000e60: 00000a13 li s4,0 + 80000e64: eedff06f j 80000d50 + +0000000080000e68 <__am_timer_rtc>: + 80000e68: fa010113 addi sp,sp,-96 + 80000e6c: 04813823 sd s0,80(sp) + 80000e70: 01810593 addi a1,sp,24 + 80000e74: 00050413 mv s0,a0 + 80000e78: 00810513 addi a0,sp,8 + 80000e7c: 04113c23 sd ra,88(sp) + 80000e80: 00013423 sd zero,8(sp) + 80000e84: 00013823 sd zero,16(sp) + 80000e88: db1ff0ef jal ra,80000c38 + 80000e8c: 01812703 lw a4,24(sp) + 80000e90: 02812783 lw a5,40(sp) + 80000e94: 05813083 ld ra,88(sp) + 80000e98: 00e42a23 sw a4,20(s0) + 80000e9c: 01c12703 lw a4,28(sp) + 80000ea0: 0017879b addiw a5,a5,1 + 80000ea4: 00f42223 sw a5,4(s0) + 80000ea8: 00e42823 sw a4,16(s0) + 80000eac: 02012703 lw a4,32(sp) + 80000eb0: 02c12783 lw a5,44(sp) + 80000eb4: 00e42623 sw a4,12(s0) + 80000eb8: 02412703 lw a4,36(sp) + 80000ebc: 00f42023 sw a5,0(s0) + 80000ec0: 00e42423 sw a4,8(s0) + 80000ec4: 05013403 ld s0,80(sp) + 80000ec8: 06010113 addi sp,sp,96 + 80000ecc: 00008067 ret + +0000000080000ed0 <__am_input_keybrd>: + 80000ed0: 00050023 sb zero,0(a0) + 80000ed4: 00052223 sw zero,4(a0) + 80000ed8: 00008067 ret + +0000000080000edc : + 80000edc: 00054783 lbu a5,0(a0) + 80000ee0: 00158593 addi a1,a1,1 + 80000ee4: 00150513 addi a0,a0,1 + 80000ee8: fff5c703 lbu a4,-1(a1) + 80000eec: 00078863 beqz a5,80000efc + 80000ef0: fee786e3 beq a5,a4,80000edc + 80000ef4: 40e7853b subw a0,a5,a4 + 80000ef8: 00008067 ret + 80000efc: 40e0053b negw a0,a4 + 80000f00: 00008067 ret + +0000000080000f04 : + 80000f04: 00002797 auipc a5,0x2 + 80000f08: c3478793 addi a5,a5,-972 # 80002b38 + 80000f0c: 0007b503 ld a0,0(a5) + 80000f10: 0087b583 ld a1,8(a5) + 80000f14: ff010113 addi sp,sp,-16 + 80000f18: 00000693 li a3,0 + 80000f1c: 00000613 li a2,0 + 80000f20: 40a585b3 sub a1,a1,a0 + 80000f24: 00113423 sd ra,8(sp) + 80000f28: 1e0010ef jal ra,80002108 + 80000f2c: 00813083 ld ra,8(sp) + 80000f30: 00002797 auipc a5,0x2 + 80000f34: 40a7bc23 sd a0,1048(a5) # 80003348 + 80000f38: 01010113 addi sp,sp,16 + 80000f3c: 00008067 ret + +0000000080000f40 <_out_null>: + 80000f40: 00008067 ret + +0000000080000f44 <_ntoa_format>: + 80000f44: fa010113 addi sp,sp,-96 + 80000f48: 07012303 lw t1,112(sp) + 80000f4c: 03313c23 sd s3,56(sp) + 80000f50: 03413823 sd s4,48(sp) + 80000f54: 03513423 sd s5,40(sp) + 80000f58: 03613023 sd s6,32(sp) + 80000f5c: 01713c23 sd s7,24(sp) + 80000f60: 01813823 sd s8,16(sp) + 80000f64: 01913423 sd s9,8(sp) + 80000f68: 00237e13 andi t3,t1,2 + 80000f6c: 01037e93 andi t4,t1,16 + 80000f70: 04113c23 sd ra,88(sp) + 80000f74: 04813823 sd s0,80(sp) + 80000f78: 04913423 sd s1,72(sp) + 80000f7c: 05213023 sd s2,64(sp) + 80000f80: 06012f03 lw t5,96(sp) + 80000f84: 06812c03 lw s8,104(sp) + 80000f88: 00050a13 mv s4,a0 + 80000f8c: 00058a93 mv s5,a1 + 80000f90: 00060b93 mv s7,a2 + 80000f94: 00068b13 mv s6,a3 + 80000f98: 00070993 mv s3,a4 + 80000f9c: 000e0c9b sext.w s9,t3 + 80000fa0: 000e8e9b sext.w t4,t4 + 80000fa4: 060e1c63 bnez t3,8000101c <_ntoa_format+0xd8> + 80000fa8: 020f1693 slli a3,t5,0x20 + 80000fac: 00137513 andi a0,t1,1 + 80000fb0: 0206d693 srli a3,a3,0x20 + 80000fb4: 180c1e63 bnez s8,80001150 <_ntoa_format+0x20c> + 80000fb8: 02d7f663 bgeu a5,a3,80000fe4 <_ntoa_format+0xa0> + 80000fbc: 02000713 li a4,32 + 80000fc0: 36e78e63 beq a5,a4,8000133c <_ntoa_format+0x3f8> + 80000fc4: 03000613 li a2,48 + 80000fc8: 02000593 li a1,32 + 80000fcc: 0080006f j 80000fd4 <_ntoa_format+0x90> + 80000fd0: 00b78a63 beq a5,a1,80000fe4 <_ntoa_format+0xa0> + 80000fd4: 00178793 addi a5,a5,1 + 80000fd8: 00f98733 add a4,s3,a5 + 80000fdc: fec70fa3 sb a2,-1(a4) + 80000fe0: fed7e8e3 bltu a5,a3,80000fd0 <_ntoa_format+0x8c> + 80000fe4: 02050c63 beqz a0,8000101c <_ntoa_format+0xd8> + 80000fe8: 020c1913 slli s2,s8,0x20 + 80000fec: 02095913 srli s2,s2,0x20 + 80000ff0: 0327f663 bgeu a5,s2,8000101c <_ntoa_format+0xd8> + 80000ff4: 02000713 li a4,32 + 80000ff8: 36e78863 beq a5,a4,80001368 <_ntoa_format+0x424> + 80000ffc: 03000693 li a3,48 + 80001000: 02000613 li a2,32 + 80001004: 0080006f j 8000100c <_ntoa_format+0xc8> + 80001008: 16c78463 beq a5,a2,80001170 <_ntoa_format+0x22c> + 8000100c: 00178793 addi a5,a5,1 + 80001010: 00f98733 add a4,s3,a5 + 80001014: fed70fa3 sb a3,-1(a4) + 80001018: ff2798e3 bne a5,s2,80001008 <_ntoa_format+0xc4> + 8000101c: 1a0e8663 beqz t4,800011c8 <_ntoa_format+0x284> + 80001020: 40037713 andi a4,t1,1024 + 80001024: 20071463 bnez a4,8000122c <_ntoa_format+0x2e8> + 80001028: 1e079663 bnez a5,80001214 <_ntoa_format+0x2d0> + 8000102c: 01000793 li a5,16 + 80001030: 2af88863 beq a7,a5,800012e0 <_ntoa_format+0x39c> + 80001034: 00200793 li a5,2 + 80001038: 2ef88a63 beq a7,a5,8000132c <_ntoa_format+0x3e8> + 8000103c: 03000793 li a5,48 + 80001040: 00f98023 sb a5,0(s3) + 80001044: 00100793 li a5,1 + 80001048: 1a080463 beqz a6,800011f0 <_ntoa_format+0x2ac> + 8000104c: 00f98733 add a4,s3,a5 + 80001050: 00178413 addi s0,a5,1 + 80001054: 02d00793 li a5,45 + 80001058: 00f70023 sb a5,0(a4) + 8000105c: 00337313 andi t1,t1,3 + 80001060: 20031263 bnez t1,80001264 <_ntoa_format+0x320> + 80001064: 020c1913 slli s2,s8,0x20 + 80001068: 02095913 srli s2,s2,0x20 + 8000106c: 1f247c63 bgeu s0,s2,80001264 <_ntoa_format+0x320> + 80001070: 40890933 sub s2,s2,s0 + 80001074: 01790933 add s2,s2,s7 + 80001078: 000b8493 mv s1,s7 + 8000107c: 00048613 mv a2,s1 + 80001080: 000b0693 mv a3,s6 + 80001084: 00148493 addi s1,s1,1 + 80001088: 000a8593 mv a1,s5 + 8000108c: 02000513 li a0,32 + 80001090: 000a00e7 jalr s4 + 80001094: ff2494e3 bne s1,s2,8000107c <_ntoa_format+0x138> + 80001098: 02040663 beqz s0,800010c4 <_ntoa_format+0x180> + 8000109c: 01240933 add s2,s0,s2 + 800010a0: 012984b3 add s1,s3,s2 + 800010a4: 00898433 add s0,s3,s0 + 800010a8: fff44503 lbu a0,-1(s0) + 800010ac: 40848633 sub a2,s1,s0 + 800010b0: 000b0693 mv a3,s6 + 800010b4: fff40413 addi s0,s0,-1 + 800010b8: 000a8593 mv a1,s5 + 800010bc: 000a00e7 jalr s4 + 800010c0: fe8994e3 bne s3,s0,800010a8 <_ntoa_format+0x164> + 800010c4: 040c8a63 beqz s9,80001118 <_ntoa_format+0x1d4> + 800010c8: 020c1c13 slli s8,s8,0x20 + 800010cc: 41790433 sub s0,s2,s7 + 800010d0: 020c5c13 srli s8,s8,0x20 + 800010d4: 05847263 bgeu s0,s8,80001118 <_ntoa_format+0x1d4> + 800010d8: 01740633 add a2,s0,s7 + 800010dc: 000b0693 mv a3,s6 + 800010e0: 00140413 addi s0,s0,1 + 800010e4: 000a8593 mv a1,s5 + 800010e8: 02000513 li a0,32 + 800010ec: 000a00e7 jalr s4 + 800010f0: ff8464e3 bltu s0,s8,800010d8 <_ntoa_format+0x194> + 800010f4: 41790733 sub a4,s2,s7 + 800010f8: 00170713 addi a4,a4,1 + 800010fc: 00000793 li a5,0 + 80001100: 00ec6863 bltu s8,a4,80001110 <_ntoa_format+0x1cc> + 80001104: fffb8793 addi a5,s7,-1 + 80001108: 01878c33 add s8,a5,s8 + 8000110c: 412c07b3 sub a5,s8,s2 + 80001110: 00190913 addi s2,s2,1 + 80001114: 00f90933 add s2,s2,a5 + 80001118: 05813083 ld ra,88(sp) + 8000111c: 05013403 ld s0,80(sp) + 80001120: 04813483 ld s1,72(sp) + 80001124: 03813983 ld s3,56(sp) + 80001128: 03013a03 ld s4,48(sp) + 8000112c: 02813a83 ld s5,40(sp) + 80001130: 02013b03 ld s6,32(sp) + 80001134: 01813b83 ld s7,24(sp) + 80001138: 01013c03 ld s8,16(sp) + 8000113c: 00813c83 ld s9,8(sp) + 80001140: 00090513 mv a0,s2 + 80001144: 04013903 ld s2,64(sp) + 80001148: 06010113 addi sp,sp,96 + 8000114c: 00008067 ret + 80001150: 12050863 beqz a0,80001280 <_ntoa_format+0x33c> + 80001154: 00081663 bnez a6,80001160 <_ntoa_format+0x21c> + 80001158: 00c37713 andi a4,t1,12 + 8000115c: 00070463 beqz a4,80001164 <_ntoa_format+0x220> + 80001160: fffc0c1b addiw s8,s8,-1 + 80001164: e8d7f2e3 bgeu a5,a3,80000fe8 <_ntoa_format+0xa4> + 80001168: 02000713 li a4,32 + 8000116c: e4e79ce3 bne a5,a4,80000fc4 <_ntoa_format+0x80> + 80001170: 0e0e8063 beqz t4,80001250 <_ntoa_format+0x30c> + 80001174: 40037793 andi a5,t1,1024 + 80001178: 04079c63 bnez a5,800011d0 <_ntoa_format+0x28c> + 8000117c: 02000793 li a5,32 + 80001180: 0aff1063 bne t5,a5,80001220 <_ntoa_format+0x2dc> + 80001184: 02000793 li a5,32 + 80001188: 01f00713 li a4,31 + 8000118c: 01000693 li a3,16 + 80001190: 1ad88c63 beq a7,a3,80001348 <_ntoa_format+0x404> + 80001194: 00200693 li a3,2 + 80001198: 00070793 mv a5,a4 + 8000119c: 00d89e63 bne a7,a3,800011b8 <_ntoa_format+0x274> + 800011a0: 00e986b3 add a3,s3,a4 + 800011a4: 00170793 addi a5,a4,1 + 800011a8: 06200713 li a4,98 + 800011ac: 00e68023 sb a4,0(a3) + 800011b0: 02000713 li a4,32 + 800011b4: 00e78e63 beq a5,a4,800011d0 <_ntoa_format+0x28c> + 800011b8: 00f98733 add a4,s3,a5 + 800011bc: 03000693 li a3,48 + 800011c0: 00d70023 sb a3,0(a4) + 800011c4: 00178793 addi a5,a5,1 + 800011c8: 02000713 li a4,32 + 800011cc: e6e79ee3 bne a5,a4,80001048 <_ntoa_format+0x104> + 800011d0: 00337313 andi t1,t1,3 + 800011d4: 08031263 bnez t1,80001258 <_ntoa_format+0x314> + 800011d8: 02000413 li s0,32 + 800011dc: 020c1913 slli s2,s8,0x20 + 800011e0: 02095913 srli s2,s2,0x20 + 800011e4: e92466e3 bltu s0,s2,80001070 <_ntoa_format+0x12c> + 800011e8: 000b8913 mv s2,s7 + 800011ec: eadff06f j 80001098 <_ntoa_format+0x154> + 800011f0: 00437713 andi a4,t1,4 + 800011f4: 06071c63 bnez a4,8000126c <_ntoa_format+0x328> + 800011f8: 00837713 andi a4,t1,8 + 800011fc: 0c071863 bnez a4,800012cc <_ntoa_format+0x388> + 80001200: 00337313 andi t1,t1,3 + 80001204: 00078413 mv s0,a5 + 80001208: fc030ae3 beqz t1,800011dc <_ntoa_format+0x298> + 8000120c: 000b8913 mv s2,s7 + 80001210: e89ff06f j 80001098 <_ntoa_format+0x154> + 80001214: 020f1f13 slli t5,t5,0x20 + 80001218: 020f5f13 srli t5,t5,0x20 + 8000121c: 0aff0263 beq t5,a5,800012c0 <_ntoa_format+0x37c> + 80001220: 020c1913 slli s2,s8,0x20 + 80001224: 02095913 srli s2,s2,0x20 + 80001228: 08f90c63 beq s2,a5,800012c0 <_ntoa_format+0x37c> + 8000122c: 01000713 li a4,16 + 80001230: 06e88663 beq a7,a4,8000129c <_ntoa_format+0x358> + 80001234: 00200713 li a4,2 + 80001238: f6e89ce3 bne a7,a4,800011b0 <_ntoa_format+0x26c> + 8000123c: 02000713 li a4,32 + 80001240: f8e788e3 beq a5,a4,800011d0 <_ntoa_format+0x28c> + 80001244: 00f986b3 add a3,s3,a5 + 80001248: 00178793 addi a5,a5,1 + 8000124c: f5dff06f j 800011a8 <_ntoa_format+0x264> + 80001250: 00337313 andi t1,t1,3 + 80001254: 0c030063 beqz t1,80001314 <_ntoa_format+0x3d0> + 80001258: 000b8913 mv s2,s7 + 8000125c: 02000413 li s0,32 + 80001260: e3dff06f j 8000109c <_ntoa_format+0x158> + 80001264: 000b8913 mv s2,s7 + 80001268: e35ff06f j 8000109c <_ntoa_format+0x158> + 8000126c: 00f98733 add a4,s3,a5 + 80001270: 00178413 addi s0,a5,1 + 80001274: 02b00793 li a5,43 + 80001278: 00f70023 sb a5,0(a4) + 8000127c: de1ff06f j 8000105c <_ntoa_format+0x118> + 80001280: d8d7fee3 bgeu a5,a3,8000101c <_ntoa_format+0xd8> + 80001284: 02000713 li a4,32 + 80001288: d2e79ee3 bne a5,a4,80000fc4 <_ntoa_format+0x80> + 8000128c: 0e0e8c63 beqz t4,80001384 <_ntoa_format+0x440> + 80001290: 40037793 andi a5,t1,1024 + 80001294: f2079ee3 bnez a5,800011d0 <_ntoa_format+0x28c> + 80001298: ee5ff06f j 8000117c <_ntoa_format+0x238> + 8000129c: 02037713 andi a4,t1,32 + 800012a0: 04071c63 bnez a4,800012f8 <_ntoa_format+0x3b4> + 800012a4: 02000713 li a4,32 + 800012a8: f2e784e3 beq a5,a4,800011d0 <_ntoa_format+0x28c> + 800012ac: 00f98733 add a4,s3,a5 + 800012b0: 00178793 addi a5,a5,1 + 800012b4: 07800693 li a3,120 + 800012b8: 00d70023 sb a3,0(a4) + 800012bc: ef5ff06f j 800011b0 <_ntoa_format+0x26c> + 800012c0: fff78713 addi a4,a5,-1 + 800012c4: d60704e3 beqz a4,8000102c <_ntoa_format+0xe8> + 800012c8: ec5ff06f j 8000118c <_ntoa_format+0x248> + 800012cc: 00f98733 add a4,s3,a5 + 800012d0: 00178413 addi s0,a5,1 + 800012d4: 02000793 li a5,32 + 800012d8: 00f70023 sb a5,0(a4) + 800012dc: d81ff06f j 8000105c <_ntoa_format+0x118> + 800012e0: 02037793 andi a5,t1,32 + 800012e4: 02079c63 bnez a5,8000131c <_ntoa_format+0x3d8> + 800012e8: 07800793 li a5,120 + 800012ec: 00f98023 sb a5,0(s3) + 800012f0: 00100793 li a5,1 + 800012f4: ec5ff06f j 800011b8 <_ntoa_format+0x274> + 800012f8: 02000713 li a4,32 + 800012fc: ece78ae3 beq a5,a4,800011d0 <_ntoa_format+0x28c> + 80001300: 00f98733 add a4,s3,a5 + 80001304: 05800693 li a3,88 + 80001308: 00d70023 sb a3,0(a4) + 8000130c: 00178793 addi a5,a5,1 + 80001310: ea1ff06f j 800011b0 <_ntoa_format+0x26c> + 80001314: 02000413 li s0,32 + 80001318: d4dff06f j 80001064 <_ntoa_format+0x120> + 8000131c: 05800793 li a5,88 + 80001320: 00f98023 sb a5,0(s3) + 80001324: 00100793 li a5,1 + 80001328: e91ff06f j 800011b8 <_ntoa_format+0x274> + 8000132c: 06200793 li a5,98 + 80001330: 00f98023 sb a5,0(s3) + 80001334: 00100793 li a5,1 + 80001338: e81ff06f j 800011b8 <_ntoa_format+0x274> + 8000133c: e2051ae3 bnez a0,80001170 <_ntoa_format+0x22c> + 80001340: 000c8c13 mv s8,s9 + 80001344: f49ff06f j 8000128c <_ntoa_format+0x348> + 80001348: 02037693 andi a3,t1,32 + 8000134c: ffe78713 addi a4,a5,-2 + 80001350: 00069863 bnez a3,80001360 <_ntoa_format+0x41c> + 80001354: 00e98733 add a4,s3,a4 + 80001358: fff78793 addi a5,a5,-1 + 8000135c: f59ff06f j 800012b4 <_ntoa_format+0x370> + 80001360: 00070793 mv a5,a4 + 80001364: f9dff06f j 80001300 <_ntoa_format+0x3bc> + 80001368: 000e8e63 beqz t4,80001384 <_ntoa_format+0x440> + 8000136c: 40037713 andi a4,t1,1024 + 80001370: 00071e63 bnez a4,8000138c <_ntoa_format+0x448> + 80001374: 02ff0e63 beq t5,a5,800013b0 <_ntoa_format+0x46c> + 80001378: e4fc1ce3 bne s8,a5,800011d0 <_ntoa_format+0x28c> + 8000137c: 01f00713 li a4,31 + 80001380: e0dff06f j 8000118c <_ntoa_format+0x248> + 80001384: 02000413 li s0,32 + 80001388: cd5ff06f j 8000105c <_ntoa_format+0x118> + 8000138c: 01000793 li a5,16 + 80001390: 02f88c63 beq a7,a5,800013c8 <_ntoa_format+0x484> + 80001394: 00200793 li a5,2 + 80001398: e2f88ce3 beq a7,a5,800011d0 <_ntoa_format+0x28c> + 8000139c: 00337313 andi t1,t1,3 + 800013a0: ea031ce3 bnez t1,80001258 <_ntoa_format+0x314> + 800013a4: 02000413 li s0,32 + 800013a8: cd2464e3 bltu s0,s2,80001070 <_ntoa_format+0x12c> + 800013ac: e3dff06f j 800011e8 <_ntoa_format+0x2a4> + 800013b0: 01000713 li a4,16 + 800013b4: f8e88ae3 beq a7,a4,80001348 <_ntoa_format+0x404> + 800013b8: 00200793 li a5,2 + 800013bc: 00f88c63 beq a7,a5,800013d4 <_ntoa_format+0x490> + 800013c0: 01f00793 li a5,31 + 800013c4: df5ff06f j 800011b8 <_ntoa_format+0x274> + 800013c8: 02037793 andi a5,t1,32 + 800013cc: fc0788e3 beqz a5,8000139c <_ntoa_format+0x458> + 800013d0: e01ff06f j 800011d0 <_ntoa_format+0x28c> + 800013d4: 01f00713 li a4,31 + 800013d8: dc9ff06f j 800011a0 <_ntoa_format+0x25c> + +00000000800013dc <_ntoa_long>: + 800013dc: f4010113 addi sp,sp,-192 + 800013e0: 09413823 sd s4,144(sp) + 800013e4: 09513423 sd s5,136(sp) + 800013e8: 09613023 sd s6,128(sp) + 800013ec: 07713c23 sd s7,120(sp) + 800013f0: 07813823 sd s8,112(sp) + 800013f4: 07913423 sd s9,104(sp) + 800013f8: 05b13c23 sd s11,88(sp) + 800013fc: 0a113c23 sd ra,184(sp) + 80001400: 0a813823 sd s0,176(sp) + 80001404: 0a913423 sd s1,168(sp) + 80001408: 0b213023 sd s2,160(sp) + 8000140c: 09313c23 sd s3,152(sp) + 80001410: 07a13023 sd s10,96(sp) + 80001414: 02a13023 sd a0,32(sp) + 80001418: 03113423 sd a7,40(sp) + 8000141c: 0c812a03 lw s4,200(sp) + 80001420: 00070d93 mv s11,a4 + 80001424: 00058b13 mv s6,a1 + 80001428: 00060b93 mv s7,a2 + 8000142c: 00068c13 mv s8,a3 + 80001430: 00078c93 mv s9,a5 + 80001434: 00080a93 mv s5,a6 + 80001438: 00071863 bnez a4,80001448 <_ntoa_long+0x6c> + 8000143c: 400a7793 andi a5,s4,1024 + 80001440: fefa7a13 andi s4,s4,-17 + 80001444: 0e079663 bnez a5,80001530 <_ntoa_long+0x154> + 80001448: 020a7793 andi a5,s4,32 + 8000144c: 06100413 li s0,97 + 80001450: 0c079c63 bnez a5,80001528 <_ntoa_long+0x14c> + 80001454: 00000d13 li s10,0 + 80001458: 03010493 addi s1,sp,48 + 8000145c: 00900913 li s2,9 + 80001460: ff64041b addiw s0,s0,-10 + 80001464: 02000993 li s3,32 + 80001468: 00c0006f j 80001474 <_ntoa_long+0x98> + 8000146c: 053d0463 beq s10,s3,800014b4 <_ntoa_long+0xd8> + 80001470: 00050d93 mv s11,a0 + 80001474: 000a8593 mv a1,s5 + 80001478: 000d8513 mv a0,s11 + 8000147c: e4cff0ef jal ra,80000ac8 <__umoddi3> + 80001480: 0ff57313 andi t1,a0,255 + 80001484: 0303071b addiw a4,t1,48 + 80001488: 0064033b addw t1,s0,t1 + 8000148c: 0ff37313 andi t1,t1,255 + 80001490: 00a96463 bltu s2,a0,80001498 <_ntoa_long+0xbc> + 80001494: 0ff77313 andi t1,a4,255 + 80001498: 001d0d13 addi s10,s10,1 + 8000149c: 01a48733 add a4,s1,s10 + 800014a0: 000d8513 mv a0,s11 + 800014a4: 000a8593 mv a1,s5 + 800014a8: fe670fa3 sb t1,-1(a4) + 800014ac: dd4ff0ef jal ra,80000a80 <__udivdi3> + 800014b0: fb5dfee3 bgeu s11,s5,8000146c <_ntoa_long+0x90> + 800014b4: 0c012703 lw a4,192(sp) + 800014b8: 02813783 ld a5,40(sp) + 800014bc: 02013503 ld a0,32(sp) + 800014c0: 01413823 sd s4,16(sp) + 800014c4: 00e13423 sd a4,8(sp) + 800014c8: 00f13023 sd a5,0(sp) + 800014cc: 000a889b sext.w a7,s5 + 800014d0: 000c8813 mv a6,s9 + 800014d4: 000d0793 mv a5,s10 + 800014d8: 00048713 mv a4,s1 + 800014dc: 000c0693 mv a3,s8 + 800014e0: 000b8613 mv a2,s7 + 800014e4: 000b0593 mv a1,s6 + 800014e8: a5dff0ef jal ra,80000f44 <_ntoa_format> + 800014ec: 0b813083 ld ra,184(sp) + 800014f0: 0b013403 ld s0,176(sp) + 800014f4: 0a813483 ld s1,168(sp) + 800014f8: 0a013903 ld s2,160(sp) + 800014fc: 09813983 ld s3,152(sp) + 80001500: 09013a03 ld s4,144(sp) + 80001504: 08813a83 ld s5,136(sp) + 80001508: 08013b03 ld s6,128(sp) + 8000150c: 07813b83 ld s7,120(sp) + 80001510: 07013c03 ld s8,112(sp) + 80001514: 06813c83 ld s9,104(sp) + 80001518: 06013d03 ld s10,96(sp) + 8000151c: 05813d83 ld s11,88(sp) + 80001520: 0c010113 addi sp,sp,192 + 80001524: 00008067 ret + 80001528: 04100413 li s0,65 + 8000152c: f29ff06f j 80001454 <_ntoa_long+0x78> + 80001530: 00000d13 li s10,0 + 80001534: 03010493 addi s1,sp,48 + 80001538: f7dff06f j 800014b4 <_ntoa_long+0xd8> + +000000008000153c <_ntoa_long_long>: + 8000153c: f4010113 addi sp,sp,-192 + 80001540: 09413823 sd s4,144(sp) + 80001544: 09513423 sd s5,136(sp) + 80001548: 09613023 sd s6,128(sp) + 8000154c: 07713c23 sd s7,120(sp) + 80001550: 07813823 sd s8,112(sp) + 80001554: 07913423 sd s9,104(sp) + 80001558: 05b13c23 sd s11,88(sp) + 8000155c: 0a113c23 sd ra,184(sp) + 80001560: 0a813823 sd s0,176(sp) + 80001564: 0a913423 sd s1,168(sp) + 80001568: 0b213023 sd s2,160(sp) + 8000156c: 09313c23 sd s3,152(sp) + 80001570: 07a13023 sd s10,96(sp) + 80001574: 02a13023 sd a0,32(sp) + 80001578: 03113423 sd a7,40(sp) + 8000157c: 0c812a03 lw s4,200(sp) + 80001580: 00070d93 mv s11,a4 + 80001584: 00058b13 mv s6,a1 + 80001588: 00060b93 mv s7,a2 + 8000158c: 00068c13 mv s8,a3 + 80001590: 00078c93 mv s9,a5 + 80001594: 00080a93 mv s5,a6 + 80001598: 00071863 bnez a4,800015a8 <_ntoa_long_long+0x6c> + 8000159c: 400a7793 andi a5,s4,1024 + 800015a0: fefa7a13 andi s4,s4,-17 + 800015a4: 0e079663 bnez a5,80001690 <_ntoa_long_long+0x154> + 800015a8: 020a7793 andi a5,s4,32 + 800015ac: 06100413 li s0,97 + 800015b0: 0c079c63 bnez a5,80001688 <_ntoa_long_long+0x14c> + 800015b4: 00000d13 li s10,0 + 800015b8: 03010493 addi s1,sp,48 + 800015bc: 00900913 li s2,9 + 800015c0: ff64041b addiw s0,s0,-10 + 800015c4: 02000993 li s3,32 + 800015c8: 00c0006f j 800015d4 <_ntoa_long_long+0x98> + 800015cc: 053d0463 beq s10,s3,80001614 <_ntoa_long_long+0xd8> + 800015d0: 00050d93 mv s11,a0 + 800015d4: 000a8593 mv a1,s5 + 800015d8: 000d8513 mv a0,s11 + 800015dc: cecff0ef jal ra,80000ac8 <__umoddi3> + 800015e0: 0ff57313 andi t1,a0,255 + 800015e4: 0303071b addiw a4,t1,48 + 800015e8: 0064033b addw t1,s0,t1 + 800015ec: 0ff37313 andi t1,t1,255 + 800015f0: 00a96463 bltu s2,a0,800015f8 <_ntoa_long_long+0xbc> + 800015f4: 0ff77313 andi t1,a4,255 + 800015f8: 001d0d13 addi s10,s10,1 + 800015fc: 01a48733 add a4,s1,s10 + 80001600: 000d8513 mv a0,s11 + 80001604: 000a8593 mv a1,s5 + 80001608: fe670fa3 sb t1,-1(a4) + 8000160c: c74ff0ef jal ra,80000a80 <__udivdi3> + 80001610: fb5dfee3 bgeu s11,s5,800015cc <_ntoa_long_long+0x90> + 80001614: 0c012703 lw a4,192(sp) + 80001618: 02813783 ld a5,40(sp) + 8000161c: 02013503 ld a0,32(sp) + 80001620: 01413823 sd s4,16(sp) + 80001624: 00e13423 sd a4,8(sp) + 80001628: 00f13023 sd a5,0(sp) + 8000162c: 000a889b sext.w a7,s5 + 80001630: 000c8813 mv a6,s9 + 80001634: 000d0793 mv a5,s10 + 80001638: 00048713 mv a4,s1 + 8000163c: 000c0693 mv a3,s8 + 80001640: 000b8613 mv a2,s7 + 80001644: 000b0593 mv a1,s6 + 80001648: 8fdff0ef jal ra,80000f44 <_ntoa_format> + 8000164c: 0b813083 ld ra,184(sp) + 80001650: 0b013403 ld s0,176(sp) + 80001654: 0a813483 ld s1,168(sp) + 80001658: 0a013903 ld s2,160(sp) + 8000165c: 09813983 ld s3,152(sp) + 80001660: 09013a03 ld s4,144(sp) + 80001664: 08813a83 ld s5,136(sp) + 80001668: 08013b03 ld s6,128(sp) + 8000166c: 07813b83 ld s7,120(sp) + 80001670: 07013c03 ld s8,112(sp) + 80001674: 06813c83 ld s9,104(sp) + 80001678: 06013d03 ld s10,96(sp) + 8000167c: 05813d83 ld s11,88(sp) + 80001680: 0c010113 addi sp,sp,192 + 80001684: 00008067 ret + 80001688: 04100413 li s0,65 + 8000168c: f29ff06f j 800015b4 <_ntoa_long_long+0x78> + 80001690: 00000d13 li s10,0 + 80001694: 03010493 addi s1,sp,48 + 80001698: f7dff06f j 80001614 <_ntoa_long_long+0xd8> + +000000008000169c <_vsnprintf>: + 8000169c: f6010113 addi sp,sp,-160 + 800016a0: 08913423 sd s1,136(sp) + 800016a4: 09213023 sd s2,128(sp) + 800016a8: 07313c23 sd s3,120(sp) + 800016ac: 05713c23 sd s7,88(sp) + 800016b0: 05813823 sd s8,80(sp) + 800016b4: 08113c23 sd ra,152(sp) + 800016b8: 08813823 sd s0,144(sp) + 800016bc: 07413823 sd s4,112(sp) + 800016c0: 07513423 sd s5,104(sp) + 800016c4: 07613023 sd s6,96(sp) + 800016c8: 05913423 sd s9,72(sp) + 800016cc: 05a13023 sd s10,64(sp) + 800016d0: 03b13c23 sd s11,56(sp) + 800016d4: 00058493 mv s1,a1 + 800016d8: 00060c13 mv s8,a2 + 800016dc: 00068b93 mv s7,a3 + 800016e0: 00070993 mv s3,a4 + 800016e4: 00000917 auipc s2,0x0 + 800016e8: 85c90913 addi s2,s2,-1956 # 80000f40 <_out_null> + 800016ec: 00058463 beqz a1,800016f4 <_vsnprintf+0x58> + 800016f0: 00050913 mv s2,a0 + 800016f4: 000bc503 lbu a0,0(s7) + 800016f8: 00000d13 li s10,0 + 800016fc: 66050663 beqz a0,80001d68 <_vsnprintf+0x6cc> + 80001700: 000107b7 lui a5,0x10 + 80001704: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 80001708: 02500a13 li s4,37 + 8000170c: 00001417 auipc s0,0x1 + 80001710: 22c40413 addi s0,s0,556 # 80002938 + 80001714: 00f13c23 sd a5,24(sp) + 80001718: 0200006f j 80001738 <_vsnprintf+0x9c> + 8000171c: 000d0613 mv a2,s10 + 80001720: 000c0693 mv a3,s8 + 80001724: 00048593 mv a1,s1 + 80001728: 001d0d13 addi s10,s10,1 + 8000172c: 000900e7 jalr s2 + 80001730: 000bc503 lbu a0,0(s7) + 80001734: 1c050263 beqz a0,800018f8 <_vsnprintf+0x25c> + 80001738: 001b8b93 addi s7,s7,1 + 8000173c: ff4510e3 bne a0,s4,8000171c <_vsnprintf+0x80> + 80001740: 00000593 li a1,0 + 80001744: 01000813 li a6,16 + 80001748: 000bc503 lbu a0,0(s7) + 8000174c: 001b8713 addi a4,s7,1 + 80001750: 00070613 mv a2,a4 + 80001754: fe05079b addiw a5,a0,-32 + 80001758: 0ff7f793 andi a5,a5,255 + 8000175c: 00f86c63 bltu a6,a5,80001774 <_vsnprintf+0xd8> + 80001760: 00279793 slli a5,a5,0x2 + 80001764: 008787b3 add a5,a5,s0 + 80001768: 0007a783 lw a5,0(a5) + 8000176c: 008787b3 add a5,a5,s0 + 80001770: 00078067 jr a5 + 80001774: fd05079b addiw a5,a0,-48 + 80001778: 0ff7f793 andi a5,a5,255 + 8000177c: 00900813 li a6,9 + 80001780: 0ef87c63 bgeu a6,a5,80001878 <_vsnprintf+0x1dc> + 80001784: 02a00793 li a5,42 + 80001788: 20f50463 beq a0,a5,80001990 <_vsnprintf+0x2f4> + 8000178c: 000b8613 mv a2,s7 + 80001790: 00000d93 li s11,0 + 80001794: 00070b93 mv s7,a4 + 80001798: 02e00793 li a5,46 + 8000179c: 00000c93 li s9,0 + 800017a0: 12f50463 beq a0,a5,800018c8 <_vsnprintf+0x22c> + 800017a4: f985079b addiw a5,a0,-104 + 800017a8: 0ff7f793 andi a5,a5,255 + 800017ac: 01200713 li a4,18 + 800017b0: 08f76463 bltu a4,a5,80001838 <_vsnprintf+0x19c> + 800017b4: 00001717 auipc a4,0x1 + 800017b8: 1c870713 addi a4,a4,456 # 8000297c + 800017bc: 00279793 slli a5,a5,0x2 + 800017c0: 00e787b3 add a5,a5,a4 + 800017c4: 0007a783 lw a5,0(a5) + 800017c8: 00e787b3 add a5,a5,a4 + 800017cc: 00078067 jr a5 + 800017d0: 0015e593 ori a1,a1,1 + 800017d4: 0005859b sext.w a1,a1 + 800017d8: 00070b93 mv s7,a4 + 800017dc: f6dff06f j 80001748 <_vsnprintf+0xac> + 800017e0: 0025e593 ori a1,a1,2 + 800017e4: 0005859b sext.w a1,a1 + 800017e8: 00070b93 mv s7,a4 + 800017ec: f5dff06f j 80001748 <_vsnprintf+0xac> + 800017f0: 0045e593 ori a1,a1,4 + 800017f4: 0005859b sext.w a1,a1 + 800017f8: 00070b93 mv s7,a4 + 800017fc: f4dff06f j 80001748 <_vsnprintf+0xac> + 80001800: 0105e593 ori a1,a1,16 + 80001804: 0005859b sext.w a1,a1 + 80001808: 00070b93 mv s7,a4 + 8000180c: f3dff06f j 80001748 <_vsnprintf+0xac> + 80001810: 0085e593 ori a1,a1,8 + 80001814: 0005859b sext.w a1,a1 + 80001818: 00070b93 mv s7,a4 + 8000181c: f2dff06f j 80001748 <_vsnprintf+0xac> + 80001820: 00164503 lbu a0,1(a2) + 80001824: 06800793 li a5,104 + 80001828: 52f50663 beq a0,a5,80001d54 <_vsnprintf+0x6b8> + 8000182c: 0805e593 ori a1,a1,128 + 80001830: 0005859b sext.w a1,a1 + 80001834: 001b8b93 addi s7,s7,1 + 80001838: fdb5079b addiw a5,a0,-37 + 8000183c: 0ff7f793 andi a5,a5,255 + 80001840: 05300713 li a4,83 + 80001844: ecf76ce3 bltu a4,a5,8000171c <_vsnprintf+0x80> + 80001848: 00001717 auipc a4,0x1 + 8000184c: 18070713 addi a4,a4,384 # 800029c8 + 80001850: 00279793 slli a5,a5,0x2 + 80001854: 00e787b3 add a5,a5,a4 + 80001858: 0007a783 lw a5,0(a5) + 8000185c: 00e787b3 add a5,a5,a4 + 80001860: 00078067 jr a5 + 80001864: 00164503 lbu a0,1(a2) + 80001868: 1005e593 ori a1,a1,256 + 8000186c: 0005859b sext.w a1,a1 + 80001870: 001b8b93 addi s7,s7,1 + 80001874: fc5ff06f j 80001838 <_vsnprintf+0x19c> + 80001878: 00000d93 li s11,0 + 8000187c: 00900813 li a6,9 + 80001880: 0080006f j 80001888 <_vsnprintf+0x1ec> + 80001884: 00170713 addi a4,a4,1 + 80001888: 002d979b slliw a5,s11,0x2 + 8000188c: 01b787bb addw a5,a5,s11 + 80001890: 0017979b slliw a5,a5,0x1 + 80001894: 00a787bb addw a5,a5,a0 + 80001898: 00074503 lbu a0,0(a4) + 8000189c: 000b8893 mv a7,s7 + 800018a0: fd078d9b addiw s11,a5,-48 + 800018a4: fd05061b addiw a2,a0,-48 + 800018a8: 0ff67613 andi a2,a2,255 + 800018ac: 00070b93 mv s7,a4 + 800018b0: fcc87ae3 bgeu a6,a2,80001884 <_vsnprintf+0x1e8> + 800018b4: 02e00793 li a5,46 + 800018b8: 00070613 mv a2,a4 + 800018bc: 00288b93 addi s7,a7,2 + 800018c0: 00000c93 li s9,0 + 800018c4: eef510e3 bne a0,a5,800017a4 <_vsnprintf+0x108> + 800018c8: 00164503 lbu a0,1(a2) + 800018cc: 4005e593 ori a1,a1,1024 + 800018d0: 00900713 li a4,9 + 800018d4: fd05079b addiw a5,a0,-48 + 800018d8: 0ff7f793 andi a5,a5,255 + 800018dc: 0005859b sext.w a1,a1 + 800018e0: 06f77c63 bgeu a4,a5,80001958 <_vsnprintf+0x2bc> + 800018e4: 02a00793 li a5,42 + 800018e8: 32f50e63 beq a0,a5,80001c24 <_vsnprintf+0x588> + 800018ec: 000b8613 mv a2,s7 + 800018f0: 001b8b93 addi s7,s7,1 + 800018f4: eb1ff06f j 800017a4 <_vsnprintf+0x108> + 800018f8: 000d041b sext.w s0,s10 + 800018fc: 018d6463 bltu s10,s8,80001904 <_vsnprintf+0x268> + 80001900: fffc0d13 addi s10,s8,-1 + 80001904: 000c0693 mv a3,s8 + 80001908: 000d0613 mv a2,s10 + 8000190c: 00048593 mv a1,s1 + 80001910: 00000513 li a0,0 + 80001914: 000900e7 jalr s2 + 80001918: 09813083 ld ra,152(sp) + 8000191c: 00040513 mv a0,s0 + 80001920: 09013403 ld s0,144(sp) + 80001924: 08813483 ld s1,136(sp) + 80001928: 08013903 ld s2,128(sp) + 8000192c: 07813983 ld s3,120(sp) + 80001930: 07013a03 ld s4,112(sp) + 80001934: 06813a83 ld s5,104(sp) + 80001938: 06013b03 ld s6,96(sp) + 8000193c: 05813b83 ld s7,88(sp) + 80001940: 05013c03 ld s8,80(sp) + 80001944: 04813c83 ld s9,72(sp) + 80001948: 04013d03 ld s10,64(sp) + 8000194c: 03813d83 ld s11,56(sp) + 80001950: 0a010113 addi sp,sp,160 + 80001954: 00008067 ret + 80001958: 002c979b slliw a5,s9,0x2 + 8000195c: 019788bb addw a7,a5,s9 + 80001960: 000b8813 mv a6,s7 + 80001964: 0018989b slliw a7,a7,0x1 + 80001968: 001b8b93 addi s7,s7,1 + 8000196c: 00a888bb addw a7,a7,a0 + 80001970: 000bc503 lbu a0,0(s7) + 80001974: fd088c9b addiw s9,a7,-48 + 80001978: fd05079b addiw a5,a0,-48 + 8000197c: 0ff7f793 andi a5,a5,255 + 80001980: fcf77ce3 bgeu a4,a5,80001958 <_vsnprintf+0x2bc> + 80001984: 000b8613 mv a2,s7 + 80001988: 00280b93 addi s7,a6,2 + 8000198c: e19ff06f j 800017a4 <_vsnprintf+0x108> + 80001990: 0009a783 lw a5,0(s3) + 80001994: 00898993 addi s3,s3,8 + 80001998: 00078d9b sext.w s11,a5 + 8000199c: 0007c863 bltz a5,800019ac <_vsnprintf+0x310> + 800019a0: 001bc503 lbu a0,1(s7) + 800019a4: 002b8b93 addi s7,s7,2 + 800019a8: df1ff06f j 80001798 <_vsnprintf+0xfc> + 800019ac: 0025e593 ori a1,a1,2 + 800019b0: 001bc503 lbu a0,1(s7) + 800019b4: 0005859b sext.w a1,a1 + 800019b8: 40f00dbb negw s11,a5 + 800019bc: 002b8b93 addi s7,s7,2 + 800019c0: dd9ff06f j 80001798 <_vsnprintf+0xfc> + 800019c4: 07800793 li a5,120 + 800019c8: 00898a93 addi s5,s3,8 + 800019cc: 56f50063 beq a0,a5,80001f2c <_vsnprintf+0x890> + 800019d0: 05800793 li a5,88 + 800019d4: 28f50463 beq a0,a5,80001c5c <_vsnprintf+0x5c0> + 800019d8: 06f00793 li a5,111 + 800019dc: 4af50c63 beq a0,a5,80001e94 <_vsnprintf+0x7f8> + 800019e0: 06200793 li a5,98 + 800019e4: 54f50063 beq a0,a5,80001f24 <_vsnprintf+0x888> + 800019e8: fef5ff13 andi t5,a1,-17 + 800019ec: 4005f793 andi a5,a1,1024 + 800019f0: 06900713 li a4,105 + 800019f4: 000f0f1b sext.w t5,t5 + 800019f8: 0007879b sext.w a5,a5 + 800019fc: 5ae51063 bne a0,a4,80001f9c <_vsnprintf+0x900> + 80001a00: 48079663 bnez a5,80001e8c <_vsnprintf+0x7f0> + 80001a04: 2005f593 andi a1,a1,512 + 80001a08: 0005879b sext.w a5,a1 + 80001a0c: 00a00813 li a6,10 + 80001a10: 42079663 bnez a5,80001e3c <_vsnprintf+0x7a0> + 80001a14: 100f7793 andi a5,t5,256 + 80001a18: 000f0613 mv a2,t5 + 80001a1c: 4e079063 bnez a5,80001efc <_vsnprintf+0x860> + 80001a20: 040f7713 andi a4,t5,64 + 80001a24: 0009a783 lw a5,0(s3) + 80001a28: 4a071a63 bnez a4,80001edc <_vsnprintf+0x840> + 80001a2c: 08067613 andi a2,a2,128 + 80001a30: 52060a63 beqz a2,80001f64 <_vsnprintf+0x8c8> + 80001a34: 0107979b slliw a5,a5,0x10 + 80001a38: 4107d79b sraiw a5,a5,0x10 + 80001a3c: 40f7d61b sraiw a2,a5,0xf + 80001a40: 00c7c733 xor a4,a5,a2 + 80001a44: 40c7073b subw a4,a4,a2 + 80001a48: 03071713 slli a4,a4,0x30 + 80001a4c: 03075713 srli a4,a4,0x30 + 80001a50: 000d0613 mv a2,s10 + 80001a54: 01e13423 sd t5,8(sp) + 80001a58: 01b13023 sd s11,0(sp) + 80001a5c: 000c8893 mv a7,s9 + 80001a60: 01f7d79b srliw a5,a5,0x1f + 80001a64: 000c0693 mv a3,s8 + 80001a68: 00048593 mv a1,s1 + 80001a6c: 00090513 mv a0,s2 + 80001a70: 96dff0ef jal ra,800013dc <_ntoa_long> + 80001a74: 00050d13 mv s10,a0 + 80001a78: 000a8993 mv s3,s5 + 80001a7c: cb5ff06f j 80001730 <_vsnprintf+0x94> + 80001a80: 00164503 lbu a0,1(a2) + 80001a84: 06c00793 li a5,108 + 80001a88: def510e3 bne a0,a5,80001868 <_vsnprintf+0x1cc> + 80001a8c: 3005e593 ori a1,a1,768 + 80001a90: 00264503 lbu a0,2(a2) + 80001a94: 0005859b sext.w a1,a1 + 80001a98: 00360b93 addi s7,a2,3 + 80001a9c: d9dff06f j 80001838 <_vsnprintf+0x19c> + 80001aa0: 00898793 addi a5,s3,8 + 80001aa4: 001d0813 addi a6,s10,1 + 80001aa8: 0025f593 andi a1,a1,2 + 80001aac: 02f13023 sd a5,32(sp) + 80001ab0: 00080b13 mv s6,a6 + 80001ab4: 32058263 beqz a1,80001dd8 <_vsnprintf+0x73c> + 80001ab8: 0009c503 lbu a0,0(s3) + 80001abc: 000c0693 mv a3,s8 + 80001ac0: 000d0613 mv a2,s10 + 80001ac4: 00048593 mv a1,s1 + 80001ac8: 000900e7 jalr s2 + 80001acc: 00100793 li a5,1 + 80001ad0: 43b7f063 bgeu a5,s11,80001ef0 <_vsnprintf+0x854> + 80001ad4: ffed879b addiw a5,s11,-2 + 80001ad8: 02079a93 slli s5,a5,0x20 + 80001adc: 020ada93 srli s5,s5,0x20 + 80001ae0: 002d0d13 addi s10,s10,2 + 80001ae4: 015d0cb3 add s9,s10,s5 + 80001ae8: 000b0613 mv a2,s6 + 80001aec: 000c0693 mv a3,s8 + 80001af0: 001b0b13 addi s6,s6,1 + 80001af4: 00048593 mv a1,s1 + 80001af8: 02000513 li a0,32 + 80001afc: 000900e7 jalr s2 + 80001b00: ff6c94e3 bne s9,s6,80001ae8 <_vsnprintf+0x44c> + 80001b04: 02013983 ld s3,32(sp) + 80001b08: 015d0d33 add s10,s10,s5 + 80001b0c: c25ff06f j 80001730 <_vsnprintf+0x94> + 80001b10: 000d0613 mv a2,s10 + 80001b14: 000c0693 mv a3,s8 + 80001b18: 00048593 mv a1,s1 + 80001b1c: 02500513 li a0,37 + 80001b20: 001d0d13 addi s10,s10,1 + 80001b24: 000900e7 jalr s2 + 80001b28: c09ff06f j 80001730 <_vsnprintf+0x94> + 80001b2c: 0009ba83 ld s5,0(s3) + 80001b30: 00898793 addi a5,s3,8 + 80001b34: 02f13023 sd a5,32(sp) + 80001b38: 000ac503 lbu a0,0(s5) + 80001b3c: 100c9663 bnez s9,80001c48 <_vsnprintf+0x5ac> + 80001b40: ffe00793 li a5,-2 + 80001b44: 42050863 beqz a0,80001f74 <_vsnprintf+0x8d8> + 80001b48: 00178693 addi a3,a5,1 + 80001b4c: 00da8633 add a2,s5,a3 + 80001b50: 000a8793 mv a5,s5 + 80001b54: 0080006f j 80001b5c <_vsnprintf+0x4c0> + 80001b58: 1ec78863 beq a5,a2,80001d48 <_vsnprintf+0x6ac> + 80001b5c: 0017c703 lbu a4,1(a5) + 80001b60: 00178793 addi a5,a5,1 + 80001b64: fe071ae3 bnez a4,80001b58 <_vsnprintf+0x4bc> + 80001b68: 415787bb subw a5,a5,s5 + 80001b6c: 00f13823 sd a5,16(sp) + 80001b70: 4005f793 andi a5,a1,1024 + 80001b74: 0007899b sext.w s3,a5 + 80001b78: 00078c63 beqz a5,80001b90 <_vsnprintf+0x4f4> + 80001b7c: 01013703 ld a4,16(sp) + 80001b80: 000c879b sext.w a5,s9 + 80001b84: 01977463 bgeu a4,s9,80001b8c <_vsnprintf+0x4f0> + 80001b88: 0007079b sext.w a5,a4 + 80001b8c: 00f13823 sd a5,16(sp) + 80001b90: 0025f593 andi a1,a1,2 + 80001b94: 0005879b sext.w a5,a1 + 80001b98: 02f13423 sd a5,40(sp) + 80001b9c: 1c058a63 beqz a1,80001d70 <_vsnprintf+0x6d4> + 80001ba0: 14050863 beqz a0,80001cf0 <_vsnprintf+0x654> + 80001ba4: 000d0613 mv a2,s10 + 80001ba8: 00098863 beqz s3,80001bb8 <_vsnprintf+0x51c> + 80001bac: fffc879b addiw a5,s9,-1 + 80001bb0: 120c8863 beqz s9,80001ce0 <_vsnprintf+0x644> + 80001bb4: 00078c93 mv s9,a5 + 80001bb8: 000c0693 mv a3,s8 + 80001bbc: 00048593 mv a1,s1 + 80001bc0: 00160b13 addi s6,a2,1 + 80001bc4: 000900e7 jalr s2 + 80001bc8: 41ab07b3 sub a5,s6,s10 + 80001bcc: 00fa87b3 add a5,s5,a5 + 80001bd0: 0007c503 lbu a0,0(a5) + 80001bd4: 10050863 beqz a0,80001ce4 <_vsnprintf+0x648> + 80001bd8: 000b0613 mv a2,s6 + 80001bdc: fcdff06f j 80001ba8 <_vsnprintf+0x50c> + 80001be0: 0009b703 ld a4,0(s3) + 80001be4: 0215e593 ori a1,a1,33 + 80001be8: 0005859b sext.w a1,a1 + 80001bec: 01000793 li a5,16 + 80001bf0: 000d0613 mv a2,s10 + 80001bf4: 00b13423 sd a1,8(sp) + 80001bf8: 00f13023 sd a5,0(sp) + 80001bfc: 000c8893 mv a7,s9 + 80001c00: 01000813 li a6,16 + 80001c04: 00000793 li a5,0 + 80001c08: 000c0693 mv a3,s8 + 80001c0c: 00048593 mv a1,s1 + 80001c10: 00090513 mv a0,s2 + 80001c14: 929ff0ef jal ra,8000153c <_ntoa_long_long> + 80001c18: 00898993 addi s3,s3,8 + 80001c1c: 00050d13 mv s10,a0 + 80001c20: b11ff06f j 80001730 <_vsnprintf+0x94> + 80001c24: 0009a883 lw a7,0(s3) + 80001c28: 00264503 lbu a0,2(a2) + 80001c2c: 00360b93 addi s7,a2,3 + 80001c30: fff8c793 not a5,a7 + 80001c34: 43f7d793 srai a5,a5,0x3f + 80001c38: 00f8fcb3 and s9,a7,a5 + 80001c3c: 00898993 addi s3,s3,8 + 80001c40: 00260613 addi a2,a2,2 + 80001c44: b61ff06f j 800017a4 <_vsnprintf+0x108> + 80001c48: 020c9793 slli a5,s9,0x20 + 80001c4c: 0207d793 srli a5,a5,0x20 + 80001c50: 32050263 beqz a0,80001f74 <_vsnprintf+0x8d8> + 80001c54: fff78793 addi a5,a5,-1 + 80001c58: ef1ff06f j 80001b48 <_vsnprintf+0x4ac> + 80001c5c: ff35ff13 andi t5,a1,-13 + 80001c60: 000f0f1b sext.w t5,t5 + 80001c64: 4005f793 andi a5,a1,1024 + 80001c68: 020f6f13 ori t5,t5,32 + 80001c6c: 20078863 beqz a5,80001e7c <_vsnprintf+0x7e0> + 80001c70: 01000813 li a6,16 + 80001c74: ffef7f13 andi t5,t5,-2 + 80001c78: 000f0f1b sext.w t5,t5 + 80001c7c: 200f7793 andi a5,t5,512 + 80001c80: 06900713 li a4,105 + 80001c84: 0007879b sext.w a5,a5 + 80001c88: d8e504e3 beq a0,a4,80001a10 <_vsnprintf+0x374> + 80001c8c: 06400713 li a4,100 + 80001c90: d8e500e3 beq a0,a4,80001a10 <_vsnprintf+0x374> + 80001c94: 22079663 bnez a5,80001ec0 <_vsnprintf+0x824> + 80001c98: 100f7793 andi a5,t5,256 + 80001c9c: 000f0713 mv a4,t5 + 80001ca0: 2a079463 bnez a5,80001f48 <_vsnprintf+0x8ac> + 80001ca4: 040f7793 andi a5,t5,64 + 80001ca8: 24079063 bnez a5,80001ee8 <_vsnprintf+0x84c> + 80001cac: 08077713 andi a4,a4,128 + 80001cb0: 2c070663 beqz a4,80001f7c <_vsnprintf+0x8e0> + 80001cb4: 0009a703 lw a4,0(s3) + 80001cb8: 01813783 ld a5,24(sp) + 80001cbc: 00e7f733 and a4,a5,a4 + 80001cc0: 02071713 slli a4,a4,0x20 + 80001cc4: 000d0613 mv a2,s10 + 80001cc8: 01e13423 sd t5,8(sp) + 80001ccc: 01b13023 sd s11,0(sp) + 80001cd0: 000c8893 mv a7,s9 + 80001cd4: 00000793 li a5,0 + 80001cd8: 02075713 srli a4,a4,0x20 + 80001cdc: d89ff06f j 80001a64 <_vsnprintf+0x3c8> + 80001ce0: 00060b13 mv s6,a2 + 80001ce4: 02813783 ld a5,40(sp) + 80001ce8: 04078a63 beqz a5,80001d3c <_vsnprintf+0x6a0> + 80001cec: 000b0d13 mv s10,s6 + 80001cf0: 01013703 ld a4,16(sp) + 80001cf4: 0db77e63 bgeu a4,s11,80001dd0 <_vsnprintf+0x734> + 80001cf8: fffd879b addiw a5,s11,-1 + 80001cfc: 40e7873b subw a4,a5,a4 + 80001d00: 02071713 slli a4,a4,0x20 + 80001d04: 02075713 srli a4,a4,0x20 + 80001d08: 001d0813 addi a6,s10,1 + 80001d0c: 01070b33 add s6,a4,a6 + 80001d10: 0080006f j 80001d18 <_vsnprintf+0x67c> + 80001d14: 00180813 addi a6,a6,1 + 80001d18: 000d0613 mv a2,s10 + 80001d1c: 01013823 sd a6,16(sp) + 80001d20: 000c0693 mv a3,s8 + 80001d24: 00048593 mv a1,s1 + 80001d28: 02000513 li a0,32 + 80001d2c: 00080d13 mv s10,a6 + 80001d30: 000900e7 jalr s2 + 80001d34: 01013803 ld a6,16(sp) + 80001d38: fd0b1ee3 bne s6,a6,80001d14 <_vsnprintf+0x678> + 80001d3c: 02013983 ld s3,32(sp) + 80001d40: 000b0d13 mv s10,s6 + 80001d44: 9edff06f j 80001730 <_vsnprintf+0x94> + 80001d48: 0006879b sext.w a5,a3 + 80001d4c: 00f13823 sd a5,16(sp) + 80001d50: e21ff06f j 80001b70 <_vsnprintf+0x4d4> + 80001d54: 0c05e593 ori a1,a1,192 + 80001d58: 00264503 lbu a0,2(a2) + 80001d5c: 0005859b sext.w a1,a1 + 80001d60: 00360b93 addi s7,a2,3 + 80001d64: ad5ff06f j 80001838 <_vsnprintf+0x19c> + 80001d68: 00000413 li s0,0 + 80001d6c: b91ff06f j 800018fc <_vsnprintf+0x260> + 80001d70: 01013703 ld a4,16(sp) + 80001d74: 0017079b addiw a5,a4,1 + 80001d78: 21b77c63 bgeu a4,s11,80001f90 <_vsnprintf+0x8f4> + 80001d7c: fffd879b addiw a5,s11,-1 + 80001d80: 40e787bb subw a5,a5,a4 + 80001d84: 02079793 slli a5,a5,0x20 + 80001d88: 0207d793 srli a5,a5,0x20 + 80001d8c: 001d0813 addi a6,s10,1 + 80001d90: 01078b33 add s6,a5,a6 + 80001d94: 00c0006f j 80001da0 <_vsnprintf+0x704> + 80001d98: 01013803 ld a6,16(sp) + 80001d9c: 00180813 addi a6,a6,1 + 80001da0: 000d0613 mv a2,s10 + 80001da4: 000c0693 mv a3,s8 + 80001da8: 00080d13 mv s10,a6 + 80001dac: 01013823 sd a6,16(sp) + 80001db0: 00048593 mv a1,s1 + 80001db4: 02000513 li a0,32 + 80001db8: 000900e7 jalr s2 + 80001dbc: fd6d1ee3 bne s10,s6,80001d98 <_vsnprintf+0x6fc> + 80001dc0: 000ac503 lbu a0,0(s5) + 80001dc4: 001d879b addiw a5,s11,1 + 80001dc8: 00f13823 sd a5,16(sp) + 80001dcc: dc051ce3 bnez a0,80001ba4 <_vsnprintf+0x508> + 80001dd0: 000d0b13 mv s6,s10 + 80001dd4: f69ff06f j 80001d3c <_vsnprintf+0x6a0> + 80001dd8: 00100793 li a5,1 + 80001ddc: 1bb7f463 bgeu a5,s11,80001f84 <_vsnprintf+0x8e8> + 80001de0: ffed879b addiw a5,s11,-2 + 80001de4: 02079a93 slli s5,a5,0x20 + 80001de8: 020ada93 srli s5,s5,0x20 + 80001dec: 010a8ab3 add s5,s5,a6 + 80001df0: 00c0006f j 80001dfc <_vsnprintf+0x760> + 80001df4: 01013803 ld a6,16(sp) + 80001df8: 00180813 addi a6,a6,1 + 80001dfc: 000d0613 mv a2,s10 + 80001e00: 000c0693 mv a3,s8 + 80001e04: 00080d13 mv s10,a6 + 80001e08: 01013823 sd a6,16(sp) + 80001e0c: 00048593 mv a1,s1 + 80001e10: 02000513 li a0,32 + 80001e14: 000900e7 jalr s2 + 80001e18: fdaa9ee3 bne s5,s10,80001df4 <_vsnprintf+0x758> + 80001e1c: 001a8d13 addi s10,s5,1 + 80001e20: 0009c503 lbu a0,0(s3) + 80001e24: 000c0693 mv a3,s8 + 80001e28: 000a8613 mv a2,s5 + 80001e2c: 00048593 mv a1,s1 + 80001e30: 000900e7 jalr s2 + 80001e34: 02013983 ld s3,32(sp) + 80001e38: 8f9ff06f j 80001730 <_vsnprintf+0x94> + 80001e3c: 0009b783 ld a5,0(s3) + 80001e40: 000d0613 mv a2,s10 + 80001e44: 01e13423 sd t5,8(sp) + 80001e48: 43f7d713 srai a4,a5,0x3f + 80001e4c: 00f745b3 xor a1,a4,a5 + 80001e50: 01b13023 sd s11,0(sp) + 80001e54: 000c8893 mv a7,s9 + 80001e58: 03f7d793 srli a5,a5,0x3f + 80001e5c: 40e58733 sub a4,a1,a4 + 80001e60: 000c0693 mv a3,s8 + 80001e64: 00048593 mv a1,s1 + 80001e68: 00090513 mv a0,s2 + 80001e6c: ed0ff0ef jal ra,8000153c <_ntoa_long_long> + 80001e70: 00050d13 mv s10,a0 + 80001e74: 000a8993 mv s3,s5 + 80001e78: 8b9ff06f j 80001730 <_vsnprintf+0x94> + 80001e7c: 2005f793 andi a5,a1,512 + 80001e80: 0007879b sext.w a5,a5 + 80001e84: 01000813 li a6,16 + 80001e88: e0dff06f j 80001c94 <_vsnprintf+0x5f8> + 80001e8c: 00a00813 li a6,10 + 80001e90: de5ff06f j 80001c74 <_vsnprintf+0x5d8> + 80001e94: 00800813 li a6,8 + 80001e98: 00058f13 mv t5,a1 + 80001e9c: 400f7713 andi a4,t5,1024 + 80001ea0: 06400613 li a2,100 + 80001ea4: 000f0793 mv a5,t5 + 80001ea8: 0007071b sext.w a4,a4 + 80001eac: 0ec51c63 bne a0,a2,80001fa4 <_vsnprintf+0x908> + 80001eb0: dc0712e3 bnez a4,80001c74 <_vsnprintf+0x5d8> + 80001eb4: 2007f793 andi a5,a5,512 + 80001eb8: 0007879b sext.w a5,a5 + 80001ebc: b55ff06f j 80001a10 <_vsnprintf+0x374> + 80001ec0: 0009b703 ld a4,0(s3) + 80001ec4: 000d0613 mv a2,s10 + 80001ec8: 01e13423 sd t5,8(sp) + 80001ecc: 01b13023 sd s11,0(sp) + 80001ed0: 000c8893 mv a7,s9 + 80001ed4: 00000793 li a5,0 + 80001ed8: f89ff06f j 80001e60 <_vsnprintf+0x7c4> + 80001edc: 0ff7f793 andi a5,a5,255 + 80001ee0: 00078713 mv a4,a5 + 80001ee4: b6dff06f j 80001a50 <_vsnprintf+0x3b4> + 80001ee8: 0009c703 lbu a4,0(s3) + 80001eec: dd5ff06f j 80001cc0 <_vsnprintf+0x624> + 80001ef0: 02013983 ld s3,32(sp) + 80001ef4: 000b0d13 mv s10,s6 + 80001ef8: 839ff06f j 80001730 <_vsnprintf+0x94> + 80001efc: 0009b783 ld a5,0(s3) + 80001f00: 000d0613 mv a2,s10 + 80001f04: 01e13423 sd t5,8(sp) + 80001f08: 43f7d713 srai a4,a5,0x3f + 80001f0c: 00f745b3 xor a1,a4,a5 + 80001f10: 01b13023 sd s11,0(sp) + 80001f14: 000c8893 mv a7,s9 + 80001f18: 03f7d793 srli a5,a5,0x3f + 80001f1c: 40e58733 sub a4,a1,a4 + 80001f20: b45ff06f j 80001a64 <_vsnprintf+0x3c8> + 80001f24: 00200813 li a6,2 + 80001f28: f71ff06f j 80001e98 <_vsnprintf+0x7fc> + 80001f2c: 4005f713 andi a4,a1,1024 + 80001f30: 0007071b sext.w a4,a4 + 80001f34: 01000813 li a6,16 + 80001f38: ff35f593 andi a1,a1,-13 + 80001f3c: 00058f1b sext.w t5,a1 + 80001f40: d2071ae3 bnez a4,80001c74 <_vsnprintf+0x5d8> + 80001f44: d39ff06f j 80001c7c <_vsnprintf+0x5e0> + 80001f48: 0009b703 ld a4,0(s3) + 80001f4c: 000d0613 mv a2,s10 + 80001f50: 01e13423 sd t5,8(sp) + 80001f54: 01b13023 sd s11,0(sp) + 80001f58: 000c8893 mv a7,s9 + 80001f5c: 00000793 li a5,0 + 80001f60: b05ff06f j 80001a64 <_vsnprintf+0x3c8> + 80001f64: 41f7d61b sraiw a2,a5,0x1f + 80001f68: 00c7c733 xor a4,a5,a2 + 80001f6c: 40c7073b subw a4,a4,a2 + 80001f70: ae1ff06f j 80001a50 <_vsnprintf+0x3b4> + 80001f74: 00013823 sd zero,16(sp) + 80001f78: bf9ff06f j 80001b70 <_vsnprintf+0x4d4> + 80001f7c: 0009a703 lw a4,0(s3) + 80001f80: d41ff06f j 80001cc0 <_vsnprintf+0x624> + 80001f84: 000d0a93 mv s5,s10 + 80001f88: 00080d13 mv s10,a6 + 80001f8c: e95ff06f j 80001e20 <_vsnprintf+0x784> + 80001f90: 00f13823 sd a5,16(sp) + 80001f94: c00518e3 bnez a0,80001ba4 <_vsnprintf+0x508> + 80001f98: e39ff06f j 80001dd0 <_vsnprintf+0x734> + 80001f9c: 00a00813 li a6,10 + 80001fa0: efdff06f j 80001e9c <_vsnprintf+0x800> + 80001fa4: 000f0593 mv a1,t5 + 80001fa8: f91ff06f j 80001f38 <_vsnprintf+0x89c> + +0000000080001fac <_out_char>: + 80001fac: 00051463 bnez a0,80001fb4 <_out_char+0x8> + 80001fb0: 00008067 ret + 80001fb4: a39fe06f j 800009ec + +0000000080001fb8 : + 80001fb8: fa010113 addi sp,sp,-96 + 80001fbc: 02810313 addi t1,sp,40 + 80001fc0: 02b13423 sd a1,40(sp) + 80001fc4: 02c13823 sd a2,48(sp) + 80001fc8: 02d13c23 sd a3,56(sp) + 80001fcc: 04e13023 sd a4,64(sp) + 80001fd0: 00050693 mv a3,a0 + 80001fd4: 00010593 mv a1,sp + 80001fd8: 00030713 mv a4,t1 + 80001fdc: fff00613 li a2,-1 + 80001fe0: 00000517 auipc a0,0x0 + 80001fe4: fcc50513 addi a0,a0,-52 # 80001fac <_out_char> + 80001fe8: 00113c23 sd ra,24(sp) + 80001fec: 04f13423 sd a5,72(sp) + 80001ff0: 05013823 sd a6,80(sp) + 80001ff4: 05113c23 sd a7,88(sp) + 80001ff8: 00613423 sd t1,8(sp) + 80001ffc: ea0ff0ef jal ra,8000169c <_vsnprintf> + 80002000: 01813083 ld ra,24(sp) + 80002004: 06010113 addi sp,sp,96 + 80002008: 00008067 ret + +000000008000200c : + 8000200c: 00700713 li a4,7 + 80002010: 00050793 mv a5,a0 + 80002014: 0ac77a63 bgeu a4,a2,800020c8 + 80002018: 0ff5f893 andi a7,a1,255 + 8000201c: 00889693 slli a3,a7,0x8 + 80002020: 0116e6b3 or a3,a3,a7 + 80002024: 01069713 slli a4,a3,0x10 + 80002028: 00d766b3 or a3,a4,a3 + 8000202c: 02069713 slli a4,a3,0x20 + 80002030: 00757813 andi a6,a0,7 + 80002034: 00d76733 or a4,a4,a3 + 80002038: 0a080663 beqz a6,800020e4 + 8000203c: 00c50633 add a2,a0,a2 + 80002040: 01178023 sb a7,0(a5) + 80002044: 00178793 addi a5,a5,1 + 80002048: 0077f813 andi a6,a5,7 + 8000204c: 40f606b3 sub a3,a2,a5 + 80002050: fe0818e3 bnez a6,80002040 + 80002054: 0066d813 srli a6,a3,0x6 + 80002058: 04080063 beqz a6,80002098 + 8000205c: 00681613 slli a2,a6,0x6 + 80002060: 00078893 mv a7,a5 + 80002064: 00f60633 add a2,a2,a5 + 80002068: 00e7b023 sd a4,0(a5) + 8000206c: 00e7b423 sd a4,8(a5) + 80002070: 00e7b823 sd a4,16(a5) + 80002074: 00e7bc23 sd a4,24(a5) + 80002078: 02e7b023 sd a4,32(a5) + 8000207c: 02e7b423 sd a4,40(a5) + 80002080: 02e7b823 sd a4,48(a5) + 80002084: 02e7bc23 sd a4,56(a5) + 80002088: 04078793 addi a5,a5,64 + 8000208c: fcf61ee3 bne a2,a5,80002068 + 80002090: 00681793 slli a5,a6,0x6 + 80002094: 011787b3 add a5,a5,a7 + 80002098: 0036d813 srli a6,a3,0x3 + 8000209c: 00787813 andi a6,a6,7 + 800020a0: 02080263 beqz a6,800020c4 + 800020a4: 00381613 slli a2,a6,0x3 + 800020a8: 00078893 mv a7,a5 + 800020ac: 00f60633 add a2,a2,a5 + 800020b0: 00e7b023 sd a4,0(a5) + 800020b4: 00878793 addi a5,a5,8 + 800020b8: fef61ce3 bne a2,a5,800020b0 + 800020bc: 00381793 slli a5,a6,0x3 + 800020c0: 011787b3 add a5,a5,a7 + 800020c4: 0076f613 andi a2,a3,7 + 800020c8: 0ff5f593 andi a1,a1,255 + 800020cc: 00c78733 add a4,a5,a2 + 800020d0: 00060863 beqz a2,800020e0 + 800020d4: 00b78023 sb a1,0(a5) + 800020d8: 00178793 addi a5,a5,1 + 800020dc: fef71ce3 bne a4,a5,800020d4 + 800020e0: 00008067 ret + 800020e4: 00060693 mv a3,a2 + 800020e8: f6dff06f j 80002054 + +00000000800020ec : + 800020ec: 40b506b3 sub a3,a0,a1 + 800020f0: 0005c703 lbu a4,0(a1) + 800020f4: 00d587b3 add a5,a1,a3 + 800020f8: 00158593 addi a1,a1,1 + 800020fc: 00e78023 sb a4,0(a5) + 80002100: fe0718e3 bnez a4,800020f0 + 80002104: 00008067 ret + +0000000080002108 : + 80002108: 1a050e63 beqz a0,800022c4 + 8000210c: fd010113 addi sp,sp,-48 + 80002110: 02813023 sd s0,32(sp) + 80002114: 00913c23 sd s1,24(sp) + 80002118: 01f57793 andi a5,a0,31 + 8000211c: 02113423 sd ra,40(sp) + 80002120: 01213823 sd s2,16(sp) + 80002124: 01313423 sd s3,8(sp) + 80002128: 01413023 sd s4,0(sp) + 8000212c: 00050493 mv s1,a0 + 80002130: 00050413 mv s0,a0 + 80002134: 00000513 li a0,0 + 80002138: 14079a63 bnez a5,8000228c + 8000213c: 27f00713 li a4,639 + 80002140: 00058913 mv s2,a1 + 80002144: 00078513 mv a0,a5 + 80002148: 14b77263 bgeu a4,a1,8000228c + 8000214c: 0074f513 andi a0,s1,7 + 80002150: 00153513 seqz a0,a0 + 80002154: 00060a13 mv s4,a2 + 80002158: 00068993 mv s3,a3 + 8000215c: 8a1fe0ef jal ra,800009fc <_assert> + 80002160: 20048793 addi a5,s1,512 + 80002164: 2004b023 sd zero,512(s1) + 80002168: 2144b423 sd s4,520(s1) + 8000216c: 2134b823 sd s3,528(s1) + 80002170: 00043023 sd zero,0(s0) + 80002174: 00840413 addi s0,s0,8 + 80002178: fe879ce3 bne a5,s0,80002170 + 8000217c: fff00793 li a5,-1 + 80002180: dc090413 addi s0,s2,-576 + 80002184: 03f79793 slli a5,a5,0x3f + 80002188: 1287f863 bgeu a5,s0,800022b8 + 8000218c: 00078413 mv s0,a5 + 80002190: 00100513 li a0,1 + 80002194: 869fe0ef jal ra,800009fc <_assert> + 80002198: f8300793 li a5,-125 + 8000219c: 0017d793 srli a5,a5,0x1 + 800021a0: fc040513 addi a0,s0,-64 + 800021a4: 00f53533 sltu a0,a0,a5 + 800021a8: 855fe0ef jal ra,800009fc <_assert> + 800021ac: 01f4f513 andi a0,s1,31 + 800021b0: 00153513 seqz a0,a0 + 800021b4: 849fe0ef jal ra,800009fc <_assert> + 800021b8: 00100513 li a0,1 + 800021bc: 2404b023 sd zero,576(s1) + 800021c0: 2404b423 sd zero,584(s1) + 800021c4: 2484b823 sd s0,592(s1) + 800021c8: 24048c23 sb zero,600(s1) + 800021cc: 2604b023 sd zero,608(s1) + 800021d0: 2604b423 sd zero,616(s1) + 800021d4: 829fe0ef jal ra,800009fc <_assert> + 800021d8: 00100513 li a0,1 + 800021dc: 821fe0ef jal ra,800009fc <_assert> + 800021e0: 2504b503 ld a0,592(s1) + 800021e4: 24048a13 addi s4,s1,576 + 800021e8: 04053513 sltiu a0,a0,64 + 800021ec: 00154513 xori a0,a0,1 + 800021f0: 00157513 andi a0,a0,1 + 800021f4: 809fe0ef jal ra,800009fc <_assert> + 800021f8: 2504b503 ld a0,592(s1) + 800021fc: 03f57513 andi a0,a0,63 + 80002200: 00153513 seqz a0,a0 + 80002204: ff8fe0ef jal ra,800009fc <_assert> + 80002208: 2504b703 ld a4,592(s1) + 8000220c: 00100793 li a5,1 + 80002210: 00675713 srli a4,a4,0x6 + 80002214: 0ae7fc63 bgeu a5,a4,800022cc + 80002218: 00000793 li a5,0 + 8000221c: 00100693 li a3,1 + 80002220: 0017879b addiw a5,a5,1 + 80002224: 00175713 srli a4,a4,0x1 + 80002228: 0ff7f793 andi a5,a5,255 + 8000222c: fed71ae3 bne a4,a3,80002220 + 80002230: 00078993 mv s3,a5 + 80002234: 0407b513 sltiu a0,a5,64 + 80002238: 00f71933 sll s2,a4,a5 + 8000223c: fc0fe0ef jal ra,800009fc <_assert> + 80002240: 00399793 slli a5,s3,0x3 + 80002244: 00f487b3 add a5,s1,a5 + 80002248: 0007b703 ld a4,0(a5) + 8000224c: 2604b423 sd zero,616(s1) + 80002250: 26e4b023 sd a4,608(s1) + 80002254: 00070463 beqz a4,8000225c + 80002258: 03473423 sd s4,40(a4) + 8000225c: 0147b023 sd s4,0(a5) + 80002260: 2004b503 ld a0,512(s1) + 80002264: 00a96533 or a0,s2,a0 + 80002268: 20a4b023 sd a0,512(s1) + 8000226c: 00a03533 snez a0,a0 + 80002270: f8cfe0ef jal ra,800009fc <_assert> + 80002274: 00048513 mv a0,s1 + 80002278: 2084bc23 sd s0,536(s1) + 8000227c: 2204b023 sd zero,544(s1) + 80002280: 2204b423 sd zero,552(s1) + 80002284: 2204b823 sd zero,560(s1) + 80002288: 2204bc23 sd zero,568(s1) + 8000228c: 02813083 ld ra,40(sp) + 80002290: 02013403 ld s0,32(sp) + 80002294: 01813483 ld s1,24(sp) + 80002298: 01013903 ld s2,16(sp) + 8000229c: 00813983 ld s3,8(sp) + 800022a0: 00013a03 ld s4,0(sp) + 800022a4: 03010113 addi sp,sp,48 + 800022a8: 00008067 ret + 800022ac: 00100513 li a0,1 + 800022b0: fff40413 addi s0,s0,-1 + 800022b4: f48fe0ef jal ra,800009fc <_assert> + 800022b8: 03f47793 andi a5,s0,63 + 800022bc: fe0798e3 bnez a5,800022ac + 800022c0: ed1ff06f j 80002190 + 800022c4: 00000513 li a0,0 + 800022c8: 00008067 ret + 800022cc: 00100913 li s2,1 + 800022d0: 00000993 li s3,0 + 800022d4: 00100513 li a0,1 + 800022d8: f65ff06f j 8000223c diff --git a/bin/non-output/microbench/microbench-huge.bin b/bin/non-output/microbench/microbench-huge.bin new file mode 100755 index 0000000..3b23fff Binary files /dev/null and b/bin/non-output/microbench/microbench-huge.bin differ diff --git a/bin/non-output/microbench/microbench-huge.elf b/bin/non-output/microbench/microbench-huge.elf new file mode 100755 index 0000000..cf64f7d Binary files /dev/null and b/bin/non-output/microbench/microbench-huge.elf differ diff --git a/bin/non-output/microbench/microbench-huge.txt b/bin/non-output/microbench/microbench-huge.txt new file mode 100755 index 0000000..cd8ebb1 --- /dev/null +++ b/bin/non-output/microbench/microbench-huge.txt @@ -0,0 +1,6441 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0a5040ef jal ra,800048b0 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 111040ef jal ra,80004940 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 88448493 addi s1,s1,-1916 # 800078e0 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 1d858593 addi a1,a1,472 # 80006240 <_etext+0x60> + 80000070: 00048513 mv a0,s1 + 80000074: 669050ef jal ra,80005edc + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 0f9040ef jal ra,80004988 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 099040ef jal ra,80004940 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 81450513 addi a0,a0,-2028 # 800078e0 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 10058593 addi a1,a1,256 # 800061e0 <_etext> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 13850513 addi a0,a0,312 # 80006220 <_etext+0x40> + 800000f0: 599050ef jal ra,80005e88 + 800000f4: 00100513 li a0,1 + 800000f8: 794040ef jal ra,8000488c + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 1d858593 addi a1,a1,472 # 80006310 <_etext+0x130> + 80000140: 00050413 mv s0,a0 + 80000144: 459040ef jal ra,80004d9c + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 13c58593 addi a1,a1,316 # 80006288 <_etext+0xa8> + 80000154: 00040513 mv a0,s0 + 80000158: 445040ef jal ra,80004d9c + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 12c58593 addi a1,a1,300 # 80006290 <_etext+0xb0> + 8000016c: 00040513 mv a0,s0 + 80000170: 42d040ef jal ra,80004d9c + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 0fd040ef jal ra,80004a7c + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 15850513 addi a0,a0,344 # 800062e0 <_etext+0x100> + 80000190: 4f9050ef jal ra,80005e88 + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 135040ef jal ra,80004ad0 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: b94c0c13 addi s8,s8,-1132 # 80006d38 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 21cb8b93 addi s7,s7,540 # 800073c8 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 83848493 addi s1,s1,-1992 # 80007a10 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 838a8a93 addi s5,s5,-1992 # 80007a18 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 2e440413 addi s0,s0,740 # 800074d0 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 124a0a13 addi s4,s4,292 # 80006318 <_etext+0x138> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 12cd0d13 addi s10,s10,300 # 80006328 <_etext+0x148> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 13cc8c93 addi s9,s9,316 # 80006340 <_etext+0x160> + 8000020c: 01c0006f j 80000228 + 80000210: 479050ef jal ra,80005e88 + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 469050ef jal ra,80005e88 + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 431050ef jal ra,80005e88 + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 69c78793 addi a5,a5,1692 # 80007900 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 049040ef jal ra,80004ad0 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 02d040ef jal ra,80004ad0 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 08c50513 addi a0,a0,140 # 80006350 <_etext+0x170> + 800002cc: 3bd050ef jal ra,80005e88 + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 07c50513 addi a0,a0,124 # 80006358 <_etext+0x178> + 800002e4: 3a5050ef jal ra,80005e88 + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 620040ef jal ra,80004940 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 0b050513 addi a0,a0,176 # 800063e8 <_etext+0x208> + 80000340: 349050ef jal ra,80005e88 + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 770040ef jal ra,80004ad0 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 02450513 addi a0,a0,36 # 80006390 <_etext+0x1b0> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 311050ef jal ra,80005e88 + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: ed058593 addi a1,a1,-304 # 80006250 <_etext+0x70> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 03c50513 addi a0,a0,60 # 800063c8 <_etext+0x1e8> + 80000394: 2f5050ef jal ra,80005e88 + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 594040ef jal ra,80004940 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 02450513 addi a0,a0,36 # 800063d8 <_etext+0x1f8> + 800003bc: 2cd050ef jal ra,80005e88 + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 02c60613 addi a2,a2,44 # 800063f0 <_etext+0x210> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 03850513 addi a0,a0,56 # 80006408 <_etext+0x228> + 800003d8: 2b1050ef jal ra,80005e88 + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 04850513 addi a0,a0,72 # 80006430 <_etext+0x250> + 800003f0: 299050ef jal ra,80005e88 + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 04850513 addi a0,a0,72 # 80006448 <_etext+0x268> + 80000408: 281050ef jal ra,80005e88 + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: e1450513 addi a0,a0,-492 # 80006260 <_etext+0x80> + 80000454: 235050ef jal ra,80005e88 + 80000458: 00006417 auipc s0,0x6 + 8000045c: df040413 addi s0,s0,-528 # 80006248 <_etext+0x68> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: f0450513 addi a0,a0,-252 # 80006378 <_etext+0x198> + 8000047c: 20d050ef jal ra,80005e88 + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: fd850513 addi a0,a0,-40 # 80006460 <_etext+0x280> + 80000490: 1f9050ef jal ra,80005e88 + 80000494: 00006517 auipc a0,0x6 + 80000498: ed450513 addi a0,a0,-300 # 80006368 <_etext+0x188> + 8000049c: 1ed050ef jal ra,80005e88 + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: dac58593 addi a1,a1,-596 # 80006258 <_etext+0x78> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: f3050513 addi a0,a0,-208 # 800063e8 <_etext+0x208> + 800004c0: 1c9050ef jal ra,80005e88 + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: d8058593 addi a1,a1,-640 # 80006248 <_etext+0x68> + 800004d0: 00040513 mv a0,s0 + 800004d4: 0c9040ef jal ra,80004d9c + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: db458593 addi a1,a1,-588 # 80006298 <_etext+0xb8> + 800004ec: 00040513 mv a0,s0 + 800004f0: 0ad040ef jal ra,80004d9c + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: d9c50513 addi a0,a0,-612 # 800062a0 <_etext+0xc0> + 8000050c: 17d050ef jal ra,80005e88 + 80000510: 00100513 li a0,1 + 80000514: 378040ef jal ra,8000488c + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 3e858593 addi a1,a1,1000 # 80007900 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: fa878793 addi a5,a5,-88 # 800074d0 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 4b07b783 ld a5,1200(a5) # 80007a18 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: c5058593 addi a1,a1,-944 # 800061e0 <_etext> + 80000598: 00006517 auipc a0,0x6 + 8000059c: c8850513 addi a0,a0,-888 # 80006220 <_etext+0x40> + 800005a0: 0e9050ef jal ra,80005e88 + 800005a4: 00100513 li a0,1 + 800005a8: 2e4040ef jal ra,8000488c + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: e0a7a623 sw a0,-500(a5) # 800073c8 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: e0068693 addi a3,a3,-512 # 800073c8 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 2487b783 ld a5,584(a5) # 80007a18 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 12890913 addi s2,s2,296 # 80007910 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 0d498993 addi s3,s3,212 # 80007908 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 078040ef jal ra,800048d4 <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 054040ef jal ra,80004988 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 771030ef jal ra,80004988 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6b9030ef jal ra,80004988 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: d5072703 lw a4,-688(a4) # 80007910 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: d4043403 ld s0,-704(s0) # 80007908 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 8707a023 sw a6,-1952(a5) # 80007914 <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 8d47b783 ld a5,-1836(a5) # 80007a18 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00006797 auipc a5,0x6 + 80001154: 7c47a783 lw a5,1988(a5) # 80007914 <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 640030ef jal ra,80004938 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 630030ef jal ra,80004938 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 594030ef jal ra,80004938 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5e8030ef jal ra,800049bc <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a0030ef jal ra,80004938 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 508030ef jal ra,800049bc <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 324030ef jal ra,80004938 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 18c7b783 ld a5,396(a5) # 80007a18 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 07490913 addi s2,s2,116 # 80007918 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 05898993 addi s3,s3,88 # 80007920 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 02a73a23 sd a0,52(a4) # 80007928 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 068030ef jal ra,80004988 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: fc062603 lw a2,-64(a2) # 80007918 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: fc85b583 ld a1,-56(a1) # 80007928 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: fb853503 ld a0,-72(a0) # 80007920 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: fa45a583 lw a1,-92(a1) # 80007918 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: fac53503 ld a0,-84(a0) # 80007928 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 0807b783 ld a5,128(a5) # 80007a18 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 0587b783 ld a5,88(a5) # 80007a18 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: f647a783 lw a5,-156(a5) # 80007930 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 711020ef jal ra,80004988 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 52c58593 addi a1,a1,1324 # 80006590 <_etext+0x3b0> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 1b450513 addi a0,a0,436 # 80006220 <_etext+0x40> + 80002074: 615030ef jal ra,80005e88 + 80002078: 00100513 li a0,1 + 8000207c: 011020ef jal ra,8000488c + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 85c7b783 ld a5,-1956(a5) # 80007a18 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 26c58593 addi a1,a1,620 # 80007450 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 0d858593 addi a1,a1,216 # 800073d0 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 26c58593 addi a1,a1,620 # 800065d0 <_etext+0x3f0> + 8000236c: 00004517 auipc a0,0x4 + 80002370: eb450513 addi a0,a0,-332 # 80006220 <_etext+0x40> + 80002374: 315030ef jal ra,80005e88 + 80002378: 00100513 li a0,1 + 8000237c: 510020ef jal ra,8000488c + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 50c70713 addi a4,a4,1292 # 80007930 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 87858593 addi a1,a1,-1928 # 80007490 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00004597 auipc a1,0x4 + 80002c88: 78c58593 addi a1,a1,1932 # 80007410 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3cd010ef jal ra,800048d4 <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: c2478793 addi a5,a5,-988 # 80007930 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 85c58593 addi a1,a1,-1956 # 80006590 <_etext+0x3b0> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 4e450513 addi a0,a0,1252 # 80006220 <_etext+0x40> + 80002d44: 144030ef jal ra,80005e88 + 80002d48: 00100513 li a0,1 + 80002d4c: 341010ef jal ra,8000488c + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: cc87b783 ld a5,-824(a5) # 80007a18 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: bcc90913 addi s2,s2,-1076 # 80007934 + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: baf73a23 sd a5,-1100(a4) # 80007950 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: b9498993 addi s3,s3,-1132 # 80007938 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: b6a73e23 sd a0,-1156(a4) # 80007940 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a1010ef jal ra,80004988 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: b286b683 ld a3,-1240(a3) # 80007950 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: b0462603 lw a2,-1276(a2) # 80007934 + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: b085b583 ld a1,-1272(a1) # 80007940 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: af853503 ld a0,-1288(a0) # 80007938 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: aea7aa23 sw a0,-1292(a5) # 80007948 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: adc53503 ld a0,-1316(a0) # 80007940 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: adc5a583 lw a1,-1316(a1) # 80007948 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: b947b783 ld a5,-1132(a5) # 80007a18 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00003597 auipc a1,0x3 + 80002eb4: 76858593 addi a1,a1,1896 # 80006618 <_etext+0x438> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 36850513 addi a0,a0,872 # 80006220 <_etext+0x40> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 7c5020ef jal ra,80005e88 + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c1010ef jal ra,8000488c + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 4907b783 ld a5,1168(a5) # 80007a18 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 3b890913 addi s2,s2,952 # 80007958 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 3a07aa23 sw zero,948(a5) # 80007968 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 38f73623 sd a5,908(a4) # 80007960 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 38f73423 sd a5,904(a4) # 80007970 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 38a73423 sd a0,904(a4) # 80007980 + 80003600: 00004997 auipc s3,0x4 + 80003604: 38898993 addi s3,s3,904 # 80007988 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 05478793 addi a5,a5,84 # 80006660 <_etext+0x480> + 80003614: 00004717 auipc a4,0x4 + 80003618: 36f73223 sd a5,868(a4) # 80007978 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 36f73023 sd a5,864(a4) # 80007998 + 80003640: 00004797 auipc a5,0x4 + 80003644: 3407a823 sw zero,848(a5) # 80007990 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 0c0a0a13 addi s4,s4,192 # 80006718 <_etext+0x538> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 318010ef jal ra,80004988 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 2b830313 addi t1,t1,696 # 80007978 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 27450513 addi a0,a0,628 # 80007968 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 2606b683 ld a3,608(a3) # 80007960 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 2648b883 ld a7,612(a7) # 80007970 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 03080813 addi a6,a6,48 # 80006758 <_etext+0x578> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 194e8e93 addi t4,t4,404 # 80007988 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 194e0e13 addi t3,t3,404 # 80007990 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 1745b583 ld a1,372(a1) # 80007980 + 80003814: 00004397 auipc t2,0x4 + 80003818: 1843b383 ld t2,388(t2) # 80007998 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: ff088893 addi a7,a7,-16 # 80006824 <_etext+0x644> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: ee07ac23 sw zero,-264(a5) # 80007968 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: eec40413 addi s0,s0,-276 # 80007990 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: ee853503 ld a0,-280(a0) # 80007998 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: e9072703 lw a4,-368(a4) # 80007958 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: f3073703 ld a4,-208(a4) # 80007a18 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: f087b783 ld a5,-248(a5) # 80007a18 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: e6f72e23 sw a5,-388(a4) # 800079a8 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 59d000ef jal ra,800048d4 <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: e4f73823 sd a5,-432(a4) # 800079a0 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: e4f73623 sd a5,-436(a4) # 800079b0 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: e4f73023 sd a5,-448(a4) # 800079b8 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: e2a7ba23 sd a0,-460(a5) # 800079c0 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: e107b783 ld a5,-496(a5) # 800079b0 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: e0c7b783 ld a5,-500(a5) # 800079b8 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: dc042403 lw s0,-576(s0) # 800079a8 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: da4f3f03 ld t5,-604(t5) # 800079a0 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: d406b683 ld a3,-704(a3) # 800079c0 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3c5000ef jal ra,800048d4 <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2b5000ef jal ra,800048d4 <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: b0452503 lw a0,-1276(a0) # 800079a8 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 215000ef jal ra,800048d4 <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: aec53503 ld a0,-1300(a0) # 800079b8 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: b407b783 ld a5,-1216(a5) # 80007a18 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: aac7a783 lw a5,-1364(a5) # 800079c8 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: a747b783 ld a5,-1420(a5) # 80007a18 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: a007ae23 sw zero,-1508(a5) # 800079cc + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: a0f72223 sw a5,-1532(a4) # 800079c8 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: 9ea7a023 sw a0,-1568(a5) # 800079cc + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: a1c7b783 ld a5,-1508(a5) # 80007a18 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: 9c47a783 lw a5,-1596(a5) # 800079cc + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 8dc7b783 ld a5,-1828(a5) # 80007a18 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 88890913 addi s2,s2,-1912 # 800079d0 + 80004150: 00004997 auipc s3,0x4 + 80004154: 88898993 addi s3,s3,-1912 # 800079d8 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 80c62603 lw a2,-2036(a2) # 800079d0 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 80853503 ld a0,-2040(a0) # 800079d8 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00003597 auipc a1,0x3 + 800041e0: 7f45a583 lw a1,2036(a1) # 800079d0 + 800041e4: 00003517 auipc a0,0x3 + 800041e8: 7f453503 ld a0,2036(a0) # 800079d8 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8187b783 ld a5,-2024(a5) # 80007a18 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00003797 auipc a5,0x3 + 80004228: 7f47b783 ld a5,2036(a5) # 80007a18 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00003497 auipc s1,0x3 + 80004240: 7a448493 addi s1,s1,1956 # 800079e0 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00003917 auipc s2,0x3 + 80004260: 79490913 addi s2,s2,1940 # 800079f0 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 74a7b023 sd a0,1856(a5) # 800079e8 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7206a683 lw a3,1824(a3) # 800079e0 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 720fbf83 ld t6,1824(t6) # 800079f0 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 6d873703 ld a4,1752(a4) # 800079e8 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 420a0a13 addi s4,s4,1056 # 8000684c + 80004434: 00002997 auipc s3,0x2 + 80004438: 51898993 addi s3,s3,1304 # 8000694c + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 3c853503 ld a0,968(a0) # 800079e8 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 3e07b783 ld a5,992(a5) # 80007a18 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 3bc7b783 ld a5,956(a5) # 80007a18 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 37840413 addi s0,s0,888 # 800079f8 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 36a73223 sd a0,868(a4) # 80007a00 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 2fc4a483 lw s1,764(s1) # 800079f8 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 2f860613 addi a2,a2,760 # 80007a00 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 164000ef jal ra,800048d4 <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 0fc000ef jal ra,800048d4 <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2007ac23 sw zero,536(a5) # 800079fc + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 1cd7aa23 sw a3,468(a5) # 800079fc + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 1a07a823 sw zero,432(a5) # 800079fc + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 1a493903 ld s2,420(s2) # 80007a00 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 1b07b783 ld a5,432(a5) # 80007a18 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 1887a783 lw a5,392(a5) # 800079fc + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00008067 ret + +000000008000488c : + 8000488c: 00050513 mv a0,a0 + 80004890: 0000006b 0x6b + 80004894: 0000006f j 80004894 + +0000000080004898 <_assert>: + 80004898: 00051a63 bnez a0,800048ac <_assert+0x14> + 8000489c: 00100793 li a5,1 + 800048a0: 00078513 mv a0,a5 + 800048a4: 0000006b 0x6b + 800048a8: 0000006f j 800048a8 <_assert+0x10> + 800048ac: 00008067 ret + +00000000800048b0 <_trm_init>: + 800048b0: ff010113 addi sp,sp,-16 + 800048b4: 00113423 sd ra,8(sp) + 800048b8: 50c000ef jal ra,80004dc4 + 800048bc: 00002517 auipc a0,0x2 + 800048c0: 46c50513 addi a0,a0,1132 # 80006d28 + 800048c4: 839fb0ef jal ra,800000fc
+ 800048c8: 00050513 mv a0,a0 + 800048cc: 0000006b 0x6b + 800048d0: 0000006f j 800048d0 <_trm_init+0x20> + +00000000800048d4 <__muldi3>: + 800048d4: 00050613 mv a2,a0 + 800048d8: 00000513 li a0,0 + 800048dc: 0015f693 andi a3,a1,1 + 800048e0: 00068463 beqz a3,800048e8 <__muldi3+0x14> + 800048e4: 00c50533 add a0,a0,a2 + 800048e8: 0015d593 srli a1,a1,0x1 + 800048ec: 00161613 slli a2,a2,0x1 + 800048f0: fe0596e3 bnez a1,800048dc <__muldi3+0x8> + 800048f4: 00008067 ret + +00000000800048f8 <__udivsi3>: + 800048f8: 02051513 slli a0,a0,0x20 + 800048fc: 02059593 slli a1,a1,0x20 + 80004900: 00008293 mv t0,ra + 80004904: 03c000ef jal ra,80004940 <__udivdi3> + 80004908: 0005051b sext.w a0,a0 + 8000490c: 00028067 jr t0 + +0000000080004910 <__umodsi3>: + 80004910: 02051513 slli a0,a0,0x20 + 80004914: 02059593 slli a1,a1,0x20 + 80004918: 02055513 srli a0,a0,0x20 + 8000491c: 0205d593 srli a1,a1,0x20 + 80004920: 00008293 mv t0,ra + 80004924: 01c000ef jal ra,80004940 <__udivdi3> + 80004928: 0005851b sext.w a0,a1 + 8000492c: 00028067 jr t0 + +0000000080004930 <__divsi3>: + 80004930: fff00293 li t0,-1 + 80004934: 0a558c63 beq a1,t0,800049ec <__moddi3+0x30> + +0000000080004938 <__divdi3>: + 80004938: 06054063 bltz a0,80004998 <__umoddi3+0x10> + 8000493c: 0605c663 bltz a1,800049a8 <__umoddi3+0x20> + +0000000080004940 <__udivdi3>: + 80004940: 00058613 mv a2,a1 + 80004944: 00050593 mv a1,a0 + 80004948: fff00513 li a0,-1 + 8000494c: 02060c63 beqz a2,80004984 <__udivdi3+0x44> + 80004950: 00100693 li a3,1 + 80004954: 00b67a63 bgeu a2,a1,80004968 <__udivdi3+0x28> + 80004958: 00c05863 blez a2,80004968 <__udivdi3+0x28> + 8000495c: 00161613 slli a2,a2,0x1 + 80004960: 00169693 slli a3,a3,0x1 + 80004964: feb66ae3 bltu a2,a1,80004958 <__udivdi3+0x18> + 80004968: 00000513 li a0,0 + 8000496c: 00c5e663 bltu a1,a2,80004978 <__udivdi3+0x38> + 80004970: 40c585b3 sub a1,a1,a2 + 80004974: 00d56533 or a0,a0,a3 + 80004978: 0016d693 srli a3,a3,0x1 + 8000497c: 00165613 srli a2,a2,0x1 + 80004980: fe0696e3 bnez a3,8000496c <__udivdi3+0x2c> + 80004984: 00008067 ret + +0000000080004988 <__umoddi3>: + 80004988: 00008293 mv t0,ra + 8000498c: fb5ff0ef jal ra,80004940 <__udivdi3> + 80004990: 00058513 mv a0,a1 + 80004994: 00028067 jr t0 + 80004998: 40a00533 neg a0,a0 + 8000499c: 00b04863 bgtz a1,800049ac <__umoddi3+0x24> + 800049a0: 40b005b3 neg a1,a1 + 800049a4: f9dff06f j 80004940 <__udivdi3> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: 00008293 mv t0,ra + 800049b0: f91ff0ef jal ra,80004940 <__udivdi3> + 800049b4: 40a00533 neg a0,a0 + 800049b8: 00028067 jr t0 + +00000000800049bc <__moddi3>: + 800049bc: 00008293 mv t0,ra + 800049c0: 0005ca63 bltz a1,800049d4 <__moddi3+0x18> + 800049c4: 00054c63 bltz a0,800049dc <__moddi3+0x20> + 800049c8: f79ff0ef jal ra,80004940 <__udivdi3> + 800049cc: 00058513 mv a0,a1 + 800049d0: 00028067 jr t0 + 800049d4: 40b005b3 neg a1,a1 + 800049d8: fe0558e3 bgez a0,800049c8 <__moddi3+0xc> + 800049dc: 40a00533 neg a0,a0 + 800049e0: f61ff0ef jal ra,80004940 <__udivdi3> + 800049e4: 40b00533 neg a0,a1 + 800049e8: 00028067 jr t0 + 800049ec: 01f29293 slli t0,t0,0x1f + 800049f0: f45514e3 bne a0,t0,80004938 <__divdi3> + 800049f4: 00008067 ret + +00000000800049f8 <__am_timer_config>: + 800049f8: 00100793 li a5,1 + 800049fc: 00f50023 sb a5,0(a0) + 80004a00: 00f500a3 sb a5,1(a0) + 80004a04: 00008067 ret + +0000000080004a08 <__am_input_config>: + 80004a08: 00100793 li a5,1 + 80004a0c: 00f50023 sb a5,0(a0) + 80004a10: 00008067 ret + +0000000080004a14 : + 80004a14: ff010113 addi sp,sp,-16 + 80004a18: 00813023 sd s0,0(sp) + 80004a1c: 00113423 sd ra,8(sp) + 80004a20: 00002417 auipc s0,0x2 + 80004a24: 02840413 addi s0,s0,40 # 80006a48 + 80004a28: 04100513 li a0,65 + 80004a2c: 00140413 addi s0,s0,1 + 80004a30: e59ff0ef jal ra,80004888 + 80004a34: 00044503 lbu a0,0(s0) + 80004a38: fe051ae3 bnez a0,80004a2c + 80004a3c: 00002417 auipc s0,0x2 + 80004a40: 01c40413 addi s0,s0,28 # 80006a58 + 80004a44: 06100513 li a0,97 + 80004a48: 00140413 addi s0,s0,1 + 80004a4c: e3dff0ef jal ra,80004888 + 80004a50: 00044503 lbu a0,0(s0) + 80004a54: fe051ae3 bnez a0,80004a48 + 80004a58: 00002417 auipc s0,0x2 + 80004a5c: 02040413 addi s0,s0,32 # 80006a78 + 80004a60: 02000513 li a0,32 + 80004a64: 00140413 addi s0,s0,1 + 80004a68: e21ff0ef jal ra,80004888 + 80004a6c: 00044503 lbu a0,0(s0) + 80004a70: fe051ae3 bnez a0,80004a64 + 80004a74: 00100513 li a0,1 + 80004a78: e15ff0ef jal ra,8000488c + +0000000080004a7c : + 80004a7c: ff010113 addi sp,sp,-16 + 80004a80: 00113423 sd ra,8(sp) + 80004a84: 00003797 auipc a5,0x3 + 80004a88: a5c78793 addi a5,a5,-1444 # 800074e0 + 80004a8c: 00003697 auipc a3,0x3 + 80004a90: e5468693 addi a3,a3,-428 # 800078e0 + 80004a94: 00000617 auipc a2,0x0 + 80004a98: f8060613 addi a2,a2,-128 # 80004a14 + 80004a9c: 00c0006f j 80004aa8 + 80004aa0: 00878793 addi a5,a5,8 + 80004aa4: 00d78c63 beq a5,a3,80004abc + 80004aa8: 0007b703 ld a4,0(a5) + 80004aac: fe071ae3 bnez a4,80004aa0 + 80004ab0: 00c7b023 sd a2,0(a5) + 80004ab4: 00878793 addi a5,a5,8 + 80004ab8: fed798e3 bne a5,a3,80004aa8 + 80004abc: 030000ef jal ra,80004aec <__am_timer_init> + 80004ac0: 00813083 ld ra,8(sp) + 80004ac4: 00100513 li a0,1 + 80004ac8: 01010113 addi sp,sp,16 + 80004acc: 00008067 ret + +0000000080004ad0 : + 80004ad0: 00351793 slli a5,a0,0x3 + 80004ad4: 00003517 auipc a0,0x3 + 80004ad8: a0c50513 addi a0,a0,-1524 # 800074e0 + 80004adc: 00f50533 add a0,a0,a5 + 80004ae0: 00053303 ld t1,0(a0) + 80004ae4: 00058513 mv a0,a1 + 80004ae8: 00030067 jr t1 + +0000000080004aec <__am_timer_init>: + 80004aec: 00008067 ret + +0000000080004af0 <__am_timer_uptime>: + 80004af0: 00053023 sd zero,0(a0) + 80004af4: 00008067 ret + +0000000080004af8 : + 80004af8: fc010113 addi sp,sp,-64 + 80004afc: 01313c23 sd s3,24(sp) + 80004b00: 00058993 mv s3,a1 + 80004b04: 00050793 mv a5,a0 + 80004b08: 03800613 li a2,56 + 80004b0c: 00000593 li a1,0 + 80004b10: 00098513 mv a0,s3 + 80004b14: 02813823 sd s0,48(sp) + 80004b18: 03213023 sd s2,32(sp) + 80004b1c: 0007b403 ld s0,0(a5) + 80004b20: 01413823 sd s4,16(sp) + 80004b24: 02113c23 sd ra,56(sp) + 80004b28: 02913423 sd s1,40(sp) + 80004b2c: 01513423 sd s5,8(sp) + 80004b30: 3fc010ef jal ra,80005f2c + 80004b34: 7b200793 li a5,1970 + 80004b38: 00f9aa23 sw a5,20(s3) + 80004b3c: 7b200913 li s2,1970 + 80004b40: 00002a17 auipc s4,0x2 + 80004b44: 1f0a0a13 addi s4,s4,496 # 80006d30 + 80004b48: 0100006f j 80004b58 + 80004b4c: 40e40433 sub s0,s0,a4 + 80004b50: 00d9aa23 sw a3,20(s3) + 80004b54: 00068913 mv s2,a3 + 80004b58: 0009049b sext.w s1,s2 + 80004b5c: 06400593 li a1,100 + 80004b60: 00048513 mv a0,s1 + 80004b64: e59ff0ef jal ra,800049bc <__moddi3> + 80004b68: 00050793 mv a5,a0 + 80004b6c: 0007879b sext.w a5,a5 + 80004b70: 00048513 mv a0,s1 + 80004b74: 00397493 andi s1,s2,3 + 80004b78: 19000593 li a1,400 + 80004b7c: 0014b493 seqz s1,s1 + 80004b80: 00079863 bnez a5,80004b90 + 80004b84: e39ff0ef jal ra,800049bc <__moddi3> + 80004b88: 0005049b sext.w s1,a0 + 80004b8c: 0014b493 seqz s1,s1 + 80004b90: 00249793 slli a5,s1,0x2 + 80004b94: 00fa07b3 add a5,s4,a5 + 80004b98: 0007e703 lwu a4,0(a5) + 80004b9c: 0019069b addiw a3,s2,1 + 80004ba0: fae456e3 bge s0,a4,80004b4c + 80004ba4: 000155b7 lui a1,0x15 + 80004ba8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004bac: 00040513 mv a0,s0 + 80004bb0: d89ff0ef jal ra,80004938 <__divdi3> + 80004bb4: 00149793 slli a5,s1,0x1 + 80004bb8: 009784b3 add s1,a5,s1 + 80004bbc: 00449793 slli a5,s1,0x4 + 80004bc0: 00002497 auipc s1,0x2 + 80004bc4: ef848493 addi s1,s1,-264 # 80006ab8 + 80004bc8: 00f484b3 add s1,s1,a5 + 80004bcc: 0004e783 lwu a5,0(s1) + 80004bd0: 0005051b sext.w a0,a0 + 80004bd4: 00a9ae23 sw a0,28(s3) + 80004bd8: 14f44463 blt s0,a5,80004d20 + 80004bdc: 00100713 li a4,1 + 80004be0: 40f40433 sub s0,s0,a5 + 80004be4: 0044e783 lwu a5,4(s1) + 80004be8: 00070a1b sext.w s4,a4 + 80004bec: 00448493 addi s1,s1,4 + 80004bf0: 00170713 addi a4,a4,1 + 80004bf4: fef456e3 bge s0,a5,80004be0 + 80004bf8: 000155b7 lui a1,0x15 + 80004bfc: 0149a823 sw s4,16(s3) + 80004c00: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c04: 00040513 mv a0,s0 + 80004c08: d31ff0ef jal ra,80004938 <__divdi3> + 80004c0c: 0005051b sext.w a0,a0 + 80004c10: 0015079b addiw a5,a0,1 + 80004c14: 000155b7 lui a1,0x15 + 80004c18: 00f9a623 sw a5,12(s3) + 80004c1c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c20: 00040513 mv a0,s0 + 80004c24: 00001ab7 lui s5,0x1 + 80004c28: 0007841b sext.w s0,a5 + 80004c2c: d91ff0ef jal ra,800049bc <__moddi3> + 80004c30: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004c34: 00050493 mv s1,a0 + 80004c38: d01ff0ef jal ra,80004938 <__divdi3> + 80004c3c: e10a8593 addi a1,s5,-496 + 80004c40: 00a9a423 sw a0,8(s3) + 80004c44: 00048513 mv a0,s1 + 80004c48: d75ff0ef jal ra,800049bc <__moddi3> + 80004c4c: 03c00593 li a1,60 + 80004c50: 00050493 mv s1,a0 + 80004c54: ce5ff0ef jal ra,80004938 <__divdi3> + 80004c58: 00a9a223 sw a0,4(s3) + 80004c5c: 03c00593 li a1,60 + 80004c60: 00048513 mv a0,s1 + 80004c64: d59ff0ef jal ra,800049bc <__moddi3> + 80004c68: 001a0a1b addiw s4,s4,1 + 80004c6c: 0ffa7793 andi a5,s4,255 + 80004c70: 0037b793 sltiu a5,a5,3 + 80004c74: 40f9093b subw s2,s2,a5 + 80004c78: 03091913 slli s2,s2,0x30 + 80004c7c: 03095913 srli s2,s2,0x30 + 80004c80: 00a9a023 sw a0,0(s3) + 80004c84: 06400593 li a1,100 + 80004c88: 00090513 mv a0,s2 + 80004c8c: cb5ff0ef jal ra,80004940 <__udivdi3> + 80004c90: 0029549b srliw s1,s2,0x2 + 80004c94: 0105179b slliw a5,a0,0x10 + 80004c98: 0107d79b srliw a5,a5,0x10 + 80004c9c: 012484bb addw s1,s1,s2 + 80004ca0: 19000593 li a1,400 + 80004ca4: 00090513 mv a0,s2 + 80004ca8: 0ffa7a13 andi s4,s4,255 + 80004cac: 40f484bb subw s1,s1,a5 + 80004cb0: fffa0a1b addiw s4,s4,-1 + 80004cb4: c8dff0ef jal ra,80004940 <__udivdi3> + 80004cb8: 00002797 auipc a5,0x2 + 80004cbc: e6078793 addi a5,a5,-416 # 80006b18 + 80004cc0: 002a1a13 slli s4,s4,0x2 + 80004cc4: 01478a33 add s4,a5,s4 + 80004cc8: 000a2783 lw a5,0(s4) + 80004ccc: 0105151b slliw a0,a0,0x10 + 80004cd0: 0105551b srliw a0,a0,0x10 + 80004cd4: 00a484bb addw s1,s1,a0 + 80004cd8: 00f484bb addw s1,s1,a5 + 80004cdc: 0ff47513 andi a0,s0,255 + 80004ce0: 00a4853b addw a0,s1,a0 + 80004ce4: 00700593 li a1,7 + 80004ce8: cd5ff0ef jal ra,800049bc <__moddi3> + 80004cec: 03813083 ld ra,56(sp) + 80004cf0: 03013403 ld s0,48(sp) + 80004cf4: 0ff57513 andi a0,a0,255 + 80004cf8: 00a9ac23 sw a0,24(s3) + 80004cfc: 0209a023 sw zero,32(s3) + 80004d00: 02813483 ld s1,40(sp) + 80004d04: 02013903 ld s2,32(sp) + 80004d08: 01013a03 ld s4,16(sp) + 80004d0c: 00813a83 ld s5,8(sp) + 80004d10: 00098513 mv a0,s3 + 80004d14: 01813983 ld s3,24(sp) + 80004d18: 04010113 addi sp,sp,64 + 80004d1c: 00008067 ret + 80004d20: 00000a13 li s4,0 + 80004d24: eedff06f j 80004c10 + +0000000080004d28 <__am_timer_rtc>: + 80004d28: fa010113 addi sp,sp,-96 + 80004d2c: 04813823 sd s0,80(sp) + 80004d30: 01810593 addi a1,sp,24 + 80004d34: 00050413 mv s0,a0 + 80004d38: 00810513 addi a0,sp,8 + 80004d3c: 04113c23 sd ra,88(sp) + 80004d40: 00013423 sd zero,8(sp) + 80004d44: 00013823 sd zero,16(sp) + 80004d48: db1ff0ef jal ra,80004af8 + 80004d4c: 01812703 lw a4,24(sp) + 80004d50: 02812783 lw a5,40(sp) + 80004d54: 05813083 ld ra,88(sp) + 80004d58: 00e42a23 sw a4,20(s0) + 80004d5c: 01c12703 lw a4,28(sp) + 80004d60: 0017879b addiw a5,a5,1 + 80004d64: 00f42223 sw a5,4(s0) + 80004d68: 00e42823 sw a4,16(s0) + 80004d6c: 02012703 lw a4,32(sp) + 80004d70: 02c12783 lw a5,44(sp) + 80004d74: 00e42623 sw a4,12(s0) + 80004d78: 02412703 lw a4,36(sp) + 80004d7c: 00f42023 sw a5,0(s0) + 80004d80: 00e42423 sw a4,8(s0) + 80004d84: 05013403 ld s0,80(sp) + 80004d88: 06010113 addi sp,sp,96 + 80004d8c: 00008067 ret + +0000000080004d90 <__am_input_keybrd>: + 80004d90: 00050023 sb zero,0(a0) + 80004d94: 00052223 sw zero,4(a0) + 80004d98: 00008067 ret + +0000000080004d9c : + 80004d9c: 00054783 lbu a5,0(a0) + 80004da0: 00158593 addi a1,a1,1 + 80004da4: 00150513 addi a0,a0,1 + 80004da8: fff5c703 lbu a4,-1(a1) + 80004dac: 00078863 beqz a5,80004dbc + 80004db0: fee786e3 beq a5,a4,80004d9c + 80004db4: 40e7853b subw a0,a5,a4 + 80004db8: 00008067 ret + 80004dbc: 40e0053b negw a0,a4 + 80004dc0: 00008067 ret + +0000000080004dc4 : + 80004dc4: 00002797 auipc a5,0x2 + 80004dc8: 70c78793 addi a5,a5,1804 # 800074d0 + 80004dcc: 0007b503 ld a0,0(a5) + 80004dd0: 0087b583 ld a1,8(a5) + 80004dd4: ff010113 addi sp,sp,-16 + 80004dd8: 00000693 li a3,0 + 80004ddc: 00000613 li a2,0 + 80004de0: 40a585b3 sub a1,a1,a0 + 80004de4: 00113423 sd ra,8(sp) + 80004de8: 224010ef jal ra,8000600c + 80004dec: 00813083 ld ra,8(sp) + 80004df0: 00003797 auipc a5,0x3 + 80004df4: c0a7bc23 sd a0,-1000(a5) # 80007a08 + 80004df8: 01010113 addi sp,sp,16 + 80004dfc: 00008067 ret + +0000000080004e00 <_out_buffer>: + 80004e00: 00d67663 bgeu a2,a3,80004e0c <_out_buffer+0xc> + 80004e04: 00c585b3 add a1,a1,a2 + 80004e08: 00a58023 sb a0,0(a1) + 80004e0c: 00008067 ret + +0000000080004e10 <_out_null>: + 80004e10: 00008067 ret + +0000000080004e14 <_ntoa_format>: + 80004e14: fa010113 addi sp,sp,-96 + 80004e18: 07012303 lw t1,112(sp) + 80004e1c: 03313c23 sd s3,56(sp) + 80004e20: 03413823 sd s4,48(sp) + 80004e24: 03513423 sd s5,40(sp) + 80004e28: 03613023 sd s6,32(sp) + 80004e2c: 01713c23 sd s7,24(sp) + 80004e30: 01813823 sd s8,16(sp) + 80004e34: 01913423 sd s9,8(sp) + 80004e38: 00237e13 andi t3,t1,2 + 80004e3c: 01037e93 andi t4,t1,16 + 80004e40: 04113c23 sd ra,88(sp) + 80004e44: 04813823 sd s0,80(sp) + 80004e48: 04913423 sd s1,72(sp) + 80004e4c: 05213023 sd s2,64(sp) + 80004e50: 06012f03 lw t5,96(sp) + 80004e54: 06812c03 lw s8,104(sp) + 80004e58: 00050a13 mv s4,a0 + 80004e5c: 00058a93 mv s5,a1 + 80004e60: 00060b93 mv s7,a2 + 80004e64: 00068b13 mv s6,a3 + 80004e68: 00070993 mv s3,a4 + 80004e6c: 000e0c9b sext.w s9,t3 + 80004e70: 000e8e9b sext.w t4,t4 + 80004e74: 060e1c63 bnez t3,80004eec <_ntoa_format+0xd8> + 80004e78: 020f1693 slli a3,t5,0x20 + 80004e7c: 00137513 andi a0,t1,1 + 80004e80: 0206d693 srli a3,a3,0x20 + 80004e84: 180c1e63 bnez s8,80005020 <_ntoa_format+0x20c> + 80004e88: 02d7f663 bgeu a5,a3,80004eb4 <_ntoa_format+0xa0> + 80004e8c: 02000713 li a4,32 + 80004e90: 36e78e63 beq a5,a4,8000520c <_ntoa_format+0x3f8> + 80004e94: 03000613 li a2,48 + 80004e98: 02000593 li a1,32 + 80004e9c: 0080006f j 80004ea4 <_ntoa_format+0x90> + 80004ea0: 00b78a63 beq a5,a1,80004eb4 <_ntoa_format+0xa0> + 80004ea4: 00178793 addi a5,a5,1 + 80004ea8: 00f98733 add a4,s3,a5 + 80004eac: fec70fa3 sb a2,-1(a4) + 80004eb0: fed7e8e3 bltu a5,a3,80004ea0 <_ntoa_format+0x8c> + 80004eb4: 02050c63 beqz a0,80004eec <_ntoa_format+0xd8> + 80004eb8: 020c1913 slli s2,s8,0x20 + 80004ebc: 02095913 srli s2,s2,0x20 + 80004ec0: 0327f663 bgeu a5,s2,80004eec <_ntoa_format+0xd8> + 80004ec4: 02000713 li a4,32 + 80004ec8: 36e78863 beq a5,a4,80005238 <_ntoa_format+0x424> + 80004ecc: 03000693 li a3,48 + 80004ed0: 02000613 li a2,32 + 80004ed4: 0080006f j 80004edc <_ntoa_format+0xc8> + 80004ed8: 16c78463 beq a5,a2,80005040 <_ntoa_format+0x22c> + 80004edc: 00178793 addi a5,a5,1 + 80004ee0: 00f98733 add a4,s3,a5 + 80004ee4: fed70fa3 sb a3,-1(a4) + 80004ee8: ff2798e3 bne a5,s2,80004ed8 <_ntoa_format+0xc4> + 80004eec: 1a0e8663 beqz t4,80005098 <_ntoa_format+0x284> + 80004ef0: 40037713 andi a4,t1,1024 + 80004ef4: 20071463 bnez a4,800050fc <_ntoa_format+0x2e8> + 80004ef8: 1e079663 bnez a5,800050e4 <_ntoa_format+0x2d0> + 80004efc: 01000793 li a5,16 + 80004f00: 2af88863 beq a7,a5,800051b0 <_ntoa_format+0x39c> + 80004f04: 00200793 li a5,2 + 80004f08: 2ef88a63 beq a7,a5,800051fc <_ntoa_format+0x3e8> + 80004f0c: 03000793 li a5,48 + 80004f10: 00f98023 sb a5,0(s3) + 80004f14: 00100793 li a5,1 + 80004f18: 1a080463 beqz a6,800050c0 <_ntoa_format+0x2ac> + 80004f1c: 00f98733 add a4,s3,a5 + 80004f20: 00178413 addi s0,a5,1 + 80004f24: 02d00793 li a5,45 + 80004f28: 00f70023 sb a5,0(a4) + 80004f2c: 00337313 andi t1,t1,3 + 80004f30: 20031263 bnez t1,80005134 <_ntoa_format+0x320> + 80004f34: 020c1913 slli s2,s8,0x20 + 80004f38: 02095913 srli s2,s2,0x20 + 80004f3c: 1f247c63 bgeu s0,s2,80005134 <_ntoa_format+0x320> + 80004f40: 40890933 sub s2,s2,s0 + 80004f44: 01790933 add s2,s2,s7 + 80004f48: 000b8493 mv s1,s7 + 80004f4c: 00048613 mv a2,s1 + 80004f50: 000b0693 mv a3,s6 + 80004f54: 00148493 addi s1,s1,1 + 80004f58: 000a8593 mv a1,s5 + 80004f5c: 02000513 li a0,32 + 80004f60: 000a00e7 jalr s4 + 80004f64: ff2494e3 bne s1,s2,80004f4c <_ntoa_format+0x138> + 80004f68: 02040663 beqz s0,80004f94 <_ntoa_format+0x180> + 80004f6c: 01240933 add s2,s0,s2 + 80004f70: 012984b3 add s1,s3,s2 + 80004f74: 00898433 add s0,s3,s0 + 80004f78: fff44503 lbu a0,-1(s0) + 80004f7c: 40848633 sub a2,s1,s0 + 80004f80: 000b0693 mv a3,s6 + 80004f84: fff40413 addi s0,s0,-1 + 80004f88: 000a8593 mv a1,s5 + 80004f8c: 000a00e7 jalr s4 + 80004f90: fe8994e3 bne s3,s0,80004f78 <_ntoa_format+0x164> + 80004f94: 040c8a63 beqz s9,80004fe8 <_ntoa_format+0x1d4> + 80004f98: 020c1c13 slli s8,s8,0x20 + 80004f9c: 41790433 sub s0,s2,s7 + 80004fa0: 020c5c13 srli s8,s8,0x20 + 80004fa4: 05847263 bgeu s0,s8,80004fe8 <_ntoa_format+0x1d4> + 80004fa8: 01740633 add a2,s0,s7 + 80004fac: 000b0693 mv a3,s6 + 80004fb0: 00140413 addi s0,s0,1 + 80004fb4: 000a8593 mv a1,s5 + 80004fb8: 02000513 li a0,32 + 80004fbc: 000a00e7 jalr s4 + 80004fc0: ff8464e3 bltu s0,s8,80004fa8 <_ntoa_format+0x194> + 80004fc4: 41790733 sub a4,s2,s7 + 80004fc8: 00170713 addi a4,a4,1 + 80004fcc: 00000793 li a5,0 + 80004fd0: 00ec6863 bltu s8,a4,80004fe0 <_ntoa_format+0x1cc> + 80004fd4: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 80004fd8: 01878c33 add s8,a5,s8 + 80004fdc: 412c07b3 sub a5,s8,s2 + 80004fe0: 00190913 addi s2,s2,1 + 80004fe4: 00f90933 add s2,s2,a5 + 80004fe8: 05813083 ld ra,88(sp) + 80004fec: 05013403 ld s0,80(sp) + 80004ff0: 04813483 ld s1,72(sp) + 80004ff4: 03813983 ld s3,56(sp) + 80004ff8: 03013a03 ld s4,48(sp) + 80004ffc: 02813a83 ld s5,40(sp) + 80005000: 02013b03 ld s6,32(sp) + 80005004: 01813b83 ld s7,24(sp) + 80005008: 01013c03 ld s8,16(sp) + 8000500c: 00813c83 ld s9,8(sp) + 80005010: 00090513 mv a0,s2 + 80005014: 04013903 ld s2,64(sp) + 80005018: 06010113 addi sp,sp,96 + 8000501c: 00008067 ret + 80005020: 12050863 beqz a0,80005150 <_ntoa_format+0x33c> + 80005024: 00081663 bnez a6,80005030 <_ntoa_format+0x21c> + 80005028: 00c37713 andi a4,t1,12 + 8000502c: 00070463 beqz a4,80005034 <_ntoa_format+0x220> + 80005030: fffc0c1b addiw s8,s8,-1 + 80005034: e8d7f2e3 bgeu a5,a3,80004eb8 <_ntoa_format+0xa4> + 80005038: 02000713 li a4,32 + 8000503c: e4e79ce3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 80005040: 0e0e8063 beqz t4,80005120 <_ntoa_format+0x30c> + 80005044: 40037793 andi a5,t1,1024 + 80005048: 04079c63 bnez a5,800050a0 <_ntoa_format+0x28c> + 8000504c: 02000793 li a5,32 + 80005050: 0aff1063 bne t5,a5,800050f0 <_ntoa_format+0x2dc> + 80005054: 02000793 li a5,32 + 80005058: 01f00713 li a4,31 + 8000505c: 01000693 li a3,16 + 80005060: 1ad88c63 beq a7,a3,80005218 <_ntoa_format+0x404> + 80005064: 00200693 li a3,2 + 80005068: 00070793 mv a5,a4 + 8000506c: 00d89e63 bne a7,a3,80005088 <_ntoa_format+0x274> + 80005070: 00e986b3 add a3,s3,a4 + 80005074: 00170793 addi a5,a4,1 + 80005078: 06200713 li a4,98 + 8000507c: 00e68023 sb a4,0(a3) + 80005080: 02000713 li a4,32 + 80005084: 00e78e63 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005088: 00f98733 add a4,s3,a5 + 8000508c: 03000693 li a3,48 + 80005090: 00d70023 sb a3,0(a4) + 80005094: 00178793 addi a5,a5,1 + 80005098: 02000713 li a4,32 + 8000509c: e6e79ee3 bne a5,a4,80004f18 <_ntoa_format+0x104> + 800050a0: 00337313 andi t1,t1,3 + 800050a4: 08031263 bnez t1,80005128 <_ntoa_format+0x314> + 800050a8: 02000413 li s0,32 + 800050ac: 020c1913 slli s2,s8,0x20 + 800050b0: 02095913 srli s2,s2,0x20 + 800050b4: e92466e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 800050b8: 000b8913 mv s2,s7 + 800050bc: eadff06f j 80004f68 <_ntoa_format+0x154> + 800050c0: 00437713 andi a4,t1,4 + 800050c4: 06071c63 bnez a4,8000513c <_ntoa_format+0x328> + 800050c8: 00837713 andi a4,t1,8 + 800050cc: 0c071863 bnez a4,8000519c <_ntoa_format+0x388> + 800050d0: 00337313 andi t1,t1,3 + 800050d4: 00078413 mv s0,a5 + 800050d8: fc030ae3 beqz t1,800050ac <_ntoa_format+0x298> + 800050dc: 000b8913 mv s2,s7 + 800050e0: e89ff06f j 80004f68 <_ntoa_format+0x154> + 800050e4: 020f1f13 slli t5,t5,0x20 + 800050e8: 020f5f13 srli t5,t5,0x20 + 800050ec: 0aff0263 beq t5,a5,80005190 <_ntoa_format+0x37c> + 800050f0: 020c1913 slli s2,s8,0x20 + 800050f4: 02095913 srli s2,s2,0x20 + 800050f8: 08f90c63 beq s2,a5,80005190 <_ntoa_format+0x37c> + 800050fc: 01000713 li a4,16 + 80005100: 06e88663 beq a7,a4,8000516c <_ntoa_format+0x358> + 80005104: 00200713 li a4,2 + 80005108: f6e89ce3 bne a7,a4,80005080 <_ntoa_format+0x26c> + 8000510c: 02000713 li a4,32 + 80005110: f8e788e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005114: 00f986b3 add a3,s3,a5 + 80005118: 00178793 addi a5,a5,1 + 8000511c: f5dff06f j 80005078 <_ntoa_format+0x264> + 80005120: 00337313 andi t1,t1,3 + 80005124: 0c030063 beqz t1,800051e4 <_ntoa_format+0x3d0> + 80005128: 000b8913 mv s2,s7 + 8000512c: 02000413 li s0,32 + 80005130: e3dff06f j 80004f6c <_ntoa_format+0x158> + 80005134: 000b8913 mv s2,s7 + 80005138: e35ff06f j 80004f6c <_ntoa_format+0x158> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 00178413 addi s0,a5,1 + 80005144: 02b00793 li a5,43 + 80005148: 00f70023 sb a5,0(a4) + 8000514c: de1ff06f j 80004f2c <_ntoa_format+0x118> + 80005150: d8d7fee3 bgeu a5,a3,80004eec <_ntoa_format+0xd8> + 80005154: 02000713 li a4,32 + 80005158: d2e79ee3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 8000515c: 0e0e8c63 beqz t4,80005254 <_ntoa_format+0x440> + 80005160: 40037793 andi a5,t1,1024 + 80005164: f2079ee3 bnez a5,800050a0 <_ntoa_format+0x28c> + 80005168: ee5ff06f j 8000504c <_ntoa_format+0x238> + 8000516c: 02037713 andi a4,t1,32 + 80005170: 04071c63 bnez a4,800051c8 <_ntoa_format+0x3b4> + 80005174: 02000713 li a4,32 + 80005178: f2e784e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 8000517c: 00f98733 add a4,s3,a5 + 80005180: 00178793 addi a5,a5,1 + 80005184: 07800693 li a3,120 + 80005188: 00d70023 sb a3,0(a4) + 8000518c: ef5ff06f j 80005080 <_ntoa_format+0x26c> + 80005190: fff78713 addi a4,a5,-1 + 80005194: d60704e3 beqz a4,80004efc <_ntoa_format+0xe8> + 80005198: ec5ff06f j 8000505c <_ntoa_format+0x248> + 8000519c: 00f98733 add a4,s3,a5 + 800051a0: 00178413 addi s0,a5,1 + 800051a4: 02000793 li a5,32 + 800051a8: 00f70023 sb a5,0(a4) + 800051ac: d81ff06f j 80004f2c <_ntoa_format+0x118> + 800051b0: 02037793 andi a5,t1,32 + 800051b4: 02079c63 bnez a5,800051ec <_ntoa_format+0x3d8> + 800051b8: 07800793 li a5,120 + 800051bc: 00f98023 sb a5,0(s3) + 800051c0: 00100793 li a5,1 + 800051c4: ec5ff06f j 80005088 <_ntoa_format+0x274> + 800051c8: 02000713 li a4,32 + 800051cc: ece78ae3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 800051d0: 00f98733 add a4,s3,a5 + 800051d4: 05800693 li a3,88 + 800051d8: 00d70023 sb a3,0(a4) + 800051dc: 00178793 addi a5,a5,1 + 800051e0: ea1ff06f j 80005080 <_ntoa_format+0x26c> + 800051e4: 02000413 li s0,32 + 800051e8: d4dff06f j 80004f34 <_ntoa_format+0x120> + 800051ec: 05800793 li a5,88 + 800051f0: 00f98023 sb a5,0(s3) + 800051f4: 00100793 li a5,1 + 800051f8: e91ff06f j 80005088 <_ntoa_format+0x274> + 800051fc: 06200793 li a5,98 + 80005200: 00f98023 sb a5,0(s3) + 80005204: 00100793 li a5,1 + 80005208: e81ff06f j 80005088 <_ntoa_format+0x274> + 8000520c: e2051ae3 bnez a0,80005040 <_ntoa_format+0x22c> + 80005210: 000c8c13 mv s8,s9 + 80005214: f49ff06f j 8000515c <_ntoa_format+0x348> + 80005218: 02037693 andi a3,t1,32 + 8000521c: ffe78713 addi a4,a5,-2 + 80005220: 00069863 bnez a3,80005230 <_ntoa_format+0x41c> + 80005224: 00e98733 add a4,s3,a4 + 80005228: fff78793 addi a5,a5,-1 + 8000522c: f59ff06f j 80005184 <_ntoa_format+0x370> + 80005230: 00070793 mv a5,a4 + 80005234: f9dff06f j 800051d0 <_ntoa_format+0x3bc> + 80005238: 000e8e63 beqz t4,80005254 <_ntoa_format+0x440> + 8000523c: 40037713 andi a4,t1,1024 + 80005240: 00071e63 bnez a4,8000525c <_ntoa_format+0x448> + 80005244: 02ff0e63 beq t5,a5,80005280 <_ntoa_format+0x46c> + 80005248: e4fc1ce3 bne s8,a5,800050a0 <_ntoa_format+0x28c> + 8000524c: 01f00713 li a4,31 + 80005250: e0dff06f j 8000505c <_ntoa_format+0x248> + 80005254: 02000413 li s0,32 + 80005258: cd5ff06f j 80004f2c <_ntoa_format+0x118> + 8000525c: 01000793 li a5,16 + 80005260: 02f88c63 beq a7,a5,80005298 <_ntoa_format+0x484> + 80005264: 00200793 li a5,2 + 80005268: e2f88ce3 beq a7,a5,800050a0 <_ntoa_format+0x28c> + 8000526c: 00337313 andi t1,t1,3 + 80005270: ea031ce3 bnez t1,80005128 <_ntoa_format+0x314> + 80005274: 02000413 li s0,32 + 80005278: cd2464e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 8000527c: e3dff06f j 800050b8 <_ntoa_format+0x2a4> + 80005280: 01000713 li a4,16 + 80005284: f8e88ae3 beq a7,a4,80005218 <_ntoa_format+0x404> + 80005288: 00200793 li a5,2 + 8000528c: 00f88c63 beq a7,a5,800052a4 <_ntoa_format+0x490> + 80005290: 01f00793 li a5,31 + 80005294: df5ff06f j 80005088 <_ntoa_format+0x274> + 80005298: 02037793 andi a5,t1,32 + 8000529c: fc0788e3 beqz a5,8000526c <_ntoa_format+0x458> + 800052a0: e01ff06f j 800050a0 <_ntoa_format+0x28c> + 800052a4: 01f00713 li a4,31 + 800052a8: dc9ff06f j 80005070 <_ntoa_format+0x25c> + +00000000800052ac <_ntoa_long>: + 800052ac: f4010113 addi sp,sp,-192 + 800052b0: 09413823 sd s4,144(sp) + 800052b4: 09513423 sd s5,136(sp) + 800052b8: 09613023 sd s6,128(sp) + 800052bc: 07713c23 sd s7,120(sp) + 800052c0: 07813823 sd s8,112(sp) + 800052c4: 07913423 sd s9,104(sp) + 800052c8: 05b13c23 sd s11,88(sp) + 800052cc: 0a113c23 sd ra,184(sp) + 800052d0: 0a813823 sd s0,176(sp) + 800052d4: 0a913423 sd s1,168(sp) + 800052d8: 0b213023 sd s2,160(sp) + 800052dc: 09313c23 sd s3,152(sp) + 800052e0: 07a13023 sd s10,96(sp) + 800052e4: 02a13023 sd a0,32(sp) + 800052e8: 03113423 sd a7,40(sp) + 800052ec: 0c812a03 lw s4,200(sp) + 800052f0: 00070d93 mv s11,a4 + 800052f4: 00058b13 mv s6,a1 + 800052f8: 00060b93 mv s7,a2 + 800052fc: 00068c13 mv s8,a3 + 80005300: 00078c93 mv s9,a5 + 80005304: 00080a93 mv s5,a6 + 80005308: 00071863 bnez a4,80005318 <_ntoa_long+0x6c> + 8000530c: 400a7793 andi a5,s4,1024 + 80005310: fefa7a13 andi s4,s4,-17 + 80005314: 0e079663 bnez a5,80005400 <_ntoa_long+0x154> + 80005318: 020a7793 andi a5,s4,32 + 8000531c: 06100413 li s0,97 + 80005320: 0c079c63 bnez a5,800053f8 <_ntoa_long+0x14c> + 80005324: 00000d13 li s10,0 + 80005328: 03010493 addi s1,sp,48 + 8000532c: 00900913 li s2,9 + 80005330: ff64041b addiw s0,s0,-10 + 80005334: 02000993 li s3,32 + 80005338: 00c0006f j 80005344 <_ntoa_long+0x98> + 8000533c: 053d0463 beq s10,s3,80005384 <_ntoa_long+0xd8> + 80005340: 00050d93 mv s11,a0 + 80005344: 000a8593 mv a1,s5 + 80005348: 000d8513 mv a0,s11 + 8000534c: e3cff0ef jal ra,80004988 <__umoddi3> + 80005350: 0ff57313 andi t1,a0,255 + 80005354: 0303071b addiw a4,t1,48 + 80005358: 0064033b addw t1,s0,t1 + 8000535c: 0ff37313 andi t1,t1,255 + 80005360: 00a96463 bltu s2,a0,80005368 <_ntoa_long+0xbc> + 80005364: 0ff77313 andi t1,a4,255 + 80005368: 001d0d13 addi s10,s10,1 + 8000536c: 01a48733 add a4,s1,s10 + 80005370: 000d8513 mv a0,s11 + 80005374: 000a8593 mv a1,s5 + 80005378: fe670fa3 sb t1,-1(a4) + 8000537c: dc4ff0ef jal ra,80004940 <__udivdi3> + 80005380: fb5dfee3 bgeu s11,s5,8000533c <_ntoa_long+0x90> + 80005384: 0c012703 lw a4,192(sp) + 80005388: 02813783 ld a5,40(sp) + 8000538c: 02013503 ld a0,32(sp) + 80005390: 01413823 sd s4,16(sp) + 80005394: 00e13423 sd a4,8(sp) + 80005398: 00f13023 sd a5,0(sp) + 8000539c: 000a889b sext.w a7,s5 + 800053a0: 000c8813 mv a6,s9 + 800053a4: 000d0793 mv a5,s10 + 800053a8: 00048713 mv a4,s1 + 800053ac: 000c0693 mv a3,s8 + 800053b0: 000b8613 mv a2,s7 + 800053b4: 000b0593 mv a1,s6 + 800053b8: a5dff0ef jal ra,80004e14 <_ntoa_format> + 800053bc: 0b813083 ld ra,184(sp) + 800053c0: 0b013403 ld s0,176(sp) + 800053c4: 0a813483 ld s1,168(sp) + 800053c8: 0a013903 ld s2,160(sp) + 800053cc: 09813983 ld s3,152(sp) + 800053d0: 09013a03 ld s4,144(sp) + 800053d4: 08813a83 ld s5,136(sp) + 800053d8: 08013b03 ld s6,128(sp) + 800053dc: 07813b83 ld s7,120(sp) + 800053e0: 07013c03 ld s8,112(sp) + 800053e4: 06813c83 ld s9,104(sp) + 800053e8: 06013d03 ld s10,96(sp) + 800053ec: 05813d83 ld s11,88(sp) + 800053f0: 0c010113 addi sp,sp,192 + 800053f4: 00008067 ret + 800053f8: 04100413 li s0,65 + 800053fc: f29ff06f j 80005324 <_ntoa_long+0x78> + 80005400: 00000d13 li s10,0 + 80005404: 03010493 addi s1,sp,48 + 80005408: f7dff06f j 80005384 <_ntoa_long+0xd8> + +000000008000540c <_ntoa_long_long>: + 8000540c: f4010113 addi sp,sp,-192 + 80005410: 09413823 sd s4,144(sp) + 80005414: 09513423 sd s5,136(sp) + 80005418: 09613023 sd s6,128(sp) + 8000541c: 07713c23 sd s7,120(sp) + 80005420: 07813823 sd s8,112(sp) + 80005424: 07913423 sd s9,104(sp) + 80005428: 05b13c23 sd s11,88(sp) + 8000542c: 0a113c23 sd ra,184(sp) + 80005430: 0a813823 sd s0,176(sp) + 80005434: 0a913423 sd s1,168(sp) + 80005438: 0b213023 sd s2,160(sp) + 8000543c: 09313c23 sd s3,152(sp) + 80005440: 07a13023 sd s10,96(sp) + 80005444: 02a13023 sd a0,32(sp) + 80005448: 03113423 sd a7,40(sp) + 8000544c: 0c812a03 lw s4,200(sp) + 80005450: 00070d93 mv s11,a4 + 80005454: 00058b13 mv s6,a1 + 80005458: 00060b93 mv s7,a2 + 8000545c: 00068c13 mv s8,a3 + 80005460: 00078c93 mv s9,a5 + 80005464: 00080a93 mv s5,a6 + 80005468: 00071863 bnez a4,80005478 <_ntoa_long_long+0x6c> + 8000546c: 400a7793 andi a5,s4,1024 + 80005470: fefa7a13 andi s4,s4,-17 + 80005474: 0e079663 bnez a5,80005560 <_ntoa_long_long+0x154> + 80005478: 020a7793 andi a5,s4,32 + 8000547c: 06100413 li s0,97 + 80005480: 0c079c63 bnez a5,80005558 <_ntoa_long_long+0x14c> + 80005484: 00000d13 li s10,0 + 80005488: 03010493 addi s1,sp,48 + 8000548c: 00900913 li s2,9 + 80005490: ff64041b addiw s0,s0,-10 + 80005494: 02000993 li s3,32 + 80005498: 00c0006f j 800054a4 <_ntoa_long_long+0x98> + 8000549c: 053d0463 beq s10,s3,800054e4 <_ntoa_long_long+0xd8> + 800054a0: 00050d93 mv s11,a0 + 800054a4: 000a8593 mv a1,s5 + 800054a8: 000d8513 mv a0,s11 + 800054ac: cdcff0ef jal ra,80004988 <__umoddi3> + 800054b0: 0ff57313 andi t1,a0,255 + 800054b4: 0303071b addiw a4,t1,48 + 800054b8: 0064033b addw t1,s0,t1 + 800054bc: 0ff37313 andi t1,t1,255 + 800054c0: 00a96463 bltu s2,a0,800054c8 <_ntoa_long_long+0xbc> + 800054c4: 0ff77313 andi t1,a4,255 + 800054c8: 001d0d13 addi s10,s10,1 + 800054cc: 01a48733 add a4,s1,s10 + 800054d0: 000d8513 mv a0,s11 + 800054d4: 000a8593 mv a1,s5 + 800054d8: fe670fa3 sb t1,-1(a4) + 800054dc: c64ff0ef jal ra,80004940 <__udivdi3> + 800054e0: fb5dfee3 bgeu s11,s5,8000549c <_ntoa_long_long+0x90> + 800054e4: 0c012703 lw a4,192(sp) + 800054e8: 02813783 ld a5,40(sp) + 800054ec: 02013503 ld a0,32(sp) + 800054f0: 01413823 sd s4,16(sp) + 800054f4: 00e13423 sd a4,8(sp) + 800054f8: 00f13023 sd a5,0(sp) + 800054fc: 000a889b sext.w a7,s5 + 80005500: 000c8813 mv a6,s9 + 80005504: 000d0793 mv a5,s10 + 80005508: 00048713 mv a4,s1 + 8000550c: 000c0693 mv a3,s8 + 80005510: 000b8613 mv a2,s7 + 80005514: 000b0593 mv a1,s6 + 80005518: 8fdff0ef jal ra,80004e14 <_ntoa_format> + 8000551c: 0b813083 ld ra,184(sp) + 80005520: 0b013403 ld s0,176(sp) + 80005524: 0a813483 ld s1,168(sp) + 80005528: 0a013903 ld s2,160(sp) + 8000552c: 09813983 ld s3,152(sp) + 80005530: 09013a03 ld s4,144(sp) + 80005534: 08813a83 ld s5,136(sp) + 80005538: 08013b03 ld s6,128(sp) + 8000553c: 07813b83 ld s7,120(sp) + 80005540: 07013c03 ld s8,112(sp) + 80005544: 06813c83 ld s9,104(sp) + 80005548: 06013d03 ld s10,96(sp) + 8000554c: 05813d83 ld s11,88(sp) + 80005550: 0c010113 addi sp,sp,192 + 80005554: 00008067 ret + 80005558: 04100413 li s0,65 + 8000555c: f29ff06f j 80005484 <_ntoa_long_long+0x78> + 80005560: 00000d13 li s10,0 + 80005564: 03010493 addi s1,sp,48 + 80005568: f7dff06f j 800054e4 <_ntoa_long_long+0xd8> + +000000008000556c <_vsnprintf>: + 8000556c: f6010113 addi sp,sp,-160 + 80005570: 08913423 sd s1,136(sp) + 80005574: 09213023 sd s2,128(sp) + 80005578: 07313c23 sd s3,120(sp) + 8000557c: 05713c23 sd s7,88(sp) + 80005580: 05813823 sd s8,80(sp) + 80005584: 08113c23 sd ra,152(sp) + 80005588: 08813823 sd s0,144(sp) + 8000558c: 07413823 sd s4,112(sp) + 80005590: 07513423 sd s5,104(sp) + 80005594: 07613023 sd s6,96(sp) + 80005598: 05913423 sd s9,72(sp) + 8000559c: 05a13023 sd s10,64(sp) + 800055a0: 03b13c23 sd s11,56(sp) + 800055a4: 00058493 mv s1,a1 + 800055a8: 00060c13 mv s8,a2 + 800055ac: 00068b93 mv s7,a3 + 800055b0: 00070993 mv s3,a4 + 800055b4: 00000917 auipc s2,0x0 + 800055b8: 85c90913 addi s2,s2,-1956 # 80004e10 <_out_null> + 800055bc: 00058463 beqz a1,800055c4 <_vsnprintf+0x58> + 800055c0: 00050913 mv s2,a0 + 800055c4: 000bc503 lbu a0,0(s7) + 800055c8: 00000d13 li s10,0 + 800055cc: 66050663 beqz a0,80005c38 <_vsnprintf+0x6cc> + 800055d0: 000107b7 lui a5,0x10 + 800055d4: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800055d8: 02500a13 li s4,37 + 800055dc: 00001417 auipc s0,0x1 + 800055e0: 56c40413 addi s0,s0,1388 # 80006b48 + 800055e4: 00f13c23 sd a5,24(sp) + 800055e8: 0200006f j 80005608 <_vsnprintf+0x9c> + 800055ec: 000d0613 mv a2,s10 + 800055f0: 000c0693 mv a3,s8 + 800055f4: 00048593 mv a1,s1 + 800055f8: 001d0d13 addi s10,s10,1 + 800055fc: 000900e7 jalr s2 + 80005600: 000bc503 lbu a0,0(s7) + 80005604: 1c050263 beqz a0,800057c8 <_vsnprintf+0x25c> + 80005608: 001b8b93 addi s7,s7,1 + 8000560c: ff4510e3 bne a0,s4,800055ec <_vsnprintf+0x80> + 80005610: 00000593 li a1,0 + 80005614: 01000813 li a6,16 + 80005618: 000bc503 lbu a0,0(s7) + 8000561c: 001b8713 addi a4,s7,1 + 80005620: 00070613 mv a2,a4 + 80005624: fe05079b addiw a5,a0,-32 + 80005628: 0ff7f793 andi a5,a5,255 + 8000562c: 00f86c63 bltu a6,a5,80005644 <_vsnprintf+0xd8> + 80005630: 00279793 slli a5,a5,0x2 + 80005634: 008787b3 add a5,a5,s0 + 80005638: 0007a783 lw a5,0(a5) + 8000563c: 008787b3 add a5,a5,s0 + 80005640: 00078067 jr a5 + 80005644: fd05079b addiw a5,a0,-48 + 80005648: 0ff7f793 andi a5,a5,255 + 8000564c: 00900813 li a6,9 + 80005650: 0ef87c63 bgeu a6,a5,80005748 <_vsnprintf+0x1dc> + 80005654: 02a00793 li a5,42 + 80005658: 20f50463 beq a0,a5,80005860 <_vsnprintf+0x2f4> + 8000565c: 000b8613 mv a2,s7 + 80005660: 00000d93 li s11,0 + 80005664: 00070b93 mv s7,a4 + 80005668: 02e00793 li a5,46 + 8000566c: 00000c93 li s9,0 + 80005670: 12f50463 beq a0,a5,80005798 <_vsnprintf+0x22c> + 80005674: f985079b addiw a5,a0,-104 + 80005678: 0ff7f793 andi a5,a5,255 + 8000567c: 01200713 li a4,18 + 80005680: 08f76463 bltu a4,a5,80005708 <_vsnprintf+0x19c> + 80005684: 00001717 auipc a4,0x1 + 80005688: 50870713 addi a4,a4,1288 # 80006b8c + 8000568c: 00279793 slli a5,a5,0x2 + 80005690: 00e787b3 add a5,a5,a4 + 80005694: 0007a783 lw a5,0(a5) + 80005698: 00e787b3 add a5,a5,a4 + 8000569c: 00078067 jr a5 + 800056a0: 0015e593 ori a1,a1,1 + 800056a4: 0005859b sext.w a1,a1 + 800056a8: 00070b93 mv s7,a4 + 800056ac: f6dff06f j 80005618 <_vsnprintf+0xac> + 800056b0: 0025e593 ori a1,a1,2 + 800056b4: 0005859b sext.w a1,a1 + 800056b8: 00070b93 mv s7,a4 + 800056bc: f5dff06f j 80005618 <_vsnprintf+0xac> + 800056c0: 0045e593 ori a1,a1,4 + 800056c4: 0005859b sext.w a1,a1 + 800056c8: 00070b93 mv s7,a4 + 800056cc: f4dff06f j 80005618 <_vsnprintf+0xac> + 800056d0: 0105e593 ori a1,a1,16 + 800056d4: 0005859b sext.w a1,a1 + 800056d8: 00070b93 mv s7,a4 + 800056dc: f3dff06f j 80005618 <_vsnprintf+0xac> + 800056e0: 0085e593 ori a1,a1,8 + 800056e4: 0005859b sext.w a1,a1 + 800056e8: 00070b93 mv s7,a4 + 800056ec: f2dff06f j 80005618 <_vsnprintf+0xac> + 800056f0: 00164503 lbu a0,1(a2) + 800056f4: 06800793 li a5,104 + 800056f8: 52f50663 beq a0,a5,80005c24 <_vsnprintf+0x6b8> + 800056fc: 0805e593 ori a1,a1,128 + 80005700: 0005859b sext.w a1,a1 + 80005704: 001b8b93 addi s7,s7,1 + 80005708: fdb5079b addiw a5,a0,-37 + 8000570c: 0ff7f793 andi a5,a5,255 + 80005710: 05300713 li a4,83 + 80005714: ecf76ce3 bltu a4,a5,800055ec <_vsnprintf+0x80> + 80005718: 00001717 auipc a4,0x1 + 8000571c: 4c070713 addi a4,a4,1216 # 80006bd8 + 80005720: 00279793 slli a5,a5,0x2 + 80005724: 00e787b3 add a5,a5,a4 + 80005728: 0007a783 lw a5,0(a5) + 8000572c: 00e787b3 add a5,a5,a4 + 80005730: 00078067 jr a5 + 80005734: 00164503 lbu a0,1(a2) + 80005738: 1005e593 ori a1,a1,256 + 8000573c: 0005859b sext.w a1,a1 + 80005740: 001b8b93 addi s7,s7,1 + 80005744: fc5ff06f j 80005708 <_vsnprintf+0x19c> + 80005748: 00000d93 li s11,0 + 8000574c: 00900813 li a6,9 + 80005750: 0080006f j 80005758 <_vsnprintf+0x1ec> + 80005754: 00170713 addi a4,a4,1 + 80005758: 002d979b slliw a5,s11,0x2 + 8000575c: 01b787bb addw a5,a5,s11 + 80005760: 0017979b slliw a5,a5,0x1 + 80005764: 00a787bb addw a5,a5,a0 + 80005768: 00074503 lbu a0,0(a4) + 8000576c: 000b8893 mv a7,s7 + 80005770: fd078d9b addiw s11,a5,-48 + 80005774: fd05061b addiw a2,a0,-48 + 80005778: 0ff67613 andi a2,a2,255 + 8000577c: 00070b93 mv s7,a4 + 80005780: fcc87ae3 bgeu a6,a2,80005754 <_vsnprintf+0x1e8> + 80005784: 02e00793 li a5,46 + 80005788: 00070613 mv a2,a4 + 8000578c: 00288b93 addi s7,a7,2 + 80005790: 00000c93 li s9,0 + 80005794: eef510e3 bne a0,a5,80005674 <_vsnprintf+0x108> + 80005798: 00164503 lbu a0,1(a2) + 8000579c: 4005e593 ori a1,a1,1024 + 800057a0: 00900713 li a4,9 + 800057a4: fd05079b addiw a5,a0,-48 + 800057a8: 0ff7f793 andi a5,a5,255 + 800057ac: 0005859b sext.w a1,a1 + 800057b0: 06f77c63 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 800057b4: 02a00793 li a5,42 + 800057b8: 32f50e63 beq a0,a5,80005af4 <_vsnprintf+0x588> + 800057bc: 000b8613 mv a2,s7 + 800057c0: 001b8b93 addi s7,s7,1 + 800057c4: eb1ff06f j 80005674 <_vsnprintf+0x108> + 800057c8: 000d041b sext.w s0,s10 + 800057cc: 018d6463 bltu s10,s8,800057d4 <_vsnprintf+0x268> + 800057d0: fffc0d13 addi s10,s8,-1 + 800057d4: 000c0693 mv a3,s8 + 800057d8: 000d0613 mv a2,s10 + 800057dc: 00048593 mv a1,s1 + 800057e0: 00000513 li a0,0 + 800057e4: 000900e7 jalr s2 + 800057e8: 09813083 ld ra,152(sp) + 800057ec: 00040513 mv a0,s0 + 800057f0: 09013403 ld s0,144(sp) + 800057f4: 08813483 ld s1,136(sp) + 800057f8: 08013903 ld s2,128(sp) + 800057fc: 07813983 ld s3,120(sp) + 80005800: 07013a03 ld s4,112(sp) + 80005804: 06813a83 ld s5,104(sp) + 80005808: 06013b03 ld s6,96(sp) + 8000580c: 05813b83 ld s7,88(sp) + 80005810: 05013c03 ld s8,80(sp) + 80005814: 04813c83 ld s9,72(sp) + 80005818: 04013d03 ld s10,64(sp) + 8000581c: 03813d83 ld s11,56(sp) + 80005820: 0a010113 addi sp,sp,160 + 80005824: 00008067 ret + 80005828: 002c979b slliw a5,s9,0x2 + 8000582c: 019788bb addw a7,a5,s9 + 80005830: 000b8813 mv a6,s7 + 80005834: 0018989b slliw a7,a7,0x1 + 80005838: 001b8b93 addi s7,s7,1 + 8000583c: 00a888bb addw a7,a7,a0 + 80005840: 000bc503 lbu a0,0(s7) + 80005844: fd088c9b addiw s9,a7,-48 + 80005848: fd05079b addiw a5,a0,-48 + 8000584c: 0ff7f793 andi a5,a5,255 + 80005850: fcf77ce3 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 80005854: 000b8613 mv a2,s7 + 80005858: 00280b93 addi s7,a6,2 + 8000585c: e19ff06f j 80005674 <_vsnprintf+0x108> + 80005860: 0009a783 lw a5,0(s3) + 80005864: 00898993 addi s3,s3,8 + 80005868: 00078d9b sext.w s11,a5 + 8000586c: 0007c863 bltz a5,8000587c <_vsnprintf+0x310> + 80005870: 001bc503 lbu a0,1(s7) + 80005874: 002b8b93 addi s7,s7,2 + 80005878: df1ff06f j 80005668 <_vsnprintf+0xfc> + 8000587c: 0025e593 ori a1,a1,2 + 80005880: 001bc503 lbu a0,1(s7) + 80005884: 0005859b sext.w a1,a1 + 80005888: 40f00dbb negw s11,a5 + 8000588c: 002b8b93 addi s7,s7,2 + 80005890: dd9ff06f j 80005668 <_vsnprintf+0xfc> + 80005894: 07800793 li a5,120 + 80005898: 00898a93 addi s5,s3,8 + 8000589c: 56f50063 beq a0,a5,80005dfc <_vsnprintf+0x890> + 800058a0: 05800793 li a5,88 + 800058a4: 28f50463 beq a0,a5,80005b2c <_vsnprintf+0x5c0> + 800058a8: 06f00793 li a5,111 + 800058ac: 4af50c63 beq a0,a5,80005d64 <_vsnprintf+0x7f8> + 800058b0: 06200793 li a5,98 + 800058b4: 54f50063 beq a0,a5,80005df4 <_vsnprintf+0x888> + 800058b8: fef5ff13 andi t5,a1,-17 + 800058bc: 4005f793 andi a5,a1,1024 + 800058c0: 06900713 li a4,105 + 800058c4: 000f0f1b sext.w t5,t5 + 800058c8: 0007879b sext.w a5,a5 + 800058cc: 5ae51063 bne a0,a4,80005e6c <_vsnprintf+0x900> + 800058d0: 48079663 bnez a5,80005d5c <_vsnprintf+0x7f0> + 800058d4: 2005f593 andi a1,a1,512 + 800058d8: 0005879b sext.w a5,a1 + 800058dc: 00a00813 li a6,10 + 800058e0: 42079663 bnez a5,80005d0c <_vsnprintf+0x7a0> + 800058e4: 100f7793 andi a5,t5,256 + 800058e8: 000f0613 mv a2,t5 + 800058ec: 4e079063 bnez a5,80005dcc <_vsnprintf+0x860> + 800058f0: 040f7713 andi a4,t5,64 + 800058f4: 0009a783 lw a5,0(s3) + 800058f8: 4a071a63 bnez a4,80005dac <_vsnprintf+0x840> + 800058fc: 08067613 andi a2,a2,128 + 80005900: 52060a63 beqz a2,80005e34 <_vsnprintf+0x8c8> + 80005904: 0107979b slliw a5,a5,0x10 + 80005908: 4107d79b sraiw a5,a5,0x10 + 8000590c: 40f7d61b sraiw a2,a5,0xf + 80005910: 00c7c733 xor a4,a5,a2 + 80005914: 40c7073b subw a4,a4,a2 + 80005918: 03071713 slli a4,a4,0x30 + 8000591c: 03075713 srli a4,a4,0x30 + 80005920: 000d0613 mv a2,s10 + 80005924: 01e13423 sd t5,8(sp) + 80005928: 01b13023 sd s11,0(sp) + 8000592c: 000c8893 mv a7,s9 + 80005930: 01f7d79b srliw a5,a5,0x1f + 80005934: 000c0693 mv a3,s8 + 80005938: 00048593 mv a1,s1 + 8000593c: 00090513 mv a0,s2 + 80005940: 96dff0ef jal ra,800052ac <_ntoa_long> + 80005944: 00050d13 mv s10,a0 + 80005948: 000a8993 mv s3,s5 + 8000594c: cb5ff06f j 80005600 <_vsnprintf+0x94> + 80005950: 00164503 lbu a0,1(a2) + 80005954: 06c00793 li a5,108 + 80005958: def510e3 bne a0,a5,80005738 <_vsnprintf+0x1cc> + 8000595c: 3005e593 ori a1,a1,768 + 80005960: 00264503 lbu a0,2(a2) + 80005964: 0005859b sext.w a1,a1 + 80005968: 00360b93 addi s7,a2,3 + 8000596c: d9dff06f j 80005708 <_vsnprintf+0x19c> + 80005970: 00898793 addi a5,s3,8 + 80005974: 001d0813 addi a6,s10,1 + 80005978: 0025f593 andi a1,a1,2 + 8000597c: 02f13023 sd a5,32(sp) + 80005980: 00080b13 mv s6,a6 + 80005984: 32058263 beqz a1,80005ca8 <_vsnprintf+0x73c> + 80005988: 0009c503 lbu a0,0(s3) + 8000598c: 000c0693 mv a3,s8 + 80005990: 000d0613 mv a2,s10 + 80005994: 00048593 mv a1,s1 + 80005998: 000900e7 jalr s2 + 8000599c: 00100793 li a5,1 + 800059a0: 43b7f063 bgeu a5,s11,80005dc0 <_vsnprintf+0x854> + 800059a4: ffed879b addiw a5,s11,-2 + 800059a8: 02079a93 slli s5,a5,0x20 + 800059ac: 020ada93 srli s5,s5,0x20 + 800059b0: 002d0d13 addi s10,s10,2 + 800059b4: 015d0cb3 add s9,s10,s5 + 800059b8: 000b0613 mv a2,s6 + 800059bc: 000c0693 mv a3,s8 + 800059c0: 001b0b13 addi s6,s6,1 + 800059c4: 00048593 mv a1,s1 + 800059c8: 02000513 li a0,32 + 800059cc: 000900e7 jalr s2 + 800059d0: ff6c94e3 bne s9,s6,800059b8 <_vsnprintf+0x44c> + 800059d4: 02013983 ld s3,32(sp) + 800059d8: 015d0d33 add s10,s10,s5 + 800059dc: c25ff06f j 80005600 <_vsnprintf+0x94> + 800059e0: 000d0613 mv a2,s10 + 800059e4: 000c0693 mv a3,s8 + 800059e8: 00048593 mv a1,s1 + 800059ec: 02500513 li a0,37 + 800059f0: 001d0d13 addi s10,s10,1 + 800059f4: 000900e7 jalr s2 + 800059f8: c09ff06f j 80005600 <_vsnprintf+0x94> + 800059fc: 0009ba83 ld s5,0(s3) + 80005a00: 00898793 addi a5,s3,8 + 80005a04: 02f13023 sd a5,32(sp) + 80005a08: 000ac503 lbu a0,0(s5) + 80005a0c: 100c9663 bnez s9,80005b18 <_vsnprintf+0x5ac> + 80005a10: ffe00793 li a5,-2 + 80005a14: 42050863 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005a18: 00178693 addi a3,a5,1 + 80005a1c: 00da8633 add a2,s5,a3 + 80005a20: 000a8793 mv a5,s5 + 80005a24: 0080006f j 80005a2c <_vsnprintf+0x4c0> + 80005a28: 1ec78863 beq a5,a2,80005c18 <_vsnprintf+0x6ac> + 80005a2c: 0017c703 lbu a4,1(a5) + 80005a30: 00178793 addi a5,a5,1 + 80005a34: fe071ae3 bnez a4,80005a28 <_vsnprintf+0x4bc> + 80005a38: 415787bb subw a5,a5,s5 + 80005a3c: 00f13823 sd a5,16(sp) + 80005a40: 4005f793 andi a5,a1,1024 + 80005a44: 0007899b sext.w s3,a5 + 80005a48: 00078c63 beqz a5,80005a60 <_vsnprintf+0x4f4> + 80005a4c: 01013703 ld a4,16(sp) + 80005a50: 000c879b sext.w a5,s9 + 80005a54: 01977463 bgeu a4,s9,80005a5c <_vsnprintf+0x4f0> + 80005a58: 0007079b sext.w a5,a4 + 80005a5c: 00f13823 sd a5,16(sp) + 80005a60: 0025f593 andi a1,a1,2 + 80005a64: 0005879b sext.w a5,a1 + 80005a68: 02f13423 sd a5,40(sp) + 80005a6c: 1c058a63 beqz a1,80005c40 <_vsnprintf+0x6d4> + 80005a70: 14050863 beqz a0,80005bc0 <_vsnprintf+0x654> + 80005a74: 000d0613 mv a2,s10 + 80005a78: 00098863 beqz s3,80005a88 <_vsnprintf+0x51c> + 80005a7c: fffc879b addiw a5,s9,-1 + 80005a80: 120c8863 beqz s9,80005bb0 <_vsnprintf+0x644> + 80005a84: 00078c93 mv s9,a5 + 80005a88: 000c0693 mv a3,s8 + 80005a8c: 00048593 mv a1,s1 + 80005a90: 00160b13 addi s6,a2,1 + 80005a94: 000900e7 jalr s2 + 80005a98: 41ab07b3 sub a5,s6,s10 + 80005a9c: 00fa87b3 add a5,s5,a5 + 80005aa0: 0007c503 lbu a0,0(a5) + 80005aa4: 10050863 beqz a0,80005bb4 <_vsnprintf+0x648> + 80005aa8: 000b0613 mv a2,s6 + 80005aac: fcdff06f j 80005a78 <_vsnprintf+0x50c> + 80005ab0: 0009b703 ld a4,0(s3) + 80005ab4: 0215e593 ori a1,a1,33 + 80005ab8: 0005859b sext.w a1,a1 + 80005abc: 01000793 li a5,16 + 80005ac0: 000d0613 mv a2,s10 + 80005ac4: 00b13423 sd a1,8(sp) + 80005ac8: 00f13023 sd a5,0(sp) + 80005acc: 000c8893 mv a7,s9 + 80005ad0: 01000813 li a6,16 + 80005ad4: 00000793 li a5,0 + 80005ad8: 000c0693 mv a3,s8 + 80005adc: 00048593 mv a1,s1 + 80005ae0: 00090513 mv a0,s2 + 80005ae4: 929ff0ef jal ra,8000540c <_ntoa_long_long> + 80005ae8: 00898993 addi s3,s3,8 + 80005aec: 00050d13 mv s10,a0 + 80005af0: b11ff06f j 80005600 <_vsnprintf+0x94> + 80005af4: 0009a883 lw a7,0(s3) + 80005af8: 00264503 lbu a0,2(a2) + 80005afc: 00360b93 addi s7,a2,3 + 80005b00: fff8c793 not a5,a7 + 80005b04: 43f7d793 srai a5,a5,0x3f + 80005b08: 00f8fcb3 and s9,a7,a5 + 80005b0c: 00898993 addi s3,s3,8 + 80005b10: 00260613 addi a2,a2,2 + 80005b14: b61ff06f j 80005674 <_vsnprintf+0x108> + 80005b18: 020c9793 slli a5,s9,0x20 + 80005b1c: 0207d793 srli a5,a5,0x20 + 80005b20: 32050263 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005b24: fff78793 addi a5,a5,-1 + 80005b28: ef1ff06f j 80005a18 <_vsnprintf+0x4ac> + 80005b2c: ff35ff13 andi t5,a1,-13 + 80005b30: 000f0f1b sext.w t5,t5 + 80005b34: 4005f793 andi a5,a1,1024 + 80005b38: 020f6f13 ori t5,t5,32 + 80005b3c: 20078863 beqz a5,80005d4c <_vsnprintf+0x7e0> + 80005b40: 01000813 li a6,16 + 80005b44: ffef7f13 andi t5,t5,-2 + 80005b48: 000f0f1b sext.w t5,t5 + 80005b4c: 200f7793 andi a5,t5,512 + 80005b50: 06900713 li a4,105 + 80005b54: 0007879b sext.w a5,a5 + 80005b58: d8e504e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b5c: 06400713 li a4,100 + 80005b60: d8e500e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b64: 22079663 bnez a5,80005d90 <_vsnprintf+0x824> + 80005b68: 100f7793 andi a5,t5,256 + 80005b6c: 000f0713 mv a4,t5 + 80005b70: 2a079463 bnez a5,80005e18 <_vsnprintf+0x8ac> + 80005b74: 040f7793 andi a5,t5,64 + 80005b78: 24079063 bnez a5,80005db8 <_vsnprintf+0x84c> + 80005b7c: 08077713 andi a4,a4,128 + 80005b80: 2c070663 beqz a4,80005e4c <_vsnprintf+0x8e0> + 80005b84: 0009a703 lw a4,0(s3) + 80005b88: 01813783 ld a5,24(sp) + 80005b8c: 00e7f733 and a4,a5,a4 + 80005b90: 02071713 slli a4,a4,0x20 + 80005b94: 000d0613 mv a2,s10 + 80005b98: 01e13423 sd t5,8(sp) + 80005b9c: 01b13023 sd s11,0(sp) + 80005ba0: 000c8893 mv a7,s9 + 80005ba4: 00000793 li a5,0 + 80005ba8: 02075713 srli a4,a4,0x20 + 80005bac: d89ff06f j 80005934 <_vsnprintf+0x3c8> + 80005bb0: 00060b13 mv s6,a2 + 80005bb4: 02813783 ld a5,40(sp) + 80005bb8: 04078a63 beqz a5,80005c0c <_vsnprintf+0x6a0> + 80005bbc: 000b0d13 mv s10,s6 + 80005bc0: 01013703 ld a4,16(sp) + 80005bc4: 0db77e63 bgeu a4,s11,80005ca0 <_vsnprintf+0x734> + 80005bc8: fffd879b addiw a5,s11,-1 + 80005bcc: 40e7873b subw a4,a5,a4 + 80005bd0: 02071713 slli a4,a4,0x20 + 80005bd4: 02075713 srli a4,a4,0x20 + 80005bd8: 001d0813 addi a6,s10,1 + 80005bdc: 01070b33 add s6,a4,a6 + 80005be0: 0080006f j 80005be8 <_vsnprintf+0x67c> + 80005be4: 00180813 addi a6,a6,1 + 80005be8: 000d0613 mv a2,s10 + 80005bec: 01013823 sd a6,16(sp) + 80005bf0: 000c0693 mv a3,s8 + 80005bf4: 00048593 mv a1,s1 + 80005bf8: 02000513 li a0,32 + 80005bfc: 00080d13 mv s10,a6 + 80005c00: 000900e7 jalr s2 + 80005c04: 01013803 ld a6,16(sp) + 80005c08: fd0b1ee3 bne s6,a6,80005be4 <_vsnprintf+0x678> + 80005c0c: 02013983 ld s3,32(sp) + 80005c10: 000b0d13 mv s10,s6 + 80005c14: 9edff06f j 80005600 <_vsnprintf+0x94> + 80005c18: 0006879b sext.w a5,a3 + 80005c1c: 00f13823 sd a5,16(sp) + 80005c20: e21ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005c24: 0c05e593 ori a1,a1,192 + 80005c28: 00264503 lbu a0,2(a2) + 80005c2c: 0005859b sext.w a1,a1 + 80005c30: 00360b93 addi s7,a2,3 + 80005c34: ad5ff06f j 80005708 <_vsnprintf+0x19c> + 80005c38: 00000413 li s0,0 + 80005c3c: b91ff06f j 800057cc <_vsnprintf+0x260> + 80005c40: 01013703 ld a4,16(sp) + 80005c44: 0017079b addiw a5,a4,1 + 80005c48: 21b77c63 bgeu a4,s11,80005e60 <_vsnprintf+0x8f4> + 80005c4c: fffd879b addiw a5,s11,-1 + 80005c50: 40e787bb subw a5,a5,a4 + 80005c54: 02079793 slli a5,a5,0x20 + 80005c58: 0207d793 srli a5,a5,0x20 + 80005c5c: 001d0813 addi a6,s10,1 + 80005c60: 01078b33 add s6,a5,a6 + 80005c64: 00c0006f j 80005c70 <_vsnprintf+0x704> + 80005c68: 01013803 ld a6,16(sp) + 80005c6c: 00180813 addi a6,a6,1 + 80005c70: 000d0613 mv a2,s10 + 80005c74: 000c0693 mv a3,s8 + 80005c78: 00080d13 mv s10,a6 + 80005c7c: 01013823 sd a6,16(sp) + 80005c80: 00048593 mv a1,s1 + 80005c84: 02000513 li a0,32 + 80005c88: 000900e7 jalr s2 + 80005c8c: fd6d1ee3 bne s10,s6,80005c68 <_vsnprintf+0x6fc> + 80005c90: 000ac503 lbu a0,0(s5) + 80005c94: 001d879b addiw a5,s11,1 + 80005c98: 00f13823 sd a5,16(sp) + 80005c9c: dc051ce3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005ca0: 000d0b13 mv s6,s10 + 80005ca4: f69ff06f j 80005c0c <_vsnprintf+0x6a0> + 80005ca8: 00100793 li a5,1 + 80005cac: 1bb7f463 bgeu a5,s11,80005e54 <_vsnprintf+0x8e8> + 80005cb0: ffed879b addiw a5,s11,-2 + 80005cb4: 02079a93 slli s5,a5,0x20 + 80005cb8: 020ada93 srli s5,s5,0x20 + 80005cbc: 010a8ab3 add s5,s5,a6 + 80005cc0: 00c0006f j 80005ccc <_vsnprintf+0x760> + 80005cc4: 01013803 ld a6,16(sp) + 80005cc8: 00180813 addi a6,a6,1 + 80005ccc: 000d0613 mv a2,s10 + 80005cd0: 000c0693 mv a3,s8 + 80005cd4: 00080d13 mv s10,a6 + 80005cd8: 01013823 sd a6,16(sp) + 80005cdc: 00048593 mv a1,s1 + 80005ce0: 02000513 li a0,32 + 80005ce4: 000900e7 jalr s2 + 80005ce8: fdaa9ee3 bne s5,s10,80005cc4 <_vsnprintf+0x758> + 80005cec: 001a8d13 addi s10,s5,1 + 80005cf0: 0009c503 lbu a0,0(s3) + 80005cf4: 000c0693 mv a3,s8 + 80005cf8: 000a8613 mv a2,s5 + 80005cfc: 00048593 mv a1,s1 + 80005d00: 000900e7 jalr s2 + 80005d04: 02013983 ld s3,32(sp) + 80005d08: 8f9ff06f j 80005600 <_vsnprintf+0x94> + 80005d0c: 0009b783 ld a5,0(s3) + 80005d10: 000d0613 mv a2,s10 + 80005d14: 01e13423 sd t5,8(sp) + 80005d18: 43f7d713 srai a4,a5,0x3f + 80005d1c: 00f745b3 xor a1,a4,a5 + 80005d20: 01b13023 sd s11,0(sp) + 80005d24: 000c8893 mv a7,s9 + 80005d28: 03f7d793 srli a5,a5,0x3f + 80005d2c: 40e58733 sub a4,a1,a4 + 80005d30: 000c0693 mv a3,s8 + 80005d34: 00048593 mv a1,s1 + 80005d38: 00090513 mv a0,s2 + 80005d3c: ed0ff0ef jal ra,8000540c <_ntoa_long_long> + 80005d40: 00050d13 mv s10,a0 + 80005d44: 000a8993 mv s3,s5 + 80005d48: 8b9ff06f j 80005600 <_vsnprintf+0x94> + 80005d4c: 2005f793 andi a5,a1,512 + 80005d50: 0007879b sext.w a5,a5 + 80005d54: 01000813 li a6,16 + 80005d58: e0dff06f j 80005b64 <_vsnprintf+0x5f8> + 80005d5c: 00a00813 li a6,10 + 80005d60: de5ff06f j 80005b44 <_vsnprintf+0x5d8> + 80005d64: 00800813 li a6,8 + 80005d68: 00058f13 mv t5,a1 + 80005d6c: 400f7713 andi a4,t5,1024 + 80005d70: 06400613 li a2,100 + 80005d74: 000f0793 mv a5,t5 + 80005d78: 0007071b sext.w a4,a4 + 80005d7c: 0ec51c63 bne a0,a2,80005e74 <_vsnprintf+0x908> + 80005d80: dc0712e3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005d84: 2007f793 andi a5,a5,512 + 80005d88: 0007879b sext.w a5,a5 + 80005d8c: b55ff06f j 800058e0 <_vsnprintf+0x374> + 80005d90: 0009b703 ld a4,0(s3) + 80005d94: 000d0613 mv a2,s10 + 80005d98: 01e13423 sd t5,8(sp) + 80005d9c: 01b13023 sd s11,0(sp) + 80005da0: 000c8893 mv a7,s9 + 80005da4: 00000793 li a5,0 + 80005da8: f89ff06f j 80005d30 <_vsnprintf+0x7c4> + 80005dac: 0ff7f793 andi a5,a5,255 + 80005db0: 00078713 mv a4,a5 + 80005db4: b6dff06f j 80005920 <_vsnprintf+0x3b4> + 80005db8: 0009c703 lbu a4,0(s3) + 80005dbc: dd5ff06f j 80005b90 <_vsnprintf+0x624> + 80005dc0: 02013983 ld s3,32(sp) + 80005dc4: 000b0d13 mv s10,s6 + 80005dc8: 839ff06f j 80005600 <_vsnprintf+0x94> + 80005dcc: 0009b783 ld a5,0(s3) + 80005dd0: 000d0613 mv a2,s10 + 80005dd4: 01e13423 sd t5,8(sp) + 80005dd8: 43f7d713 srai a4,a5,0x3f + 80005ddc: 00f745b3 xor a1,a4,a5 + 80005de0: 01b13023 sd s11,0(sp) + 80005de4: 000c8893 mv a7,s9 + 80005de8: 03f7d793 srli a5,a5,0x3f + 80005dec: 40e58733 sub a4,a1,a4 + 80005df0: b45ff06f j 80005934 <_vsnprintf+0x3c8> + 80005df4: 00200813 li a6,2 + 80005df8: f71ff06f j 80005d68 <_vsnprintf+0x7fc> + 80005dfc: 4005f713 andi a4,a1,1024 + 80005e00: 0007071b sext.w a4,a4 + 80005e04: 01000813 li a6,16 + 80005e08: ff35f593 andi a1,a1,-13 + 80005e0c: 00058f1b sext.w t5,a1 + 80005e10: d2071ae3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005e14: d39ff06f j 80005b4c <_vsnprintf+0x5e0> + 80005e18: 0009b703 ld a4,0(s3) + 80005e1c: 000d0613 mv a2,s10 + 80005e20: 01e13423 sd t5,8(sp) + 80005e24: 01b13023 sd s11,0(sp) + 80005e28: 000c8893 mv a7,s9 + 80005e2c: 00000793 li a5,0 + 80005e30: b05ff06f j 80005934 <_vsnprintf+0x3c8> + 80005e34: 41f7d61b sraiw a2,a5,0x1f + 80005e38: 00c7c733 xor a4,a5,a2 + 80005e3c: 40c7073b subw a4,a4,a2 + 80005e40: ae1ff06f j 80005920 <_vsnprintf+0x3b4> + 80005e44: 00013823 sd zero,16(sp) + 80005e48: bf9ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005e4c: 0009a703 lw a4,0(s3) + 80005e50: d41ff06f j 80005b90 <_vsnprintf+0x624> + 80005e54: 000d0a93 mv s5,s10 + 80005e58: 00080d13 mv s10,a6 + 80005e5c: e95ff06f j 80005cf0 <_vsnprintf+0x784> + 80005e60: 00f13823 sd a5,16(sp) + 80005e64: c00518e3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005e68: e39ff06f j 80005ca0 <_vsnprintf+0x734> + 80005e6c: 00a00813 li a6,10 + 80005e70: efdff06f j 80005d6c <_vsnprintf+0x800> + 80005e74: 000f0593 mv a1,t5 + 80005e78: f91ff06f j 80005e08 <_vsnprintf+0x89c> + +0000000080005e7c <_out_char>: + 80005e7c: 00051463 bnez a0,80005e84 <_out_char+0x8> + 80005e80: 00008067 ret + 80005e84: a05fe06f j 80004888 + +0000000080005e88 : + 80005e88: fa010113 addi sp,sp,-96 + 80005e8c: 02810313 addi t1,sp,40 + 80005e90: 02b13423 sd a1,40(sp) + 80005e94: 02c13823 sd a2,48(sp) + 80005e98: 02d13c23 sd a3,56(sp) + 80005e9c: 04e13023 sd a4,64(sp) + 80005ea0: 00050693 mv a3,a0 + 80005ea4: 00010593 mv a1,sp + 80005ea8: 00030713 mv a4,t1 + 80005eac: fff00613 li a2,-1 + 80005eb0: 00000517 auipc a0,0x0 + 80005eb4: fcc50513 addi a0,a0,-52 # 80005e7c <_out_char> + 80005eb8: 00113c23 sd ra,24(sp) + 80005ebc: 04f13423 sd a5,72(sp) + 80005ec0: 05013823 sd a6,80(sp) + 80005ec4: 05113c23 sd a7,88(sp) + 80005ec8: 00613423 sd t1,8(sp) + 80005ecc: ea0ff0ef jal ra,8000556c <_vsnprintf> + 80005ed0: 01813083 ld ra,24(sp) + 80005ed4: 06010113 addi sp,sp,96 + 80005ed8: 00008067 ret + +0000000080005edc : + 80005edc: fb010113 addi sp,sp,-80 + 80005ee0: 02010313 addi t1,sp,32 + 80005ee4: 02c13023 sd a2,32(sp) + 80005ee8: 02d13423 sd a3,40(sp) + 80005eec: 02e13823 sd a4,48(sp) + 80005ef0: 00058693 mv a3,a1 + 80005ef4: 00030713 mv a4,t1 + 80005ef8: 00050593 mv a1,a0 + 80005efc: fff00613 li a2,-1 + 80005f00: fffff517 auipc a0,0xfffff + 80005f04: f0050513 addi a0,a0,-256 # 80004e00 <_out_buffer> + 80005f08: 00113c23 sd ra,24(sp) + 80005f0c: 02f13c23 sd a5,56(sp) + 80005f10: 05013023 sd a6,64(sp) + 80005f14: 05113423 sd a7,72(sp) + 80005f18: 00613423 sd t1,8(sp) + 80005f1c: e50ff0ef jal ra,8000556c <_vsnprintf> + 80005f20: 01813083 ld ra,24(sp) + 80005f24: 05010113 addi sp,sp,80 + 80005f28: 00008067 ret + +0000000080005f2c : + 80005f2c: 00700713 li a4,7 + 80005f30: 00050793 mv a5,a0 + 80005f34: 0ac77a63 bgeu a4,a2,80005fe8 + 80005f38: 0ff5f893 andi a7,a1,255 + 80005f3c: 00889693 slli a3,a7,0x8 + 80005f40: 0116e6b3 or a3,a3,a7 + 80005f44: 01069713 slli a4,a3,0x10 + 80005f48: 00d766b3 or a3,a4,a3 + 80005f4c: 02069713 slli a4,a3,0x20 + 80005f50: 00757813 andi a6,a0,7 + 80005f54: 00d76733 or a4,a4,a3 + 80005f58: 0a080663 beqz a6,80006004 + 80005f5c: 00c50633 add a2,a0,a2 + 80005f60: 01178023 sb a7,0(a5) + 80005f64: 00178793 addi a5,a5,1 + 80005f68: 0077f813 andi a6,a5,7 + 80005f6c: 40f606b3 sub a3,a2,a5 + 80005f70: fe0818e3 bnez a6,80005f60 + 80005f74: 0066d813 srli a6,a3,0x6 + 80005f78: 04080063 beqz a6,80005fb8 + 80005f7c: 00681613 slli a2,a6,0x6 + 80005f80: 00078893 mv a7,a5 + 80005f84: 00f60633 add a2,a2,a5 + 80005f88: 00e7b023 sd a4,0(a5) + 80005f8c: 00e7b423 sd a4,8(a5) + 80005f90: 00e7b823 sd a4,16(a5) + 80005f94: 00e7bc23 sd a4,24(a5) + 80005f98: 02e7b023 sd a4,32(a5) + 80005f9c: 02e7b423 sd a4,40(a5) + 80005fa0: 02e7b823 sd a4,48(a5) + 80005fa4: 02e7bc23 sd a4,56(a5) + 80005fa8: 04078793 addi a5,a5,64 + 80005fac: fcf61ee3 bne a2,a5,80005f88 + 80005fb0: 00681793 slli a5,a6,0x6 + 80005fb4: 011787b3 add a5,a5,a7 + 80005fb8: 0036d813 srli a6,a3,0x3 + 80005fbc: 00787813 andi a6,a6,7 + 80005fc0: 02080263 beqz a6,80005fe4 + 80005fc4: 00381613 slli a2,a6,0x3 + 80005fc8: 00078893 mv a7,a5 + 80005fcc: 00f60633 add a2,a2,a5 + 80005fd0: 00e7b023 sd a4,0(a5) + 80005fd4: 00878793 addi a5,a5,8 + 80005fd8: fef61ce3 bne a2,a5,80005fd0 + 80005fdc: 00381793 slli a5,a6,0x3 + 80005fe0: 011787b3 add a5,a5,a7 + 80005fe4: 0076f613 andi a2,a3,7 + 80005fe8: 0ff5f593 andi a1,a1,255 + 80005fec: 00c78733 add a4,a5,a2 + 80005ff0: 00060863 beqz a2,80006000 + 80005ff4: 00b78023 sb a1,0(a5) + 80005ff8: 00178793 addi a5,a5,1 + 80005ffc: fef71ce3 bne a4,a5,80005ff4 + 80006000: 00008067 ret + 80006004: 00060693 mv a3,a2 + 80006008: f6dff06f j 80005f74 + +000000008000600c : + 8000600c: 1a050e63 beqz a0,800061c8 + 80006010: fd010113 addi sp,sp,-48 + 80006014: 02813023 sd s0,32(sp) + 80006018: 00913c23 sd s1,24(sp) + 8000601c: 01f57793 andi a5,a0,31 + 80006020: 02113423 sd ra,40(sp) + 80006024: 01213823 sd s2,16(sp) + 80006028: 01313423 sd s3,8(sp) + 8000602c: 01413023 sd s4,0(sp) + 80006030: 00050493 mv s1,a0 + 80006034: 00050413 mv s0,a0 + 80006038: 00000513 li a0,0 + 8000603c: 14079a63 bnez a5,80006190 + 80006040: 27f00713 li a4,639 + 80006044: 00058913 mv s2,a1 + 80006048: 00078513 mv a0,a5 + 8000604c: 14b77263 bgeu a4,a1,80006190 + 80006050: 0074f513 andi a0,s1,7 + 80006054: 00153513 seqz a0,a0 + 80006058: 00060a13 mv s4,a2 + 8000605c: 00068993 mv s3,a3 + 80006060: 839fe0ef jal ra,80004898 <_assert> + 80006064: 20048793 addi a5,s1,512 + 80006068: 2004b023 sd zero,512(s1) + 8000606c: 2144b423 sd s4,520(s1) + 80006070: 2134b823 sd s3,528(s1) + 80006074: 00043023 sd zero,0(s0) + 80006078: 00840413 addi s0,s0,8 + 8000607c: fe879ce3 bne a5,s0,80006074 + 80006080: fff00793 li a5,-1 + 80006084: dc090413 addi s0,s2,-576 + 80006088: 03f79793 slli a5,a5,0x3f + 8000608c: 1287f863 bgeu a5,s0,800061bc + 80006090: 00078413 mv s0,a5 + 80006094: 00100513 li a0,1 + 80006098: 801fe0ef jal ra,80004898 <_assert> + 8000609c: f8300793 li a5,-125 + 800060a0: 0017d793 srli a5,a5,0x1 + 800060a4: fc040513 addi a0,s0,-64 + 800060a8: 00f53533 sltu a0,a0,a5 + 800060ac: fecfe0ef jal ra,80004898 <_assert> + 800060b0: 01f4f513 andi a0,s1,31 + 800060b4: 00153513 seqz a0,a0 + 800060b8: fe0fe0ef jal ra,80004898 <_assert> + 800060bc: 00100513 li a0,1 + 800060c0: 2404b023 sd zero,576(s1) + 800060c4: 2404b423 sd zero,584(s1) + 800060c8: 2484b823 sd s0,592(s1) + 800060cc: 24048c23 sb zero,600(s1) + 800060d0: 2604b023 sd zero,608(s1) + 800060d4: 2604b423 sd zero,616(s1) + 800060d8: fc0fe0ef jal ra,80004898 <_assert> + 800060dc: 00100513 li a0,1 + 800060e0: fb8fe0ef jal ra,80004898 <_assert> + 800060e4: 2504b503 ld a0,592(s1) + 800060e8: 24048a13 addi s4,s1,576 + 800060ec: 04053513 sltiu a0,a0,64 + 800060f0: 00154513 xori a0,a0,1 + 800060f4: 00157513 andi a0,a0,1 + 800060f8: fa0fe0ef jal ra,80004898 <_assert> + 800060fc: 2504b503 ld a0,592(s1) + 80006100: 03f57513 andi a0,a0,63 + 80006104: 00153513 seqz a0,a0 + 80006108: f90fe0ef jal ra,80004898 <_assert> + 8000610c: 2504b703 ld a4,592(s1) + 80006110: 00100793 li a5,1 + 80006114: 00675713 srli a4,a4,0x6 + 80006118: 0ae7fc63 bgeu a5,a4,800061d0 + 8000611c: 00000793 li a5,0 + 80006120: 00100693 li a3,1 + 80006124: 0017879b addiw a5,a5,1 + 80006128: 00175713 srli a4,a4,0x1 + 8000612c: 0ff7f793 andi a5,a5,255 + 80006130: fed71ae3 bne a4,a3,80006124 + 80006134: 00078993 mv s3,a5 + 80006138: 0407b513 sltiu a0,a5,64 + 8000613c: 00f71933 sll s2,a4,a5 + 80006140: f58fe0ef jal ra,80004898 <_assert> + 80006144: 00399793 slli a5,s3,0x3 + 80006148: 00f487b3 add a5,s1,a5 + 8000614c: 0007b703 ld a4,0(a5) + 80006150: 2604b423 sd zero,616(s1) + 80006154: 26e4b023 sd a4,608(s1) + 80006158: 00070463 beqz a4,80006160 + 8000615c: 03473423 sd s4,40(a4) + 80006160: 0147b023 sd s4,0(a5) + 80006164: 2004b503 ld a0,512(s1) + 80006168: 00a96533 or a0,s2,a0 + 8000616c: 20a4b023 sd a0,512(s1) + 80006170: 00a03533 snez a0,a0 + 80006174: f24fe0ef jal ra,80004898 <_assert> + 80006178: 00048513 mv a0,s1 + 8000617c: 2084bc23 sd s0,536(s1) + 80006180: 2204b023 sd zero,544(s1) + 80006184: 2204b423 sd zero,552(s1) + 80006188: 2204b823 sd zero,560(s1) + 8000618c: 2204bc23 sd zero,568(s1) + 80006190: 02813083 ld ra,40(sp) + 80006194: 02013403 ld s0,32(sp) + 80006198: 01813483 ld s1,24(sp) + 8000619c: 01013903 ld s2,16(sp) + 800061a0: 00813983 ld s3,8(sp) + 800061a4: 00013a03 ld s4,0(sp) + 800061a8: 03010113 addi sp,sp,48 + 800061ac: 00008067 ret + 800061b0: 00100513 li a0,1 + 800061b4: fff40413 addi s0,s0,-1 + 800061b8: ee0fe0ef jal ra,80004898 <_assert> + 800061bc: 03f47793 andi a5,s0,63 + 800061c0: fe0798e3 bnez a5,800061b0 + 800061c4: ed1ff06f j 80006094 + 800061c8: 00000513 li a0,0 + 800061cc: 00008067 ret + 800061d0: 00100913 li s2,1 + 800061d4: 00000993 li s3,0 + 800061d8: 00100513 li a0,1 + 800061dc: f65ff06f j 80006140 diff --git a/bin/non-output/microbench/microbench-ref.bin b/bin/non-output/microbench/microbench-ref.bin new file mode 100755 index 0000000..75e6b7c Binary files /dev/null and b/bin/non-output/microbench/microbench-ref.bin differ diff --git a/bin/non-output/microbench/microbench-ref.elf b/bin/non-output/microbench/microbench-ref.elf new file mode 100755 index 0000000..7565d4e Binary files /dev/null and b/bin/non-output/microbench/microbench-ref.elf differ diff --git a/bin/non-output/microbench/microbench-ref.txt b/bin/non-output/microbench/microbench-ref.txt new file mode 100755 index 0000000..cd8ebb1 --- /dev/null +++ b/bin/non-output/microbench/microbench-ref.txt @@ -0,0 +1,6441 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0a5040ef jal ra,800048b0 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 111040ef jal ra,80004940 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 88448493 addi s1,s1,-1916 # 800078e0 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 1d858593 addi a1,a1,472 # 80006240 <_etext+0x60> + 80000070: 00048513 mv a0,s1 + 80000074: 669050ef jal ra,80005edc + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 0f9040ef jal ra,80004988 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 099040ef jal ra,80004940 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 81450513 addi a0,a0,-2028 # 800078e0 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 10058593 addi a1,a1,256 # 800061e0 <_etext> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 13850513 addi a0,a0,312 # 80006220 <_etext+0x40> + 800000f0: 599050ef jal ra,80005e88 + 800000f4: 00100513 li a0,1 + 800000f8: 794040ef jal ra,8000488c + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 1d858593 addi a1,a1,472 # 80006310 <_etext+0x130> + 80000140: 00050413 mv s0,a0 + 80000144: 459040ef jal ra,80004d9c + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 13c58593 addi a1,a1,316 # 80006288 <_etext+0xa8> + 80000154: 00040513 mv a0,s0 + 80000158: 445040ef jal ra,80004d9c + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 12c58593 addi a1,a1,300 # 80006290 <_etext+0xb0> + 8000016c: 00040513 mv a0,s0 + 80000170: 42d040ef jal ra,80004d9c + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 0fd040ef jal ra,80004a7c + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 15850513 addi a0,a0,344 # 800062e0 <_etext+0x100> + 80000190: 4f9050ef jal ra,80005e88 + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 135040ef jal ra,80004ad0 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: b94c0c13 addi s8,s8,-1132 # 80006d38 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 21cb8b93 addi s7,s7,540 # 800073c8 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 83848493 addi s1,s1,-1992 # 80007a10 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 838a8a93 addi s5,s5,-1992 # 80007a18 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 2e440413 addi s0,s0,740 # 800074d0 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 124a0a13 addi s4,s4,292 # 80006318 <_etext+0x138> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 12cd0d13 addi s10,s10,300 # 80006328 <_etext+0x148> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 13cc8c93 addi s9,s9,316 # 80006340 <_etext+0x160> + 8000020c: 01c0006f j 80000228 + 80000210: 479050ef jal ra,80005e88 + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 469050ef jal ra,80005e88 + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 431050ef jal ra,80005e88 + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 69c78793 addi a5,a5,1692 # 80007900 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 049040ef jal ra,80004ad0 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 02d040ef jal ra,80004ad0 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 08c50513 addi a0,a0,140 # 80006350 <_etext+0x170> + 800002cc: 3bd050ef jal ra,80005e88 + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 07c50513 addi a0,a0,124 # 80006358 <_etext+0x178> + 800002e4: 3a5050ef jal ra,80005e88 + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 620040ef jal ra,80004940 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 0b050513 addi a0,a0,176 # 800063e8 <_etext+0x208> + 80000340: 349050ef jal ra,80005e88 + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 770040ef jal ra,80004ad0 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 02450513 addi a0,a0,36 # 80006390 <_etext+0x1b0> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 311050ef jal ra,80005e88 + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: ed058593 addi a1,a1,-304 # 80006250 <_etext+0x70> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 03c50513 addi a0,a0,60 # 800063c8 <_etext+0x1e8> + 80000394: 2f5050ef jal ra,80005e88 + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 594040ef jal ra,80004940 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 02450513 addi a0,a0,36 # 800063d8 <_etext+0x1f8> + 800003bc: 2cd050ef jal ra,80005e88 + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 02c60613 addi a2,a2,44 # 800063f0 <_etext+0x210> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 03850513 addi a0,a0,56 # 80006408 <_etext+0x228> + 800003d8: 2b1050ef jal ra,80005e88 + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 04850513 addi a0,a0,72 # 80006430 <_etext+0x250> + 800003f0: 299050ef jal ra,80005e88 + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 04850513 addi a0,a0,72 # 80006448 <_etext+0x268> + 80000408: 281050ef jal ra,80005e88 + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: e1450513 addi a0,a0,-492 # 80006260 <_etext+0x80> + 80000454: 235050ef jal ra,80005e88 + 80000458: 00006417 auipc s0,0x6 + 8000045c: df040413 addi s0,s0,-528 # 80006248 <_etext+0x68> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: f0450513 addi a0,a0,-252 # 80006378 <_etext+0x198> + 8000047c: 20d050ef jal ra,80005e88 + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: fd850513 addi a0,a0,-40 # 80006460 <_etext+0x280> + 80000490: 1f9050ef jal ra,80005e88 + 80000494: 00006517 auipc a0,0x6 + 80000498: ed450513 addi a0,a0,-300 # 80006368 <_etext+0x188> + 8000049c: 1ed050ef jal ra,80005e88 + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: dac58593 addi a1,a1,-596 # 80006258 <_etext+0x78> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: f3050513 addi a0,a0,-208 # 800063e8 <_etext+0x208> + 800004c0: 1c9050ef jal ra,80005e88 + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: d8058593 addi a1,a1,-640 # 80006248 <_etext+0x68> + 800004d0: 00040513 mv a0,s0 + 800004d4: 0c9040ef jal ra,80004d9c + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: db458593 addi a1,a1,-588 # 80006298 <_etext+0xb8> + 800004ec: 00040513 mv a0,s0 + 800004f0: 0ad040ef jal ra,80004d9c + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: d9c50513 addi a0,a0,-612 # 800062a0 <_etext+0xc0> + 8000050c: 17d050ef jal ra,80005e88 + 80000510: 00100513 li a0,1 + 80000514: 378040ef jal ra,8000488c + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 3e858593 addi a1,a1,1000 # 80007900 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: fa878793 addi a5,a5,-88 # 800074d0 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 4b07b783 ld a5,1200(a5) # 80007a18 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: c5058593 addi a1,a1,-944 # 800061e0 <_etext> + 80000598: 00006517 auipc a0,0x6 + 8000059c: c8850513 addi a0,a0,-888 # 80006220 <_etext+0x40> + 800005a0: 0e9050ef jal ra,80005e88 + 800005a4: 00100513 li a0,1 + 800005a8: 2e4040ef jal ra,8000488c + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: e0a7a623 sw a0,-500(a5) # 800073c8 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: e0068693 addi a3,a3,-512 # 800073c8 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 2487b783 ld a5,584(a5) # 80007a18 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 12890913 addi s2,s2,296 # 80007910 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 0d498993 addi s3,s3,212 # 80007908 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 078040ef jal ra,800048d4 <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 054040ef jal ra,80004988 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 771030ef jal ra,80004988 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6b9030ef jal ra,80004988 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: d5072703 lw a4,-688(a4) # 80007910 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: d4043403 ld s0,-704(s0) # 80007908 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 8707a023 sw a6,-1952(a5) # 80007914 <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 8d47b783 ld a5,-1836(a5) # 80007a18 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00006797 auipc a5,0x6 + 80001154: 7c47a783 lw a5,1988(a5) # 80007914 <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 640030ef jal ra,80004938 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 630030ef jal ra,80004938 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 594030ef jal ra,80004938 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5e8030ef jal ra,800049bc <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a0030ef jal ra,80004938 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 508030ef jal ra,800049bc <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 324030ef jal ra,80004938 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 18c7b783 ld a5,396(a5) # 80007a18 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 07490913 addi s2,s2,116 # 80007918 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 05898993 addi s3,s3,88 # 80007920 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 02a73a23 sd a0,52(a4) # 80007928 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 068030ef jal ra,80004988 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: fc062603 lw a2,-64(a2) # 80007918 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: fc85b583 ld a1,-56(a1) # 80007928 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: fb853503 ld a0,-72(a0) # 80007920 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: fa45a583 lw a1,-92(a1) # 80007918 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: fac53503 ld a0,-84(a0) # 80007928 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 0807b783 ld a5,128(a5) # 80007a18 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 0587b783 ld a5,88(a5) # 80007a18 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: f647a783 lw a5,-156(a5) # 80007930 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 711020ef jal ra,80004988 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 52c58593 addi a1,a1,1324 # 80006590 <_etext+0x3b0> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 1b450513 addi a0,a0,436 # 80006220 <_etext+0x40> + 80002074: 615030ef jal ra,80005e88 + 80002078: 00100513 li a0,1 + 8000207c: 011020ef jal ra,8000488c + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 85c7b783 ld a5,-1956(a5) # 80007a18 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 26c58593 addi a1,a1,620 # 80007450 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 0d858593 addi a1,a1,216 # 800073d0 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 26c58593 addi a1,a1,620 # 800065d0 <_etext+0x3f0> + 8000236c: 00004517 auipc a0,0x4 + 80002370: eb450513 addi a0,a0,-332 # 80006220 <_etext+0x40> + 80002374: 315030ef jal ra,80005e88 + 80002378: 00100513 li a0,1 + 8000237c: 510020ef jal ra,8000488c + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 50c70713 addi a4,a4,1292 # 80007930 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 87858593 addi a1,a1,-1928 # 80007490 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00004597 auipc a1,0x4 + 80002c88: 78c58593 addi a1,a1,1932 # 80007410 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3cd010ef jal ra,800048d4 <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: c2478793 addi a5,a5,-988 # 80007930 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 85c58593 addi a1,a1,-1956 # 80006590 <_etext+0x3b0> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 4e450513 addi a0,a0,1252 # 80006220 <_etext+0x40> + 80002d44: 144030ef jal ra,80005e88 + 80002d48: 00100513 li a0,1 + 80002d4c: 341010ef jal ra,8000488c + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: cc87b783 ld a5,-824(a5) # 80007a18 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: bcc90913 addi s2,s2,-1076 # 80007934 + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: baf73a23 sd a5,-1100(a4) # 80007950 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: b9498993 addi s3,s3,-1132 # 80007938 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: b6a73e23 sd a0,-1156(a4) # 80007940 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a1010ef jal ra,80004988 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: b286b683 ld a3,-1240(a3) # 80007950 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: b0462603 lw a2,-1276(a2) # 80007934 + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: b085b583 ld a1,-1272(a1) # 80007940 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: af853503 ld a0,-1288(a0) # 80007938 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: aea7aa23 sw a0,-1292(a5) # 80007948 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: adc53503 ld a0,-1316(a0) # 80007940 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: adc5a583 lw a1,-1316(a1) # 80007948 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: b947b783 ld a5,-1132(a5) # 80007a18 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00003597 auipc a1,0x3 + 80002eb4: 76858593 addi a1,a1,1896 # 80006618 <_etext+0x438> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 36850513 addi a0,a0,872 # 80006220 <_etext+0x40> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 7c5020ef jal ra,80005e88 + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c1010ef jal ra,8000488c + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 4907b783 ld a5,1168(a5) # 80007a18 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 3b890913 addi s2,s2,952 # 80007958 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 3a07aa23 sw zero,948(a5) # 80007968 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 38f73623 sd a5,908(a4) # 80007960 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 38f73423 sd a5,904(a4) # 80007970 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 38a73423 sd a0,904(a4) # 80007980 + 80003600: 00004997 auipc s3,0x4 + 80003604: 38898993 addi s3,s3,904 # 80007988 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 05478793 addi a5,a5,84 # 80006660 <_etext+0x480> + 80003614: 00004717 auipc a4,0x4 + 80003618: 36f73223 sd a5,868(a4) # 80007978 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 36f73023 sd a5,864(a4) # 80007998 + 80003640: 00004797 auipc a5,0x4 + 80003644: 3407a823 sw zero,848(a5) # 80007990 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 0c0a0a13 addi s4,s4,192 # 80006718 <_etext+0x538> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 318010ef jal ra,80004988 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 2b830313 addi t1,t1,696 # 80007978 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 27450513 addi a0,a0,628 # 80007968 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 2606b683 ld a3,608(a3) # 80007960 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 2648b883 ld a7,612(a7) # 80007970 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 03080813 addi a6,a6,48 # 80006758 <_etext+0x578> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 194e8e93 addi t4,t4,404 # 80007988 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 194e0e13 addi t3,t3,404 # 80007990 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 1745b583 ld a1,372(a1) # 80007980 + 80003814: 00004397 auipc t2,0x4 + 80003818: 1843b383 ld t2,388(t2) # 80007998 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: ff088893 addi a7,a7,-16 # 80006824 <_etext+0x644> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: ee07ac23 sw zero,-264(a5) # 80007968 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: eec40413 addi s0,s0,-276 # 80007990 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: ee853503 ld a0,-280(a0) # 80007998 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: e9072703 lw a4,-368(a4) # 80007958 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: f3073703 ld a4,-208(a4) # 80007a18 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: f087b783 ld a5,-248(a5) # 80007a18 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: e6f72e23 sw a5,-388(a4) # 800079a8 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 59d000ef jal ra,800048d4 <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: e4f73823 sd a5,-432(a4) # 800079a0 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: e4f73623 sd a5,-436(a4) # 800079b0 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: e4f73023 sd a5,-448(a4) # 800079b8 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: e2a7ba23 sd a0,-460(a5) # 800079c0 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: e107b783 ld a5,-496(a5) # 800079b0 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: e0c7b783 ld a5,-500(a5) # 800079b8 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: dc042403 lw s0,-576(s0) # 800079a8 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: da4f3f03 ld t5,-604(t5) # 800079a0 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: d406b683 ld a3,-704(a3) # 800079c0 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3c5000ef jal ra,800048d4 <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2b5000ef jal ra,800048d4 <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: b0452503 lw a0,-1276(a0) # 800079a8 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 215000ef jal ra,800048d4 <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: aec53503 ld a0,-1300(a0) # 800079b8 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: b407b783 ld a5,-1216(a5) # 80007a18 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: aac7a783 lw a5,-1364(a5) # 800079c8 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: a747b783 ld a5,-1420(a5) # 80007a18 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: a007ae23 sw zero,-1508(a5) # 800079cc + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: a0f72223 sw a5,-1532(a4) # 800079c8 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: 9ea7a023 sw a0,-1568(a5) # 800079cc + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: a1c7b783 ld a5,-1508(a5) # 80007a18 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: 9c47a783 lw a5,-1596(a5) # 800079cc + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 8dc7b783 ld a5,-1828(a5) # 80007a18 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 88890913 addi s2,s2,-1912 # 800079d0 + 80004150: 00004997 auipc s3,0x4 + 80004154: 88898993 addi s3,s3,-1912 # 800079d8 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 80c62603 lw a2,-2036(a2) # 800079d0 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 80853503 ld a0,-2040(a0) # 800079d8 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00003597 auipc a1,0x3 + 800041e0: 7f45a583 lw a1,2036(a1) # 800079d0 + 800041e4: 00003517 auipc a0,0x3 + 800041e8: 7f453503 ld a0,2036(a0) # 800079d8 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8187b783 ld a5,-2024(a5) # 80007a18 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00003797 auipc a5,0x3 + 80004228: 7f47b783 ld a5,2036(a5) # 80007a18 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00003497 auipc s1,0x3 + 80004240: 7a448493 addi s1,s1,1956 # 800079e0 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00003917 auipc s2,0x3 + 80004260: 79490913 addi s2,s2,1940 # 800079f0 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 74a7b023 sd a0,1856(a5) # 800079e8 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7206a683 lw a3,1824(a3) # 800079e0 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 720fbf83 ld t6,1824(t6) # 800079f0 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 6d873703 ld a4,1752(a4) # 800079e8 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 420a0a13 addi s4,s4,1056 # 8000684c + 80004434: 00002997 auipc s3,0x2 + 80004438: 51898993 addi s3,s3,1304 # 8000694c + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 3c853503 ld a0,968(a0) # 800079e8 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 3e07b783 ld a5,992(a5) # 80007a18 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 3bc7b783 ld a5,956(a5) # 80007a18 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 37840413 addi s0,s0,888 # 800079f8 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 36a73223 sd a0,868(a4) # 80007a00 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 2fc4a483 lw s1,764(s1) # 800079f8 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 2f860613 addi a2,a2,760 # 80007a00 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 164000ef jal ra,800048d4 <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 0fc000ef jal ra,800048d4 <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2007ac23 sw zero,536(a5) # 800079fc + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 1cd7aa23 sw a3,468(a5) # 800079fc + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 1a07a823 sw zero,432(a5) # 800079fc + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 1a493903 ld s2,420(s2) # 80007a00 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 1b07b783 ld a5,432(a5) # 80007a18 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 1887a783 lw a5,392(a5) # 800079fc + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00008067 ret + +000000008000488c : + 8000488c: 00050513 mv a0,a0 + 80004890: 0000006b 0x6b + 80004894: 0000006f j 80004894 + +0000000080004898 <_assert>: + 80004898: 00051a63 bnez a0,800048ac <_assert+0x14> + 8000489c: 00100793 li a5,1 + 800048a0: 00078513 mv a0,a5 + 800048a4: 0000006b 0x6b + 800048a8: 0000006f j 800048a8 <_assert+0x10> + 800048ac: 00008067 ret + +00000000800048b0 <_trm_init>: + 800048b0: ff010113 addi sp,sp,-16 + 800048b4: 00113423 sd ra,8(sp) + 800048b8: 50c000ef jal ra,80004dc4 + 800048bc: 00002517 auipc a0,0x2 + 800048c0: 46c50513 addi a0,a0,1132 # 80006d28 + 800048c4: 839fb0ef jal ra,800000fc
+ 800048c8: 00050513 mv a0,a0 + 800048cc: 0000006b 0x6b + 800048d0: 0000006f j 800048d0 <_trm_init+0x20> + +00000000800048d4 <__muldi3>: + 800048d4: 00050613 mv a2,a0 + 800048d8: 00000513 li a0,0 + 800048dc: 0015f693 andi a3,a1,1 + 800048e0: 00068463 beqz a3,800048e8 <__muldi3+0x14> + 800048e4: 00c50533 add a0,a0,a2 + 800048e8: 0015d593 srli a1,a1,0x1 + 800048ec: 00161613 slli a2,a2,0x1 + 800048f0: fe0596e3 bnez a1,800048dc <__muldi3+0x8> + 800048f4: 00008067 ret + +00000000800048f8 <__udivsi3>: + 800048f8: 02051513 slli a0,a0,0x20 + 800048fc: 02059593 slli a1,a1,0x20 + 80004900: 00008293 mv t0,ra + 80004904: 03c000ef jal ra,80004940 <__udivdi3> + 80004908: 0005051b sext.w a0,a0 + 8000490c: 00028067 jr t0 + +0000000080004910 <__umodsi3>: + 80004910: 02051513 slli a0,a0,0x20 + 80004914: 02059593 slli a1,a1,0x20 + 80004918: 02055513 srli a0,a0,0x20 + 8000491c: 0205d593 srli a1,a1,0x20 + 80004920: 00008293 mv t0,ra + 80004924: 01c000ef jal ra,80004940 <__udivdi3> + 80004928: 0005851b sext.w a0,a1 + 8000492c: 00028067 jr t0 + +0000000080004930 <__divsi3>: + 80004930: fff00293 li t0,-1 + 80004934: 0a558c63 beq a1,t0,800049ec <__moddi3+0x30> + +0000000080004938 <__divdi3>: + 80004938: 06054063 bltz a0,80004998 <__umoddi3+0x10> + 8000493c: 0605c663 bltz a1,800049a8 <__umoddi3+0x20> + +0000000080004940 <__udivdi3>: + 80004940: 00058613 mv a2,a1 + 80004944: 00050593 mv a1,a0 + 80004948: fff00513 li a0,-1 + 8000494c: 02060c63 beqz a2,80004984 <__udivdi3+0x44> + 80004950: 00100693 li a3,1 + 80004954: 00b67a63 bgeu a2,a1,80004968 <__udivdi3+0x28> + 80004958: 00c05863 blez a2,80004968 <__udivdi3+0x28> + 8000495c: 00161613 slli a2,a2,0x1 + 80004960: 00169693 slli a3,a3,0x1 + 80004964: feb66ae3 bltu a2,a1,80004958 <__udivdi3+0x18> + 80004968: 00000513 li a0,0 + 8000496c: 00c5e663 bltu a1,a2,80004978 <__udivdi3+0x38> + 80004970: 40c585b3 sub a1,a1,a2 + 80004974: 00d56533 or a0,a0,a3 + 80004978: 0016d693 srli a3,a3,0x1 + 8000497c: 00165613 srli a2,a2,0x1 + 80004980: fe0696e3 bnez a3,8000496c <__udivdi3+0x2c> + 80004984: 00008067 ret + +0000000080004988 <__umoddi3>: + 80004988: 00008293 mv t0,ra + 8000498c: fb5ff0ef jal ra,80004940 <__udivdi3> + 80004990: 00058513 mv a0,a1 + 80004994: 00028067 jr t0 + 80004998: 40a00533 neg a0,a0 + 8000499c: 00b04863 bgtz a1,800049ac <__umoddi3+0x24> + 800049a0: 40b005b3 neg a1,a1 + 800049a4: f9dff06f j 80004940 <__udivdi3> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: 00008293 mv t0,ra + 800049b0: f91ff0ef jal ra,80004940 <__udivdi3> + 800049b4: 40a00533 neg a0,a0 + 800049b8: 00028067 jr t0 + +00000000800049bc <__moddi3>: + 800049bc: 00008293 mv t0,ra + 800049c0: 0005ca63 bltz a1,800049d4 <__moddi3+0x18> + 800049c4: 00054c63 bltz a0,800049dc <__moddi3+0x20> + 800049c8: f79ff0ef jal ra,80004940 <__udivdi3> + 800049cc: 00058513 mv a0,a1 + 800049d0: 00028067 jr t0 + 800049d4: 40b005b3 neg a1,a1 + 800049d8: fe0558e3 bgez a0,800049c8 <__moddi3+0xc> + 800049dc: 40a00533 neg a0,a0 + 800049e0: f61ff0ef jal ra,80004940 <__udivdi3> + 800049e4: 40b00533 neg a0,a1 + 800049e8: 00028067 jr t0 + 800049ec: 01f29293 slli t0,t0,0x1f + 800049f0: f45514e3 bne a0,t0,80004938 <__divdi3> + 800049f4: 00008067 ret + +00000000800049f8 <__am_timer_config>: + 800049f8: 00100793 li a5,1 + 800049fc: 00f50023 sb a5,0(a0) + 80004a00: 00f500a3 sb a5,1(a0) + 80004a04: 00008067 ret + +0000000080004a08 <__am_input_config>: + 80004a08: 00100793 li a5,1 + 80004a0c: 00f50023 sb a5,0(a0) + 80004a10: 00008067 ret + +0000000080004a14 : + 80004a14: ff010113 addi sp,sp,-16 + 80004a18: 00813023 sd s0,0(sp) + 80004a1c: 00113423 sd ra,8(sp) + 80004a20: 00002417 auipc s0,0x2 + 80004a24: 02840413 addi s0,s0,40 # 80006a48 + 80004a28: 04100513 li a0,65 + 80004a2c: 00140413 addi s0,s0,1 + 80004a30: e59ff0ef jal ra,80004888 + 80004a34: 00044503 lbu a0,0(s0) + 80004a38: fe051ae3 bnez a0,80004a2c + 80004a3c: 00002417 auipc s0,0x2 + 80004a40: 01c40413 addi s0,s0,28 # 80006a58 + 80004a44: 06100513 li a0,97 + 80004a48: 00140413 addi s0,s0,1 + 80004a4c: e3dff0ef jal ra,80004888 + 80004a50: 00044503 lbu a0,0(s0) + 80004a54: fe051ae3 bnez a0,80004a48 + 80004a58: 00002417 auipc s0,0x2 + 80004a5c: 02040413 addi s0,s0,32 # 80006a78 + 80004a60: 02000513 li a0,32 + 80004a64: 00140413 addi s0,s0,1 + 80004a68: e21ff0ef jal ra,80004888 + 80004a6c: 00044503 lbu a0,0(s0) + 80004a70: fe051ae3 bnez a0,80004a64 + 80004a74: 00100513 li a0,1 + 80004a78: e15ff0ef jal ra,8000488c + +0000000080004a7c : + 80004a7c: ff010113 addi sp,sp,-16 + 80004a80: 00113423 sd ra,8(sp) + 80004a84: 00003797 auipc a5,0x3 + 80004a88: a5c78793 addi a5,a5,-1444 # 800074e0 + 80004a8c: 00003697 auipc a3,0x3 + 80004a90: e5468693 addi a3,a3,-428 # 800078e0 + 80004a94: 00000617 auipc a2,0x0 + 80004a98: f8060613 addi a2,a2,-128 # 80004a14 + 80004a9c: 00c0006f j 80004aa8 + 80004aa0: 00878793 addi a5,a5,8 + 80004aa4: 00d78c63 beq a5,a3,80004abc + 80004aa8: 0007b703 ld a4,0(a5) + 80004aac: fe071ae3 bnez a4,80004aa0 + 80004ab0: 00c7b023 sd a2,0(a5) + 80004ab4: 00878793 addi a5,a5,8 + 80004ab8: fed798e3 bne a5,a3,80004aa8 + 80004abc: 030000ef jal ra,80004aec <__am_timer_init> + 80004ac0: 00813083 ld ra,8(sp) + 80004ac4: 00100513 li a0,1 + 80004ac8: 01010113 addi sp,sp,16 + 80004acc: 00008067 ret + +0000000080004ad0 : + 80004ad0: 00351793 slli a5,a0,0x3 + 80004ad4: 00003517 auipc a0,0x3 + 80004ad8: a0c50513 addi a0,a0,-1524 # 800074e0 + 80004adc: 00f50533 add a0,a0,a5 + 80004ae0: 00053303 ld t1,0(a0) + 80004ae4: 00058513 mv a0,a1 + 80004ae8: 00030067 jr t1 + +0000000080004aec <__am_timer_init>: + 80004aec: 00008067 ret + +0000000080004af0 <__am_timer_uptime>: + 80004af0: 00053023 sd zero,0(a0) + 80004af4: 00008067 ret + +0000000080004af8 : + 80004af8: fc010113 addi sp,sp,-64 + 80004afc: 01313c23 sd s3,24(sp) + 80004b00: 00058993 mv s3,a1 + 80004b04: 00050793 mv a5,a0 + 80004b08: 03800613 li a2,56 + 80004b0c: 00000593 li a1,0 + 80004b10: 00098513 mv a0,s3 + 80004b14: 02813823 sd s0,48(sp) + 80004b18: 03213023 sd s2,32(sp) + 80004b1c: 0007b403 ld s0,0(a5) + 80004b20: 01413823 sd s4,16(sp) + 80004b24: 02113c23 sd ra,56(sp) + 80004b28: 02913423 sd s1,40(sp) + 80004b2c: 01513423 sd s5,8(sp) + 80004b30: 3fc010ef jal ra,80005f2c + 80004b34: 7b200793 li a5,1970 + 80004b38: 00f9aa23 sw a5,20(s3) + 80004b3c: 7b200913 li s2,1970 + 80004b40: 00002a17 auipc s4,0x2 + 80004b44: 1f0a0a13 addi s4,s4,496 # 80006d30 + 80004b48: 0100006f j 80004b58 + 80004b4c: 40e40433 sub s0,s0,a4 + 80004b50: 00d9aa23 sw a3,20(s3) + 80004b54: 00068913 mv s2,a3 + 80004b58: 0009049b sext.w s1,s2 + 80004b5c: 06400593 li a1,100 + 80004b60: 00048513 mv a0,s1 + 80004b64: e59ff0ef jal ra,800049bc <__moddi3> + 80004b68: 00050793 mv a5,a0 + 80004b6c: 0007879b sext.w a5,a5 + 80004b70: 00048513 mv a0,s1 + 80004b74: 00397493 andi s1,s2,3 + 80004b78: 19000593 li a1,400 + 80004b7c: 0014b493 seqz s1,s1 + 80004b80: 00079863 bnez a5,80004b90 + 80004b84: e39ff0ef jal ra,800049bc <__moddi3> + 80004b88: 0005049b sext.w s1,a0 + 80004b8c: 0014b493 seqz s1,s1 + 80004b90: 00249793 slli a5,s1,0x2 + 80004b94: 00fa07b3 add a5,s4,a5 + 80004b98: 0007e703 lwu a4,0(a5) + 80004b9c: 0019069b addiw a3,s2,1 + 80004ba0: fae456e3 bge s0,a4,80004b4c + 80004ba4: 000155b7 lui a1,0x15 + 80004ba8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004bac: 00040513 mv a0,s0 + 80004bb0: d89ff0ef jal ra,80004938 <__divdi3> + 80004bb4: 00149793 slli a5,s1,0x1 + 80004bb8: 009784b3 add s1,a5,s1 + 80004bbc: 00449793 slli a5,s1,0x4 + 80004bc0: 00002497 auipc s1,0x2 + 80004bc4: ef848493 addi s1,s1,-264 # 80006ab8 + 80004bc8: 00f484b3 add s1,s1,a5 + 80004bcc: 0004e783 lwu a5,0(s1) + 80004bd0: 0005051b sext.w a0,a0 + 80004bd4: 00a9ae23 sw a0,28(s3) + 80004bd8: 14f44463 blt s0,a5,80004d20 + 80004bdc: 00100713 li a4,1 + 80004be0: 40f40433 sub s0,s0,a5 + 80004be4: 0044e783 lwu a5,4(s1) + 80004be8: 00070a1b sext.w s4,a4 + 80004bec: 00448493 addi s1,s1,4 + 80004bf0: 00170713 addi a4,a4,1 + 80004bf4: fef456e3 bge s0,a5,80004be0 + 80004bf8: 000155b7 lui a1,0x15 + 80004bfc: 0149a823 sw s4,16(s3) + 80004c00: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c04: 00040513 mv a0,s0 + 80004c08: d31ff0ef jal ra,80004938 <__divdi3> + 80004c0c: 0005051b sext.w a0,a0 + 80004c10: 0015079b addiw a5,a0,1 + 80004c14: 000155b7 lui a1,0x15 + 80004c18: 00f9a623 sw a5,12(s3) + 80004c1c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c20: 00040513 mv a0,s0 + 80004c24: 00001ab7 lui s5,0x1 + 80004c28: 0007841b sext.w s0,a5 + 80004c2c: d91ff0ef jal ra,800049bc <__moddi3> + 80004c30: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004c34: 00050493 mv s1,a0 + 80004c38: d01ff0ef jal ra,80004938 <__divdi3> + 80004c3c: e10a8593 addi a1,s5,-496 + 80004c40: 00a9a423 sw a0,8(s3) + 80004c44: 00048513 mv a0,s1 + 80004c48: d75ff0ef jal ra,800049bc <__moddi3> + 80004c4c: 03c00593 li a1,60 + 80004c50: 00050493 mv s1,a0 + 80004c54: ce5ff0ef jal ra,80004938 <__divdi3> + 80004c58: 00a9a223 sw a0,4(s3) + 80004c5c: 03c00593 li a1,60 + 80004c60: 00048513 mv a0,s1 + 80004c64: d59ff0ef jal ra,800049bc <__moddi3> + 80004c68: 001a0a1b addiw s4,s4,1 + 80004c6c: 0ffa7793 andi a5,s4,255 + 80004c70: 0037b793 sltiu a5,a5,3 + 80004c74: 40f9093b subw s2,s2,a5 + 80004c78: 03091913 slli s2,s2,0x30 + 80004c7c: 03095913 srli s2,s2,0x30 + 80004c80: 00a9a023 sw a0,0(s3) + 80004c84: 06400593 li a1,100 + 80004c88: 00090513 mv a0,s2 + 80004c8c: cb5ff0ef jal ra,80004940 <__udivdi3> + 80004c90: 0029549b srliw s1,s2,0x2 + 80004c94: 0105179b slliw a5,a0,0x10 + 80004c98: 0107d79b srliw a5,a5,0x10 + 80004c9c: 012484bb addw s1,s1,s2 + 80004ca0: 19000593 li a1,400 + 80004ca4: 00090513 mv a0,s2 + 80004ca8: 0ffa7a13 andi s4,s4,255 + 80004cac: 40f484bb subw s1,s1,a5 + 80004cb0: fffa0a1b addiw s4,s4,-1 + 80004cb4: c8dff0ef jal ra,80004940 <__udivdi3> + 80004cb8: 00002797 auipc a5,0x2 + 80004cbc: e6078793 addi a5,a5,-416 # 80006b18 + 80004cc0: 002a1a13 slli s4,s4,0x2 + 80004cc4: 01478a33 add s4,a5,s4 + 80004cc8: 000a2783 lw a5,0(s4) + 80004ccc: 0105151b slliw a0,a0,0x10 + 80004cd0: 0105551b srliw a0,a0,0x10 + 80004cd4: 00a484bb addw s1,s1,a0 + 80004cd8: 00f484bb addw s1,s1,a5 + 80004cdc: 0ff47513 andi a0,s0,255 + 80004ce0: 00a4853b addw a0,s1,a0 + 80004ce4: 00700593 li a1,7 + 80004ce8: cd5ff0ef jal ra,800049bc <__moddi3> + 80004cec: 03813083 ld ra,56(sp) + 80004cf0: 03013403 ld s0,48(sp) + 80004cf4: 0ff57513 andi a0,a0,255 + 80004cf8: 00a9ac23 sw a0,24(s3) + 80004cfc: 0209a023 sw zero,32(s3) + 80004d00: 02813483 ld s1,40(sp) + 80004d04: 02013903 ld s2,32(sp) + 80004d08: 01013a03 ld s4,16(sp) + 80004d0c: 00813a83 ld s5,8(sp) + 80004d10: 00098513 mv a0,s3 + 80004d14: 01813983 ld s3,24(sp) + 80004d18: 04010113 addi sp,sp,64 + 80004d1c: 00008067 ret + 80004d20: 00000a13 li s4,0 + 80004d24: eedff06f j 80004c10 + +0000000080004d28 <__am_timer_rtc>: + 80004d28: fa010113 addi sp,sp,-96 + 80004d2c: 04813823 sd s0,80(sp) + 80004d30: 01810593 addi a1,sp,24 + 80004d34: 00050413 mv s0,a0 + 80004d38: 00810513 addi a0,sp,8 + 80004d3c: 04113c23 sd ra,88(sp) + 80004d40: 00013423 sd zero,8(sp) + 80004d44: 00013823 sd zero,16(sp) + 80004d48: db1ff0ef jal ra,80004af8 + 80004d4c: 01812703 lw a4,24(sp) + 80004d50: 02812783 lw a5,40(sp) + 80004d54: 05813083 ld ra,88(sp) + 80004d58: 00e42a23 sw a4,20(s0) + 80004d5c: 01c12703 lw a4,28(sp) + 80004d60: 0017879b addiw a5,a5,1 + 80004d64: 00f42223 sw a5,4(s0) + 80004d68: 00e42823 sw a4,16(s0) + 80004d6c: 02012703 lw a4,32(sp) + 80004d70: 02c12783 lw a5,44(sp) + 80004d74: 00e42623 sw a4,12(s0) + 80004d78: 02412703 lw a4,36(sp) + 80004d7c: 00f42023 sw a5,0(s0) + 80004d80: 00e42423 sw a4,8(s0) + 80004d84: 05013403 ld s0,80(sp) + 80004d88: 06010113 addi sp,sp,96 + 80004d8c: 00008067 ret + +0000000080004d90 <__am_input_keybrd>: + 80004d90: 00050023 sb zero,0(a0) + 80004d94: 00052223 sw zero,4(a0) + 80004d98: 00008067 ret + +0000000080004d9c : + 80004d9c: 00054783 lbu a5,0(a0) + 80004da0: 00158593 addi a1,a1,1 + 80004da4: 00150513 addi a0,a0,1 + 80004da8: fff5c703 lbu a4,-1(a1) + 80004dac: 00078863 beqz a5,80004dbc + 80004db0: fee786e3 beq a5,a4,80004d9c + 80004db4: 40e7853b subw a0,a5,a4 + 80004db8: 00008067 ret + 80004dbc: 40e0053b negw a0,a4 + 80004dc0: 00008067 ret + +0000000080004dc4 : + 80004dc4: 00002797 auipc a5,0x2 + 80004dc8: 70c78793 addi a5,a5,1804 # 800074d0 + 80004dcc: 0007b503 ld a0,0(a5) + 80004dd0: 0087b583 ld a1,8(a5) + 80004dd4: ff010113 addi sp,sp,-16 + 80004dd8: 00000693 li a3,0 + 80004ddc: 00000613 li a2,0 + 80004de0: 40a585b3 sub a1,a1,a0 + 80004de4: 00113423 sd ra,8(sp) + 80004de8: 224010ef jal ra,8000600c + 80004dec: 00813083 ld ra,8(sp) + 80004df0: 00003797 auipc a5,0x3 + 80004df4: c0a7bc23 sd a0,-1000(a5) # 80007a08 + 80004df8: 01010113 addi sp,sp,16 + 80004dfc: 00008067 ret + +0000000080004e00 <_out_buffer>: + 80004e00: 00d67663 bgeu a2,a3,80004e0c <_out_buffer+0xc> + 80004e04: 00c585b3 add a1,a1,a2 + 80004e08: 00a58023 sb a0,0(a1) + 80004e0c: 00008067 ret + +0000000080004e10 <_out_null>: + 80004e10: 00008067 ret + +0000000080004e14 <_ntoa_format>: + 80004e14: fa010113 addi sp,sp,-96 + 80004e18: 07012303 lw t1,112(sp) + 80004e1c: 03313c23 sd s3,56(sp) + 80004e20: 03413823 sd s4,48(sp) + 80004e24: 03513423 sd s5,40(sp) + 80004e28: 03613023 sd s6,32(sp) + 80004e2c: 01713c23 sd s7,24(sp) + 80004e30: 01813823 sd s8,16(sp) + 80004e34: 01913423 sd s9,8(sp) + 80004e38: 00237e13 andi t3,t1,2 + 80004e3c: 01037e93 andi t4,t1,16 + 80004e40: 04113c23 sd ra,88(sp) + 80004e44: 04813823 sd s0,80(sp) + 80004e48: 04913423 sd s1,72(sp) + 80004e4c: 05213023 sd s2,64(sp) + 80004e50: 06012f03 lw t5,96(sp) + 80004e54: 06812c03 lw s8,104(sp) + 80004e58: 00050a13 mv s4,a0 + 80004e5c: 00058a93 mv s5,a1 + 80004e60: 00060b93 mv s7,a2 + 80004e64: 00068b13 mv s6,a3 + 80004e68: 00070993 mv s3,a4 + 80004e6c: 000e0c9b sext.w s9,t3 + 80004e70: 000e8e9b sext.w t4,t4 + 80004e74: 060e1c63 bnez t3,80004eec <_ntoa_format+0xd8> + 80004e78: 020f1693 slli a3,t5,0x20 + 80004e7c: 00137513 andi a0,t1,1 + 80004e80: 0206d693 srli a3,a3,0x20 + 80004e84: 180c1e63 bnez s8,80005020 <_ntoa_format+0x20c> + 80004e88: 02d7f663 bgeu a5,a3,80004eb4 <_ntoa_format+0xa0> + 80004e8c: 02000713 li a4,32 + 80004e90: 36e78e63 beq a5,a4,8000520c <_ntoa_format+0x3f8> + 80004e94: 03000613 li a2,48 + 80004e98: 02000593 li a1,32 + 80004e9c: 0080006f j 80004ea4 <_ntoa_format+0x90> + 80004ea0: 00b78a63 beq a5,a1,80004eb4 <_ntoa_format+0xa0> + 80004ea4: 00178793 addi a5,a5,1 + 80004ea8: 00f98733 add a4,s3,a5 + 80004eac: fec70fa3 sb a2,-1(a4) + 80004eb0: fed7e8e3 bltu a5,a3,80004ea0 <_ntoa_format+0x8c> + 80004eb4: 02050c63 beqz a0,80004eec <_ntoa_format+0xd8> + 80004eb8: 020c1913 slli s2,s8,0x20 + 80004ebc: 02095913 srli s2,s2,0x20 + 80004ec0: 0327f663 bgeu a5,s2,80004eec <_ntoa_format+0xd8> + 80004ec4: 02000713 li a4,32 + 80004ec8: 36e78863 beq a5,a4,80005238 <_ntoa_format+0x424> + 80004ecc: 03000693 li a3,48 + 80004ed0: 02000613 li a2,32 + 80004ed4: 0080006f j 80004edc <_ntoa_format+0xc8> + 80004ed8: 16c78463 beq a5,a2,80005040 <_ntoa_format+0x22c> + 80004edc: 00178793 addi a5,a5,1 + 80004ee0: 00f98733 add a4,s3,a5 + 80004ee4: fed70fa3 sb a3,-1(a4) + 80004ee8: ff2798e3 bne a5,s2,80004ed8 <_ntoa_format+0xc4> + 80004eec: 1a0e8663 beqz t4,80005098 <_ntoa_format+0x284> + 80004ef0: 40037713 andi a4,t1,1024 + 80004ef4: 20071463 bnez a4,800050fc <_ntoa_format+0x2e8> + 80004ef8: 1e079663 bnez a5,800050e4 <_ntoa_format+0x2d0> + 80004efc: 01000793 li a5,16 + 80004f00: 2af88863 beq a7,a5,800051b0 <_ntoa_format+0x39c> + 80004f04: 00200793 li a5,2 + 80004f08: 2ef88a63 beq a7,a5,800051fc <_ntoa_format+0x3e8> + 80004f0c: 03000793 li a5,48 + 80004f10: 00f98023 sb a5,0(s3) + 80004f14: 00100793 li a5,1 + 80004f18: 1a080463 beqz a6,800050c0 <_ntoa_format+0x2ac> + 80004f1c: 00f98733 add a4,s3,a5 + 80004f20: 00178413 addi s0,a5,1 + 80004f24: 02d00793 li a5,45 + 80004f28: 00f70023 sb a5,0(a4) + 80004f2c: 00337313 andi t1,t1,3 + 80004f30: 20031263 bnez t1,80005134 <_ntoa_format+0x320> + 80004f34: 020c1913 slli s2,s8,0x20 + 80004f38: 02095913 srli s2,s2,0x20 + 80004f3c: 1f247c63 bgeu s0,s2,80005134 <_ntoa_format+0x320> + 80004f40: 40890933 sub s2,s2,s0 + 80004f44: 01790933 add s2,s2,s7 + 80004f48: 000b8493 mv s1,s7 + 80004f4c: 00048613 mv a2,s1 + 80004f50: 000b0693 mv a3,s6 + 80004f54: 00148493 addi s1,s1,1 + 80004f58: 000a8593 mv a1,s5 + 80004f5c: 02000513 li a0,32 + 80004f60: 000a00e7 jalr s4 + 80004f64: ff2494e3 bne s1,s2,80004f4c <_ntoa_format+0x138> + 80004f68: 02040663 beqz s0,80004f94 <_ntoa_format+0x180> + 80004f6c: 01240933 add s2,s0,s2 + 80004f70: 012984b3 add s1,s3,s2 + 80004f74: 00898433 add s0,s3,s0 + 80004f78: fff44503 lbu a0,-1(s0) + 80004f7c: 40848633 sub a2,s1,s0 + 80004f80: 000b0693 mv a3,s6 + 80004f84: fff40413 addi s0,s0,-1 + 80004f88: 000a8593 mv a1,s5 + 80004f8c: 000a00e7 jalr s4 + 80004f90: fe8994e3 bne s3,s0,80004f78 <_ntoa_format+0x164> + 80004f94: 040c8a63 beqz s9,80004fe8 <_ntoa_format+0x1d4> + 80004f98: 020c1c13 slli s8,s8,0x20 + 80004f9c: 41790433 sub s0,s2,s7 + 80004fa0: 020c5c13 srli s8,s8,0x20 + 80004fa4: 05847263 bgeu s0,s8,80004fe8 <_ntoa_format+0x1d4> + 80004fa8: 01740633 add a2,s0,s7 + 80004fac: 000b0693 mv a3,s6 + 80004fb0: 00140413 addi s0,s0,1 + 80004fb4: 000a8593 mv a1,s5 + 80004fb8: 02000513 li a0,32 + 80004fbc: 000a00e7 jalr s4 + 80004fc0: ff8464e3 bltu s0,s8,80004fa8 <_ntoa_format+0x194> + 80004fc4: 41790733 sub a4,s2,s7 + 80004fc8: 00170713 addi a4,a4,1 + 80004fcc: 00000793 li a5,0 + 80004fd0: 00ec6863 bltu s8,a4,80004fe0 <_ntoa_format+0x1cc> + 80004fd4: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 80004fd8: 01878c33 add s8,a5,s8 + 80004fdc: 412c07b3 sub a5,s8,s2 + 80004fe0: 00190913 addi s2,s2,1 + 80004fe4: 00f90933 add s2,s2,a5 + 80004fe8: 05813083 ld ra,88(sp) + 80004fec: 05013403 ld s0,80(sp) + 80004ff0: 04813483 ld s1,72(sp) + 80004ff4: 03813983 ld s3,56(sp) + 80004ff8: 03013a03 ld s4,48(sp) + 80004ffc: 02813a83 ld s5,40(sp) + 80005000: 02013b03 ld s6,32(sp) + 80005004: 01813b83 ld s7,24(sp) + 80005008: 01013c03 ld s8,16(sp) + 8000500c: 00813c83 ld s9,8(sp) + 80005010: 00090513 mv a0,s2 + 80005014: 04013903 ld s2,64(sp) + 80005018: 06010113 addi sp,sp,96 + 8000501c: 00008067 ret + 80005020: 12050863 beqz a0,80005150 <_ntoa_format+0x33c> + 80005024: 00081663 bnez a6,80005030 <_ntoa_format+0x21c> + 80005028: 00c37713 andi a4,t1,12 + 8000502c: 00070463 beqz a4,80005034 <_ntoa_format+0x220> + 80005030: fffc0c1b addiw s8,s8,-1 + 80005034: e8d7f2e3 bgeu a5,a3,80004eb8 <_ntoa_format+0xa4> + 80005038: 02000713 li a4,32 + 8000503c: e4e79ce3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 80005040: 0e0e8063 beqz t4,80005120 <_ntoa_format+0x30c> + 80005044: 40037793 andi a5,t1,1024 + 80005048: 04079c63 bnez a5,800050a0 <_ntoa_format+0x28c> + 8000504c: 02000793 li a5,32 + 80005050: 0aff1063 bne t5,a5,800050f0 <_ntoa_format+0x2dc> + 80005054: 02000793 li a5,32 + 80005058: 01f00713 li a4,31 + 8000505c: 01000693 li a3,16 + 80005060: 1ad88c63 beq a7,a3,80005218 <_ntoa_format+0x404> + 80005064: 00200693 li a3,2 + 80005068: 00070793 mv a5,a4 + 8000506c: 00d89e63 bne a7,a3,80005088 <_ntoa_format+0x274> + 80005070: 00e986b3 add a3,s3,a4 + 80005074: 00170793 addi a5,a4,1 + 80005078: 06200713 li a4,98 + 8000507c: 00e68023 sb a4,0(a3) + 80005080: 02000713 li a4,32 + 80005084: 00e78e63 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005088: 00f98733 add a4,s3,a5 + 8000508c: 03000693 li a3,48 + 80005090: 00d70023 sb a3,0(a4) + 80005094: 00178793 addi a5,a5,1 + 80005098: 02000713 li a4,32 + 8000509c: e6e79ee3 bne a5,a4,80004f18 <_ntoa_format+0x104> + 800050a0: 00337313 andi t1,t1,3 + 800050a4: 08031263 bnez t1,80005128 <_ntoa_format+0x314> + 800050a8: 02000413 li s0,32 + 800050ac: 020c1913 slli s2,s8,0x20 + 800050b0: 02095913 srli s2,s2,0x20 + 800050b4: e92466e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 800050b8: 000b8913 mv s2,s7 + 800050bc: eadff06f j 80004f68 <_ntoa_format+0x154> + 800050c0: 00437713 andi a4,t1,4 + 800050c4: 06071c63 bnez a4,8000513c <_ntoa_format+0x328> + 800050c8: 00837713 andi a4,t1,8 + 800050cc: 0c071863 bnez a4,8000519c <_ntoa_format+0x388> + 800050d0: 00337313 andi t1,t1,3 + 800050d4: 00078413 mv s0,a5 + 800050d8: fc030ae3 beqz t1,800050ac <_ntoa_format+0x298> + 800050dc: 000b8913 mv s2,s7 + 800050e0: e89ff06f j 80004f68 <_ntoa_format+0x154> + 800050e4: 020f1f13 slli t5,t5,0x20 + 800050e8: 020f5f13 srli t5,t5,0x20 + 800050ec: 0aff0263 beq t5,a5,80005190 <_ntoa_format+0x37c> + 800050f0: 020c1913 slli s2,s8,0x20 + 800050f4: 02095913 srli s2,s2,0x20 + 800050f8: 08f90c63 beq s2,a5,80005190 <_ntoa_format+0x37c> + 800050fc: 01000713 li a4,16 + 80005100: 06e88663 beq a7,a4,8000516c <_ntoa_format+0x358> + 80005104: 00200713 li a4,2 + 80005108: f6e89ce3 bne a7,a4,80005080 <_ntoa_format+0x26c> + 8000510c: 02000713 li a4,32 + 80005110: f8e788e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005114: 00f986b3 add a3,s3,a5 + 80005118: 00178793 addi a5,a5,1 + 8000511c: f5dff06f j 80005078 <_ntoa_format+0x264> + 80005120: 00337313 andi t1,t1,3 + 80005124: 0c030063 beqz t1,800051e4 <_ntoa_format+0x3d0> + 80005128: 000b8913 mv s2,s7 + 8000512c: 02000413 li s0,32 + 80005130: e3dff06f j 80004f6c <_ntoa_format+0x158> + 80005134: 000b8913 mv s2,s7 + 80005138: e35ff06f j 80004f6c <_ntoa_format+0x158> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 00178413 addi s0,a5,1 + 80005144: 02b00793 li a5,43 + 80005148: 00f70023 sb a5,0(a4) + 8000514c: de1ff06f j 80004f2c <_ntoa_format+0x118> + 80005150: d8d7fee3 bgeu a5,a3,80004eec <_ntoa_format+0xd8> + 80005154: 02000713 li a4,32 + 80005158: d2e79ee3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 8000515c: 0e0e8c63 beqz t4,80005254 <_ntoa_format+0x440> + 80005160: 40037793 andi a5,t1,1024 + 80005164: f2079ee3 bnez a5,800050a0 <_ntoa_format+0x28c> + 80005168: ee5ff06f j 8000504c <_ntoa_format+0x238> + 8000516c: 02037713 andi a4,t1,32 + 80005170: 04071c63 bnez a4,800051c8 <_ntoa_format+0x3b4> + 80005174: 02000713 li a4,32 + 80005178: f2e784e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 8000517c: 00f98733 add a4,s3,a5 + 80005180: 00178793 addi a5,a5,1 + 80005184: 07800693 li a3,120 + 80005188: 00d70023 sb a3,0(a4) + 8000518c: ef5ff06f j 80005080 <_ntoa_format+0x26c> + 80005190: fff78713 addi a4,a5,-1 + 80005194: d60704e3 beqz a4,80004efc <_ntoa_format+0xe8> + 80005198: ec5ff06f j 8000505c <_ntoa_format+0x248> + 8000519c: 00f98733 add a4,s3,a5 + 800051a0: 00178413 addi s0,a5,1 + 800051a4: 02000793 li a5,32 + 800051a8: 00f70023 sb a5,0(a4) + 800051ac: d81ff06f j 80004f2c <_ntoa_format+0x118> + 800051b0: 02037793 andi a5,t1,32 + 800051b4: 02079c63 bnez a5,800051ec <_ntoa_format+0x3d8> + 800051b8: 07800793 li a5,120 + 800051bc: 00f98023 sb a5,0(s3) + 800051c0: 00100793 li a5,1 + 800051c4: ec5ff06f j 80005088 <_ntoa_format+0x274> + 800051c8: 02000713 li a4,32 + 800051cc: ece78ae3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 800051d0: 00f98733 add a4,s3,a5 + 800051d4: 05800693 li a3,88 + 800051d8: 00d70023 sb a3,0(a4) + 800051dc: 00178793 addi a5,a5,1 + 800051e0: ea1ff06f j 80005080 <_ntoa_format+0x26c> + 800051e4: 02000413 li s0,32 + 800051e8: d4dff06f j 80004f34 <_ntoa_format+0x120> + 800051ec: 05800793 li a5,88 + 800051f0: 00f98023 sb a5,0(s3) + 800051f4: 00100793 li a5,1 + 800051f8: e91ff06f j 80005088 <_ntoa_format+0x274> + 800051fc: 06200793 li a5,98 + 80005200: 00f98023 sb a5,0(s3) + 80005204: 00100793 li a5,1 + 80005208: e81ff06f j 80005088 <_ntoa_format+0x274> + 8000520c: e2051ae3 bnez a0,80005040 <_ntoa_format+0x22c> + 80005210: 000c8c13 mv s8,s9 + 80005214: f49ff06f j 8000515c <_ntoa_format+0x348> + 80005218: 02037693 andi a3,t1,32 + 8000521c: ffe78713 addi a4,a5,-2 + 80005220: 00069863 bnez a3,80005230 <_ntoa_format+0x41c> + 80005224: 00e98733 add a4,s3,a4 + 80005228: fff78793 addi a5,a5,-1 + 8000522c: f59ff06f j 80005184 <_ntoa_format+0x370> + 80005230: 00070793 mv a5,a4 + 80005234: f9dff06f j 800051d0 <_ntoa_format+0x3bc> + 80005238: 000e8e63 beqz t4,80005254 <_ntoa_format+0x440> + 8000523c: 40037713 andi a4,t1,1024 + 80005240: 00071e63 bnez a4,8000525c <_ntoa_format+0x448> + 80005244: 02ff0e63 beq t5,a5,80005280 <_ntoa_format+0x46c> + 80005248: e4fc1ce3 bne s8,a5,800050a0 <_ntoa_format+0x28c> + 8000524c: 01f00713 li a4,31 + 80005250: e0dff06f j 8000505c <_ntoa_format+0x248> + 80005254: 02000413 li s0,32 + 80005258: cd5ff06f j 80004f2c <_ntoa_format+0x118> + 8000525c: 01000793 li a5,16 + 80005260: 02f88c63 beq a7,a5,80005298 <_ntoa_format+0x484> + 80005264: 00200793 li a5,2 + 80005268: e2f88ce3 beq a7,a5,800050a0 <_ntoa_format+0x28c> + 8000526c: 00337313 andi t1,t1,3 + 80005270: ea031ce3 bnez t1,80005128 <_ntoa_format+0x314> + 80005274: 02000413 li s0,32 + 80005278: cd2464e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 8000527c: e3dff06f j 800050b8 <_ntoa_format+0x2a4> + 80005280: 01000713 li a4,16 + 80005284: f8e88ae3 beq a7,a4,80005218 <_ntoa_format+0x404> + 80005288: 00200793 li a5,2 + 8000528c: 00f88c63 beq a7,a5,800052a4 <_ntoa_format+0x490> + 80005290: 01f00793 li a5,31 + 80005294: df5ff06f j 80005088 <_ntoa_format+0x274> + 80005298: 02037793 andi a5,t1,32 + 8000529c: fc0788e3 beqz a5,8000526c <_ntoa_format+0x458> + 800052a0: e01ff06f j 800050a0 <_ntoa_format+0x28c> + 800052a4: 01f00713 li a4,31 + 800052a8: dc9ff06f j 80005070 <_ntoa_format+0x25c> + +00000000800052ac <_ntoa_long>: + 800052ac: f4010113 addi sp,sp,-192 + 800052b0: 09413823 sd s4,144(sp) + 800052b4: 09513423 sd s5,136(sp) + 800052b8: 09613023 sd s6,128(sp) + 800052bc: 07713c23 sd s7,120(sp) + 800052c0: 07813823 sd s8,112(sp) + 800052c4: 07913423 sd s9,104(sp) + 800052c8: 05b13c23 sd s11,88(sp) + 800052cc: 0a113c23 sd ra,184(sp) + 800052d0: 0a813823 sd s0,176(sp) + 800052d4: 0a913423 sd s1,168(sp) + 800052d8: 0b213023 sd s2,160(sp) + 800052dc: 09313c23 sd s3,152(sp) + 800052e0: 07a13023 sd s10,96(sp) + 800052e4: 02a13023 sd a0,32(sp) + 800052e8: 03113423 sd a7,40(sp) + 800052ec: 0c812a03 lw s4,200(sp) + 800052f0: 00070d93 mv s11,a4 + 800052f4: 00058b13 mv s6,a1 + 800052f8: 00060b93 mv s7,a2 + 800052fc: 00068c13 mv s8,a3 + 80005300: 00078c93 mv s9,a5 + 80005304: 00080a93 mv s5,a6 + 80005308: 00071863 bnez a4,80005318 <_ntoa_long+0x6c> + 8000530c: 400a7793 andi a5,s4,1024 + 80005310: fefa7a13 andi s4,s4,-17 + 80005314: 0e079663 bnez a5,80005400 <_ntoa_long+0x154> + 80005318: 020a7793 andi a5,s4,32 + 8000531c: 06100413 li s0,97 + 80005320: 0c079c63 bnez a5,800053f8 <_ntoa_long+0x14c> + 80005324: 00000d13 li s10,0 + 80005328: 03010493 addi s1,sp,48 + 8000532c: 00900913 li s2,9 + 80005330: ff64041b addiw s0,s0,-10 + 80005334: 02000993 li s3,32 + 80005338: 00c0006f j 80005344 <_ntoa_long+0x98> + 8000533c: 053d0463 beq s10,s3,80005384 <_ntoa_long+0xd8> + 80005340: 00050d93 mv s11,a0 + 80005344: 000a8593 mv a1,s5 + 80005348: 000d8513 mv a0,s11 + 8000534c: e3cff0ef jal ra,80004988 <__umoddi3> + 80005350: 0ff57313 andi t1,a0,255 + 80005354: 0303071b addiw a4,t1,48 + 80005358: 0064033b addw t1,s0,t1 + 8000535c: 0ff37313 andi t1,t1,255 + 80005360: 00a96463 bltu s2,a0,80005368 <_ntoa_long+0xbc> + 80005364: 0ff77313 andi t1,a4,255 + 80005368: 001d0d13 addi s10,s10,1 + 8000536c: 01a48733 add a4,s1,s10 + 80005370: 000d8513 mv a0,s11 + 80005374: 000a8593 mv a1,s5 + 80005378: fe670fa3 sb t1,-1(a4) + 8000537c: dc4ff0ef jal ra,80004940 <__udivdi3> + 80005380: fb5dfee3 bgeu s11,s5,8000533c <_ntoa_long+0x90> + 80005384: 0c012703 lw a4,192(sp) + 80005388: 02813783 ld a5,40(sp) + 8000538c: 02013503 ld a0,32(sp) + 80005390: 01413823 sd s4,16(sp) + 80005394: 00e13423 sd a4,8(sp) + 80005398: 00f13023 sd a5,0(sp) + 8000539c: 000a889b sext.w a7,s5 + 800053a0: 000c8813 mv a6,s9 + 800053a4: 000d0793 mv a5,s10 + 800053a8: 00048713 mv a4,s1 + 800053ac: 000c0693 mv a3,s8 + 800053b0: 000b8613 mv a2,s7 + 800053b4: 000b0593 mv a1,s6 + 800053b8: a5dff0ef jal ra,80004e14 <_ntoa_format> + 800053bc: 0b813083 ld ra,184(sp) + 800053c0: 0b013403 ld s0,176(sp) + 800053c4: 0a813483 ld s1,168(sp) + 800053c8: 0a013903 ld s2,160(sp) + 800053cc: 09813983 ld s3,152(sp) + 800053d0: 09013a03 ld s4,144(sp) + 800053d4: 08813a83 ld s5,136(sp) + 800053d8: 08013b03 ld s6,128(sp) + 800053dc: 07813b83 ld s7,120(sp) + 800053e0: 07013c03 ld s8,112(sp) + 800053e4: 06813c83 ld s9,104(sp) + 800053e8: 06013d03 ld s10,96(sp) + 800053ec: 05813d83 ld s11,88(sp) + 800053f0: 0c010113 addi sp,sp,192 + 800053f4: 00008067 ret + 800053f8: 04100413 li s0,65 + 800053fc: f29ff06f j 80005324 <_ntoa_long+0x78> + 80005400: 00000d13 li s10,0 + 80005404: 03010493 addi s1,sp,48 + 80005408: f7dff06f j 80005384 <_ntoa_long+0xd8> + +000000008000540c <_ntoa_long_long>: + 8000540c: f4010113 addi sp,sp,-192 + 80005410: 09413823 sd s4,144(sp) + 80005414: 09513423 sd s5,136(sp) + 80005418: 09613023 sd s6,128(sp) + 8000541c: 07713c23 sd s7,120(sp) + 80005420: 07813823 sd s8,112(sp) + 80005424: 07913423 sd s9,104(sp) + 80005428: 05b13c23 sd s11,88(sp) + 8000542c: 0a113c23 sd ra,184(sp) + 80005430: 0a813823 sd s0,176(sp) + 80005434: 0a913423 sd s1,168(sp) + 80005438: 0b213023 sd s2,160(sp) + 8000543c: 09313c23 sd s3,152(sp) + 80005440: 07a13023 sd s10,96(sp) + 80005444: 02a13023 sd a0,32(sp) + 80005448: 03113423 sd a7,40(sp) + 8000544c: 0c812a03 lw s4,200(sp) + 80005450: 00070d93 mv s11,a4 + 80005454: 00058b13 mv s6,a1 + 80005458: 00060b93 mv s7,a2 + 8000545c: 00068c13 mv s8,a3 + 80005460: 00078c93 mv s9,a5 + 80005464: 00080a93 mv s5,a6 + 80005468: 00071863 bnez a4,80005478 <_ntoa_long_long+0x6c> + 8000546c: 400a7793 andi a5,s4,1024 + 80005470: fefa7a13 andi s4,s4,-17 + 80005474: 0e079663 bnez a5,80005560 <_ntoa_long_long+0x154> + 80005478: 020a7793 andi a5,s4,32 + 8000547c: 06100413 li s0,97 + 80005480: 0c079c63 bnez a5,80005558 <_ntoa_long_long+0x14c> + 80005484: 00000d13 li s10,0 + 80005488: 03010493 addi s1,sp,48 + 8000548c: 00900913 li s2,9 + 80005490: ff64041b addiw s0,s0,-10 + 80005494: 02000993 li s3,32 + 80005498: 00c0006f j 800054a4 <_ntoa_long_long+0x98> + 8000549c: 053d0463 beq s10,s3,800054e4 <_ntoa_long_long+0xd8> + 800054a0: 00050d93 mv s11,a0 + 800054a4: 000a8593 mv a1,s5 + 800054a8: 000d8513 mv a0,s11 + 800054ac: cdcff0ef jal ra,80004988 <__umoddi3> + 800054b0: 0ff57313 andi t1,a0,255 + 800054b4: 0303071b addiw a4,t1,48 + 800054b8: 0064033b addw t1,s0,t1 + 800054bc: 0ff37313 andi t1,t1,255 + 800054c0: 00a96463 bltu s2,a0,800054c8 <_ntoa_long_long+0xbc> + 800054c4: 0ff77313 andi t1,a4,255 + 800054c8: 001d0d13 addi s10,s10,1 + 800054cc: 01a48733 add a4,s1,s10 + 800054d0: 000d8513 mv a0,s11 + 800054d4: 000a8593 mv a1,s5 + 800054d8: fe670fa3 sb t1,-1(a4) + 800054dc: c64ff0ef jal ra,80004940 <__udivdi3> + 800054e0: fb5dfee3 bgeu s11,s5,8000549c <_ntoa_long_long+0x90> + 800054e4: 0c012703 lw a4,192(sp) + 800054e8: 02813783 ld a5,40(sp) + 800054ec: 02013503 ld a0,32(sp) + 800054f0: 01413823 sd s4,16(sp) + 800054f4: 00e13423 sd a4,8(sp) + 800054f8: 00f13023 sd a5,0(sp) + 800054fc: 000a889b sext.w a7,s5 + 80005500: 000c8813 mv a6,s9 + 80005504: 000d0793 mv a5,s10 + 80005508: 00048713 mv a4,s1 + 8000550c: 000c0693 mv a3,s8 + 80005510: 000b8613 mv a2,s7 + 80005514: 000b0593 mv a1,s6 + 80005518: 8fdff0ef jal ra,80004e14 <_ntoa_format> + 8000551c: 0b813083 ld ra,184(sp) + 80005520: 0b013403 ld s0,176(sp) + 80005524: 0a813483 ld s1,168(sp) + 80005528: 0a013903 ld s2,160(sp) + 8000552c: 09813983 ld s3,152(sp) + 80005530: 09013a03 ld s4,144(sp) + 80005534: 08813a83 ld s5,136(sp) + 80005538: 08013b03 ld s6,128(sp) + 8000553c: 07813b83 ld s7,120(sp) + 80005540: 07013c03 ld s8,112(sp) + 80005544: 06813c83 ld s9,104(sp) + 80005548: 06013d03 ld s10,96(sp) + 8000554c: 05813d83 ld s11,88(sp) + 80005550: 0c010113 addi sp,sp,192 + 80005554: 00008067 ret + 80005558: 04100413 li s0,65 + 8000555c: f29ff06f j 80005484 <_ntoa_long_long+0x78> + 80005560: 00000d13 li s10,0 + 80005564: 03010493 addi s1,sp,48 + 80005568: f7dff06f j 800054e4 <_ntoa_long_long+0xd8> + +000000008000556c <_vsnprintf>: + 8000556c: f6010113 addi sp,sp,-160 + 80005570: 08913423 sd s1,136(sp) + 80005574: 09213023 sd s2,128(sp) + 80005578: 07313c23 sd s3,120(sp) + 8000557c: 05713c23 sd s7,88(sp) + 80005580: 05813823 sd s8,80(sp) + 80005584: 08113c23 sd ra,152(sp) + 80005588: 08813823 sd s0,144(sp) + 8000558c: 07413823 sd s4,112(sp) + 80005590: 07513423 sd s5,104(sp) + 80005594: 07613023 sd s6,96(sp) + 80005598: 05913423 sd s9,72(sp) + 8000559c: 05a13023 sd s10,64(sp) + 800055a0: 03b13c23 sd s11,56(sp) + 800055a4: 00058493 mv s1,a1 + 800055a8: 00060c13 mv s8,a2 + 800055ac: 00068b93 mv s7,a3 + 800055b0: 00070993 mv s3,a4 + 800055b4: 00000917 auipc s2,0x0 + 800055b8: 85c90913 addi s2,s2,-1956 # 80004e10 <_out_null> + 800055bc: 00058463 beqz a1,800055c4 <_vsnprintf+0x58> + 800055c0: 00050913 mv s2,a0 + 800055c4: 000bc503 lbu a0,0(s7) + 800055c8: 00000d13 li s10,0 + 800055cc: 66050663 beqz a0,80005c38 <_vsnprintf+0x6cc> + 800055d0: 000107b7 lui a5,0x10 + 800055d4: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800055d8: 02500a13 li s4,37 + 800055dc: 00001417 auipc s0,0x1 + 800055e0: 56c40413 addi s0,s0,1388 # 80006b48 + 800055e4: 00f13c23 sd a5,24(sp) + 800055e8: 0200006f j 80005608 <_vsnprintf+0x9c> + 800055ec: 000d0613 mv a2,s10 + 800055f0: 000c0693 mv a3,s8 + 800055f4: 00048593 mv a1,s1 + 800055f8: 001d0d13 addi s10,s10,1 + 800055fc: 000900e7 jalr s2 + 80005600: 000bc503 lbu a0,0(s7) + 80005604: 1c050263 beqz a0,800057c8 <_vsnprintf+0x25c> + 80005608: 001b8b93 addi s7,s7,1 + 8000560c: ff4510e3 bne a0,s4,800055ec <_vsnprintf+0x80> + 80005610: 00000593 li a1,0 + 80005614: 01000813 li a6,16 + 80005618: 000bc503 lbu a0,0(s7) + 8000561c: 001b8713 addi a4,s7,1 + 80005620: 00070613 mv a2,a4 + 80005624: fe05079b addiw a5,a0,-32 + 80005628: 0ff7f793 andi a5,a5,255 + 8000562c: 00f86c63 bltu a6,a5,80005644 <_vsnprintf+0xd8> + 80005630: 00279793 slli a5,a5,0x2 + 80005634: 008787b3 add a5,a5,s0 + 80005638: 0007a783 lw a5,0(a5) + 8000563c: 008787b3 add a5,a5,s0 + 80005640: 00078067 jr a5 + 80005644: fd05079b addiw a5,a0,-48 + 80005648: 0ff7f793 andi a5,a5,255 + 8000564c: 00900813 li a6,9 + 80005650: 0ef87c63 bgeu a6,a5,80005748 <_vsnprintf+0x1dc> + 80005654: 02a00793 li a5,42 + 80005658: 20f50463 beq a0,a5,80005860 <_vsnprintf+0x2f4> + 8000565c: 000b8613 mv a2,s7 + 80005660: 00000d93 li s11,0 + 80005664: 00070b93 mv s7,a4 + 80005668: 02e00793 li a5,46 + 8000566c: 00000c93 li s9,0 + 80005670: 12f50463 beq a0,a5,80005798 <_vsnprintf+0x22c> + 80005674: f985079b addiw a5,a0,-104 + 80005678: 0ff7f793 andi a5,a5,255 + 8000567c: 01200713 li a4,18 + 80005680: 08f76463 bltu a4,a5,80005708 <_vsnprintf+0x19c> + 80005684: 00001717 auipc a4,0x1 + 80005688: 50870713 addi a4,a4,1288 # 80006b8c + 8000568c: 00279793 slli a5,a5,0x2 + 80005690: 00e787b3 add a5,a5,a4 + 80005694: 0007a783 lw a5,0(a5) + 80005698: 00e787b3 add a5,a5,a4 + 8000569c: 00078067 jr a5 + 800056a0: 0015e593 ori a1,a1,1 + 800056a4: 0005859b sext.w a1,a1 + 800056a8: 00070b93 mv s7,a4 + 800056ac: f6dff06f j 80005618 <_vsnprintf+0xac> + 800056b0: 0025e593 ori a1,a1,2 + 800056b4: 0005859b sext.w a1,a1 + 800056b8: 00070b93 mv s7,a4 + 800056bc: f5dff06f j 80005618 <_vsnprintf+0xac> + 800056c0: 0045e593 ori a1,a1,4 + 800056c4: 0005859b sext.w a1,a1 + 800056c8: 00070b93 mv s7,a4 + 800056cc: f4dff06f j 80005618 <_vsnprintf+0xac> + 800056d0: 0105e593 ori a1,a1,16 + 800056d4: 0005859b sext.w a1,a1 + 800056d8: 00070b93 mv s7,a4 + 800056dc: f3dff06f j 80005618 <_vsnprintf+0xac> + 800056e0: 0085e593 ori a1,a1,8 + 800056e4: 0005859b sext.w a1,a1 + 800056e8: 00070b93 mv s7,a4 + 800056ec: f2dff06f j 80005618 <_vsnprintf+0xac> + 800056f0: 00164503 lbu a0,1(a2) + 800056f4: 06800793 li a5,104 + 800056f8: 52f50663 beq a0,a5,80005c24 <_vsnprintf+0x6b8> + 800056fc: 0805e593 ori a1,a1,128 + 80005700: 0005859b sext.w a1,a1 + 80005704: 001b8b93 addi s7,s7,1 + 80005708: fdb5079b addiw a5,a0,-37 + 8000570c: 0ff7f793 andi a5,a5,255 + 80005710: 05300713 li a4,83 + 80005714: ecf76ce3 bltu a4,a5,800055ec <_vsnprintf+0x80> + 80005718: 00001717 auipc a4,0x1 + 8000571c: 4c070713 addi a4,a4,1216 # 80006bd8 + 80005720: 00279793 slli a5,a5,0x2 + 80005724: 00e787b3 add a5,a5,a4 + 80005728: 0007a783 lw a5,0(a5) + 8000572c: 00e787b3 add a5,a5,a4 + 80005730: 00078067 jr a5 + 80005734: 00164503 lbu a0,1(a2) + 80005738: 1005e593 ori a1,a1,256 + 8000573c: 0005859b sext.w a1,a1 + 80005740: 001b8b93 addi s7,s7,1 + 80005744: fc5ff06f j 80005708 <_vsnprintf+0x19c> + 80005748: 00000d93 li s11,0 + 8000574c: 00900813 li a6,9 + 80005750: 0080006f j 80005758 <_vsnprintf+0x1ec> + 80005754: 00170713 addi a4,a4,1 + 80005758: 002d979b slliw a5,s11,0x2 + 8000575c: 01b787bb addw a5,a5,s11 + 80005760: 0017979b slliw a5,a5,0x1 + 80005764: 00a787bb addw a5,a5,a0 + 80005768: 00074503 lbu a0,0(a4) + 8000576c: 000b8893 mv a7,s7 + 80005770: fd078d9b addiw s11,a5,-48 + 80005774: fd05061b addiw a2,a0,-48 + 80005778: 0ff67613 andi a2,a2,255 + 8000577c: 00070b93 mv s7,a4 + 80005780: fcc87ae3 bgeu a6,a2,80005754 <_vsnprintf+0x1e8> + 80005784: 02e00793 li a5,46 + 80005788: 00070613 mv a2,a4 + 8000578c: 00288b93 addi s7,a7,2 + 80005790: 00000c93 li s9,0 + 80005794: eef510e3 bne a0,a5,80005674 <_vsnprintf+0x108> + 80005798: 00164503 lbu a0,1(a2) + 8000579c: 4005e593 ori a1,a1,1024 + 800057a0: 00900713 li a4,9 + 800057a4: fd05079b addiw a5,a0,-48 + 800057a8: 0ff7f793 andi a5,a5,255 + 800057ac: 0005859b sext.w a1,a1 + 800057b0: 06f77c63 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 800057b4: 02a00793 li a5,42 + 800057b8: 32f50e63 beq a0,a5,80005af4 <_vsnprintf+0x588> + 800057bc: 000b8613 mv a2,s7 + 800057c0: 001b8b93 addi s7,s7,1 + 800057c4: eb1ff06f j 80005674 <_vsnprintf+0x108> + 800057c8: 000d041b sext.w s0,s10 + 800057cc: 018d6463 bltu s10,s8,800057d4 <_vsnprintf+0x268> + 800057d0: fffc0d13 addi s10,s8,-1 + 800057d4: 000c0693 mv a3,s8 + 800057d8: 000d0613 mv a2,s10 + 800057dc: 00048593 mv a1,s1 + 800057e0: 00000513 li a0,0 + 800057e4: 000900e7 jalr s2 + 800057e8: 09813083 ld ra,152(sp) + 800057ec: 00040513 mv a0,s0 + 800057f0: 09013403 ld s0,144(sp) + 800057f4: 08813483 ld s1,136(sp) + 800057f8: 08013903 ld s2,128(sp) + 800057fc: 07813983 ld s3,120(sp) + 80005800: 07013a03 ld s4,112(sp) + 80005804: 06813a83 ld s5,104(sp) + 80005808: 06013b03 ld s6,96(sp) + 8000580c: 05813b83 ld s7,88(sp) + 80005810: 05013c03 ld s8,80(sp) + 80005814: 04813c83 ld s9,72(sp) + 80005818: 04013d03 ld s10,64(sp) + 8000581c: 03813d83 ld s11,56(sp) + 80005820: 0a010113 addi sp,sp,160 + 80005824: 00008067 ret + 80005828: 002c979b slliw a5,s9,0x2 + 8000582c: 019788bb addw a7,a5,s9 + 80005830: 000b8813 mv a6,s7 + 80005834: 0018989b slliw a7,a7,0x1 + 80005838: 001b8b93 addi s7,s7,1 + 8000583c: 00a888bb addw a7,a7,a0 + 80005840: 000bc503 lbu a0,0(s7) + 80005844: fd088c9b addiw s9,a7,-48 + 80005848: fd05079b addiw a5,a0,-48 + 8000584c: 0ff7f793 andi a5,a5,255 + 80005850: fcf77ce3 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 80005854: 000b8613 mv a2,s7 + 80005858: 00280b93 addi s7,a6,2 + 8000585c: e19ff06f j 80005674 <_vsnprintf+0x108> + 80005860: 0009a783 lw a5,0(s3) + 80005864: 00898993 addi s3,s3,8 + 80005868: 00078d9b sext.w s11,a5 + 8000586c: 0007c863 bltz a5,8000587c <_vsnprintf+0x310> + 80005870: 001bc503 lbu a0,1(s7) + 80005874: 002b8b93 addi s7,s7,2 + 80005878: df1ff06f j 80005668 <_vsnprintf+0xfc> + 8000587c: 0025e593 ori a1,a1,2 + 80005880: 001bc503 lbu a0,1(s7) + 80005884: 0005859b sext.w a1,a1 + 80005888: 40f00dbb negw s11,a5 + 8000588c: 002b8b93 addi s7,s7,2 + 80005890: dd9ff06f j 80005668 <_vsnprintf+0xfc> + 80005894: 07800793 li a5,120 + 80005898: 00898a93 addi s5,s3,8 + 8000589c: 56f50063 beq a0,a5,80005dfc <_vsnprintf+0x890> + 800058a0: 05800793 li a5,88 + 800058a4: 28f50463 beq a0,a5,80005b2c <_vsnprintf+0x5c0> + 800058a8: 06f00793 li a5,111 + 800058ac: 4af50c63 beq a0,a5,80005d64 <_vsnprintf+0x7f8> + 800058b0: 06200793 li a5,98 + 800058b4: 54f50063 beq a0,a5,80005df4 <_vsnprintf+0x888> + 800058b8: fef5ff13 andi t5,a1,-17 + 800058bc: 4005f793 andi a5,a1,1024 + 800058c0: 06900713 li a4,105 + 800058c4: 000f0f1b sext.w t5,t5 + 800058c8: 0007879b sext.w a5,a5 + 800058cc: 5ae51063 bne a0,a4,80005e6c <_vsnprintf+0x900> + 800058d0: 48079663 bnez a5,80005d5c <_vsnprintf+0x7f0> + 800058d4: 2005f593 andi a1,a1,512 + 800058d8: 0005879b sext.w a5,a1 + 800058dc: 00a00813 li a6,10 + 800058e0: 42079663 bnez a5,80005d0c <_vsnprintf+0x7a0> + 800058e4: 100f7793 andi a5,t5,256 + 800058e8: 000f0613 mv a2,t5 + 800058ec: 4e079063 bnez a5,80005dcc <_vsnprintf+0x860> + 800058f0: 040f7713 andi a4,t5,64 + 800058f4: 0009a783 lw a5,0(s3) + 800058f8: 4a071a63 bnez a4,80005dac <_vsnprintf+0x840> + 800058fc: 08067613 andi a2,a2,128 + 80005900: 52060a63 beqz a2,80005e34 <_vsnprintf+0x8c8> + 80005904: 0107979b slliw a5,a5,0x10 + 80005908: 4107d79b sraiw a5,a5,0x10 + 8000590c: 40f7d61b sraiw a2,a5,0xf + 80005910: 00c7c733 xor a4,a5,a2 + 80005914: 40c7073b subw a4,a4,a2 + 80005918: 03071713 slli a4,a4,0x30 + 8000591c: 03075713 srli a4,a4,0x30 + 80005920: 000d0613 mv a2,s10 + 80005924: 01e13423 sd t5,8(sp) + 80005928: 01b13023 sd s11,0(sp) + 8000592c: 000c8893 mv a7,s9 + 80005930: 01f7d79b srliw a5,a5,0x1f + 80005934: 000c0693 mv a3,s8 + 80005938: 00048593 mv a1,s1 + 8000593c: 00090513 mv a0,s2 + 80005940: 96dff0ef jal ra,800052ac <_ntoa_long> + 80005944: 00050d13 mv s10,a0 + 80005948: 000a8993 mv s3,s5 + 8000594c: cb5ff06f j 80005600 <_vsnprintf+0x94> + 80005950: 00164503 lbu a0,1(a2) + 80005954: 06c00793 li a5,108 + 80005958: def510e3 bne a0,a5,80005738 <_vsnprintf+0x1cc> + 8000595c: 3005e593 ori a1,a1,768 + 80005960: 00264503 lbu a0,2(a2) + 80005964: 0005859b sext.w a1,a1 + 80005968: 00360b93 addi s7,a2,3 + 8000596c: d9dff06f j 80005708 <_vsnprintf+0x19c> + 80005970: 00898793 addi a5,s3,8 + 80005974: 001d0813 addi a6,s10,1 + 80005978: 0025f593 andi a1,a1,2 + 8000597c: 02f13023 sd a5,32(sp) + 80005980: 00080b13 mv s6,a6 + 80005984: 32058263 beqz a1,80005ca8 <_vsnprintf+0x73c> + 80005988: 0009c503 lbu a0,0(s3) + 8000598c: 000c0693 mv a3,s8 + 80005990: 000d0613 mv a2,s10 + 80005994: 00048593 mv a1,s1 + 80005998: 000900e7 jalr s2 + 8000599c: 00100793 li a5,1 + 800059a0: 43b7f063 bgeu a5,s11,80005dc0 <_vsnprintf+0x854> + 800059a4: ffed879b addiw a5,s11,-2 + 800059a8: 02079a93 slli s5,a5,0x20 + 800059ac: 020ada93 srli s5,s5,0x20 + 800059b0: 002d0d13 addi s10,s10,2 + 800059b4: 015d0cb3 add s9,s10,s5 + 800059b8: 000b0613 mv a2,s6 + 800059bc: 000c0693 mv a3,s8 + 800059c0: 001b0b13 addi s6,s6,1 + 800059c4: 00048593 mv a1,s1 + 800059c8: 02000513 li a0,32 + 800059cc: 000900e7 jalr s2 + 800059d0: ff6c94e3 bne s9,s6,800059b8 <_vsnprintf+0x44c> + 800059d4: 02013983 ld s3,32(sp) + 800059d8: 015d0d33 add s10,s10,s5 + 800059dc: c25ff06f j 80005600 <_vsnprintf+0x94> + 800059e0: 000d0613 mv a2,s10 + 800059e4: 000c0693 mv a3,s8 + 800059e8: 00048593 mv a1,s1 + 800059ec: 02500513 li a0,37 + 800059f0: 001d0d13 addi s10,s10,1 + 800059f4: 000900e7 jalr s2 + 800059f8: c09ff06f j 80005600 <_vsnprintf+0x94> + 800059fc: 0009ba83 ld s5,0(s3) + 80005a00: 00898793 addi a5,s3,8 + 80005a04: 02f13023 sd a5,32(sp) + 80005a08: 000ac503 lbu a0,0(s5) + 80005a0c: 100c9663 bnez s9,80005b18 <_vsnprintf+0x5ac> + 80005a10: ffe00793 li a5,-2 + 80005a14: 42050863 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005a18: 00178693 addi a3,a5,1 + 80005a1c: 00da8633 add a2,s5,a3 + 80005a20: 000a8793 mv a5,s5 + 80005a24: 0080006f j 80005a2c <_vsnprintf+0x4c0> + 80005a28: 1ec78863 beq a5,a2,80005c18 <_vsnprintf+0x6ac> + 80005a2c: 0017c703 lbu a4,1(a5) + 80005a30: 00178793 addi a5,a5,1 + 80005a34: fe071ae3 bnez a4,80005a28 <_vsnprintf+0x4bc> + 80005a38: 415787bb subw a5,a5,s5 + 80005a3c: 00f13823 sd a5,16(sp) + 80005a40: 4005f793 andi a5,a1,1024 + 80005a44: 0007899b sext.w s3,a5 + 80005a48: 00078c63 beqz a5,80005a60 <_vsnprintf+0x4f4> + 80005a4c: 01013703 ld a4,16(sp) + 80005a50: 000c879b sext.w a5,s9 + 80005a54: 01977463 bgeu a4,s9,80005a5c <_vsnprintf+0x4f0> + 80005a58: 0007079b sext.w a5,a4 + 80005a5c: 00f13823 sd a5,16(sp) + 80005a60: 0025f593 andi a1,a1,2 + 80005a64: 0005879b sext.w a5,a1 + 80005a68: 02f13423 sd a5,40(sp) + 80005a6c: 1c058a63 beqz a1,80005c40 <_vsnprintf+0x6d4> + 80005a70: 14050863 beqz a0,80005bc0 <_vsnprintf+0x654> + 80005a74: 000d0613 mv a2,s10 + 80005a78: 00098863 beqz s3,80005a88 <_vsnprintf+0x51c> + 80005a7c: fffc879b addiw a5,s9,-1 + 80005a80: 120c8863 beqz s9,80005bb0 <_vsnprintf+0x644> + 80005a84: 00078c93 mv s9,a5 + 80005a88: 000c0693 mv a3,s8 + 80005a8c: 00048593 mv a1,s1 + 80005a90: 00160b13 addi s6,a2,1 + 80005a94: 000900e7 jalr s2 + 80005a98: 41ab07b3 sub a5,s6,s10 + 80005a9c: 00fa87b3 add a5,s5,a5 + 80005aa0: 0007c503 lbu a0,0(a5) + 80005aa4: 10050863 beqz a0,80005bb4 <_vsnprintf+0x648> + 80005aa8: 000b0613 mv a2,s6 + 80005aac: fcdff06f j 80005a78 <_vsnprintf+0x50c> + 80005ab0: 0009b703 ld a4,0(s3) + 80005ab4: 0215e593 ori a1,a1,33 + 80005ab8: 0005859b sext.w a1,a1 + 80005abc: 01000793 li a5,16 + 80005ac0: 000d0613 mv a2,s10 + 80005ac4: 00b13423 sd a1,8(sp) + 80005ac8: 00f13023 sd a5,0(sp) + 80005acc: 000c8893 mv a7,s9 + 80005ad0: 01000813 li a6,16 + 80005ad4: 00000793 li a5,0 + 80005ad8: 000c0693 mv a3,s8 + 80005adc: 00048593 mv a1,s1 + 80005ae0: 00090513 mv a0,s2 + 80005ae4: 929ff0ef jal ra,8000540c <_ntoa_long_long> + 80005ae8: 00898993 addi s3,s3,8 + 80005aec: 00050d13 mv s10,a0 + 80005af0: b11ff06f j 80005600 <_vsnprintf+0x94> + 80005af4: 0009a883 lw a7,0(s3) + 80005af8: 00264503 lbu a0,2(a2) + 80005afc: 00360b93 addi s7,a2,3 + 80005b00: fff8c793 not a5,a7 + 80005b04: 43f7d793 srai a5,a5,0x3f + 80005b08: 00f8fcb3 and s9,a7,a5 + 80005b0c: 00898993 addi s3,s3,8 + 80005b10: 00260613 addi a2,a2,2 + 80005b14: b61ff06f j 80005674 <_vsnprintf+0x108> + 80005b18: 020c9793 slli a5,s9,0x20 + 80005b1c: 0207d793 srli a5,a5,0x20 + 80005b20: 32050263 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005b24: fff78793 addi a5,a5,-1 + 80005b28: ef1ff06f j 80005a18 <_vsnprintf+0x4ac> + 80005b2c: ff35ff13 andi t5,a1,-13 + 80005b30: 000f0f1b sext.w t5,t5 + 80005b34: 4005f793 andi a5,a1,1024 + 80005b38: 020f6f13 ori t5,t5,32 + 80005b3c: 20078863 beqz a5,80005d4c <_vsnprintf+0x7e0> + 80005b40: 01000813 li a6,16 + 80005b44: ffef7f13 andi t5,t5,-2 + 80005b48: 000f0f1b sext.w t5,t5 + 80005b4c: 200f7793 andi a5,t5,512 + 80005b50: 06900713 li a4,105 + 80005b54: 0007879b sext.w a5,a5 + 80005b58: d8e504e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b5c: 06400713 li a4,100 + 80005b60: d8e500e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b64: 22079663 bnez a5,80005d90 <_vsnprintf+0x824> + 80005b68: 100f7793 andi a5,t5,256 + 80005b6c: 000f0713 mv a4,t5 + 80005b70: 2a079463 bnez a5,80005e18 <_vsnprintf+0x8ac> + 80005b74: 040f7793 andi a5,t5,64 + 80005b78: 24079063 bnez a5,80005db8 <_vsnprintf+0x84c> + 80005b7c: 08077713 andi a4,a4,128 + 80005b80: 2c070663 beqz a4,80005e4c <_vsnprintf+0x8e0> + 80005b84: 0009a703 lw a4,0(s3) + 80005b88: 01813783 ld a5,24(sp) + 80005b8c: 00e7f733 and a4,a5,a4 + 80005b90: 02071713 slli a4,a4,0x20 + 80005b94: 000d0613 mv a2,s10 + 80005b98: 01e13423 sd t5,8(sp) + 80005b9c: 01b13023 sd s11,0(sp) + 80005ba0: 000c8893 mv a7,s9 + 80005ba4: 00000793 li a5,0 + 80005ba8: 02075713 srli a4,a4,0x20 + 80005bac: d89ff06f j 80005934 <_vsnprintf+0x3c8> + 80005bb0: 00060b13 mv s6,a2 + 80005bb4: 02813783 ld a5,40(sp) + 80005bb8: 04078a63 beqz a5,80005c0c <_vsnprintf+0x6a0> + 80005bbc: 000b0d13 mv s10,s6 + 80005bc0: 01013703 ld a4,16(sp) + 80005bc4: 0db77e63 bgeu a4,s11,80005ca0 <_vsnprintf+0x734> + 80005bc8: fffd879b addiw a5,s11,-1 + 80005bcc: 40e7873b subw a4,a5,a4 + 80005bd0: 02071713 slli a4,a4,0x20 + 80005bd4: 02075713 srli a4,a4,0x20 + 80005bd8: 001d0813 addi a6,s10,1 + 80005bdc: 01070b33 add s6,a4,a6 + 80005be0: 0080006f j 80005be8 <_vsnprintf+0x67c> + 80005be4: 00180813 addi a6,a6,1 + 80005be8: 000d0613 mv a2,s10 + 80005bec: 01013823 sd a6,16(sp) + 80005bf0: 000c0693 mv a3,s8 + 80005bf4: 00048593 mv a1,s1 + 80005bf8: 02000513 li a0,32 + 80005bfc: 00080d13 mv s10,a6 + 80005c00: 000900e7 jalr s2 + 80005c04: 01013803 ld a6,16(sp) + 80005c08: fd0b1ee3 bne s6,a6,80005be4 <_vsnprintf+0x678> + 80005c0c: 02013983 ld s3,32(sp) + 80005c10: 000b0d13 mv s10,s6 + 80005c14: 9edff06f j 80005600 <_vsnprintf+0x94> + 80005c18: 0006879b sext.w a5,a3 + 80005c1c: 00f13823 sd a5,16(sp) + 80005c20: e21ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005c24: 0c05e593 ori a1,a1,192 + 80005c28: 00264503 lbu a0,2(a2) + 80005c2c: 0005859b sext.w a1,a1 + 80005c30: 00360b93 addi s7,a2,3 + 80005c34: ad5ff06f j 80005708 <_vsnprintf+0x19c> + 80005c38: 00000413 li s0,0 + 80005c3c: b91ff06f j 800057cc <_vsnprintf+0x260> + 80005c40: 01013703 ld a4,16(sp) + 80005c44: 0017079b addiw a5,a4,1 + 80005c48: 21b77c63 bgeu a4,s11,80005e60 <_vsnprintf+0x8f4> + 80005c4c: fffd879b addiw a5,s11,-1 + 80005c50: 40e787bb subw a5,a5,a4 + 80005c54: 02079793 slli a5,a5,0x20 + 80005c58: 0207d793 srli a5,a5,0x20 + 80005c5c: 001d0813 addi a6,s10,1 + 80005c60: 01078b33 add s6,a5,a6 + 80005c64: 00c0006f j 80005c70 <_vsnprintf+0x704> + 80005c68: 01013803 ld a6,16(sp) + 80005c6c: 00180813 addi a6,a6,1 + 80005c70: 000d0613 mv a2,s10 + 80005c74: 000c0693 mv a3,s8 + 80005c78: 00080d13 mv s10,a6 + 80005c7c: 01013823 sd a6,16(sp) + 80005c80: 00048593 mv a1,s1 + 80005c84: 02000513 li a0,32 + 80005c88: 000900e7 jalr s2 + 80005c8c: fd6d1ee3 bne s10,s6,80005c68 <_vsnprintf+0x6fc> + 80005c90: 000ac503 lbu a0,0(s5) + 80005c94: 001d879b addiw a5,s11,1 + 80005c98: 00f13823 sd a5,16(sp) + 80005c9c: dc051ce3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005ca0: 000d0b13 mv s6,s10 + 80005ca4: f69ff06f j 80005c0c <_vsnprintf+0x6a0> + 80005ca8: 00100793 li a5,1 + 80005cac: 1bb7f463 bgeu a5,s11,80005e54 <_vsnprintf+0x8e8> + 80005cb0: ffed879b addiw a5,s11,-2 + 80005cb4: 02079a93 slli s5,a5,0x20 + 80005cb8: 020ada93 srli s5,s5,0x20 + 80005cbc: 010a8ab3 add s5,s5,a6 + 80005cc0: 00c0006f j 80005ccc <_vsnprintf+0x760> + 80005cc4: 01013803 ld a6,16(sp) + 80005cc8: 00180813 addi a6,a6,1 + 80005ccc: 000d0613 mv a2,s10 + 80005cd0: 000c0693 mv a3,s8 + 80005cd4: 00080d13 mv s10,a6 + 80005cd8: 01013823 sd a6,16(sp) + 80005cdc: 00048593 mv a1,s1 + 80005ce0: 02000513 li a0,32 + 80005ce4: 000900e7 jalr s2 + 80005ce8: fdaa9ee3 bne s5,s10,80005cc4 <_vsnprintf+0x758> + 80005cec: 001a8d13 addi s10,s5,1 + 80005cf0: 0009c503 lbu a0,0(s3) + 80005cf4: 000c0693 mv a3,s8 + 80005cf8: 000a8613 mv a2,s5 + 80005cfc: 00048593 mv a1,s1 + 80005d00: 000900e7 jalr s2 + 80005d04: 02013983 ld s3,32(sp) + 80005d08: 8f9ff06f j 80005600 <_vsnprintf+0x94> + 80005d0c: 0009b783 ld a5,0(s3) + 80005d10: 000d0613 mv a2,s10 + 80005d14: 01e13423 sd t5,8(sp) + 80005d18: 43f7d713 srai a4,a5,0x3f + 80005d1c: 00f745b3 xor a1,a4,a5 + 80005d20: 01b13023 sd s11,0(sp) + 80005d24: 000c8893 mv a7,s9 + 80005d28: 03f7d793 srli a5,a5,0x3f + 80005d2c: 40e58733 sub a4,a1,a4 + 80005d30: 000c0693 mv a3,s8 + 80005d34: 00048593 mv a1,s1 + 80005d38: 00090513 mv a0,s2 + 80005d3c: ed0ff0ef jal ra,8000540c <_ntoa_long_long> + 80005d40: 00050d13 mv s10,a0 + 80005d44: 000a8993 mv s3,s5 + 80005d48: 8b9ff06f j 80005600 <_vsnprintf+0x94> + 80005d4c: 2005f793 andi a5,a1,512 + 80005d50: 0007879b sext.w a5,a5 + 80005d54: 01000813 li a6,16 + 80005d58: e0dff06f j 80005b64 <_vsnprintf+0x5f8> + 80005d5c: 00a00813 li a6,10 + 80005d60: de5ff06f j 80005b44 <_vsnprintf+0x5d8> + 80005d64: 00800813 li a6,8 + 80005d68: 00058f13 mv t5,a1 + 80005d6c: 400f7713 andi a4,t5,1024 + 80005d70: 06400613 li a2,100 + 80005d74: 000f0793 mv a5,t5 + 80005d78: 0007071b sext.w a4,a4 + 80005d7c: 0ec51c63 bne a0,a2,80005e74 <_vsnprintf+0x908> + 80005d80: dc0712e3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005d84: 2007f793 andi a5,a5,512 + 80005d88: 0007879b sext.w a5,a5 + 80005d8c: b55ff06f j 800058e0 <_vsnprintf+0x374> + 80005d90: 0009b703 ld a4,0(s3) + 80005d94: 000d0613 mv a2,s10 + 80005d98: 01e13423 sd t5,8(sp) + 80005d9c: 01b13023 sd s11,0(sp) + 80005da0: 000c8893 mv a7,s9 + 80005da4: 00000793 li a5,0 + 80005da8: f89ff06f j 80005d30 <_vsnprintf+0x7c4> + 80005dac: 0ff7f793 andi a5,a5,255 + 80005db0: 00078713 mv a4,a5 + 80005db4: b6dff06f j 80005920 <_vsnprintf+0x3b4> + 80005db8: 0009c703 lbu a4,0(s3) + 80005dbc: dd5ff06f j 80005b90 <_vsnprintf+0x624> + 80005dc0: 02013983 ld s3,32(sp) + 80005dc4: 000b0d13 mv s10,s6 + 80005dc8: 839ff06f j 80005600 <_vsnprintf+0x94> + 80005dcc: 0009b783 ld a5,0(s3) + 80005dd0: 000d0613 mv a2,s10 + 80005dd4: 01e13423 sd t5,8(sp) + 80005dd8: 43f7d713 srai a4,a5,0x3f + 80005ddc: 00f745b3 xor a1,a4,a5 + 80005de0: 01b13023 sd s11,0(sp) + 80005de4: 000c8893 mv a7,s9 + 80005de8: 03f7d793 srli a5,a5,0x3f + 80005dec: 40e58733 sub a4,a1,a4 + 80005df0: b45ff06f j 80005934 <_vsnprintf+0x3c8> + 80005df4: 00200813 li a6,2 + 80005df8: f71ff06f j 80005d68 <_vsnprintf+0x7fc> + 80005dfc: 4005f713 andi a4,a1,1024 + 80005e00: 0007071b sext.w a4,a4 + 80005e04: 01000813 li a6,16 + 80005e08: ff35f593 andi a1,a1,-13 + 80005e0c: 00058f1b sext.w t5,a1 + 80005e10: d2071ae3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005e14: d39ff06f j 80005b4c <_vsnprintf+0x5e0> + 80005e18: 0009b703 ld a4,0(s3) + 80005e1c: 000d0613 mv a2,s10 + 80005e20: 01e13423 sd t5,8(sp) + 80005e24: 01b13023 sd s11,0(sp) + 80005e28: 000c8893 mv a7,s9 + 80005e2c: 00000793 li a5,0 + 80005e30: b05ff06f j 80005934 <_vsnprintf+0x3c8> + 80005e34: 41f7d61b sraiw a2,a5,0x1f + 80005e38: 00c7c733 xor a4,a5,a2 + 80005e3c: 40c7073b subw a4,a4,a2 + 80005e40: ae1ff06f j 80005920 <_vsnprintf+0x3b4> + 80005e44: 00013823 sd zero,16(sp) + 80005e48: bf9ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005e4c: 0009a703 lw a4,0(s3) + 80005e50: d41ff06f j 80005b90 <_vsnprintf+0x624> + 80005e54: 000d0a93 mv s5,s10 + 80005e58: 00080d13 mv s10,a6 + 80005e5c: e95ff06f j 80005cf0 <_vsnprintf+0x784> + 80005e60: 00f13823 sd a5,16(sp) + 80005e64: c00518e3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005e68: e39ff06f j 80005ca0 <_vsnprintf+0x734> + 80005e6c: 00a00813 li a6,10 + 80005e70: efdff06f j 80005d6c <_vsnprintf+0x800> + 80005e74: 000f0593 mv a1,t5 + 80005e78: f91ff06f j 80005e08 <_vsnprintf+0x89c> + +0000000080005e7c <_out_char>: + 80005e7c: 00051463 bnez a0,80005e84 <_out_char+0x8> + 80005e80: 00008067 ret + 80005e84: a05fe06f j 80004888 + +0000000080005e88 : + 80005e88: fa010113 addi sp,sp,-96 + 80005e8c: 02810313 addi t1,sp,40 + 80005e90: 02b13423 sd a1,40(sp) + 80005e94: 02c13823 sd a2,48(sp) + 80005e98: 02d13c23 sd a3,56(sp) + 80005e9c: 04e13023 sd a4,64(sp) + 80005ea0: 00050693 mv a3,a0 + 80005ea4: 00010593 mv a1,sp + 80005ea8: 00030713 mv a4,t1 + 80005eac: fff00613 li a2,-1 + 80005eb0: 00000517 auipc a0,0x0 + 80005eb4: fcc50513 addi a0,a0,-52 # 80005e7c <_out_char> + 80005eb8: 00113c23 sd ra,24(sp) + 80005ebc: 04f13423 sd a5,72(sp) + 80005ec0: 05013823 sd a6,80(sp) + 80005ec4: 05113c23 sd a7,88(sp) + 80005ec8: 00613423 sd t1,8(sp) + 80005ecc: ea0ff0ef jal ra,8000556c <_vsnprintf> + 80005ed0: 01813083 ld ra,24(sp) + 80005ed4: 06010113 addi sp,sp,96 + 80005ed8: 00008067 ret + +0000000080005edc : + 80005edc: fb010113 addi sp,sp,-80 + 80005ee0: 02010313 addi t1,sp,32 + 80005ee4: 02c13023 sd a2,32(sp) + 80005ee8: 02d13423 sd a3,40(sp) + 80005eec: 02e13823 sd a4,48(sp) + 80005ef0: 00058693 mv a3,a1 + 80005ef4: 00030713 mv a4,t1 + 80005ef8: 00050593 mv a1,a0 + 80005efc: fff00613 li a2,-1 + 80005f00: fffff517 auipc a0,0xfffff + 80005f04: f0050513 addi a0,a0,-256 # 80004e00 <_out_buffer> + 80005f08: 00113c23 sd ra,24(sp) + 80005f0c: 02f13c23 sd a5,56(sp) + 80005f10: 05013023 sd a6,64(sp) + 80005f14: 05113423 sd a7,72(sp) + 80005f18: 00613423 sd t1,8(sp) + 80005f1c: e50ff0ef jal ra,8000556c <_vsnprintf> + 80005f20: 01813083 ld ra,24(sp) + 80005f24: 05010113 addi sp,sp,80 + 80005f28: 00008067 ret + +0000000080005f2c : + 80005f2c: 00700713 li a4,7 + 80005f30: 00050793 mv a5,a0 + 80005f34: 0ac77a63 bgeu a4,a2,80005fe8 + 80005f38: 0ff5f893 andi a7,a1,255 + 80005f3c: 00889693 slli a3,a7,0x8 + 80005f40: 0116e6b3 or a3,a3,a7 + 80005f44: 01069713 slli a4,a3,0x10 + 80005f48: 00d766b3 or a3,a4,a3 + 80005f4c: 02069713 slli a4,a3,0x20 + 80005f50: 00757813 andi a6,a0,7 + 80005f54: 00d76733 or a4,a4,a3 + 80005f58: 0a080663 beqz a6,80006004 + 80005f5c: 00c50633 add a2,a0,a2 + 80005f60: 01178023 sb a7,0(a5) + 80005f64: 00178793 addi a5,a5,1 + 80005f68: 0077f813 andi a6,a5,7 + 80005f6c: 40f606b3 sub a3,a2,a5 + 80005f70: fe0818e3 bnez a6,80005f60 + 80005f74: 0066d813 srli a6,a3,0x6 + 80005f78: 04080063 beqz a6,80005fb8 + 80005f7c: 00681613 slli a2,a6,0x6 + 80005f80: 00078893 mv a7,a5 + 80005f84: 00f60633 add a2,a2,a5 + 80005f88: 00e7b023 sd a4,0(a5) + 80005f8c: 00e7b423 sd a4,8(a5) + 80005f90: 00e7b823 sd a4,16(a5) + 80005f94: 00e7bc23 sd a4,24(a5) + 80005f98: 02e7b023 sd a4,32(a5) + 80005f9c: 02e7b423 sd a4,40(a5) + 80005fa0: 02e7b823 sd a4,48(a5) + 80005fa4: 02e7bc23 sd a4,56(a5) + 80005fa8: 04078793 addi a5,a5,64 + 80005fac: fcf61ee3 bne a2,a5,80005f88 + 80005fb0: 00681793 slli a5,a6,0x6 + 80005fb4: 011787b3 add a5,a5,a7 + 80005fb8: 0036d813 srli a6,a3,0x3 + 80005fbc: 00787813 andi a6,a6,7 + 80005fc0: 02080263 beqz a6,80005fe4 + 80005fc4: 00381613 slli a2,a6,0x3 + 80005fc8: 00078893 mv a7,a5 + 80005fcc: 00f60633 add a2,a2,a5 + 80005fd0: 00e7b023 sd a4,0(a5) + 80005fd4: 00878793 addi a5,a5,8 + 80005fd8: fef61ce3 bne a2,a5,80005fd0 + 80005fdc: 00381793 slli a5,a6,0x3 + 80005fe0: 011787b3 add a5,a5,a7 + 80005fe4: 0076f613 andi a2,a3,7 + 80005fe8: 0ff5f593 andi a1,a1,255 + 80005fec: 00c78733 add a4,a5,a2 + 80005ff0: 00060863 beqz a2,80006000 + 80005ff4: 00b78023 sb a1,0(a5) + 80005ff8: 00178793 addi a5,a5,1 + 80005ffc: fef71ce3 bne a4,a5,80005ff4 + 80006000: 00008067 ret + 80006004: 00060693 mv a3,a2 + 80006008: f6dff06f j 80005f74 + +000000008000600c : + 8000600c: 1a050e63 beqz a0,800061c8 + 80006010: fd010113 addi sp,sp,-48 + 80006014: 02813023 sd s0,32(sp) + 80006018: 00913c23 sd s1,24(sp) + 8000601c: 01f57793 andi a5,a0,31 + 80006020: 02113423 sd ra,40(sp) + 80006024: 01213823 sd s2,16(sp) + 80006028: 01313423 sd s3,8(sp) + 8000602c: 01413023 sd s4,0(sp) + 80006030: 00050493 mv s1,a0 + 80006034: 00050413 mv s0,a0 + 80006038: 00000513 li a0,0 + 8000603c: 14079a63 bnez a5,80006190 + 80006040: 27f00713 li a4,639 + 80006044: 00058913 mv s2,a1 + 80006048: 00078513 mv a0,a5 + 8000604c: 14b77263 bgeu a4,a1,80006190 + 80006050: 0074f513 andi a0,s1,7 + 80006054: 00153513 seqz a0,a0 + 80006058: 00060a13 mv s4,a2 + 8000605c: 00068993 mv s3,a3 + 80006060: 839fe0ef jal ra,80004898 <_assert> + 80006064: 20048793 addi a5,s1,512 + 80006068: 2004b023 sd zero,512(s1) + 8000606c: 2144b423 sd s4,520(s1) + 80006070: 2134b823 sd s3,528(s1) + 80006074: 00043023 sd zero,0(s0) + 80006078: 00840413 addi s0,s0,8 + 8000607c: fe879ce3 bne a5,s0,80006074 + 80006080: fff00793 li a5,-1 + 80006084: dc090413 addi s0,s2,-576 + 80006088: 03f79793 slli a5,a5,0x3f + 8000608c: 1287f863 bgeu a5,s0,800061bc + 80006090: 00078413 mv s0,a5 + 80006094: 00100513 li a0,1 + 80006098: 801fe0ef jal ra,80004898 <_assert> + 8000609c: f8300793 li a5,-125 + 800060a0: 0017d793 srli a5,a5,0x1 + 800060a4: fc040513 addi a0,s0,-64 + 800060a8: 00f53533 sltu a0,a0,a5 + 800060ac: fecfe0ef jal ra,80004898 <_assert> + 800060b0: 01f4f513 andi a0,s1,31 + 800060b4: 00153513 seqz a0,a0 + 800060b8: fe0fe0ef jal ra,80004898 <_assert> + 800060bc: 00100513 li a0,1 + 800060c0: 2404b023 sd zero,576(s1) + 800060c4: 2404b423 sd zero,584(s1) + 800060c8: 2484b823 sd s0,592(s1) + 800060cc: 24048c23 sb zero,600(s1) + 800060d0: 2604b023 sd zero,608(s1) + 800060d4: 2604b423 sd zero,616(s1) + 800060d8: fc0fe0ef jal ra,80004898 <_assert> + 800060dc: 00100513 li a0,1 + 800060e0: fb8fe0ef jal ra,80004898 <_assert> + 800060e4: 2504b503 ld a0,592(s1) + 800060e8: 24048a13 addi s4,s1,576 + 800060ec: 04053513 sltiu a0,a0,64 + 800060f0: 00154513 xori a0,a0,1 + 800060f4: 00157513 andi a0,a0,1 + 800060f8: fa0fe0ef jal ra,80004898 <_assert> + 800060fc: 2504b503 ld a0,592(s1) + 80006100: 03f57513 andi a0,a0,63 + 80006104: 00153513 seqz a0,a0 + 80006108: f90fe0ef jal ra,80004898 <_assert> + 8000610c: 2504b703 ld a4,592(s1) + 80006110: 00100793 li a5,1 + 80006114: 00675713 srli a4,a4,0x6 + 80006118: 0ae7fc63 bgeu a5,a4,800061d0 + 8000611c: 00000793 li a5,0 + 80006120: 00100693 li a3,1 + 80006124: 0017879b addiw a5,a5,1 + 80006128: 00175713 srli a4,a4,0x1 + 8000612c: 0ff7f793 andi a5,a5,255 + 80006130: fed71ae3 bne a4,a3,80006124 + 80006134: 00078993 mv s3,a5 + 80006138: 0407b513 sltiu a0,a5,64 + 8000613c: 00f71933 sll s2,a4,a5 + 80006140: f58fe0ef jal ra,80004898 <_assert> + 80006144: 00399793 slli a5,s3,0x3 + 80006148: 00f487b3 add a5,s1,a5 + 8000614c: 0007b703 ld a4,0(a5) + 80006150: 2604b423 sd zero,616(s1) + 80006154: 26e4b023 sd a4,608(s1) + 80006158: 00070463 beqz a4,80006160 + 8000615c: 03473423 sd s4,40(a4) + 80006160: 0147b023 sd s4,0(a5) + 80006164: 2004b503 ld a0,512(s1) + 80006168: 00a96533 or a0,s2,a0 + 8000616c: 20a4b023 sd a0,512(s1) + 80006170: 00a03533 snez a0,a0 + 80006174: f24fe0ef jal ra,80004898 <_assert> + 80006178: 00048513 mv a0,s1 + 8000617c: 2084bc23 sd s0,536(s1) + 80006180: 2204b023 sd zero,544(s1) + 80006184: 2204b423 sd zero,552(s1) + 80006188: 2204b823 sd zero,560(s1) + 8000618c: 2204bc23 sd zero,568(s1) + 80006190: 02813083 ld ra,40(sp) + 80006194: 02013403 ld s0,32(sp) + 80006198: 01813483 ld s1,24(sp) + 8000619c: 01013903 ld s2,16(sp) + 800061a0: 00813983 ld s3,8(sp) + 800061a4: 00013a03 ld s4,0(sp) + 800061a8: 03010113 addi sp,sp,48 + 800061ac: 00008067 ret + 800061b0: 00100513 li a0,1 + 800061b4: fff40413 addi s0,s0,-1 + 800061b8: ee0fe0ef jal ra,80004898 <_assert> + 800061bc: 03f47793 andi a5,s0,63 + 800061c0: fe0798e3 bnez a5,800061b0 + 800061c4: ed1ff06f j 80006094 + 800061c8: 00000513 li a0,0 + 800061cc: 00008067 ret + 800061d0: 00100913 li s2,1 + 800061d4: 00000993 li s3,0 + 800061d8: 00100513 li a0,1 + 800061dc: f65ff06f j 80006140 diff --git a/bin/non-output/microbench/microbench-test.bin b/bin/non-output/microbench/microbench-test.bin new file mode 100755 index 0000000..e4173ad Binary files /dev/null and b/bin/non-output/microbench/microbench-test.bin differ diff --git a/bin/non-output/microbench/microbench-test.elf b/bin/non-output/microbench/microbench-test.elf new file mode 100755 index 0000000..eb7aebf Binary files /dev/null and b/bin/non-output/microbench/microbench-test.elf differ diff --git a/bin/non-output/microbench/microbench-test.txt b/bin/non-output/microbench/microbench-test.txt new file mode 100755 index 0000000..cd8ebb1 --- /dev/null +++ b/bin/non-output/microbench/microbench-test.txt @@ -0,0 +1,6441 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0a5040ef jal ra,800048b0 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 111040ef jal ra,80004940 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 88448493 addi s1,s1,-1916 # 800078e0 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 1d858593 addi a1,a1,472 # 80006240 <_etext+0x60> + 80000070: 00048513 mv a0,s1 + 80000074: 669050ef jal ra,80005edc + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 0f9040ef jal ra,80004988 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 099040ef jal ra,80004940 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 81450513 addi a0,a0,-2028 # 800078e0 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 10058593 addi a1,a1,256 # 800061e0 <_etext> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 13850513 addi a0,a0,312 # 80006220 <_etext+0x40> + 800000f0: 599050ef jal ra,80005e88 + 800000f4: 00100513 li a0,1 + 800000f8: 794040ef jal ra,8000488c + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 1d858593 addi a1,a1,472 # 80006310 <_etext+0x130> + 80000140: 00050413 mv s0,a0 + 80000144: 459040ef jal ra,80004d9c + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 13c58593 addi a1,a1,316 # 80006288 <_etext+0xa8> + 80000154: 00040513 mv a0,s0 + 80000158: 445040ef jal ra,80004d9c + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 12c58593 addi a1,a1,300 # 80006290 <_etext+0xb0> + 8000016c: 00040513 mv a0,s0 + 80000170: 42d040ef jal ra,80004d9c + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 0fd040ef jal ra,80004a7c + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 15850513 addi a0,a0,344 # 800062e0 <_etext+0x100> + 80000190: 4f9050ef jal ra,80005e88 + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 135040ef jal ra,80004ad0 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: b94c0c13 addi s8,s8,-1132 # 80006d38 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 21cb8b93 addi s7,s7,540 # 800073c8 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 83848493 addi s1,s1,-1992 # 80007a10 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 838a8a93 addi s5,s5,-1992 # 80007a18 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 2e440413 addi s0,s0,740 # 800074d0 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 124a0a13 addi s4,s4,292 # 80006318 <_etext+0x138> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 12cd0d13 addi s10,s10,300 # 80006328 <_etext+0x148> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 13cc8c93 addi s9,s9,316 # 80006340 <_etext+0x160> + 8000020c: 01c0006f j 80000228 + 80000210: 479050ef jal ra,80005e88 + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 469050ef jal ra,80005e88 + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 431050ef jal ra,80005e88 + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 69c78793 addi a5,a5,1692 # 80007900 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 049040ef jal ra,80004ad0 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 02d040ef jal ra,80004ad0 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 08c50513 addi a0,a0,140 # 80006350 <_etext+0x170> + 800002cc: 3bd050ef jal ra,80005e88 + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 07c50513 addi a0,a0,124 # 80006358 <_etext+0x178> + 800002e4: 3a5050ef jal ra,80005e88 + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 620040ef jal ra,80004940 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 0b050513 addi a0,a0,176 # 800063e8 <_etext+0x208> + 80000340: 349050ef jal ra,80005e88 + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 770040ef jal ra,80004ad0 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 02450513 addi a0,a0,36 # 80006390 <_etext+0x1b0> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 311050ef jal ra,80005e88 + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: ed058593 addi a1,a1,-304 # 80006250 <_etext+0x70> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 03c50513 addi a0,a0,60 # 800063c8 <_etext+0x1e8> + 80000394: 2f5050ef jal ra,80005e88 + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 594040ef jal ra,80004940 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 02450513 addi a0,a0,36 # 800063d8 <_etext+0x1f8> + 800003bc: 2cd050ef jal ra,80005e88 + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 02c60613 addi a2,a2,44 # 800063f0 <_etext+0x210> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 03850513 addi a0,a0,56 # 80006408 <_etext+0x228> + 800003d8: 2b1050ef jal ra,80005e88 + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 04850513 addi a0,a0,72 # 80006430 <_etext+0x250> + 800003f0: 299050ef jal ra,80005e88 + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 04850513 addi a0,a0,72 # 80006448 <_etext+0x268> + 80000408: 281050ef jal ra,80005e88 + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: e1450513 addi a0,a0,-492 # 80006260 <_etext+0x80> + 80000454: 235050ef jal ra,80005e88 + 80000458: 00006417 auipc s0,0x6 + 8000045c: df040413 addi s0,s0,-528 # 80006248 <_etext+0x68> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: f0450513 addi a0,a0,-252 # 80006378 <_etext+0x198> + 8000047c: 20d050ef jal ra,80005e88 + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: fd850513 addi a0,a0,-40 # 80006460 <_etext+0x280> + 80000490: 1f9050ef jal ra,80005e88 + 80000494: 00006517 auipc a0,0x6 + 80000498: ed450513 addi a0,a0,-300 # 80006368 <_etext+0x188> + 8000049c: 1ed050ef jal ra,80005e88 + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: dac58593 addi a1,a1,-596 # 80006258 <_etext+0x78> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: f3050513 addi a0,a0,-208 # 800063e8 <_etext+0x208> + 800004c0: 1c9050ef jal ra,80005e88 + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: d8058593 addi a1,a1,-640 # 80006248 <_etext+0x68> + 800004d0: 00040513 mv a0,s0 + 800004d4: 0c9040ef jal ra,80004d9c + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: db458593 addi a1,a1,-588 # 80006298 <_etext+0xb8> + 800004ec: 00040513 mv a0,s0 + 800004f0: 0ad040ef jal ra,80004d9c + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: d9c50513 addi a0,a0,-612 # 800062a0 <_etext+0xc0> + 8000050c: 17d050ef jal ra,80005e88 + 80000510: 00100513 li a0,1 + 80000514: 378040ef jal ra,8000488c + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 3e858593 addi a1,a1,1000 # 80007900 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: fa878793 addi a5,a5,-88 # 800074d0 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 4b07b783 ld a5,1200(a5) # 80007a18 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: c5058593 addi a1,a1,-944 # 800061e0 <_etext> + 80000598: 00006517 auipc a0,0x6 + 8000059c: c8850513 addi a0,a0,-888 # 80006220 <_etext+0x40> + 800005a0: 0e9050ef jal ra,80005e88 + 800005a4: 00100513 li a0,1 + 800005a8: 2e4040ef jal ra,8000488c + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: e0a7a623 sw a0,-500(a5) # 800073c8 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: e0068693 addi a3,a3,-512 # 800073c8 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 2487b783 ld a5,584(a5) # 80007a18 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 12890913 addi s2,s2,296 # 80007910 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 0d498993 addi s3,s3,212 # 80007908 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 078040ef jal ra,800048d4 <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 054040ef jal ra,80004988 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 771030ef jal ra,80004988 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6b9030ef jal ra,80004988 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: d5072703 lw a4,-688(a4) # 80007910 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: d4043403 ld s0,-704(s0) # 80007908 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 8707a023 sw a6,-1952(a5) # 80007914 <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 8d47b783 ld a5,-1836(a5) # 80007a18 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00006797 auipc a5,0x6 + 80001154: 7c47a783 lw a5,1988(a5) # 80007914 <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 640030ef jal ra,80004938 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 630030ef jal ra,80004938 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 594030ef jal ra,80004938 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5e8030ef jal ra,800049bc <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a0030ef jal ra,80004938 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 508030ef jal ra,800049bc <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 324030ef jal ra,80004938 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 18c7b783 ld a5,396(a5) # 80007a18 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 07490913 addi s2,s2,116 # 80007918 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 05898993 addi s3,s3,88 # 80007920 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 02a73a23 sd a0,52(a4) # 80007928 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 068030ef jal ra,80004988 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: fc062603 lw a2,-64(a2) # 80007918 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: fc85b583 ld a1,-56(a1) # 80007928 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: fb853503 ld a0,-72(a0) # 80007920 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: fa45a583 lw a1,-92(a1) # 80007918 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: fac53503 ld a0,-84(a0) # 80007928 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 0807b783 ld a5,128(a5) # 80007a18 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 0587b783 ld a5,88(a5) # 80007a18 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: f647a783 lw a5,-156(a5) # 80007930 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 711020ef jal ra,80004988 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 52c58593 addi a1,a1,1324 # 80006590 <_etext+0x3b0> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 1b450513 addi a0,a0,436 # 80006220 <_etext+0x40> + 80002074: 615030ef jal ra,80005e88 + 80002078: 00100513 li a0,1 + 8000207c: 011020ef jal ra,8000488c + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 85c7b783 ld a5,-1956(a5) # 80007a18 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 26c58593 addi a1,a1,620 # 80007450 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 0d858593 addi a1,a1,216 # 800073d0 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 26c58593 addi a1,a1,620 # 800065d0 <_etext+0x3f0> + 8000236c: 00004517 auipc a0,0x4 + 80002370: eb450513 addi a0,a0,-332 # 80006220 <_etext+0x40> + 80002374: 315030ef jal ra,80005e88 + 80002378: 00100513 li a0,1 + 8000237c: 510020ef jal ra,8000488c + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 50c70713 addi a4,a4,1292 # 80007930 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 87858593 addi a1,a1,-1928 # 80007490 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00004597 auipc a1,0x4 + 80002c88: 78c58593 addi a1,a1,1932 # 80007410 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3cd010ef jal ra,800048d4 <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: c2478793 addi a5,a5,-988 # 80007930 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 85c58593 addi a1,a1,-1956 # 80006590 <_etext+0x3b0> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 4e450513 addi a0,a0,1252 # 80006220 <_etext+0x40> + 80002d44: 144030ef jal ra,80005e88 + 80002d48: 00100513 li a0,1 + 80002d4c: 341010ef jal ra,8000488c + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: cc87b783 ld a5,-824(a5) # 80007a18 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: bcc90913 addi s2,s2,-1076 # 80007934 + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: baf73a23 sd a5,-1100(a4) # 80007950 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: b9498993 addi s3,s3,-1132 # 80007938 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: b6a73e23 sd a0,-1156(a4) # 80007940 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a1010ef jal ra,80004988 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: b286b683 ld a3,-1240(a3) # 80007950 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: b0462603 lw a2,-1276(a2) # 80007934 + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: b085b583 ld a1,-1272(a1) # 80007940 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: af853503 ld a0,-1288(a0) # 80007938 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: aea7aa23 sw a0,-1292(a5) # 80007948 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: adc53503 ld a0,-1316(a0) # 80007940 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: adc5a583 lw a1,-1316(a1) # 80007948 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: b947b783 ld a5,-1132(a5) # 80007a18 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00003597 auipc a1,0x3 + 80002eb4: 76858593 addi a1,a1,1896 # 80006618 <_etext+0x438> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 36850513 addi a0,a0,872 # 80006220 <_etext+0x40> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 7c5020ef jal ra,80005e88 + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c1010ef jal ra,8000488c + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 4907b783 ld a5,1168(a5) # 80007a18 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 3b890913 addi s2,s2,952 # 80007958 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 3a07aa23 sw zero,948(a5) # 80007968 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 38f73623 sd a5,908(a4) # 80007960 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 38f73423 sd a5,904(a4) # 80007970 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 38a73423 sd a0,904(a4) # 80007980 + 80003600: 00004997 auipc s3,0x4 + 80003604: 38898993 addi s3,s3,904 # 80007988 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 05478793 addi a5,a5,84 # 80006660 <_etext+0x480> + 80003614: 00004717 auipc a4,0x4 + 80003618: 36f73223 sd a5,868(a4) # 80007978 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 36f73023 sd a5,864(a4) # 80007998 + 80003640: 00004797 auipc a5,0x4 + 80003644: 3407a823 sw zero,848(a5) # 80007990 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 0c0a0a13 addi s4,s4,192 # 80006718 <_etext+0x538> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 318010ef jal ra,80004988 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 2b830313 addi t1,t1,696 # 80007978 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 27450513 addi a0,a0,628 # 80007968 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 2606b683 ld a3,608(a3) # 80007960 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 2648b883 ld a7,612(a7) # 80007970 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 03080813 addi a6,a6,48 # 80006758 <_etext+0x578> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 194e8e93 addi t4,t4,404 # 80007988 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 194e0e13 addi t3,t3,404 # 80007990 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 1745b583 ld a1,372(a1) # 80007980 + 80003814: 00004397 auipc t2,0x4 + 80003818: 1843b383 ld t2,388(t2) # 80007998 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: ff088893 addi a7,a7,-16 # 80006824 <_etext+0x644> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: ee07ac23 sw zero,-264(a5) # 80007968 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: eec40413 addi s0,s0,-276 # 80007990 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: ee853503 ld a0,-280(a0) # 80007998 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: e9072703 lw a4,-368(a4) # 80007958 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: f3073703 ld a4,-208(a4) # 80007a18 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: f087b783 ld a5,-248(a5) # 80007a18 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: e6f72e23 sw a5,-388(a4) # 800079a8 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 59d000ef jal ra,800048d4 <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: e4f73823 sd a5,-432(a4) # 800079a0 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: e4f73623 sd a5,-436(a4) # 800079b0 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: e4f73023 sd a5,-448(a4) # 800079b8 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: e2a7ba23 sd a0,-460(a5) # 800079c0 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: e107b783 ld a5,-496(a5) # 800079b0 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: e0c7b783 ld a5,-500(a5) # 800079b8 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: dc042403 lw s0,-576(s0) # 800079a8 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: da4f3f03 ld t5,-604(t5) # 800079a0 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: d406b683 ld a3,-704(a3) # 800079c0 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3c5000ef jal ra,800048d4 <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2b5000ef jal ra,800048d4 <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: b0452503 lw a0,-1276(a0) # 800079a8 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 215000ef jal ra,800048d4 <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: aec53503 ld a0,-1300(a0) # 800079b8 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: b407b783 ld a5,-1216(a5) # 80007a18 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: aac7a783 lw a5,-1364(a5) # 800079c8 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: a747b783 ld a5,-1420(a5) # 80007a18 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: a007ae23 sw zero,-1508(a5) # 800079cc + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: a0f72223 sw a5,-1532(a4) # 800079c8 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: 9ea7a023 sw a0,-1568(a5) # 800079cc + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: a1c7b783 ld a5,-1508(a5) # 80007a18 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: 9c47a783 lw a5,-1596(a5) # 800079cc + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 8dc7b783 ld a5,-1828(a5) # 80007a18 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 88890913 addi s2,s2,-1912 # 800079d0 + 80004150: 00004997 auipc s3,0x4 + 80004154: 88898993 addi s3,s3,-1912 # 800079d8 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 80c62603 lw a2,-2036(a2) # 800079d0 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 80853503 ld a0,-2040(a0) # 800079d8 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00003597 auipc a1,0x3 + 800041e0: 7f45a583 lw a1,2036(a1) # 800079d0 + 800041e4: 00003517 auipc a0,0x3 + 800041e8: 7f453503 ld a0,2036(a0) # 800079d8 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8187b783 ld a5,-2024(a5) # 80007a18 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00003797 auipc a5,0x3 + 80004228: 7f47b783 ld a5,2036(a5) # 80007a18 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00003497 auipc s1,0x3 + 80004240: 7a448493 addi s1,s1,1956 # 800079e0 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00003917 auipc s2,0x3 + 80004260: 79490913 addi s2,s2,1940 # 800079f0 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 74a7b023 sd a0,1856(a5) # 800079e8 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7206a683 lw a3,1824(a3) # 800079e0 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 720fbf83 ld t6,1824(t6) # 800079f0 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 6d873703 ld a4,1752(a4) # 800079e8 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 420a0a13 addi s4,s4,1056 # 8000684c + 80004434: 00002997 auipc s3,0x2 + 80004438: 51898993 addi s3,s3,1304 # 8000694c + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 3c853503 ld a0,968(a0) # 800079e8 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 3e07b783 ld a5,992(a5) # 80007a18 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 3bc7b783 ld a5,956(a5) # 80007a18 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 37840413 addi s0,s0,888 # 800079f8 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 36a73223 sd a0,868(a4) # 80007a00 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 2fc4a483 lw s1,764(s1) # 800079f8 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 2f860613 addi a2,a2,760 # 80007a00 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 164000ef jal ra,800048d4 <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 0fc000ef jal ra,800048d4 <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2007ac23 sw zero,536(a5) # 800079fc + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 1cd7aa23 sw a3,468(a5) # 800079fc + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 1a07a823 sw zero,432(a5) # 800079fc + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 1a493903 ld s2,420(s2) # 80007a00 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 1b07b783 ld a5,432(a5) # 80007a18 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 1887a783 lw a5,392(a5) # 800079fc + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00008067 ret + +000000008000488c : + 8000488c: 00050513 mv a0,a0 + 80004890: 0000006b 0x6b + 80004894: 0000006f j 80004894 + +0000000080004898 <_assert>: + 80004898: 00051a63 bnez a0,800048ac <_assert+0x14> + 8000489c: 00100793 li a5,1 + 800048a0: 00078513 mv a0,a5 + 800048a4: 0000006b 0x6b + 800048a8: 0000006f j 800048a8 <_assert+0x10> + 800048ac: 00008067 ret + +00000000800048b0 <_trm_init>: + 800048b0: ff010113 addi sp,sp,-16 + 800048b4: 00113423 sd ra,8(sp) + 800048b8: 50c000ef jal ra,80004dc4 + 800048bc: 00002517 auipc a0,0x2 + 800048c0: 46c50513 addi a0,a0,1132 # 80006d28 + 800048c4: 839fb0ef jal ra,800000fc
+ 800048c8: 00050513 mv a0,a0 + 800048cc: 0000006b 0x6b + 800048d0: 0000006f j 800048d0 <_trm_init+0x20> + +00000000800048d4 <__muldi3>: + 800048d4: 00050613 mv a2,a0 + 800048d8: 00000513 li a0,0 + 800048dc: 0015f693 andi a3,a1,1 + 800048e0: 00068463 beqz a3,800048e8 <__muldi3+0x14> + 800048e4: 00c50533 add a0,a0,a2 + 800048e8: 0015d593 srli a1,a1,0x1 + 800048ec: 00161613 slli a2,a2,0x1 + 800048f0: fe0596e3 bnez a1,800048dc <__muldi3+0x8> + 800048f4: 00008067 ret + +00000000800048f8 <__udivsi3>: + 800048f8: 02051513 slli a0,a0,0x20 + 800048fc: 02059593 slli a1,a1,0x20 + 80004900: 00008293 mv t0,ra + 80004904: 03c000ef jal ra,80004940 <__udivdi3> + 80004908: 0005051b sext.w a0,a0 + 8000490c: 00028067 jr t0 + +0000000080004910 <__umodsi3>: + 80004910: 02051513 slli a0,a0,0x20 + 80004914: 02059593 slli a1,a1,0x20 + 80004918: 02055513 srli a0,a0,0x20 + 8000491c: 0205d593 srli a1,a1,0x20 + 80004920: 00008293 mv t0,ra + 80004924: 01c000ef jal ra,80004940 <__udivdi3> + 80004928: 0005851b sext.w a0,a1 + 8000492c: 00028067 jr t0 + +0000000080004930 <__divsi3>: + 80004930: fff00293 li t0,-1 + 80004934: 0a558c63 beq a1,t0,800049ec <__moddi3+0x30> + +0000000080004938 <__divdi3>: + 80004938: 06054063 bltz a0,80004998 <__umoddi3+0x10> + 8000493c: 0605c663 bltz a1,800049a8 <__umoddi3+0x20> + +0000000080004940 <__udivdi3>: + 80004940: 00058613 mv a2,a1 + 80004944: 00050593 mv a1,a0 + 80004948: fff00513 li a0,-1 + 8000494c: 02060c63 beqz a2,80004984 <__udivdi3+0x44> + 80004950: 00100693 li a3,1 + 80004954: 00b67a63 bgeu a2,a1,80004968 <__udivdi3+0x28> + 80004958: 00c05863 blez a2,80004968 <__udivdi3+0x28> + 8000495c: 00161613 slli a2,a2,0x1 + 80004960: 00169693 slli a3,a3,0x1 + 80004964: feb66ae3 bltu a2,a1,80004958 <__udivdi3+0x18> + 80004968: 00000513 li a0,0 + 8000496c: 00c5e663 bltu a1,a2,80004978 <__udivdi3+0x38> + 80004970: 40c585b3 sub a1,a1,a2 + 80004974: 00d56533 or a0,a0,a3 + 80004978: 0016d693 srli a3,a3,0x1 + 8000497c: 00165613 srli a2,a2,0x1 + 80004980: fe0696e3 bnez a3,8000496c <__udivdi3+0x2c> + 80004984: 00008067 ret + +0000000080004988 <__umoddi3>: + 80004988: 00008293 mv t0,ra + 8000498c: fb5ff0ef jal ra,80004940 <__udivdi3> + 80004990: 00058513 mv a0,a1 + 80004994: 00028067 jr t0 + 80004998: 40a00533 neg a0,a0 + 8000499c: 00b04863 bgtz a1,800049ac <__umoddi3+0x24> + 800049a0: 40b005b3 neg a1,a1 + 800049a4: f9dff06f j 80004940 <__udivdi3> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: 00008293 mv t0,ra + 800049b0: f91ff0ef jal ra,80004940 <__udivdi3> + 800049b4: 40a00533 neg a0,a0 + 800049b8: 00028067 jr t0 + +00000000800049bc <__moddi3>: + 800049bc: 00008293 mv t0,ra + 800049c0: 0005ca63 bltz a1,800049d4 <__moddi3+0x18> + 800049c4: 00054c63 bltz a0,800049dc <__moddi3+0x20> + 800049c8: f79ff0ef jal ra,80004940 <__udivdi3> + 800049cc: 00058513 mv a0,a1 + 800049d0: 00028067 jr t0 + 800049d4: 40b005b3 neg a1,a1 + 800049d8: fe0558e3 bgez a0,800049c8 <__moddi3+0xc> + 800049dc: 40a00533 neg a0,a0 + 800049e0: f61ff0ef jal ra,80004940 <__udivdi3> + 800049e4: 40b00533 neg a0,a1 + 800049e8: 00028067 jr t0 + 800049ec: 01f29293 slli t0,t0,0x1f + 800049f0: f45514e3 bne a0,t0,80004938 <__divdi3> + 800049f4: 00008067 ret + +00000000800049f8 <__am_timer_config>: + 800049f8: 00100793 li a5,1 + 800049fc: 00f50023 sb a5,0(a0) + 80004a00: 00f500a3 sb a5,1(a0) + 80004a04: 00008067 ret + +0000000080004a08 <__am_input_config>: + 80004a08: 00100793 li a5,1 + 80004a0c: 00f50023 sb a5,0(a0) + 80004a10: 00008067 ret + +0000000080004a14 : + 80004a14: ff010113 addi sp,sp,-16 + 80004a18: 00813023 sd s0,0(sp) + 80004a1c: 00113423 sd ra,8(sp) + 80004a20: 00002417 auipc s0,0x2 + 80004a24: 02840413 addi s0,s0,40 # 80006a48 + 80004a28: 04100513 li a0,65 + 80004a2c: 00140413 addi s0,s0,1 + 80004a30: e59ff0ef jal ra,80004888 + 80004a34: 00044503 lbu a0,0(s0) + 80004a38: fe051ae3 bnez a0,80004a2c + 80004a3c: 00002417 auipc s0,0x2 + 80004a40: 01c40413 addi s0,s0,28 # 80006a58 + 80004a44: 06100513 li a0,97 + 80004a48: 00140413 addi s0,s0,1 + 80004a4c: e3dff0ef jal ra,80004888 + 80004a50: 00044503 lbu a0,0(s0) + 80004a54: fe051ae3 bnez a0,80004a48 + 80004a58: 00002417 auipc s0,0x2 + 80004a5c: 02040413 addi s0,s0,32 # 80006a78 + 80004a60: 02000513 li a0,32 + 80004a64: 00140413 addi s0,s0,1 + 80004a68: e21ff0ef jal ra,80004888 + 80004a6c: 00044503 lbu a0,0(s0) + 80004a70: fe051ae3 bnez a0,80004a64 + 80004a74: 00100513 li a0,1 + 80004a78: e15ff0ef jal ra,8000488c + +0000000080004a7c : + 80004a7c: ff010113 addi sp,sp,-16 + 80004a80: 00113423 sd ra,8(sp) + 80004a84: 00003797 auipc a5,0x3 + 80004a88: a5c78793 addi a5,a5,-1444 # 800074e0 + 80004a8c: 00003697 auipc a3,0x3 + 80004a90: e5468693 addi a3,a3,-428 # 800078e0 + 80004a94: 00000617 auipc a2,0x0 + 80004a98: f8060613 addi a2,a2,-128 # 80004a14 + 80004a9c: 00c0006f j 80004aa8 + 80004aa0: 00878793 addi a5,a5,8 + 80004aa4: 00d78c63 beq a5,a3,80004abc + 80004aa8: 0007b703 ld a4,0(a5) + 80004aac: fe071ae3 bnez a4,80004aa0 + 80004ab0: 00c7b023 sd a2,0(a5) + 80004ab4: 00878793 addi a5,a5,8 + 80004ab8: fed798e3 bne a5,a3,80004aa8 + 80004abc: 030000ef jal ra,80004aec <__am_timer_init> + 80004ac0: 00813083 ld ra,8(sp) + 80004ac4: 00100513 li a0,1 + 80004ac8: 01010113 addi sp,sp,16 + 80004acc: 00008067 ret + +0000000080004ad0 : + 80004ad0: 00351793 slli a5,a0,0x3 + 80004ad4: 00003517 auipc a0,0x3 + 80004ad8: a0c50513 addi a0,a0,-1524 # 800074e0 + 80004adc: 00f50533 add a0,a0,a5 + 80004ae0: 00053303 ld t1,0(a0) + 80004ae4: 00058513 mv a0,a1 + 80004ae8: 00030067 jr t1 + +0000000080004aec <__am_timer_init>: + 80004aec: 00008067 ret + +0000000080004af0 <__am_timer_uptime>: + 80004af0: 00053023 sd zero,0(a0) + 80004af4: 00008067 ret + +0000000080004af8 : + 80004af8: fc010113 addi sp,sp,-64 + 80004afc: 01313c23 sd s3,24(sp) + 80004b00: 00058993 mv s3,a1 + 80004b04: 00050793 mv a5,a0 + 80004b08: 03800613 li a2,56 + 80004b0c: 00000593 li a1,0 + 80004b10: 00098513 mv a0,s3 + 80004b14: 02813823 sd s0,48(sp) + 80004b18: 03213023 sd s2,32(sp) + 80004b1c: 0007b403 ld s0,0(a5) + 80004b20: 01413823 sd s4,16(sp) + 80004b24: 02113c23 sd ra,56(sp) + 80004b28: 02913423 sd s1,40(sp) + 80004b2c: 01513423 sd s5,8(sp) + 80004b30: 3fc010ef jal ra,80005f2c + 80004b34: 7b200793 li a5,1970 + 80004b38: 00f9aa23 sw a5,20(s3) + 80004b3c: 7b200913 li s2,1970 + 80004b40: 00002a17 auipc s4,0x2 + 80004b44: 1f0a0a13 addi s4,s4,496 # 80006d30 + 80004b48: 0100006f j 80004b58 + 80004b4c: 40e40433 sub s0,s0,a4 + 80004b50: 00d9aa23 sw a3,20(s3) + 80004b54: 00068913 mv s2,a3 + 80004b58: 0009049b sext.w s1,s2 + 80004b5c: 06400593 li a1,100 + 80004b60: 00048513 mv a0,s1 + 80004b64: e59ff0ef jal ra,800049bc <__moddi3> + 80004b68: 00050793 mv a5,a0 + 80004b6c: 0007879b sext.w a5,a5 + 80004b70: 00048513 mv a0,s1 + 80004b74: 00397493 andi s1,s2,3 + 80004b78: 19000593 li a1,400 + 80004b7c: 0014b493 seqz s1,s1 + 80004b80: 00079863 bnez a5,80004b90 + 80004b84: e39ff0ef jal ra,800049bc <__moddi3> + 80004b88: 0005049b sext.w s1,a0 + 80004b8c: 0014b493 seqz s1,s1 + 80004b90: 00249793 slli a5,s1,0x2 + 80004b94: 00fa07b3 add a5,s4,a5 + 80004b98: 0007e703 lwu a4,0(a5) + 80004b9c: 0019069b addiw a3,s2,1 + 80004ba0: fae456e3 bge s0,a4,80004b4c + 80004ba4: 000155b7 lui a1,0x15 + 80004ba8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004bac: 00040513 mv a0,s0 + 80004bb0: d89ff0ef jal ra,80004938 <__divdi3> + 80004bb4: 00149793 slli a5,s1,0x1 + 80004bb8: 009784b3 add s1,a5,s1 + 80004bbc: 00449793 slli a5,s1,0x4 + 80004bc0: 00002497 auipc s1,0x2 + 80004bc4: ef848493 addi s1,s1,-264 # 80006ab8 + 80004bc8: 00f484b3 add s1,s1,a5 + 80004bcc: 0004e783 lwu a5,0(s1) + 80004bd0: 0005051b sext.w a0,a0 + 80004bd4: 00a9ae23 sw a0,28(s3) + 80004bd8: 14f44463 blt s0,a5,80004d20 + 80004bdc: 00100713 li a4,1 + 80004be0: 40f40433 sub s0,s0,a5 + 80004be4: 0044e783 lwu a5,4(s1) + 80004be8: 00070a1b sext.w s4,a4 + 80004bec: 00448493 addi s1,s1,4 + 80004bf0: 00170713 addi a4,a4,1 + 80004bf4: fef456e3 bge s0,a5,80004be0 + 80004bf8: 000155b7 lui a1,0x15 + 80004bfc: 0149a823 sw s4,16(s3) + 80004c00: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c04: 00040513 mv a0,s0 + 80004c08: d31ff0ef jal ra,80004938 <__divdi3> + 80004c0c: 0005051b sext.w a0,a0 + 80004c10: 0015079b addiw a5,a0,1 + 80004c14: 000155b7 lui a1,0x15 + 80004c18: 00f9a623 sw a5,12(s3) + 80004c1c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c20: 00040513 mv a0,s0 + 80004c24: 00001ab7 lui s5,0x1 + 80004c28: 0007841b sext.w s0,a5 + 80004c2c: d91ff0ef jal ra,800049bc <__moddi3> + 80004c30: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004c34: 00050493 mv s1,a0 + 80004c38: d01ff0ef jal ra,80004938 <__divdi3> + 80004c3c: e10a8593 addi a1,s5,-496 + 80004c40: 00a9a423 sw a0,8(s3) + 80004c44: 00048513 mv a0,s1 + 80004c48: d75ff0ef jal ra,800049bc <__moddi3> + 80004c4c: 03c00593 li a1,60 + 80004c50: 00050493 mv s1,a0 + 80004c54: ce5ff0ef jal ra,80004938 <__divdi3> + 80004c58: 00a9a223 sw a0,4(s3) + 80004c5c: 03c00593 li a1,60 + 80004c60: 00048513 mv a0,s1 + 80004c64: d59ff0ef jal ra,800049bc <__moddi3> + 80004c68: 001a0a1b addiw s4,s4,1 + 80004c6c: 0ffa7793 andi a5,s4,255 + 80004c70: 0037b793 sltiu a5,a5,3 + 80004c74: 40f9093b subw s2,s2,a5 + 80004c78: 03091913 slli s2,s2,0x30 + 80004c7c: 03095913 srli s2,s2,0x30 + 80004c80: 00a9a023 sw a0,0(s3) + 80004c84: 06400593 li a1,100 + 80004c88: 00090513 mv a0,s2 + 80004c8c: cb5ff0ef jal ra,80004940 <__udivdi3> + 80004c90: 0029549b srliw s1,s2,0x2 + 80004c94: 0105179b slliw a5,a0,0x10 + 80004c98: 0107d79b srliw a5,a5,0x10 + 80004c9c: 012484bb addw s1,s1,s2 + 80004ca0: 19000593 li a1,400 + 80004ca4: 00090513 mv a0,s2 + 80004ca8: 0ffa7a13 andi s4,s4,255 + 80004cac: 40f484bb subw s1,s1,a5 + 80004cb0: fffa0a1b addiw s4,s4,-1 + 80004cb4: c8dff0ef jal ra,80004940 <__udivdi3> + 80004cb8: 00002797 auipc a5,0x2 + 80004cbc: e6078793 addi a5,a5,-416 # 80006b18 + 80004cc0: 002a1a13 slli s4,s4,0x2 + 80004cc4: 01478a33 add s4,a5,s4 + 80004cc8: 000a2783 lw a5,0(s4) + 80004ccc: 0105151b slliw a0,a0,0x10 + 80004cd0: 0105551b srliw a0,a0,0x10 + 80004cd4: 00a484bb addw s1,s1,a0 + 80004cd8: 00f484bb addw s1,s1,a5 + 80004cdc: 0ff47513 andi a0,s0,255 + 80004ce0: 00a4853b addw a0,s1,a0 + 80004ce4: 00700593 li a1,7 + 80004ce8: cd5ff0ef jal ra,800049bc <__moddi3> + 80004cec: 03813083 ld ra,56(sp) + 80004cf0: 03013403 ld s0,48(sp) + 80004cf4: 0ff57513 andi a0,a0,255 + 80004cf8: 00a9ac23 sw a0,24(s3) + 80004cfc: 0209a023 sw zero,32(s3) + 80004d00: 02813483 ld s1,40(sp) + 80004d04: 02013903 ld s2,32(sp) + 80004d08: 01013a03 ld s4,16(sp) + 80004d0c: 00813a83 ld s5,8(sp) + 80004d10: 00098513 mv a0,s3 + 80004d14: 01813983 ld s3,24(sp) + 80004d18: 04010113 addi sp,sp,64 + 80004d1c: 00008067 ret + 80004d20: 00000a13 li s4,0 + 80004d24: eedff06f j 80004c10 + +0000000080004d28 <__am_timer_rtc>: + 80004d28: fa010113 addi sp,sp,-96 + 80004d2c: 04813823 sd s0,80(sp) + 80004d30: 01810593 addi a1,sp,24 + 80004d34: 00050413 mv s0,a0 + 80004d38: 00810513 addi a0,sp,8 + 80004d3c: 04113c23 sd ra,88(sp) + 80004d40: 00013423 sd zero,8(sp) + 80004d44: 00013823 sd zero,16(sp) + 80004d48: db1ff0ef jal ra,80004af8 + 80004d4c: 01812703 lw a4,24(sp) + 80004d50: 02812783 lw a5,40(sp) + 80004d54: 05813083 ld ra,88(sp) + 80004d58: 00e42a23 sw a4,20(s0) + 80004d5c: 01c12703 lw a4,28(sp) + 80004d60: 0017879b addiw a5,a5,1 + 80004d64: 00f42223 sw a5,4(s0) + 80004d68: 00e42823 sw a4,16(s0) + 80004d6c: 02012703 lw a4,32(sp) + 80004d70: 02c12783 lw a5,44(sp) + 80004d74: 00e42623 sw a4,12(s0) + 80004d78: 02412703 lw a4,36(sp) + 80004d7c: 00f42023 sw a5,0(s0) + 80004d80: 00e42423 sw a4,8(s0) + 80004d84: 05013403 ld s0,80(sp) + 80004d88: 06010113 addi sp,sp,96 + 80004d8c: 00008067 ret + +0000000080004d90 <__am_input_keybrd>: + 80004d90: 00050023 sb zero,0(a0) + 80004d94: 00052223 sw zero,4(a0) + 80004d98: 00008067 ret + +0000000080004d9c : + 80004d9c: 00054783 lbu a5,0(a0) + 80004da0: 00158593 addi a1,a1,1 + 80004da4: 00150513 addi a0,a0,1 + 80004da8: fff5c703 lbu a4,-1(a1) + 80004dac: 00078863 beqz a5,80004dbc + 80004db0: fee786e3 beq a5,a4,80004d9c + 80004db4: 40e7853b subw a0,a5,a4 + 80004db8: 00008067 ret + 80004dbc: 40e0053b negw a0,a4 + 80004dc0: 00008067 ret + +0000000080004dc4 : + 80004dc4: 00002797 auipc a5,0x2 + 80004dc8: 70c78793 addi a5,a5,1804 # 800074d0 + 80004dcc: 0007b503 ld a0,0(a5) + 80004dd0: 0087b583 ld a1,8(a5) + 80004dd4: ff010113 addi sp,sp,-16 + 80004dd8: 00000693 li a3,0 + 80004ddc: 00000613 li a2,0 + 80004de0: 40a585b3 sub a1,a1,a0 + 80004de4: 00113423 sd ra,8(sp) + 80004de8: 224010ef jal ra,8000600c + 80004dec: 00813083 ld ra,8(sp) + 80004df0: 00003797 auipc a5,0x3 + 80004df4: c0a7bc23 sd a0,-1000(a5) # 80007a08 + 80004df8: 01010113 addi sp,sp,16 + 80004dfc: 00008067 ret + +0000000080004e00 <_out_buffer>: + 80004e00: 00d67663 bgeu a2,a3,80004e0c <_out_buffer+0xc> + 80004e04: 00c585b3 add a1,a1,a2 + 80004e08: 00a58023 sb a0,0(a1) + 80004e0c: 00008067 ret + +0000000080004e10 <_out_null>: + 80004e10: 00008067 ret + +0000000080004e14 <_ntoa_format>: + 80004e14: fa010113 addi sp,sp,-96 + 80004e18: 07012303 lw t1,112(sp) + 80004e1c: 03313c23 sd s3,56(sp) + 80004e20: 03413823 sd s4,48(sp) + 80004e24: 03513423 sd s5,40(sp) + 80004e28: 03613023 sd s6,32(sp) + 80004e2c: 01713c23 sd s7,24(sp) + 80004e30: 01813823 sd s8,16(sp) + 80004e34: 01913423 sd s9,8(sp) + 80004e38: 00237e13 andi t3,t1,2 + 80004e3c: 01037e93 andi t4,t1,16 + 80004e40: 04113c23 sd ra,88(sp) + 80004e44: 04813823 sd s0,80(sp) + 80004e48: 04913423 sd s1,72(sp) + 80004e4c: 05213023 sd s2,64(sp) + 80004e50: 06012f03 lw t5,96(sp) + 80004e54: 06812c03 lw s8,104(sp) + 80004e58: 00050a13 mv s4,a0 + 80004e5c: 00058a93 mv s5,a1 + 80004e60: 00060b93 mv s7,a2 + 80004e64: 00068b13 mv s6,a3 + 80004e68: 00070993 mv s3,a4 + 80004e6c: 000e0c9b sext.w s9,t3 + 80004e70: 000e8e9b sext.w t4,t4 + 80004e74: 060e1c63 bnez t3,80004eec <_ntoa_format+0xd8> + 80004e78: 020f1693 slli a3,t5,0x20 + 80004e7c: 00137513 andi a0,t1,1 + 80004e80: 0206d693 srli a3,a3,0x20 + 80004e84: 180c1e63 bnez s8,80005020 <_ntoa_format+0x20c> + 80004e88: 02d7f663 bgeu a5,a3,80004eb4 <_ntoa_format+0xa0> + 80004e8c: 02000713 li a4,32 + 80004e90: 36e78e63 beq a5,a4,8000520c <_ntoa_format+0x3f8> + 80004e94: 03000613 li a2,48 + 80004e98: 02000593 li a1,32 + 80004e9c: 0080006f j 80004ea4 <_ntoa_format+0x90> + 80004ea0: 00b78a63 beq a5,a1,80004eb4 <_ntoa_format+0xa0> + 80004ea4: 00178793 addi a5,a5,1 + 80004ea8: 00f98733 add a4,s3,a5 + 80004eac: fec70fa3 sb a2,-1(a4) + 80004eb0: fed7e8e3 bltu a5,a3,80004ea0 <_ntoa_format+0x8c> + 80004eb4: 02050c63 beqz a0,80004eec <_ntoa_format+0xd8> + 80004eb8: 020c1913 slli s2,s8,0x20 + 80004ebc: 02095913 srli s2,s2,0x20 + 80004ec0: 0327f663 bgeu a5,s2,80004eec <_ntoa_format+0xd8> + 80004ec4: 02000713 li a4,32 + 80004ec8: 36e78863 beq a5,a4,80005238 <_ntoa_format+0x424> + 80004ecc: 03000693 li a3,48 + 80004ed0: 02000613 li a2,32 + 80004ed4: 0080006f j 80004edc <_ntoa_format+0xc8> + 80004ed8: 16c78463 beq a5,a2,80005040 <_ntoa_format+0x22c> + 80004edc: 00178793 addi a5,a5,1 + 80004ee0: 00f98733 add a4,s3,a5 + 80004ee4: fed70fa3 sb a3,-1(a4) + 80004ee8: ff2798e3 bne a5,s2,80004ed8 <_ntoa_format+0xc4> + 80004eec: 1a0e8663 beqz t4,80005098 <_ntoa_format+0x284> + 80004ef0: 40037713 andi a4,t1,1024 + 80004ef4: 20071463 bnez a4,800050fc <_ntoa_format+0x2e8> + 80004ef8: 1e079663 bnez a5,800050e4 <_ntoa_format+0x2d0> + 80004efc: 01000793 li a5,16 + 80004f00: 2af88863 beq a7,a5,800051b0 <_ntoa_format+0x39c> + 80004f04: 00200793 li a5,2 + 80004f08: 2ef88a63 beq a7,a5,800051fc <_ntoa_format+0x3e8> + 80004f0c: 03000793 li a5,48 + 80004f10: 00f98023 sb a5,0(s3) + 80004f14: 00100793 li a5,1 + 80004f18: 1a080463 beqz a6,800050c0 <_ntoa_format+0x2ac> + 80004f1c: 00f98733 add a4,s3,a5 + 80004f20: 00178413 addi s0,a5,1 + 80004f24: 02d00793 li a5,45 + 80004f28: 00f70023 sb a5,0(a4) + 80004f2c: 00337313 andi t1,t1,3 + 80004f30: 20031263 bnez t1,80005134 <_ntoa_format+0x320> + 80004f34: 020c1913 slli s2,s8,0x20 + 80004f38: 02095913 srli s2,s2,0x20 + 80004f3c: 1f247c63 bgeu s0,s2,80005134 <_ntoa_format+0x320> + 80004f40: 40890933 sub s2,s2,s0 + 80004f44: 01790933 add s2,s2,s7 + 80004f48: 000b8493 mv s1,s7 + 80004f4c: 00048613 mv a2,s1 + 80004f50: 000b0693 mv a3,s6 + 80004f54: 00148493 addi s1,s1,1 + 80004f58: 000a8593 mv a1,s5 + 80004f5c: 02000513 li a0,32 + 80004f60: 000a00e7 jalr s4 + 80004f64: ff2494e3 bne s1,s2,80004f4c <_ntoa_format+0x138> + 80004f68: 02040663 beqz s0,80004f94 <_ntoa_format+0x180> + 80004f6c: 01240933 add s2,s0,s2 + 80004f70: 012984b3 add s1,s3,s2 + 80004f74: 00898433 add s0,s3,s0 + 80004f78: fff44503 lbu a0,-1(s0) + 80004f7c: 40848633 sub a2,s1,s0 + 80004f80: 000b0693 mv a3,s6 + 80004f84: fff40413 addi s0,s0,-1 + 80004f88: 000a8593 mv a1,s5 + 80004f8c: 000a00e7 jalr s4 + 80004f90: fe8994e3 bne s3,s0,80004f78 <_ntoa_format+0x164> + 80004f94: 040c8a63 beqz s9,80004fe8 <_ntoa_format+0x1d4> + 80004f98: 020c1c13 slli s8,s8,0x20 + 80004f9c: 41790433 sub s0,s2,s7 + 80004fa0: 020c5c13 srli s8,s8,0x20 + 80004fa4: 05847263 bgeu s0,s8,80004fe8 <_ntoa_format+0x1d4> + 80004fa8: 01740633 add a2,s0,s7 + 80004fac: 000b0693 mv a3,s6 + 80004fb0: 00140413 addi s0,s0,1 + 80004fb4: 000a8593 mv a1,s5 + 80004fb8: 02000513 li a0,32 + 80004fbc: 000a00e7 jalr s4 + 80004fc0: ff8464e3 bltu s0,s8,80004fa8 <_ntoa_format+0x194> + 80004fc4: 41790733 sub a4,s2,s7 + 80004fc8: 00170713 addi a4,a4,1 + 80004fcc: 00000793 li a5,0 + 80004fd0: 00ec6863 bltu s8,a4,80004fe0 <_ntoa_format+0x1cc> + 80004fd4: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 80004fd8: 01878c33 add s8,a5,s8 + 80004fdc: 412c07b3 sub a5,s8,s2 + 80004fe0: 00190913 addi s2,s2,1 + 80004fe4: 00f90933 add s2,s2,a5 + 80004fe8: 05813083 ld ra,88(sp) + 80004fec: 05013403 ld s0,80(sp) + 80004ff0: 04813483 ld s1,72(sp) + 80004ff4: 03813983 ld s3,56(sp) + 80004ff8: 03013a03 ld s4,48(sp) + 80004ffc: 02813a83 ld s5,40(sp) + 80005000: 02013b03 ld s6,32(sp) + 80005004: 01813b83 ld s7,24(sp) + 80005008: 01013c03 ld s8,16(sp) + 8000500c: 00813c83 ld s9,8(sp) + 80005010: 00090513 mv a0,s2 + 80005014: 04013903 ld s2,64(sp) + 80005018: 06010113 addi sp,sp,96 + 8000501c: 00008067 ret + 80005020: 12050863 beqz a0,80005150 <_ntoa_format+0x33c> + 80005024: 00081663 bnez a6,80005030 <_ntoa_format+0x21c> + 80005028: 00c37713 andi a4,t1,12 + 8000502c: 00070463 beqz a4,80005034 <_ntoa_format+0x220> + 80005030: fffc0c1b addiw s8,s8,-1 + 80005034: e8d7f2e3 bgeu a5,a3,80004eb8 <_ntoa_format+0xa4> + 80005038: 02000713 li a4,32 + 8000503c: e4e79ce3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 80005040: 0e0e8063 beqz t4,80005120 <_ntoa_format+0x30c> + 80005044: 40037793 andi a5,t1,1024 + 80005048: 04079c63 bnez a5,800050a0 <_ntoa_format+0x28c> + 8000504c: 02000793 li a5,32 + 80005050: 0aff1063 bne t5,a5,800050f0 <_ntoa_format+0x2dc> + 80005054: 02000793 li a5,32 + 80005058: 01f00713 li a4,31 + 8000505c: 01000693 li a3,16 + 80005060: 1ad88c63 beq a7,a3,80005218 <_ntoa_format+0x404> + 80005064: 00200693 li a3,2 + 80005068: 00070793 mv a5,a4 + 8000506c: 00d89e63 bne a7,a3,80005088 <_ntoa_format+0x274> + 80005070: 00e986b3 add a3,s3,a4 + 80005074: 00170793 addi a5,a4,1 + 80005078: 06200713 li a4,98 + 8000507c: 00e68023 sb a4,0(a3) + 80005080: 02000713 li a4,32 + 80005084: 00e78e63 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005088: 00f98733 add a4,s3,a5 + 8000508c: 03000693 li a3,48 + 80005090: 00d70023 sb a3,0(a4) + 80005094: 00178793 addi a5,a5,1 + 80005098: 02000713 li a4,32 + 8000509c: e6e79ee3 bne a5,a4,80004f18 <_ntoa_format+0x104> + 800050a0: 00337313 andi t1,t1,3 + 800050a4: 08031263 bnez t1,80005128 <_ntoa_format+0x314> + 800050a8: 02000413 li s0,32 + 800050ac: 020c1913 slli s2,s8,0x20 + 800050b0: 02095913 srli s2,s2,0x20 + 800050b4: e92466e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 800050b8: 000b8913 mv s2,s7 + 800050bc: eadff06f j 80004f68 <_ntoa_format+0x154> + 800050c0: 00437713 andi a4,t1,4 + 800050c4: 06071c63 bnez a4,8000513c <_ntoa_format+0x328> + 800050c8: 00837713 andi a4,t1,8 + 800050cc: 0c071863 bnez a4,8000519c <_ntoa_format+0x388> + 800050d0: 00337313 andi t1,t1,3 + 800050d4: 00078413 mv s0,a5 + 800050d8: fc030ae3 beqz t1,800050ac <_ntoa_format+0x298> + 800050dc: 000b8913 mv s2,s7 + 800050e0: e89ff06f j 80004f68 <_ntoa_format+0x154> + 800050e4: 020f1f13 slli t5,t5,0x20 + 800050e8: 020f5f13 srli t5,t5,0x20 + 800050ec: 0aff0263 beq t5,a5,80005190 <_ntoa_format+0x37c> + 800050f0: 020c1913 slli s2,s8,0x20 + 800050f4: 02095913 srli s2,s2,0x20 + 800050f8: 08f90c63 beq s2,a5,80005190 <_ntoa_format+0x37c> + 800050fc: 01000713 li a4,16 + 80005100: 06e88663 beq a7,a4,8000516c <_ntoa_format+0x358> + 80005104: 00200713 li a4,2 + 80005108: f6e89ce3 bne a7,a4,80005080 <_ntoa_format+0x26c> + 8000510c: 02000713 li a4,32 + 80005110: f8e788e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005114: 00f986b3 add a3,s3,a5 + 80005118: 00178793 addi a5,a5,1 + 8000511c: f5dff06f j 80005078 <_ntoa_format+0x264> + 80005120: 00337313 andi t1,t1,3 + 80005124: 0c030063 beqz t1,800051e4 <_ntoa_format+0x3d0> + 80005128: 000b8913 mv s2,s7 + 8000512c: 02000413 li s0,32 + 80005130: e3dff06f j 80004f6c <_ntoa_format+0x158> + 80005134: 000b8913 mv s2,s7 + 80005138: e35ff06f j 80004f6c <_ntoa_format+0x158> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 00178413 addi s0,a5,1 + 80005144: 02b00793 li a5,43 + 80005148: 00f70023 sb a5,0(a4) + 8000514c: de1ff06f j 80004f2c <_ntoa_format+0x118> + 80005150: d8d7fee3 bgeu a5,a3,80004eec <_ntoa_format+0xd8> + 80005154: 02000713 li a4,32 + 80005158: d2e79ee3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 8000515c: 0e0e8c63 beqz t4,80005254 <_ntoa_format+0x440> + 80005160: 40037793 andi a5,t1,1024 + 80005164: f2079ee3 bnez a5,800050a0 <_ntoa_format+0x28c> + 80005168: ee5ff06f j 8000504c <_ntoa_format+0x238> + 8000516c: 02037713 andi a4,t1,32 + 80005170: 04071c63 bnez a4,800051c8 <_ntoa_format+0x3b4> + 80005174: 02000713 li a4,32 + 80005178: f2e784e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 8000517c: 00f98733 add a4,s3,a5 + 80005180: 00178793 addi a5,a5,1 + 80005184: 07800693 li a3,120 + 80005188: 00d70023 sb a3,0(a4) + 8000518c: ef5ff06f j 80005080 <_ntoa_format+0x26c> + 80005190: fff78713 addi a4,a5,-1 + 80005194: d60704e3 beqz a4,80004efc <_ntoa_format+0xe8> + 80005198: ec5ff06f j 8000505c <_ntoa_format+0x248> + 8000519c: 00f98733 add a4,s3,a5 + 800051a0: 00178413 addi s0,a5,1 + 800051a4: 02000793 li a5,32 + 800051a8: 00f70023 sb a5,0(a4) + 800051ac: d81ff06f j 80004f2c <_ntoa_format+0x118> + 800051b0: 02037793 andi a5,t1,32 + 800051b4: 02079c63 bnez a5,800051ec <_ntoa_format+0x3d8> + 800051b8: 07800793 li a5,120 + 800051bc: 00f98023 sb a5,0(s3) + 800051c0: 00100793 li a5,1 + 800051c4: ec5ff06f j 80005088 <_ntoa_format+0x274> + 800051c8: 02000713 li a4,32 + 800051cc: ece78ae3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 800051d0: 00f98733 add a4,s3,a5 + 800051d4: 05800693 li a3,88 + 800051d8: 00d70023 sb a3,0(a4) + 800051dc: 00178793 addi a5,a5,1 + 800051e0: ea1ff06f j 80005080 <_ntoa_format+0x26c> + 800051e4: 02000413 li s0,32 + 800051e8: d4dff06f j 80004f34 <_ntoa_format+0x120> + 800051ec: 05800793 li a5,88 + 800051f0: 00f98023 sb a5,0(s3) + 800051f4: 00100793 li a5,1 + 800051f8: e91ff06f j 80005088 <_ntoa_format+0x274> + 800051fc: 06200793 li a5,98 + 80005200: 00f98023 sb a5,0(s3) + 80005204: 00100793 li a5,1 + 80005208: e81ff06f j 80005088 <_ntoa_format+0x274> + 8000520c: e2051ae3 bnez a0,80005040 <_ntoa_format+0x22c> + 80005210: 000c8c13 mv s8,s9 + 80005214: f49ff06f j 8000515c <_ntoa_format+0x348> + 80005218: 02037693 andi a3,t1,32 + 8000521c: ffe78713 addi a4,a5,-2 + 80005220: 00069863 bnez a3,80005230 <_ntoa_format+0x41c> + 80005224: 00e98733 add a4,s3,a4 + 80005228: fff78793 addi a5,a5,-1 + 8000522c: f59ff06f j 80005184 <_ntoa_format+0x370> + 80005230: 00070793 mv a5,a4 + 80005234: f9dff06f j 800051d0 <_ntoa_format+0x3bc> + 80005238: 000e8e63 beqz t4,80005254 <_ntoa_format+0x440> + 8000523c: 40037713 andi a4,t1,1024 + 80005240: 00071e63 bnez a4,8000525c <_ntoa_format+0x448> + 80005244: 02ff0e63 beq t5,a5,80005280 <_ntoa_format+0x46c> + 80005248: e4fc1ce3 bne s8,a5,800050a0 <_ntoa_format+0x28c> + 8000524c: 01f00713 li a4,31 + 80005250: e0dff06f j 8000505c <_ntoa_format+0x248> + 80005254: 02000413 li s0,32 + 80005258: cd5ff06f j 80004f2c <_ntoa_format+0x118> + 8000525c: 01000793 li a5,16 + 80005260: 02f88c63 beq a7,a5,80005298 <_ntoa_format+0x484> + 80005264: 00200793 li a5,2 + 80005268: e2f88ce3 beq a7,a5,800050a0 <_ntoa_format+0x28c> + 8000526c: 00337313 andi t1,t1,3 + 80005270: ea031ce3 bnez t1,80005128 <_ntoa_format+0x314> + 80005274: 02000413 li s0,32 + 80005278: cd2464e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 8000527c: e3dff06f j 800050b8 <_ntoa_format+0x2a4> + 80005280: 01000713 li a4,16 + 80005284: f8e88ae3 beq a7,a4,80005218 <_ntoa_format+0x404> + 80005288: 00200793 li a5,2 + 8000528c: 00f88c63 beq a7,a5,800052a4 <_ntoa_format+0x490> + 80005290: 01f00793 li a5,31 + 80005294: df5ff06f j 80005088 <_ntoa_format+0x274> + 80005298: 02037793 andi a5,t1,32 + 8000529c: fc0788e3 beqz a5,8000526c <_ntoa_format+0x458> + 800052a0: e01ff06f j 800050a0 <_ntoa_format+0x28c> + 800052a4: 01f00713 li a4,31 + 800052a8: dc9ff06f j 80005070 <_ntoa_format+0x25c> + +00000000800052ac <_ntoa_long>: + 800052ac: f4010113 addi sp,sp,-192 + 800052b0: 09413823 sd s4,144(sp) + 800052b4: 09513423 sd s5,136(sp) + 800052b8: 09613023 sd s6,128(sp) + 800052bc: 07713c23 sd s7,120(sp) + 800052c0: 07813823 sd s8,112(sp) + 800052c4: 07913423 sd s9,104(sp) + 800052c8: 05b13c23 sd s11,88(sp) + 800052cc: 0a113c23 sd ra,184(sp) + 800052d0: 0a813823 sd s0,176(sp) + 800052d4: 0a913423 sd s1,168(sp) + 800052d8: 0b213023 sd s2,160(sp) + 800052dc: 09313c23 sd s3,152(sp) + 800052e0: 07a13023 sd s10,96(sp) + 800052e4: 02a13023 sd a0,32(sp) + 800052e8: 03113423 sd a7,40(sp) + 800052ec: 0c812a03 lw s4,200(sp) + 800052f0: 00070d93 mv s11,a4 + 800052f4: 00058b13 mv s6,a1 + 800052f8: 00060b93 mv s7,a2 + 800052fc: 00068c13 mv s8,a3 + 80005300: 00078c93 mv s9,a5 + 80005304: 00080a93 mv s5,a6 + 80005308: 00071863 bnez a4,80005318 <_ntoa_long+0x6c> + 8000530c: 400a7793 andi a5,s4,1024 + 80005310: fefa7a13 andi s4,s4,-17 + 80005314: 0e079663 bnez a5,80005400 <_ntoa_long+0x154> + 80005318: 020a7793 andi a5,s4,32 + 8000531c: 06100413 li s0,97 + 80005320: 0c079c63 bnez a5,800053f8 <_ntoa_long+0x14c> + 80005324: 00000d13 li s10,0 + 80005328: 03010493 addi s1,sp,48 + 8000532c: 00900913 li s2,9 + 80005330: ff64041b addiw s0,s0,-10 + 80005334: 02000993 li s3,32 + 80005338: 00c0006f j 80005344 <_ntoa_long+0x98> + 8000533c: 053d0463 beq s10,s3,80005384 <_ntoa_long+0xd8> + 80005340: 00050d93 mv s11,a0 + 80005344: 000a8593 mv a1,s5 + 80005348: 000d8513 mv a0,s11 + 8000534c: e3cff0ef jal ra,80004988 <__umoddi3> + 80005350: 0ff57313 andi t1,a0,255 + 80005354: 0303071b addiw a4,t1,48 + 80005358: 0064033b addw t1,s0,t1 + 8000535c: 0ff37313 andi t1,t1,255 + 80005360: 00a96463 bltu s2,a0,80005368 <_ntoa_long+0xbc> + 80005364: 0ff77313 andi t1,a4,255 + 80005368: 001d0d13 addi s10,s10,1 + 8000536c: 01a48733 add a4,s1,s10 + 80005370: 000d8513 mv a0,s11 + 80005374: 000a8593 mv a1,s5 + 80005378: fe670fa3 sb t1,-1(a4) + 8000537c: dc4ff0ef jal ra,80004940 <__udivdi3> + 80005380: fb5dfee3 bgeu s11,s5,8000533c <_ntoa_long+0x90> + 80005384: 0c012703 lw a4,192(sp) + 80005388: 02813783 ld a5,40(sp) + 8000538c: 02013503 ld a0,32(sp) + 80005390: 01413823 sd s4,16(sp) + 80005394: 00e13423 sd a4,8(sp) + 80005398: 00f13023 sd a5,0(sp) + 8000539c: 000a889b sext.w a7,s5 + 800053a0: 000c8813 mv a6,s9 + 800053a4: 000d0793 mv a5,s10 + 800053a8: 00048713 mv a4,s1 + 800053ac: 000c0693 mv a3,s8 + 800053b0: 000b8613 mv a2,s7 + 800053b4: 000b0593 mv a1,s6 + 800053b8: a5dff0ef jal ra,80004e14 <_ntoa_format> + 800053bc: 0b813083 ld ra,184(sp) + 800053c0: 0b013403 ld s0,176(sp) + 800053c4: 0a813483 ld s1,168(sp) + 800053c8: 0a013903 ld s2,160(sp) + 800053cc: 09813983 ld s3,152(sp) + 800053d0: 09013a03 ld s4,144(sp) + 800053d4: 08813a83 ld s5,136(sp) + 800053d8: 08013b03 ld s6,128(sp) + 800053dc: 07813b83 ld s7,120(sp) + 800053e0: 07013c03 ld s8,112(sp) + 800053e4: 06813c83 ld s9,104(sp) + 800053e8: 06013d03 ld s10,96(sp) + 800053ec: 05813d83 ld s11,88(sp) + 800053f0: 0c010113 addi sp,sp,192 + 800053f4: 00008067 ret + 800053f8: 04100413 li s0,65 + 800053fc: f29ff06f j 80005324 <_ntoa_long+0x78> + 80005400: 00000d13 li s10,0 + 80005404: 03010493 addi s1,sp,48 + 80005408: f7dff06f j 80005384 <_ntoa_long+0xd8> + +000000008000540c <_ntoa_long_long>: + 8000540c: f4010113 addi sp,sp,-192 + 80005410: 09413823 sd s4,144(sp) + 80005414: 09513423 sd s5,136(sp) + 80005418: 09613023 sd s6,128(sp) + 8000541c: 07713c23 sd s7,120(sp) + 80005420: 07813823 sd s8,112(sp) + 80005424: 07913423 sd s9,104(sp) + 80005428: 05b13c23 sd s11,88(sp) + 8000542c: 0a113c23 sd ra,184(sp) + 80005430: 0a813823 sd s0,176(sp) + 80005434: 0a913423 sd s1,168(sp) + 80005438: 0b213023 sd s2,160(sp) + 8000543c: 09313c23 sd s3,152(sp) + 80005440: 07a13023 sd s10,96(sp) + 80005444: 02a13023 sd a0,32(sp) + 80005448: 03113423 sd a7,40(sp) + 8000544c: 0c812a03 lw s4,200(sp) + 80005450: 00070d93 mv s11,a4 + 80005454: 00058b13 mv s6,a1 + 80005458: 00060b93 mv s7,a2 + 8000545c: 00068c13 mv s8,a3 + 80005460: 00078c93 mv s9,a5 + 80005464: 00080a93 mv s5,a6 + 80005468: 00071863 bnez a4,80005478 <_ntoa_long_long+0x6c> + 8000546c: 400a7793 andi a5,s4,1024 + 80005470: fefa7a13 andi s4,s4,-17 + 80005474: 0e079663 bnez a5,80005560 <_ntoa_long_long+0x154> + 80005478: 020a7793 andi a5,s4,32 + 8000547c: 06100413 li s0,97 + 80005480: 0c079c63 bnez a5,80005558 <_ntoa_long_long+0x14c> + 80005484: 00000d13 li s10,0 + 80005488: 03010493 addi s1,sp,48 + 8000548c: 00900913 li s2,9 + 80005490: ff64041b addiw s0,s0,-10 + 80005494: 02000993 li s3,32 + 80005498: 00c0006f j 800054a4 <_ntoa_long_long+0x98> + 8000549c: 053d0463 beq s10,s3,800054e4 <_ntoa_long_long+0xd8> + 800054a0: 00050d93 mv s11,a0 + 800054a4: 000a8593 mv a1,s5 + 800054a8: 000d8513 mv a0,s11 + 800054ac: cdcff0ef jal ra,80004988 <__umoddi3> + 800054b0: 0ff57313 andi t1,a0,255 + 800054b4: 0303071b addiw a4,t1,48 + 800054b8: 0064033b addw t1,s0,t1 + 800054bc: 0ff37313 andi t1,t1,255 + 800054c0: 00a96463 bltu s2,a0,800054c8 <_ntoa_long_long+0xbc> + 800054c4: 0ff77313 andi t1,a4,255 + 800054c8: 001d0d13 addi s10,s10,1 + 800054cc: 01a48733 add a4,s1,s10 + 800054d0: 000d8513 mv a0,s11 + 800054d4: 000a8593 mv a1,s5 + 800054d8: fe670fa3 sb t1,-1(a4) + 800054dc: c64ff0ef jal ra,80004940 <__udivdi3> + 800054e0: fb5dfee3 bgeu s11,s5,8000549c <_ntoa_long_long+0x90> + 800054e4: 0c012703 lw a4,192(sp) + 800054e8: 02813783 ld a5,40(sp) + 800054ec: 02013503 ld a0,32(sp) + 800054f0: 01413823 sd s4,16(sp) + 800054f4: 00e13423 sd a4,8(sp) + 800054f8: 00f13023 sd a5,0(sp) + 800054fc: 000a889b sext.w a7,s5 + 80005500: 000c8813 mv a6,s9 + 80005504: 000d0793 mv a5,s10 + 80005508: 00048713 mv a4,s1 + 8000550c: 000c0693 mv a3,s8 + 80005510: 000b8613 mv a2,s7 + 80005514: 000b0593 mv a1,s6 + 80005518: 8fdff0ef jal ra,80004e14 <_ntoa_format> + 8000551c: 0b813083 ld ra,184(sp) + 80005520: 0b013403 ld s0,176(sp) + 80005524: 0a813483 ld s1,168(sp) + 80005528: 0a013903 ld s2,160(sp) + 8000552c: 09813983 ld s3,152(sp) + 80005530: 09013a03 ld s4,144(sp) + 80005534: 08813a83 ld s5,136(sp) + 80005538: 08013b03 ld s6,128(sp) + 8000553c: 07813b83 ld s7,120(sp) + 80005540: 07013c03 ld s8,112(sp) + 80005544: 06813c83 ld s9,104(sp) + 80005548: 06013d03 ld s10,96(sp) + 8000554c: 05813d83 ld s11,88(sp) + 80005550: 0c010113 addi sp,sp,192 + 80005554: 00008067 ret + 80005558: 04100413 li s0,65 + 8000555c: f29ff06f j 80005484 <_ntoa_long_long+0x78> + 80005560: 00000d13 li s10,0 + 80005564: 03010493 addi s1,sp,48 + 80005568: f7dff06f j 800054e4 <_ntoa_long_long+0xd8> + +000000008000556c <_vsnprintf>: + 8000556c: f6010113 addi sp,sp,-160 + 80005570: 08913423 sd s1,136(sp) + 80005574: 09213023 sd s2,128(sp) + 80005578: 07313c23 sd s3,120(sp) + 8000557c: 05713c23 sd s7,88(sp) + 80005580: 05813823 sd s8,80(sp) + 80005584: 08113c23 sd ra,152(sp) + 80005588: 08813823 sd s0,144(sp) + 8000558c: 07413823 sd s4,112(sp) + 80005590: 07513423 sd s5,104(sp) + 80005594: 07613023 sd s6,96(sp) + 80005598: 05913423 sd s9,72(sp) + 8000559c: 05a13023 sd s10,64(sp) + 800055a0: 03b13c23 sd s11,56(sp) + 800055a4: 00058493 mv s1,a1 + 800055a8: 00060c13 mv s8,a2 + 800055ac: 00068b93 mv s7,a3 + 800055b0: 00070993 mv s3,a4 + 800055b4: 00000917 auipc s2,0x0 + 800055b8: 85c90913 addi s2,s2,-1956 # 80004e10 <_out_null> + 800055bc: 00058463 beqz a1,800055c4 <_vsnprintf+0x58> + 800055c0: 00050913 mv s2,a0 + 800055c4: 000bc503 lbu a0,0(s7) + 800055c8: 00000d13 li s10,0 + 800055cc: 66050663 beqz a0,80005c38 <_vsnprintf+0x6cc> + 800055d0: 000107b7 lui a5,0x10 + 800055d4: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800055d8: 02500a13 li s4,37 + 800055dc: 00001417 auipc s0,0x1 + 800055e0: 56c40413 addi s0,s0,1388 # 80006b48 + 800055e4: 00f13c23 sd a5,24(sp) + 800055e8: 0200006f j 80005608 <_vsnprintf+0x9c> + 800055ec: 000d0613 mv a2,s10 + 800055f0: 000c0693 mv a3,s8 + 800055f4: 00048593 mv a1,s1 + 800055f8: 001d0d13 addi s10,s10,1 + 800055fc: 000900e7 jalr s2 + 80005600: 000bc503 lbu a0,0(s7) + 80005604: 1c050263 beqz a0,800057c8 <_vsnprintf+0x25c> + 80005608: 001b8b93 addi s7,s7,1 + 8000560c: ff4510e3 bne a0,s4,800055ec <_vsnprintf+0x80> + 80005610: 00000593 li a1,0 + 80005614: 01000813 li a6,16 + 80005618: 000bc503 lbu a0,0(s7) + 8000561c: 001b8713 addi a4,s7,1 + 80005620: 00070613 mv a2,a4 + 80005624: fe05079b addiw a5,a0,-32 + 80005628: 0ff7f793 andi a5,a5,255 + 8000562c: 00f86c63 bltu a6,a5,80005644 <_vsnprintf+0xd8> + 80005630: 00279793 slli a5,a5,0x2 + 80005634: 008787b3 add a5,a5,s0 + 80005638: 0007a783 lw a5,0(a5) + 8000563c: 008787b3 add a5,a5,s0 + 80005640: 00078067 jr a5 + 80005644: fd05079b addiw a5,a0,-48 + 80005648: 0ff7f793 andi a5,a5,255 + 8000564c: 00900813 li a6,9 + 80005650: 0ef87c63 bgeu a6,a5,80005748 <_vsnprintf+0x1dc> + 80005654: 02a00793 li a5,42 + 80005658: 20f50463 beq a0,a5,80005860 <_vsnprintf+0x2f4> + 8000565c: 000b8613 mv a2,s7 + 80005660: 00000d93 li s11,0 + 80005664: 00070b93 mv s7,a4 + 80005668: 02e00793 li a5,46 + 8000566c: 00000c93 li s9,0 + 80005670: 12f50463 beq a0,a5,80005798 <_vsnprintf+0x22c> + 80005674: f985079b addiw a5,a0,-104 + 80005678: 0ff7f793 andi a5,a5,255 + 8000567c: 01200713 li a4,18 + 80005680: 08f76463 bltu a4,a5,80005708 <_vsnprintf+0x19c> + 80005684: 00001717 auipc a4,0x1 + 80005688: 50870713 addi a4,a4,1288 # 80006b8c + 8000568c: 00279793 slli a5,a5,0x2 + 80005690: 00e787b3 add a5,a5,a4 + 80005694: 0007a783 lw a5,0(a5) + 80005698: 00e787b3 add a5,a5,a4 + 8000569c: 00078067 jr a5 + 800056a0: 0015e593 ori a1,a1,1 + 800056a4: 0005859b sext.w a1,a1 + 800056a8: 00070b93 mv s7,a4 + 800056ac: f6dff06f j 80005618 <_vsnprintf+0xac> + 800056b0: 0025e593 ori a1,a1,2 + 800056b4: 0005859b sext.w a1,a1 + 800056b8: 00070b93 mv s7,a4 + 800056bc: f5dff06f j 80005618 <_vsnprintf+0xac> + 800056c0: 0045e593 ori a1,a1,4 + 800056c4: 0005859b sext.w a1,a1 + 800056c8: 00070b93 mv s7,a4 + 800056cc: f4dff06f j 80005618 <_vsnprintf+0xac> + 800056d0: 0105e593 ori a1,a1,16 + 800056d4: 0005859b sext.w a1,a1 + 800056d8: 00070b93 mv s7,a4 + 800056dc: f3dff06f j 80005618 <_vsnprintf+0xac> + 800056e0: 0085e593 ori a1,a1,8 + 800056e4: 0005859b sext.w a1,a1 + 800056e8: 00070b93 mv s7,a4 + 800056ec: f2dff06f j 80005618 <_vsnprintf+0xac> + 800056f0: 00164503 lbu a0,1(a2) + 800056f4: 06800793 li a5,104 + 800056f8: 52f50663 beq a0,a5,80005c24 <_vsnprintf+0x6b8> + 800056fc: 0805e593 ori a1,a1,128 + 80005700: 0005859b sext.w a1,a1 + 80005704: 001b8b93 addi s7,s7,1 + 80005708: fdb5079b addiw a5,a0,-37 + 8000570c: 0ff7f793 andi a5,a5,255 + 80005710: 05300713 li a4,83 + 80005714: ecf76ce3 bltu a4,a5,800055ec <_vsnprintf+0x80> + 80005718: 00001717 auipc a4,0x1 + 8000571c: 4c070713 addi a4,a4,1216 # 80006bd8 + 80005720: 00279793 slli a5,a5,0x2 + 80005724: 00e787b3 add a5,a5,a4 + 80005728: 0007a783 lw a5,0(a5) + 8000572c: 00e787b3 add a5,a5,a4 + 80005730: 00078067 jr a5 + 80005734: 00164503 lbu a0,1(a2) + 80005738: 1005e593 ori a1,a1,256 + 8000573c: 0005859b sext.w a1,a1 + 80005740: 001b8b93 addi s7,s7,1 + 80005744: fc5ff06f j 80005708 <_vsnprintf+0x19c> + 80005748: 00000d93 li s11,0 + 8000574c: 00900813 li a6,9 + 80005750: 0080006f j 80005758 <_vsnprintf+0x1ec> + 80005754: 00170713 addi a4,a4,1 + 80005758: 002d979b slliw a5,s11,0x2 + 8000575c: 01b787bb addw a5,a5,s11 + 80005760: 0017979b slliw a5,a5,0x1 + 80005764: 00a787bb addw a5,a5,a0 + 80005768: 00074503 lbu a0,0(a4) + 8000576c: 000b8893 mv a7,s7 + 80005770: fd078d9b addiw s11,a5,-48 + 80005774: fd05061b addiw a2,a0,-48 + 80005778: 0ff67613 andi a2,a2,255 + 8000577c: 00070b93 mv s7,a4 + 80005780: fcc87ae3 bgeu a6,a2,80005754 <_vsnprintf+0x1e8> + 80005784: 02e00793 li a5,46 + 80005788: 00070613 mv a2,a4 + 8000578c: 00288b93 addi s7,a7,2 + 80005790: 00000c93 li s9,0 + 80005794: eef510e3 bne a0,a5,80005674 <_vsnprintf+0x108> + 80005798: 00164503 lbu a0,1(a2) + 8000579c: 4005e593 ori a1,a1,1024 + 800057a0: 00900713 li a4,9 + 800057a4: fd05079b addiw a5,a0,-48 + 800057a8: 0ff7f793 andi a5,a5,255 + 800057ac: 0005859b sext.w a1,a1 + 800057b0: 06f77c63 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 800057b4: 02a00793 li a5,42 + 800057b8: 32f50e63 beq a0,a5,80005af4 <_vsnprintf+0x588> + 800057bc: 000b8613 mv a2,s7 + 800057c0: 001b8b93 addi s7,s7,1 + 800057c4: eb1ff06f j 80005674 <_vsnprintf+0x108> + 800057c8: 000d041b sext.w s0,s10 + 800057cc: 018d6463 bltu s10,s8,800057d4 <_vsnprintf+0x268> + 800057d0: fffc0d13 addi s10,s8,-1 + 800057d4: 000c0693 mv a3,s8 + 800057d8: 000d0613 mv a2,s10 + 800057dc: 00048593 mv a1,s1 + 800057e0: 00000513 li a0,0 + 800057e4: 000900e7 jalr s2 + 800057e8: 09813083 ld ra,152(sp) + 800057ec: 00040513 mv a0,s0 + 800057f0: 09013403 ld s0,144(sp) + 800057f4: 08813483 ld s1,136(sp) + 800057f8: 08013903 ld s2,128(sp) + 800057fc: 07813983 ld s3,120(sp) + 80005800: 07013a03 ld s4,112(sp) + 80005804: 06813a83 ld s5,104(sp) + 80005808: 06013b03 ld s6,96(sp) + 8000580c: 05813b83 ld s7,88(sp) + 80005810: 05013c03 ld s8,80(sp) + 80005814: 04813c83 ld s9,72(sp) + 80005818: 04013d03 ld s10,64(sp) + 8000581c: 03813d83 ld s11,56(sp) + 80005820: 0a010113 addi sp,sp,160 + 80005824: 00008067 ret + 80005828: 002c979b slliw a5,s9,0x2 + 8000582c: 019788bb addw a7,a5,s9 + 80005830: 000b8813 mv a6,s7 + 80005834: 0018989b slliw a7,a7,0x1 + 80005838: 001b8b93 addi s7,s7,1 + 8000583c: 00a888bb addw a7,a7,a0 + 80005840: 000bc503 lbu a0,0(s7) + 80005844: fd088c9b addiw s9,a7,-48 + 80005848: fd05079b addiw a5,a0,-48 + 8000584c: 0ff7f793 andi a5,a5,255 + 80005850: fcf77ce3 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 80005854: 000b8613 mv a2,s7 + 80005858: 00280b93 addi s7,a6,2 + 8000585c: e19ff06f j 80005674 <_vsnprintf+0x108> + 80005860: 0009a783 lw a5,0(s3) + 80005864: 00898993 addi s3,s3,8 + 80005868: 00078d9b sext.w s11,a5 + 8000586c: 0007c863 bltz a5,8000587c <_vsnprintf+0x310> + 80005870: 001bc503 lbu a0,1(s7) + 80005874: 002b8b93 addi s7,s7,2 + 80005878: df1ff06f j 80005668 <_vsnprintf+0xfc> + 8000587c: 0025e593 ori a1,a1,2 + 80005880: 001bc503 lbu a0,1(s7) + 80005884: 0005859b sext.w a1,a1 + 80005888: 40f00dbb negw s11,a5 + 8000588c: 002b8b93 addi s7,s7,2 + 80005890: dd9ff06f j 80005668 <_vsnprintf+0xfc> + 80005894: 07800793 li a5,120 + 80005898: 00898a93 addi s5,s3,8 + 8000589c: 56f50063 beq a0,a5,80005dfc <_vsnprintf+0x890> + 800058a0: 05800793 li a5,88 + 800058a4: 28f50463 beq a0,a5,80005b2c <_vsnprintf+0x5c0> + 800058a8: 06f00793 li a5,111 + 800058ac: 4af50c63 beq a0,a5,80005d64 <_vsnprintf+0x7f8> + 800058b0: 06200793 li a5,98 + 800058b4: 54f50063 beq a0,a5,80005df4 <_vsnprintf+0x888> + 800058b8: fef5ff13 andi t5,a1,-17 + 800058bc: 4005f793 andi a5,a1,1024 + 800058c0: 06900713 li a4,105 + 800058c4: 000f0f1b sext.w t5,t5 + 800058c8: 0007879b sext.w a5,a5 + 800058cc: 5ae51063 bne a0,a4,80005e6c <_vsnprintf+0x900> + 800058d0: 48079663 bnez a5,80005d5c <_vsnprintf+0x7f0> + 800058d4: 2005f593 andi a1,a1,512 + 800058d8: 0005879b sext.w a5,a1 + 800058dc: 00a00813 li a6,10 + 800058e0: 42079663 bnez a5,80005d0c <_vsnprintf+0x7a0> + 800058e4: 100f7793 andi a5,t5,256 + 800058e8: 000f0613 mv a2,t5 + 800058ec: 4e079063 bnez a5,80005dcc <_vsnprintf+0x860> + 800058f0: 040f7713 andi a4,t5,64 + 800058f4: 0009a783 lw a5,0(s3) + 800058f8: 4a071a63 bnez a4,80005dac <_vsnprintf+0x840> + 800058fc: 08067613 andi a2,a2,128 + 80005900: 52060a63 beqz a2,80005e34 <_vsnprintf+0x8c8> + 80005904: 0107979b slliw a5,a5,0x10 + 80005908: 4107d79b sraiw a5,a5,0x10 + 8000590c: 40f7d61b sraiw a2,a5,0xf + 80005910: 00c7c733 xor a4,a5,a2 + 80005914: 40c7073b subw a4,a4,a2 + 80005918: 03071713 slli a4,a4,0x30 + 8000591c: 03075713 srli a4,a4,0x30 + 80005920: 000d0613 mv a2,s10 + 80005924: 01e13423 sd t5,8(sp) + 80005928: 01b13023 sd s11,0(sp) + 8000592c: 000c8893 mv a7,s9 + 80005930: 01f7d79b srliw a5,a5,0x1f + 80005934: 000c0693 mv a3,s8 + 80005938: 00048593 mv a1,s1 + 8000593c: 00090513 mv a0,s2 + 80005940: 96dff0ef jal ra,800052ac <_ntoa_long> + 80005944: 00050d13 mv s10,a0 + 80005948: 000a8993 mv s3,s5 + 8000594c: cb5ff06f j 80005600 <_vsnprintf+0x94> + 80005950: 00164503 lbu a0,1(a2) + 80005954: 06c00793 li a5,108 + 80005958: def510e3 bne a0,a5,80005738 <_vsnprintf+0x1cc> + 8000595c: 3005e593 ori a1,a1,768 + 80005960: 00264503 lbu a0,2(a2) + 80005964: 0005859b sext.w a1,a1 + 80005968: 00360b93 addi s7,a2,3 + 8000596c: d9dff06f j 80005708 <_vsnprintf+0x19c> + 80005970: 00898793 addi a5,s3,8 + 80005974: 001d0813 addi a6,s10,1 + 80005978: 0025f593 andi a1,a1,2 + 8000597c: 02f13023 sd a5,32(sp) + 80005980: 00080b13 mv s6,a6 + 80005984: 32058263 beqz a1,80005ca8 <_vsnprintf+0x73c> + 80005988: 0009c503 lbu a0,0(s3) + 8000598c: 000c0693 mv a3,s8 + 80005990: 000d0613 mv a2,s10 + 80005994: 00048593 mv a1,s1 + 80005998: 000900e7 jalr s2 + 8000599c: 00100793 li a5,1 + 800059a0: 43b7f063 bgeu a5,s11,80005dc0 <_vsnprintf+0x854> + 800059a4: ffed879b addiw a5,s11,-2 + 800059a8: 02079a93 slli s5,a5,0x20 + 800059ac: 020ada93 srli s5,s5,0x20 + 800059b0: 002d0d13 addi s10,s10,2 + 800059b4: 015d0cb3 add s9,s10,s5 + 800059b8: 000b0613 mv a2,s6 + 800059bc: 000c0693 mv a3,s8 + 800059c0: 001b0b13 addi s6,s6,1 + 800059c4: 00048593 mv a1,s1 + 800059c8: 02000513 li a0,32 + 800059cc: 000900e7 jalr s2 + 800059d0: ff6c94e3 bne s9,s6,800059b8 <_vsnprintf+0x44c> + 800059d4: 02013983 ld s3,32(sp) + 800059d8: 015d0d33 add s10,s10,s5 + 800059dc: c25ff06f j 80005600 <_vsnprintf+0x94> + 800059e0: 000d0613 mv a2,s10 + 800059e4: 000c0693 mv a3,s8 + 800059e8: 00048593 mv a1,s1 + 800059ec: 02500513 li a0,37 + 800059f0: 001d0d13 addi s10,s10,1 + 800059f4: 000900e7 jalr s2 + 800059f8: c09ff06f j 80005600 <_vsnprintf+0x94> + 800059fc: 0009ba83 ld s5,0(s3) + 80005a00: 00898793 addi a5,s3,8 + 80005a04: 02f13023 sd a5,32(sp) + 80005a08: 000ac503 lbu a0,0(s5) + 80005a0c: 100c9663 bnez s9,80005b18 <_vsnprintf+0x5ac> + 80005a10: ffe00793 li a5,-2 + 80005a14: 42050863 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005a18: 00178693 addi a3,a5,1 + 80005a1c: 00da8633 add a2,s5,a3 + 80005a20: 000a8793 mv a5,s5 + 80005a24: 0080006f j 80005a2c <_vsnprintf+0x4c0> + 80005a28: 1ec78863 beq a5,a2,80005c18 <_vsnprintf+0x6ac> + 80005a2c: 0017c703 lbu a4,1(a5) + 80005a30: 00178793 addi a5,a5,1 + 80005a34: fe071ae3 bnez a4,80005a28 <_vsnprintf+0x4bc> + 80005a38: 415787bb subw a5,a5,s5 + 80005a3c: 00f13823 sd a5,16(sp) + 80005a40: 4005f793 andi a5,a1,1024 + 80005a44: 0007899b sext.w s3,a5 + 80005a48: 00078c63 beqz a5,80005a60 <_vsnprintf+0x4f4> + 80005a4c: 01013703 ld a4,16(sp) + 80005a50: 000c879b sext.w a5,s9 + 80005a54: 01977463 bgeu a4,s9,80005a5c <_vsnprintf+0x4f0> + 80005a58: 0007079b sext.w a5,a4 + 80005a5c: 00f13823 sd a5,16(sp) + 80005a60: 0025f593 andi a1,a1,2 + 80005a64: 0005879b sext.w a5,a1 + 80005a68: 02f13423 sd a5,40(sp) + 80005a6c: 1c058a63 beqz a1,80005c40 <_vsnprintf+0x6d4> + 80005a70: 14050863 beqz a0,80005bc0 <_vsnprintf+0x654> + 80005a74: 000d0613 mv a2,s10 + 80005a78: 00098863 beqz s3,80005a88 <_vsnprintf+0x51c> + 80005a7c: fffc879b addiw a5,s9,-1 + 80005a80: 120c8863 beqz s9,80005bb0 <_vsnprintf+0x644> + 80005a84: 00078c93 mv s9,a5 + 80005a88: 000c0693 mv a3,s8 + 80005a8c: 00048593 mv a1,s1 + 80005a90: 00160b13 addi s6,a2,1 + 80005a94: 000900e7 jalr s2 + 80005a98: 41ab07b3 sub a5,s6,s10 + 80005a9c: 00fa87b3 add a5,s5,a5 + 80005aa0: 0007c503 lbu a0,0(a5) + 80005aa4: 10050863 beqz a0,80005bb4 <_vsnprintf+0x648> + 80005aa8: 000b0613 mv a2,s6 + 80005aac: fcdff06f j 80005a78 <_vsnprintf+0x50c> + 80005ab0: 0009b703 ld a4,0(s3) + 80005ab4: 0215e593 ori a1,a1,33 + 80005ab8: 0005859b sext.w a1,a1 + 80005abc: 01000793 li a5,16 + 80005ac0: 000d0613 mv a2,s10 + 80005ac4: 00b13423 sd a1,8(sp) + 80005ac8: 00f13023 sd a5,0(sp) + 80005acc: 000c8893 mv a7,s9 + 80005ad0: 01000813 li a6,16 + 80005ad4: 00000793 li a5,0 + 80005ad8: 000c0693 mv a3,s8 + 80005adc: 00048593 mv a1,s1 + 80005ae0: 00090513 mv a0,s2 + 80005ae4: 929ff0ef jal ra,8000540c <_ntoa_long_long> + 80005ae8: 00898993 addi s3,s3,8 + 80005aec: 00050d13 mv s10,a0 + 80005af0: b11ff06f j 80005600 <_vsnprintf+0x94> + 80005af4: 0009a883 lw a7,0(s3) + 80005af8: 00264503 lbu a0,2(a2) + 80005afc: 00360b93 addi s7,a2,3 + 80005b00: fff8c793 not a5,a7 + 80005b04: 43f7d793 srai a5,a5,0x3f + 80005b08: 00f8fcb3 and s9,a7,a5 + 80005b0c: 00898993 addi s3,s3,8 + 80005b10: 00260613 addi a2,a2,2 + 80005b14: b61ff06f j 80005674 <_vsnprintf+0x108> + 80005b18: 020c9793 slli a5,s9,0x20 + 80005b1c: 0207d793 srli a5,a5,0x20 + 80005b20: 32050263 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005b24: fff78793 addi a5,a5,-1 + 80005b28: ef1ff06f j 80005a18 <_vsnprintf+0x4ac> + 80005b2c: ff35ff13 andi t5,a1,-13 + 80005b30: 000f0f1b sext.w t5,t5 + 80005b34: 4005f793 andi a5,a1,1024 + 80005b38: 020f6f13 ori t5,t5,32 + 80005b3c: 20078863 beqz a5,80005d4c <_vsnprintf+0x7e0> + 80005b40: 01000813 li a6,16 + 80005b44: ffef7f13 andi t5,t5,-2 + 80005b48: 000f0f1b sext.w t5,t5 + 80005b4c: 200f7793 andi a5,t5,512 + 80005b50: 06900713 li a4,105 + 80005b54: 0007879b sext.w a5,a5 + 80005b58: d8e504e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b5c: 06400713 li a4,100 + 80005b60: d8e500e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b64: 22079663 bnez a5,80005d90 <_vsnprintf+0x824> + 80005b68: 100f7793 andi a5,t5,256 + 80005b6c: 000f0713 mv a4,t5 + 80005b70: 2a079463 bnez a5,80005e18 <_vsnprintf+0x8ac> + 80005b74: 040f7793 andi a5,t5,64 + 80005b78: 24079063 bnez a5,80005db8 <_vsnprintf+0x84c> + 80005b7c: 08077713 andi a4,a4,128 + 80005b80: 2c070663 beqz a4,80005e4c <_vsnprintf+0x8e0> + 80005b84: 0009a703 lw a4,0(s3) + 80005b88: 01813783 ld a5,24(sp) + 80005b8c: 00e7f733 and a4,a5,a4 + 80005b90: 02071713 slli a4,a4,0x20 + 80005b94: 000d0613 mv a2,s10 + 80005b98: 01e13423 sd t5,8(sp) + 80005b9c: 01b13023 sd s11,0(sp) + 80005ba0: 000c8893 mv a7,s9 + 80005ba4: 00000793 li a5,0 + 80005ba8: 02075713 srli a4,a4,0x20 + 80005bac: d89ff06f j 80005934 <_vsnprintf+0x3c8> + 80005bb0: 00060b13 mv s6,a2 + 80005bb4: 02813783 ld a5,40(sp) + 80005bb8: 04078a63 beqz a5,80005c0c <_vsnprintf+0x6a0> + 80005bbc: 000b0d13 mv s10,s6 + 80005bc0: 01013703 ld a4,16(sp) + 80005bc4: 0db77e63 bgeu a4,s11,80005ca0 <_vsnprintf+0x734> + 80005bc8: fffd879b addiw a5,s11,-1 + 80005bcc: 40e7873b subw a4,a5,a4 + 80005bd0: 02071713 slli a4,a4,0x20 + 80005bd4: 02075713 srli a4,a4,0x20 + 80005bd8: 001d0813 addi a6,s10,1 + 80005bdc: 01070b33 add s6,a4,a6 + 80005be0: 0080006f j 80005be8 <_vsnprintf+0x67c> + 80005be4: 00180813 addi a6,a6,1 + 80005be8: 000d0613 mv a2,s10 + 80005bec: 01013823 sd a6,16(sp) + 80005bf0: 000c0693 mv a3,s8 + 80005bf4: 00048593 mv a1,s1 + 80005bf8: 02000513 li a0,32 + 80005bfc: 00080d13 mv s10,a6 + 80005c00: 000900e7 jalr s2 + 80005c04: 01013803 ld a6,16(sp) + 80005c08: fd0b1ee3 bne s6,a6,80005be4 <_vsnprintf+0x678> + 80005c0c: 02013983 ld s3,32(sp) + 80005c10: 000b0d13 mv s10,s6 + 80005c14: 9edff06f j 80005600 <_vsnprintf+0x94> + 80005c18: 0006879b sext.w a5,a3 + 80005c1c: 00f13823 sd a5,16(sp) + 80005c20: e21ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005c24: 0c05e593 ori a1,a1,192 + 80005c28: 00264503 lbu a0,2(a2) + 80005c2c: 0005859b sext.w a1,a1 + 80005c30: 00360b93 addi s7,a2,3 + 80005c34: ad5ff06f j 80005708 <_vsnprintf+0x19c> + 80005c38: 00000413 li s0,0 + 80005c3c: b91ff06f j 800057cc <_vsnprintf+0x260> + 80005c40: 01013703 ld a4,16(sp) + 80005c44: 0017079b addiw a5,a4,1 + 80005c48: 21b77c63 bgeu a4,s11,80005e60 <_vsnprintf+0x8f4> + 80005c4c: fffd879b addiw a5,s11,-1 + 80005c50: 40e787bb subw a5,a5,a4 + 80005c54: 02079793 slli a5,a5,0x20 + 80005c58: 0207d793 srli a5,a5,0x20 + 80005c5c: 001d0813 addi a6,s10,1 + 80005c60: 01078b33 add s6,a5,a6 + 80005c64: 00c0006f j 80005c70 <_vsnprintf+0x704> + 80005c68: 01013803 ld a6,16(sp) + 80005c6c: 00180813 addi a6,a6,1 + 80005c70: 000d0613 mv a2,s10 + 80005c74: 000c0693 mv a3,s8 + 80005c78: 00080d13 mv s10,a6 + 80005c7c: 01013823 sd a6,16(sp) + 80005c80: 00048593 mv a1,s1 + 80005c84: 02000513 li a0,32 + 80005c88: 000900e7 jalr s2 + 80005c8c: fd6d1ee3 bne s10,s6,80005c68 <_vsnprintf+0x6fc> + 80005c90: 000ac503 lbu a0,0(s5) + 80005c94: 001d879b addiw a5,s11,1 + 80005c98: 00f13823 sd a5,16(sp) + 80005c9c: dc051ce3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005ca0: 000d0b13 mv s6,s10 + 80005ca4: f69ff06f j 80005c0c <_vsnprintf+0x6a0> + 80005ca8: 00100793 li a5,1 + 80005cac: 1bb7f463 bgeu a5,s11,80005e54 <_vsnprintf+0x8e8> + 80005cb0: ffed879b addiw a5,s11,-2 + 80005cb4: 02079a93 slli s5,a5,0x20 + 80005cb8: 020ada93 srli s5,s5,0x20 + 80005cbc: 010a8ab3 add s5,s5,a6 + 80005cc0: 00c0006f j 80005ccc <_vsnprintf+0x760> + 80005cc4: 01013803 ld a6,16(sp) + 80005cc8: 00180813 addi a6,a6,1 + 80005ccc: 000d0613 mv a2,s10 + 80005cd0: 000c0693 mv a3,s8 + 80005cd4: 00080d13 mv s10,a6 + 80005cd8: 01013823 sd a6,16(sp) + 80005cdc: 00048593 mv a1,s1 + 80005ce0: 02000513 li a0,32 + 80005ce4: 000900e7 jalr s2 + 80005ce8: fdaa9ee3 bne s5,s10,80005cc4 <_vsnprintf+0x758> + 80005cec: 001a8d13 addi s10,s5,1 + 80005cf0: 0009c503 lbu a0,0(s3) + 80005cf4: 000c0693 mv a3,s8 + 80005cf8: 000a8613 mv a2,s5 + 80005cfc: 00048593 mv a1,s1 + 80005d00: 000900e7 jalr s2 + 80005d04: 02013983 ld s3,32(sp) + 80005d08: 8f9ff06f j 80005600 <_vsnprintf+0x94> + 80005d0c: 0009b783 ld a5,0(s3) + 80005d10: 000d0613 mv a2,s10 + 80005d14: 01e13423 sd t5,8(sp) + 80005d18: 43f7d713 srai a4,a5,0x3f + 80005d1c: 00f745b3 xor a1,a4,a5 + 80005d20: 01b13023 sd s11,0(sp) + 80005d24: 000c8893 mv a7,s9 + 80005d28: 03f7d793 srli a5,a5,0x3f + 80005d2c: 40e58733 sub a4,a1,a4 + 80005d30: 000c0693 mv a3,s8 + 80005d34: 00048593 mv a1,s1 + 80005d38: 00090513 mv a0,s2 + 80005d3c: ed0ff0ef jal ra,8000540c <_ntoa_long_long> + 80005d40: 00050d13 mv s10,a0 + 80005d44: 000a8993 mv s3,s5 + 80005d48: 8b9ff06f j 80005600 <_vsnprintf+0x94> + 80005d4c: 2005f793 andi a5,a1,512 + 80005d50: 0007879b sext.w a5,a5 + 80005d54: 01000813 li a6,16 + 80005d58: e0dff06f j 80005b64 <_vsnprintf+0x5f8> + 80005d5c: 00a00813 li a6,10 + 80005d60: de5ff06f j 80005b44 <_vsnprintf+0x5d8> + 80005d64: 00800813 li a6,8 + 80005d68: 00058f13 mv t5,a1 + 80005d6c: 400f7713 andi a4,t5,1024 + 80005d70: 06400613 li a2,100 + 80005d74: 000f0793 mv a5,t5 + 80005d78: 0007071b sext.w a4,a4 + 80005d7c: 0ec51c63 bne a0,a2,80005e74 <_vsnprintf+0x908> + 80005d80: dc0712e3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005d84: 2007f793 andi a5,a5,512 + 80005d88: 0007879b sext.w a5,a5 + 80005d8c: b55ff06f j 800058e0 <_vsnprintf+0x374> + 80005d90: 0009b703 ld a4,0(s3) + 80005d94: 000d0613 mv a2,s10 + 80005d98: 01e13423 sd t5,8(sp) + 80005d9c: 01b13023 sd s11,0(sp) + 80005da0: 000c8893 mv a7,s9 + 80005da4: 00000793 li a5,0 + 80005da8: f89ff06f j 80005d30 <_vsnprintf+0x7c4> + 80005dac: 0ff7f793 andi a5,a5,255 + 80005db0: 00078713 mv a4,a5 + 80005db4: b6dff06f j 80005920 <_vsnprintf+0x3b4> + 80005db8: 0009c703 lbu a4,0(s3) + 80005dbc: dd5ff06f j 80005b90 <_vsnprintf+0x624> + 80005dc0: 02013983 ld s3,32(sp) + 80005dc4: 000b0d13 mv s10,s6 + 80005dc8: 839ff06f j 80005600 <_vsnprintf+0x94> + 80005dcc: 0009b783 ld a5,0(s3) + 80005dd0: 000d0613 mv a2,s10 + 80005dd4: 01e13423 sd t5,8(sp) + 80005dd8: 43f7d713 srai a4,a5,0x3f + 80005ddc: 00f745b3 xor a1,a4,a5 + 80005de0: 01b13023 sd s11,0(sp) + 80005de4: 000c8893 mv a7,s9 + 80005de8: 03f7d793 srli a5,a5,0x3f + 80005dec: 40e58733 sub a4,a1,a4 + 80005df0: b45ff06f j 80005934 <_vsnprintf+0x3c8> + 80005df4: 00200813 li a6,2 + 80005df8: f71ff06f j 80005d68 <_vsnprintf+0x7fc> + 80005dfc: 4005f713 andi a4,a1,1024 + 80005e00: 0007071b sext.w a4,a4 + 80005e04: 01000813 li a6,16 + 80005e08: ff35f593 andi a1,a1,-13 + 80005e0c: 00058f1b sext.w t5,a1 + 80005e10: d2071ae3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005e14: d39ff06f j 80005b4c <_vsnprintf+0x5e0> + 80005e18: 0009b703 ld a4,0(s3) + 80005e1c: 000d0613 mv a2,s10 + 80005e20: 01e13423 sd t5,8(sp) + 80005e24: 01b13023 sd s11,0(sp) + 80005e28: 000c8893 mv a7,s9 + 80005e2c: 00000793 li a5,0 + 80005e30: b05ff06f j 80005934 <_vsnprintf+0x3c8> + 80005e34: 41f7d61b sraiw a2,a5,0x1f + 80005e38: 00c7c733 xor a4,a5,a2 + 80005e3c: 40c7073b subw a4,a4,a2 + 80005e40: ae1ff06f j 80005920 <_vsnprintf+0x3b4> + 80005e44: 00013823 sd zero,16(sp) + 80005e48: bf9ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005e4c: 0009a703 lw a4,0(s3) + 80005e50: d41ff06f j 80005b90 <_vsnprintf+0x624> + 80005e54: 000d0a93 mv s5,s10 + 80005e58: 00080d13 mv s10,a6 + 80005e5c: e95ff06f j 80005cf0 <_vsnprintf+0x784> + 80005e60: 00f13823 sd a5,16(sp) + 80005e64: c00518e3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005e68: e39ff06f j 80005ca0 <_vsnprintf+0x734> + 80005e6c: 00a00813 li a6,10 + 80005e70: efdff06f j 80005d6c <_vsnprintf+0x800> + 80005e74: 000f0593 mv a1,t5 + 80005e78: f91ff06f j 80005e08 <_vsnprintf+0x89c> + +0000000080005e7c <_out_char>: + 80005e7c: 00051463 bnez a0,80005e84 <_out_char+0x8> + 80005e80: 00008067 ret + 80005e84: a05fe06f j 80004888 + +0000000080005e88 : + 80005e88: fa010113 addi sp,sp,-96 + 80005e8c: 02810313 addi t1,sp,40 + 80005e90: 02b13423 sd a1,40(sp) + 80005e94: 02c13823 sd a2,48(sp) + 80005e98: 02d13c23 sd a3,56(sp) + 80005e9c: 04e13023 sd a4,64(sp) + 80005ea0: 00050693 mv a3,a0 + 80005ea4: 00010593 mv a1,sp + 80005ea8: 00030713 mv a4,t1 + 80005eac: fff00613 li a2,-1 + 80005eb0: 00000517 auipc a0,0x0 + 80005eb4: fcc50513 addi a0,a0,-52 # 80005e7c <_out_char> + 80005eb8: 00113c23 sd ra,24(sp) + 80005ebc: 04f13423 sd a5,72(sp) + 80005ec0: 05013823 sd a6,80(sp) + 80005ec4: 05113c23 sd a7,88(sp) + 80005ec8: 00613423 sd t1,8(sp) + 80005ecc: ea0ff0ef jal ra,8000556c <_vsnprintf> + 80005ed0: 01813083 ld ra,24(sp) + 80005ed4: 06010113 addi sp,sp,96 + 80005ed8: 00008067 ret + +0000000080005edc : + 80005edc: fb010113 addi sp,sp,-80 + 80005ee0: 02010313 addi t1,sp,32 + 80005ee4: 02c13023 sd a2,32(sp) + 80005ee8: 02d13423 sd a3,40(sp) + 80005eec: 02e13823 sd a4,48(sp) + 80005ef0: 00058693 mv a3,a1 + 80005ef4: 00030713 mv a4,t1 + 80005ef8: 00050593 mv a1,a0 + 80005efc: fff00613 li a2,-1 + 80005f00: fffff517 auipc a0,0xfffff + 80005f04: f0050513 addi a0,a0,-256 # 80004e00 <_out_buffer> + 80005f08: 00113c23 sd ra,24(sp) + 80005f0c: 02f13c23 sd a5,56(sp) + 80005f10: 05013023 sd a6,64(sp) + 80005f14: 05113423 sd a7,72(sp) + 80005f18: 00613423 sd t1,8(sp) + 80005f1c: e50ff0ef jal ra,8000556c <_vsnprintf> + 80005f20: 01813083 ld ra,24(sp) + 80005f24: 05010113 addi sp,sp,80 + 80005f28: 00008067 ret + +0000000080005f2c : + 80005f2c: 00700713 li a4,7 + 80005f30: 00050793 mv a5,a0 + 80005f34: 0ac77a63 bgeu a4,a2,80005fe8 + 80005f38: 0ff5f893 andi a7,a1,255 + 80005f3c: 00889693 slli a3,a7,0x8 + 80005f40: 0116e6b3 or a3,a3,a7 + 80005f44: 01069713 slli a4,a3,0x10 + 80005f48: 00d766b3 or a3,a4,a3 + 80005f4c: 02069713 slli a4,a3,0x20 + 80005f50: 00757813 andi a6,a0,7 + 80005f54: 00d76733 or a4,a4,a3 + 80005f58: 0a080663 beqz a6,80006004 + 80005f5c: 00c50633 add a2,a0,a2 + 80005f60: 01178023 sb a7,0(a5) + 80005f64: 00178793 addi a5,a5,1 + 80005f68: 0077f813 andi a6,a5,7 + 80005f6c: 40f606b3 sub a3,a2,a5 + 80005f70: fe0818e3 bnez a6,80005f60 + 80005f74: 0066d813 srli a6,a3,0x6 + 80005f78: 04080063 beqz a6,80005fb8 + 80005f7c: 00681613 slli a2,a6,0x6 + 80005f80: 00078893 mv a7,a5 + 80005f84: 00f60633 add a2,a2,a5 + 80005f88: 00e7b023 sd a4,0(a5) + 80005f8c: 00e7b423 sd a4,8(a5) + 80005f90: 00e7b823 sd a4,16(a5) + 80005f94: 00e7bc23 sd a4,24(a5) + 80005f98: 02e7b023 sd a4,32(a5) + 80005f9c: 02e7b423 sd a4,40(a5) + 80005fa0: 02e7b823 sd a4,48(a5) + 80005fa4: 02e7bc23 sd a4,56(a5) + 80005fa8: 04078793 addi a5,a5,64 + 80005fac: fcf61ee3 bne a2,a5,80005f88 + 80005fb0: 00681793 slli a5,a6,0x6 + 80005fb4: 011787b3 add a5,a5,a7 + 80005fb8: 0036d813 srli a6,a3,0x3 + 80005fbc: 00787813 andi a6,a6,7 + 80005fc0: 02080263 beqz a6,80005fe4 + 80005fc4: 00381613 slli a2,a6,0x3 + 80005fc8: 00078893 mv a7,a5 + 80005fcc: 00f60633 add a2,a2,a5 + 80005fd0: 00e7b023 sd a4,0(a5) + 80005fd4: 00878793 addi a5,a5,8 + 80005fd8: fef61ce3 bne a2,a5,80005fd0 + 80005fdc: 00381793 slli a5,a6,0x3 + 80005fe0: 011787b3 add a5,a5,a7 + 80005fe4: 0076f613 andi a2,a3,7 + 80005fe8: 0ff5f593 andi a1,a1,255 + 80005fec: 00c78733 add a4,a5,a2 + 80005ff0: 00060863 beqz a2,80006000 + 80005ff4: 00b78023 sb a1,0(a5) + 80005ff8: 00178793 addi a5,a5,1 + 80005ffc: fef71ce3 bne a4,a5,80005ff4 + 80006000: 00008067 ret + 80006004: 00060693 mv a3,a2 + 80006008: f6dff06f j 80005f74 + +000000008000600c : + 8000600c: 1a050e63 beqz a0,800061c8 + 80006010: fd010113 addi sp,sp,-48 + 80006014: 02813023 sd s0,32(sp) + 80006018: 00913c23 sd s1,24(sp) + 8000601c: 01f57793 andi a5,a0,31 + 80006020: 02113423 sd ra,40(sp) + 80006024: 01213823 sd s2,16(sp) + 80006028: 01313423 sd s3,8(sp) + 8000602c: 01413023 sd s4,0(sp) + 80006030: 00050493 mv s1,a0 + 80006034: 00050413 mv s0,a0 + 80006038: 00000513 li a0,0 + 8000603c: 14079a63 bnez a5,80006190 + 80006040: 27f00713 li a4,639 + 80006044: 00058913 mv s2,a1 + 80006048: 00078513 mv a0,a5 + 8000604c: 14b77263 bgeu a4,a1,80006190 + 80006050: 0074f513 andi a0,s1,7 + 80006054: 00153513 seqz a0,a0 + 80006058: 00060a13 mv s4,a2 + 8000605c: 00068993 mv s3,a3 + 80006060: 839fe0ef jal ra,80004898 <_assert> + 80006064: 20048793 addi a5,s1,512 + 80006068: 2004b023 sd zero,512(s1) + 8000606c: 2144b423 sd s4,520(s1) + 80006070: 2134b823 sd s3,528(s1) + 80006074: 00043023 sd zero,0(s0) + 80006078: 00840413 addi s0,s0,8 + 8000607c: fe879ce3 bne a5,s0,80006074 + 80006080: fff00793 li a5,-1 + 80006084: dc090413 addi s0,s2,-576 + 80006088: 03f79793 slli a5,a5,0x3f + 8000608c: 1287f863 bgeu a5,s0,800061bc + 80006090: 00078413 mv s0,a5 + 80006094: 00100513 li a0,1 + 80006098: 801fe0ef jal ra,80004898 <_assert> + 8000609c: f8300793 li a5,-125 + 800060a0: 0017d793 srli a5,a5,0x1 + 800060a4: fc040513 addi a0,s0,-64 + 800060a8: 00f53533 sltu a0,a0,a5 + 800060ac: fecfe0ef jal ra,80004898 <_assert> + 800060b0: 01f4f513 andi a0,s1,31 + 800060b4: 00153513 seqz a0,a0 + 800060b8: fe0fe0ef jal ra,80004898 <_assert> + 800060bc: 00100513 li a0,1 + 800060c0: 2404b023 sd zero,576(s1) + 800060c4: 2404b423 sd zero,584(s1) + 800060c8: 2484b823 sd s0,592(s1) + 800060cc: 24048c23 sb zero,600(s1) + 800060d0: 2604b023 sd zero,608(s1) + 800060d4: 2604b423 sd zero,616(s1) + 800060d8: fc0fe0ef jal ra,80004898 <_assert> + 800060dc: 00100513 li a0,1 + 800060e0: fb8fe0ef jal ra,80004898 <_assert> + 800060e4: 2504b503 ld a0,592(s1) + 800060e8: 24048a13 addi s4,s1,576 + 800060ec: 04053513 sltiu a0,a0,64 + 800060f0: 00154513 xori a0,a0,1 + 800060f4: 00157513 andi a0,a0,1 + 800060f8: fa0fe0ef jal ra,80004898 <_assert> + 800060fc: 2504b503 ld a0,592(s1) + 80006100: 03f57513 andi a0,a0,63 + 80006104: 00153513 seqz a0,a0 + 80006108: f90fe0ef jal ra,80004898 <_assert> + 8000610c: 2504b703 ld a4,592(s1) + 80006110: 00100793 li a5,1 + 80006114: 00675713 srli a4,a4,0x6 + 80006118: 0ae7fc63 bgeu a5,a4,800061d0 + 8000611c: 00000793 li a5,0 + 80006120: 00100693 li a3,1 + 80006124: 0017879b addiw a5,a5,1 + 80006128: 00175713 srli a4,a4,0x1 + 8000612c: 0ff7f793 andi a5,a5,255 + 80006130: fed71ae3 bne a4,a3,80006124 + 80006134: 00078993 mv s3,a5 + 80006138: 0407b513 sltiu a0,a5,64 + 8000613c: 00f71933 sll s2,a4,a5 + 80006140: f58fe0ef jal ra,80004898 <_assert> + 80006144: 00399793 slli a5,s3,0x3 + 80006148: 00f487b3 add a5,s1,a5 + 8000614c: 0007b703 ld a4,0(a5) + 80006150: 2604b423 sd zero,616(s1) + 80006154: 26e4b023 sd a4,608(s1) + 80006158: 00070463 beqz a4,80006160 + 8000615c: 03473423 sd s4,40(a4) + 80006160: 0147b023 sd s4,0(a5) + 80006164: 2004b503 ld a0,512(s1) + 80006168: 00a96533 or a0,s2,a0 + 8000616c: 20a4b023 sd a0,512(s1) + 80006170: 00a03533 snez a0,a0 + 80006174: f24fe0ef jal ra,80004898 <_assert> + 80006178: 00048513 mv a0,s1 + 8000617c: 2084bc23 sd s0,536(s1) + 80006180: 2204b023 sd zero,544(s1) + 80006184: 2204b423 sd zero,552(s1) + 80006188: 2204b823 sd zero,560(s1) + 8000618c: 2204bc23 sd zero,568(s1) + 80006190: 02813083 ld ra,40(sp) + 80006194: 02013403 ld s0,32(sp) + 80006198: 01813483 ld s1,24(sp) + 8000619c: 01013903 ld s2,16(sp) + 800061a0: 00813983 ld s3,8(sp) + 800061a4: 00013a03 ld s4,0(sp) + 800061a8: 03010113 addi sp,sp,48 + 800061ac: 00008067 ret + 800061b0: 00100513 li a0,1 + 800061b4: fff40413 addi s0,s0,-1 + 800061b8: ee0fe0ef jal ra,80004898 <_assert> + 800061bc: 03f47793 andi a5,s0,63 + 800061c0: fe0798e3 bnez a5,800061b0 + 800061c4: ed1ff06f j 80006094 + 800061c8: 00000513 li a0,0 + 800061cc: 00008067 ret + 800061d0: 00100913 li s2,1 + 800061d4: 00000993 li s3,0 + 800061d8: 00100513 li a0,1 + 800061dc: f65ff06f j 80006140 diff --git a/bin/non-output/microbench/microbench-train.bin b/bin/non-output/microbench/microbench-train.bin new file mode 100755 index 0000000..899a05c Binary files /dev/null and b/bin/non-output/microbench/microbench-train.bin differ diff --git a/bin/non-output/microbench/microbench-train.elf b/bin/non-output/microbench/microbench-train.elf new file mode 100755 index 0000000..9ab0e10 Binary files /dev/null and b/bin/non-output/microbench/microbench-train.elf differ diff --git a/bin/non-output/microbench/microbench-train.txt b/bin/non-output/microbench/microbench-train.txt new file mode 100755 index 0000000..cd8ebb1 --- /dev/null +++ b/bin/non-output/microbench/microbench-train.txt @@ -0,0 +1,6441 @@ + +/home/hzb/test/am-kernels/benchmarks/microbench/build/microbench-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000413 li s0,0 + 80000004: 00010117 auipc sp,0x10 + 80000008: ffc10113 addi sp,sp,-4 # 80010000 <_end> + 8000000c: 0a5040ef jal ra,800048b0 <_trm_init> + +0000000080000010 : + 80000010: fd010113 addi sp,sp,-48 + 80000014: 3e800593 li a1,1000 + 80000018: 02813023 sd s0,32(sp) + 8000001c: 02113423 sd ra,40(sp) + 80000020: 00050413 mv s0,a0 + 80000024: 00913c23 sd s1,24(sp) + 80000028: 01213823 sd s2,16(sp) + 8000002c: 01313423 sd s3,8(sp) + 80000030: 111040ef jal ra,80004940 <__udivdi3> + 80000034: 0055179b slliw a5,a0,0x5 + 80000038: 40a787bb subw a5,a5,a0 + 8000003c: 0027979b slliw a5,a5,0x2 + 80000040: 00a787bb addw a5,a5,a0 + 80000044: 0037979b slliw a5,a5,0x3 + 80000048: 02079793 slli a5,a5,0x20 + 8000004c: 0207d793 srli a5,a5,0x20 + 80000050: 40f40433 sub s0,s0,a5 + 80000054: 3e700793 li a5,999 + 80000058: 0887e263 bltu a5,s0,800000dc + 8000005c: 00008497 auipc s1,0x8 + 80000060: 88448493 addi s1,s1,-1916 # 800078e0 + 80000064: 0005061b sext.w a2,a0 + 80000068: 00006597 auipc a1,0x6 + 8000006c: 1d858593 addi a1,a1,472 # 80006240 <_etext+0x60> + 80000070: 00048513 mv a0,s1 + 80000074: 669050ef jal ra,80005edc + 80000078: fff5051b addiw a0,a0,-1 + 8000007c: 00a484b3 add s1,s1,a0 + 80000080: 02040c63 beqz s0,800000b8 + 80000084: 00900993 li s3,9 + 80000088: 00a00593 li a1,10 + 8000008c: 00040513 mv a0,s0 + 80000090: 0f9040ef jal ra,80004988 <__umoddi3> + 80000094: 0305079b addiw a5,a0,48 + 80000098: 00f48023 sb a5,0(s1) + 8000009c: 00040513 mv a0,s0 + 800000a0: 00a00593 li a1,10 + 800000a4: 00040913 mv s2,s0 + 800000a8: 099040ef jal ra,80004940 <__udivdi3> + 800000ac: fff48493 addi s1,s1,-1 + 800000b0: 00050413 mv s0,a0 + 800000b4: fd29eae3 bltu s3,s2,80000088 + 800000b8: 02813083 ld ra,40(sp) + 800000bc: 02013403 ld s0,32(sp) + 800000c0: 01813483 ld s1,24(sp) + 800000c4: 01013903 ld s2,16(sp) + 800000c8: 00813983 ld s3,8(sp) + 800000cc: 00008517 auipc a0,0x8 + 800000d0: 81450513 addi a0,a0,-2028 # 800078e0 + 800000d4: 03010113 addi sp,sp,48 + 800000d8: 00008067 ret + 800000dc: 01100613 li a2,17 + 800000e0: 00006597 auipc a1,0x6 + 800000e4: 10058593 addi a1,a1,256 # 800061e0 <_etext> + 800000e8: 00006517 auipc a0,0x6 + 800000ec: 13850513 addi a0,a0,312 # 80006220 <_etext+0x40> + 800000f0: 599050ef jal ra,80005e88 + 800000f4: 00100513 li a0,1 + 800000f8: 794040ef jal ra,8000488c + +00000000800000fc
: + 800000fc: f5010113 addi sp,sp,-176 + 80000100: 0a113423 sd ra,168(sp) + 80000104: 0a813023 sd s0,160(sp) + 80000108: 08913c23 sd s1,152(sp) + 8000010c: 09213823 sd s2,144(sp) + 80000110: 09313423 sd s3,136(sp) + 80000114: 09413023 sd s4,128(sp) + 80000118: 07513c23 sd s5,120(sp) + 8000011c: 07613823 sd s6,112(sp) + 80000120: 07713423 sd s7,104(sp) + 80000124: 07813023 sd s8,96(sp) + 80000128: 05913c23 sd s9,88(sp) + 8000012c: 05a13823 sd s10,80(sp) + 80000130: 05b13423 sd s11,72(sp) + 80000134: 30050c63 beqz a0,8000044c + 80000138: 00006597 auipc a1,0x6 + 8000013c: 1d858593 addi a1,a1,472 # 80006310 <_etext+0x130> + 80000140: 00050413 mv s0,a0 + 80000144: 459040ef jal ra,80004d9c + 80000148: 30050263 beqz a0,8000044c + 8000014c: 00006597 auipc a1,0x6 + 80000150: 13c58593 addi a1,a1,316 # 80006288 <_etext+0xa8> + 80000154: 00040513 mv a0,s0 + 80000158: 445040ef jal ra,80004d9c + 8000015c: 00a13423 sd a0,8(sp) + 80000160: 02050063 beqz a0,80000180 + 80000164: 00006597 auipc a1,0x6 + 80000168: 12c58593 addi a1,a1,300 # 80006290 <_etext+0xb0> + 8000016c: 00040513 mv a0,s0 + 80000170: 42d040ef jal ra,80004d9c + 80000174: 00100793 li a5,1 + 80000178: 00f13423 sd a5,8(sp) + 8000017c: 34051663 bnez a0,800004c8 + 80000180: 0fd040ef jal ra,80004a7c + 80000184: 00040593 mv a1,s0 + 80000188: 00006517 auipc a0,0x6 + 8000018c: 15850513 addi a0,a0,344 # 800062e0 <_etext+0x100> + 80000190: 4f9050ef jal ra,80005e88 + 80000194: 03810593 addi a1,sp,56 + 80000198: 00600513 li a0,6 + 8000019c: 135040ef jal ra,80004ad0 + 800001a0: 00813783 ld a5,8(sp) + 800001a4: 00007c17 auipc s8,0x7 + 800001a8: b94c0c13 addi s8,s8,-1132 # 80006d38 + 800001ac: 00007b97 auipc s7,0x7 + 800001b0: 21cb8b93 addi s7,s7,540 # 800073c8 + 800001b4: 00178993 addi s3,a5,1 + 800001b8: 03813783 ld a5,56(sp) + 800001bc: 00599993 slli s3,s3,0x5 + 800001c0: fe098b13 addi s6,s3,-32 + 800001c4: 02f13423 sd a5,40(sp) + 800001c8: 00100793 li a5,1 + 800001cc: 00000d93 li s11,0 + 800001d0: 00f13c23 sd a5,24(sp) + 800001d4: 02013023 sd zero,32(sp) + 800001d8: 00008497 auipc s1,0x8 + 800001dc: 83848493 addi s1,s1,-1992 # 80007a10 + 800001e0: 00008a97 auipc s5,0x8 + 800001e4: 838a8a93 addi s5,s5,-1992 # 80007a18 + 800001e8: 00898993 addi s3,s3,8 + 800001ec: 00007417 auipc s0,0x7 + 800001f0: 2e440413 addi s0,s0,740 # 800074d0 + 800001f4: 00006a17 auipc s4,0x6 + 800001f8: 124a0a13 addi s4,s4,292 # 80006318 <_etext+0x138> + 800001fc: 00006d17 auipc s10,0x6 + 80000200: 12cd0d13 addi s10,s10,300 # 80006328 <_etext+0x148> + 80000204: 00006c97 auipc s9,0x6 + 80000208: 13cc8c93 addi s9,s9,316 # 80006340 <_etext+0x160> + 8000020c: 01c0006f j 80000228 + 80000210: 479050ef jal ra,80005e88 + 80000214: 000d0593 mv a1,s10 + 80000218: 000c8513 mv a0,s9 + 8000021c: 0a8c0c13 addi s8,s8,168 + 80000220: 469050ef jal ra,80005e88 + 80000224: 137c0a63 beq s8,s7,80000358 + 80000228: 00043603 ld a2,0(s0) + 8000022c: 00843703 ld a4,8(s0) + 80000230: 016c06b3 add a3,s8,s6 + 80000234: 0306b683 ld a3,48(a3) + 80000238: 018985b3 add a1,s3,s8 + 8000023c: 00bab023 sd a1,0(s5) + 80000240: 40c70733 sub a4,a4,a2 + 80000244: 0184b023 sd s8,0(s1) + 80000248: 018c3583 ld a1,24(s8) + 8000024c: 020c3603 ld a2,32(s8) + 80000250: 000a0513 mv a0,s4 + 80000254: fad76ee3 bltu a4,a3,80000210 + 80000258: 431050ef jal ra,80005e88 + 8000025c: 0004b683 ld a3,0(s1) + 80000260: 00043703 ld a4,0(s0) + 80000264: 00007797 auipc a5,0x7 + 80000268: 69c78793 addi a5,a5,1692 # 80007900 + 8000026c: 0006b683 ld a3,0(a3) + 80000270: 00770713 addi a4,a4,7 + 80000274: ff877713 andi a4,a4,-8 + 80000278: 00e7b023 sd a4,0(a5) + 8000027c: 000680e7 jalr a3 + 80000280: 03810593 addi a1,sp,56 + 80000284: 00600513 li a0,6 + 80000288: 049040ef jal ra,80004ad0 + 8000028c: 0004b703 ld a4,0(s1) + 80000290: 03813903 ld s2,56(sp) + 80000294: 00873703 ld a4,8(a4) + 80000298: 000700e7 jalr a4 + 8000029c: 03810593 addi a1,sp,56 + 800002a0: 00600513 li a0,6 + 800002a4: 02d040ef jal ra,80004ad0 + 800002a8: 0004b703 ld a4,0(s1) + 800002ac: 03813683 ld a3,56(sp) + 800002b0: 01073703 ld a4,16(a4) + 800002b4: 41268933 sub s2,a3,s2 + 800002b8: 000700e7 jalr a4 + 800002bc: 1c050663 beqz a0,80000488 + 800002c0: 00a13823 sd a0,16(sp) + 800002c4: 00006517 auipc a0,0x6 + 800002c8: 08c50513 addi a0,a0,140 # 80006350 <_etext+0x170> + 800002cc: 3bd050ef jal ra,80005e88 + 800002d0: 01013703 ld a4,16(sp) + 800002d4: 00177713 andi a4,a4,1 + 800002d8: 1a070e63 beqz a4,80000494 + 800002dc: 00006517 auipc a0,0x6 + 800002e0: 07c50513 addi a0,a0,124 # 80006358 <_etext+0x178> + 800002e4: 3a5050ef jal ra,80005e88 + 800002e8: 00013823 sd zero,16(sp) + 800002ec: 04090663 beqz s2,80000338 + 800002f0: 000ab703 ld a4,0(s5) + 800002f4: 00090593 mv a1,s2 + 800002f8: 01073683 ld a3,16(a4) + 800002fc: 00169713 slli a4,a3,0x1 + 80000300: 00d70733 add a4,a4,a3 + 80000304: 00671513 slli a0,a4,0x6 + 80000308: 00a70533 add a0,a4,a0 + 8000030c: 00251513 slli a0,a0,0x2 + 80000310: 00d50533 add a0,a0,a3 + 80000314: 00251513 slli a0,a0,0x2 + 80000318: 00d50533 add a0,a0,a3 + 8000031c: 00551513 slli a0,a0,0x5 + 80000320: 620040ef jal ra,80004940 <__udivdi3> + 80000324: 0005079b sext.w a5,a0 + 80000328: 00f13823 sd a5,16(sp) + 8000032c: 02013783 ld a5,32(sp) + 80000330: 00f507bb addw a5,a0,a5 + 80000334: 02f13023 sd a5,32(sp) + 80000338: 00006517 auipc a0,0x6 + 8000033c: 0b050513 addi a0,a0,176 # 800063e8 <_etext+0x208> + 80000340: 349050ef jal ra,80005e88 + 80000344: 00813783 ld a5,8(sp) + 80000348: 10079e63 bnez a5,80000464 + 8000034c: 012d8db3 add s11,s11,s2 + 80000350: 0a8c0c13 addi s8,s8,168 + 80000354: ed7c1ae3 bne s8,s7,80000228 + 80000358: 03810593 addi a1,sp,56 + 8000035c: 00600513 li a0,6 + 80000360: 770040ef jal ra,80004ad0 + 80000364: 02813783 ld a5,40(sp) + 80000368: 03813403 ld s0,56(sp) + 8000036c: 00006517 auipc a0,0x6 + 80000370: 02450513 addi a0,a0,36 # 80006390 <_etext+0x1b0> + 80000374: 40f40433 sub s0,s0,a5 + 80000378: 311050ef jal ra,80005e88 + 8000037c: 01813783 ld a5,24(sp) + 80000380: 00006597 auipc a1,0x6 + 80000384: ed058593 addi a1,a1,-304 # 80006250 <_etext+0x70> + 80000388: 12078263 beqz a5,800004ac + 8000038c: 00006517 auipc a0,0x6 + 80000390: 03c50513 addi a0,a0,60 # 800063c8 <_etext+0x1e8> + 80000394: 2f5050ef jal ra,80005e88 + 80000398: 00813703 ld a4,8(sp) + 8000039c: 00100793 li a5,1 + 800003a0: 10e7dc63 bge a5,a4,800004b8 + 800003a4: 02016503 lwu a0,32(sp) + 800003a8: 00a00593 li a1,10 + 800003ac: 594040ef jal ra,80004940 <__udivdi3> + 800003b0: 0005059b sext.w a1,a0 + 800003b4: 00006517 auipc a0,0x6 + 800003b8: 02450513 addi a0,a0,36 # 800063d8 <_etext+0x1f8> + 800003bc: 2cd050ef jal ra,80005e88 + 800003c0: 000185b7 lui a1,0x18 + 800003c4: 00006617 auipc a2,0x6 + 800003c8: 02c60613 addi a2,a2,44 # 800063f0 <_etext+0x210> + 800003cc: 6a058593 addi a1,a1,1696 # 186a0 <_entry_offset+0x186a0> + 800003d0: 00006517 auipc a0,0x6 + 800003d4: 03850513 addi a0,a0,56 # 80006408 <_etext+0x228> + 800003d8: 2b1050ef jal ra,80005e88 + 800003dc: 000d8513 mv a0,s11 + 800003e0: c31ff0ef jal ra,80000010 + 800003e4: 00050593 mv a1,a0 + 800003e8: 00006517 auipc a0,0x6 + 800003ec: 04850513 addi a0,a0,72 # 80006430 <_etext+0x250> + 800003f0: 299050ef jal ra,80005e88 + 800003f4: 00040513 mv a0,s0 + 800003f8: c19ff0ef jal ra,80000010 + 800003fc: 00050593 mv a1,a0 + 80000400: 00006517 auipc a0,0x6 + 80000404: 04850513 addi a0,a0,72 # 80006448 <_etext+0x268> + 80000408: 281050ef jal ra,80005e88 + 8000040c: 0a813083 ld ra,168(sp) + 80000410: 0a013403 ld s0,160(sp) + 80000414: 09813483 ld s1,152(sp) + 80000418: 09013903 ld s2,144(sp) + 8000041c: 08813983 ld s3,136(sp) + 80000420: 08013a03 ld s4,128(sp) + 80000424: 07813a83 ld s5,120(sp) + 80000428: 07013b03 ld s6,112(sp) + 8000042c: 06813b83 ld s7,104(sp) + 80000430: 06013c03 ld s8,96(sp) + 80000434: 05813c83 ld s9,88(sp) + 80000438: 05013d03 ld s10,80(sp) + 8000043c: 04813d83 ld s11,72(sp) + 80000440: 00000513 li a0,0 + 80000444: 0b010113 addi sp,sp,176 + 80000448: 00008067 ret + 8000044c: 00006517 auipc a0,0x6 + 80000450: e1450513 addi a0,a0,-492 # 80006260 <_etext+0x80> + 80000454: 235050ef jal ra,80005e88 + 80000458: 00006417 auipc s0,0x6 + 8000045c: df040413 addi s0,s0,-528 # 80006248 <_etext+0x68> + 80000460: cedff06f j 8000014c + 80000464: 00090513 mv a0,s2 + 80000468: ba9ff0ef jal ra,80000010 + 8000046c: 01013603 ld a2,16(sp) + 80000470: 00050593 mv a1,a0 + 80000474: 00006517 auipc a0,0x6 + 80000478: f0450513 addi a0,a0,-252 # 80006378 <_etext+0x198> + 8000047c: 20d050ef jal ra,80005e88 + 80000480: 012d8db3 add s11,s11,s2 + 80000484: ecdff06f j 80000350 + 80000488: 00006517 auipc a0,0x6 + 8000048c: fd850513 addi a0,a0,-40 # 80006460 <_etext+0x280> + 80000490: 1f9050ef jal ra,80005e88 + 80000494: 00006517 auipc a0,0x6 + 80000498: ed450513 addi a0,a0,-300 # 80006368 <_etext+0x188> + 8000049c: 1ed050ef jal ra,80005e88 + 800004a0: 00013c23 sd zero,24(sp) + 800004a4: 00013823 sd zero,16(sp) + 800004a8: e91ff06f j 80000338 + 800004ac: 00006597 auipc a1,0x6 + 800004b0: dac58593 addi a1,a1,-596 # 80006258 <_etext+0x78> + 800004b4: ed9ff06f j 8000038c + 800004b8: 00006517 auipc a0,0x6 + 800004bc: f3050513 addi a0,a0,-208 # 800063e8 <_etext+0x208> + 800004c0: 1c9050ef jal ra,80005e88 + 800004c4: f19ff06f j 800003dc + 800004c8: 00006597 auipc a1,0x6 + 800004cc: d8058593 addi a1,a1,-640 # 80006248 <_etext+0x68> + 800004d0: 00040513 mv a0,s0 + 800004d4: 0c9040ef jal ra,80004d9c + 800004d8: 00200793 li a5,2 + 800004dc: 00f13423 sd a5,8(sp) + 800004e0: ca0500e3 beqz a0,80000180 + 800004e4: 00006597 auipc a1,0x6 + 800004e8: db458593 addi a1,a1,-588 # 80006298 <_etext+0xb8> + 800004ec: 00040513 mv a0,s0 + 800004f0: 0ad040ef jal ra,80004d9c + 800004f4: 00300793 li a5,3 + 800004f8: 00f13423 sd a5,8(sp) + 800004fc: c80502e3 beqz a0,80000180 + 80000500: 00040593 mv a1,s0 + 80000504: 00006517 auipc a0,0x6 + 80000508: d9c50513 addi a0,a0,-612 # 800062a0 <_etext+0xc0> + 8000050c: 17d050ef jal ra,80005e88 + 80000510: 00100513 li a0,1 + 80000514: 378040ef jal ra,8000488c + +0000000080000518 : + 80000518: 00007597 auipc a1,0x7 + 8000051c: 3e858593 addi a1,a1,1000 # 80007900 + 80000520: 0005b683 ld a3,0(a1) + 80000524: 00750713 addi a4,a0,7 + 80000528: 00007797 auipc a5,0x7 + 8000052c: fa878793 addi a5,a5,-88 # 800074d0 + 80000530: ff010113 addi sp,sp,-16 + 80000534: ff877713 andi a4,a4,-8 + 80000538: 0007b603 ld a2,0(a5) + 8000053c: 00113423 sd ra,8(sp) + 80000540: 00e68733 add a4,a3,a4 + 80000544: 00e5b023 sd a4,0(a1) + 80000548: 04c76263 bltu a4,a2,8000058c + 8000054c: 0087b783 ld a5,8(a5) + 80000550: 02f77e63 bgeu a4,a5,8000058c + 80000554: 00068793 mv a5,a3 + 80000558: 00d70863 beq a4,a3,80000568 + 8000055c: 0007b023 sd zero,0(a5) + 80000560: 00878793 addi a5,a5,8 + 80000564: fef71ce3 bne a4,a5,8000055c + 80000568: 00007797 auipc a5,0x7 + 8000056c: 4b07b783 ld a5,1200(a5) # 80007a18 + 80000570: 0087b783 ld a5,8(a5) + 80000574: 40c70733 sub a4,a4,a2 + 80000578: 02e7ea63 bltu a5,a4,800005ac + 8000057c: 00813083 ld ra,8(sp) + 80000580: 00068513 mv a0,a3 + 80000584: 01010113 addi sp,sp,16 + 80000588: 00008067 ret + 8000058c: 0a100613 li a2,161 + 80000590: 00006597 auipc a1,0x6 + 80000594: c5058593 addi a1,a1,-944 # 800061e0 <_etext> + 80000598: 00006517 auipc a0,0x6 + 8000059c: c8850513 addi a0,a0,-888 # 80006220 <_etext+0x40> + 800005a0: 0e9050ef jal ra,80005e88 + 800005a4: 00100513 li a0,1 + 800005a8: 2e4040ef jal ra,8000488c + 800005ac: 0a500613 li a2,165 + 800005b0: fe1ff06f j 80000590 + +00000000800005b4 : + 800005b4: 03151513 slli a0,a0,0x31 + 800005b8: 03155513 srli a0,a0,0x31 + 800005bc: 00007797 auipc a5,0x7 + 800005c0: e0a7a623 sw a0,-500(a5) # 800073c8 + 800005c4: 00008067 ret + +00000000800005c8 : + 800005c8: 00007697 auipc a3,0x7 + 800005cc: e0068693 addi a3,a3,-512 # 800073c8 + 800005d0: 0006a703 lw a4,0(a3) + 800005d4: 0017179b slliw a5,a4,0x1 + 800005d8: 00e787bb addw a5,a5,a4 + 800005dc: 0027979b slliw a5,a5,0x2 + 800005e0: 00e787bb addw a5,a5,a4 + 800005e4: 0047979b slliw a5,a5,0x4 + 800005e8: 00e787bb addw a5,a5,a4 + 800005ec: 0087979b slliw a5,a5,0x8 + 800005f0: 40e787bb subw a5,a5,a4 + 800005f4: 0027979b slliw a5,a5,0x2 + 800005f8: 00e787bb addw a5,a5,a4 + 800005fc: 0026a737 lui a4,0x26a + 80000600: ec37071b addiw a4,a4,-317 + 80000604: 00e787bb addw a5,a5,a4 + 80000608: 02179513 slli a0,a5,0x21 + 8000060c: 00f6a023 sw a5,0(a3) + 80000610: 03155513 srli a0,a0,0x31 + 80000614: 00008067 ret + +0000000080000618 : + 80000618: 00450613 addi a2,a0,4 + 8000061c: 08b67063 bgeu a2,a1,8000069c + 80000620: 811ca737 lui a4,0x811ca + 80000624: dc570713 addi a4,a4,-571 # ffffffff811c9dc5 <_end+0xffffffff011b9dc5> + 80000628: 00050693 mv a3,a0 + 8000062c: 0006c783 lbu a5,0(a3) + 80000630: 00168693 addi a3,a3,1 + 80000634: 00f74733 xor a4,a4,a5 + 80000638: 00f7179b slliw a5,a4,0xf + 8000063c: 00e787bb addw a5,a5,a4 + 80000640: 0027979b slliw a5,a5,0x2 + 80000644: 40e787bb subw a5,a5,a4 + 80000648: 0037979b slliw a5,a5,0x3 + 8000064c: 00e787bb addw a5,a5,a4 + 80000650: 0027979b slliw a5,a5,0x2 + 80000654: 00e787bb addw a5,a5,a4 + 80000658: 0027979b slliw a5,a5,0x2 + 8000065c: 40e7873b subw a4,a5,a4 + 80000660: fcd616e3 bne a2,a3,8000062c + 80000664: 00460613 addi a2,a2,4 + 80000668: 00450513 addi a0,a0,4 + 8000066c: fab66ee3 bltu a2,a1,80000628 + 80000670: 00d7151b slliw a0,a4,0xd + 80000674: 00e5073b addw a4,a0,a4 + 80000678: 4077551b sraiw a0,a4,0x7 + 8000067c: 00a74733 xor a4,a4,a0 + 80000680: 0037151b slliw a0,a4,0x3 + 80000684: 00e5053b addw a0,a0,a4 + 80000688: 4115579b sraiw a5,a0,0x11 + 8000068c: 00f54533 xor a0,a0,a5 + 80000690: 0055179b slliw a5,a0,0x5 + 80000694: 00a7853b addw a0,a5,a0 + 80000698: 00008067 ret + 8000069c: a6f00537 lui a0,0xa6f00 + 800006a0: 79e50513 addi a0,a0,1950 # ffffffffa6f0079e <_end+0xffffffff26ef079e> + 800006a4: 00008067 ret + +00000000800006a8 <_ZN5Dinic3DFSEii>: + 800006a8: 00c52783 lw a5,12(a0) + 800006ac: fc010113 addi sp,sp,-64 + 800006b0: 01413823 sd s4,16(sp) + 800006b4: 01513423 sd s5,8(sp) + 800006b8: 02113c23 sd ra,56(sp) + 800006bc: 02813823 sd s0,48(sp) + 800006c0: 02913423 sd s1,40(sp) + 800006c4: 03213023 sd s2,32(sp) + 800006c8: 01313c23 sd s3,24(sp) + 800006cc: 01613023 sd s6,0(sp) + 800006d0: 00060a13 mv s4,a2 + 800006d4: 00060a93 mv s5,a2 + 800006d8: 0cb78663 beq a5,a1,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006dc: 00000a93 li s5,0 + 800006e0: 0c060263 beqz a2,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 800006e4: 03053783 ld a5,48(a0) + 800006e8: 00259993 slli s3,a1,0x2 + 800006ec: fff00713 li a4,-1 + 800006f0: 013787b3 add a5,a5,s3 + 800006f4: 0007a403 lw s0,0(a5) + 800006f8: 00050913 mv s2,a0 + 800006fc: 0ae40463 beq s0,a4,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 80000700: fff00b13 li s6,-1 + 80000704: 0180006f j 8000071c <_ZN5Dinic3DFSEii+0x74> + 80000708: 02093783 ld a5,32(s2) + 8000070c: 00241413 slli s0,s0,0x2 + 80000710: 00878433 add s0,a5,s0 + 80000714: 00042403 lw s0,0(s0) + 80000718: 09640663 beq s0,s6,800007a4 <_ZN5Dinic3DFSEii+0xfc> + 8000071c: 01093703 ld a4,16(s2) + 80000720: 00441493 slli s1,s0,0x4 + 80000724: 02893783 ld a5,40(s2) + 80000728: 009704b3 add s1,a4,s1 + 8000072c: 0044a583 lw a1,4(s1) + 80000730: 01378733 add a4,a5,s3 + 80000734: 00072703 lw a4,0(a4) + 80000738: 00259693 slli a3,a1,0x2 + 8000073c: 00d787b3 add a5,a5,a3 + 80000740: 0007a683 lw a3,0(a5) + 80000744: 0017079b addiw a5,a4,1 + 80000748: fcd790e3 bne a5,a3,80000708 <_ZN5Dinic3DFSEii+0x60> + 8000074c: 0084a603 lw a2,8(s1) + 80000750: 00c4a783 lw a5,12(s1) + 80000754: 00090513 mv a0,s2 + 80000758: 40f607bb subw a5,a2,a5 + 8000075c: 00078613 mv a2,a5 + 80000760: 00fa5463 bge s4,a5,80000768 <_ZN5Dinic3DFSEii+0xc0> + 80000764: 000a061b sext.w a2,s4 + 80000768: f41ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 8000076c: 00144793 xori a5,s0,1 + 80000770: 00479793 slli a5,a5,0x4 + 80000774: f8a05ae3 blez a0,80000708 <_ZN5Dinic3DFSEii+0x60> + 80000778: 00c4a683 lw a3,12(s1) + 8000077c: 01093703 ld a4,16(s2) + 80000780: 40aa0a3b subw s4,s4,a0 + 80000784: 00a686bb addw a3,a3,a0 + 80000788: 00d4a623 sw a3,12(s1) + 8000078c: 00f707b3 add a5,a4,a5 + 80000790: 00c7a703 lw a4,12(a5) + 80000794: 01550abb addw s5,a0,s5 + 80000798: 40a7053b subw a0,a4,a0 + 8000079c: 00a7a623 sw a0,12(a5) + 800007a0: f60a14e3 bnez s4,80000708 <_ZN5Dinic3DFSEii+0x60> + 800007a4: 03813083 ld ra,56(sp) + 800007a8: 03013403 ld s0,48(sp) + 800007ac: 02813483 ld s1,40(sp) + 800007b0: 02013903 ld s2,32(sp) + 800007b4: 01813983 ld s3,24(sp) + 800007b8: 01013a03 ld s4,16(sp) + 800007bc: 00013b03 ld s6,0(sp) + 800007c0: 000a8513 mv a0,s5 + 800007c4: 00813a83 ld s5,8(sp) + 800007c8: 04010113 addi sp,sp,64 + 800007cc: 00008067 ret + +00000000800007d0 : + 800007d0: 00007797 auipc a5,0x7 + 800007d4: 2487b783 ld a5,584(a5) # 80007a18 + 800007d8: 0007a783 lw a5,0(a5) + 800007dc: fa010113 addi sp,sp,-96 + 800007e0: 05213023 sd s2,64(sp) + 800007e4: 00100513 li a0,1 + 800007e8: 00007917 auipc s2,0x7 + 800007ec: 12890913 addi s2,s2,296 # 80007910 <_ZL1N> + 800007f0: 04113c23 sd ra,88(sp) + 800007f4: 00f92023 sw a5,0(s2) + 800007f8: 04913423 sd s1,72(sp) + 800007fc: 03313c23 sd s3,56(sp) + 80000800: 03413823 sd s4,48(sp) + 80000804: 03513423 sd s5,40(sp) + 80000808: 03613023 sd s6,32(sp) + 8000080c: 01713c23 sd s7,24(sp) + 80000810: 01813823 sd s8,16(sp) + 80000814: 04813823 sd s0,80(sp) + 80000818: 01913423 sd s9,8(sp) + 8000081c: 01a13023 sd s10,0(sp) + 80000820: d95ff0ef jal ra,800005b4 + 80000824: 04800513 li a0,72 + 80000828: 00092b03 lw s6,0(s2) + 8000082c: cedff0ef jal ra,80000518 + 80000830: 00092783 lw a5,0(s2) + 80000834: 00007997 auipc s3,0x7 + 80000838: 0d498993 addi s3,s3,212 # 80007908 <_ZL1G> + 8000083c: 00050493 mv s1,a0 + 80000840: 0017879b addiw a5,a5,1 + 80000844: 00179c1b slliw s8,a5,0x1 + 80000848: ffec0a1b addiw s4,s8,-2 + 8000084c: 401a559b sraiw a1,s4,0x1 + 80000850: 00058a13 mv s4,a1 + 80000854: 00a9b023 sd a0,0(s3) + 80000858: 00058513 mv a0,a1 + 8000085c: 078040ef jal ra,800048d4 <__muldi3> + 80000860: 001a1a1b slliw s4,s4,0x1 + 80000864: 00aa0a3b addw s4,s4,a0 + 80000868: 001a1a1b slliw s4,s4,0x1 + 8000086c: 004a1513 slli a0,s4,0x4 + 80000870: 002c1a93 slli s5,s8,0x2 + 80000874: ca5ff0ef jal ra,80000518 + 80000878: 00a4b823 sd a0,16(s1) + 8000087c: 000a8513 mv a0,s5 + 80000880: c99ff0ef jal ra,80000518 + 80000884: 00a4bc23 sd a0,24(s1) + 80000888: 002a1513 slli a0,s4,0x2 + 8000088c: c8dff0ef jal ra,80000518 + 80000890: 02a4b023 sd a0,32(s1) + 80000894: 000c0513 mv a0,s8 + 80000898: c81ff0ef jal ra,80000518 + 8000089c: 04a4b023 sd a0,64(s1) + 800008a0: 000a8513 mv a0,s5 + 800008a4: c75ff0ef jal ra,80000518 + 800008a8: 02a4b423 sd a0,40(s1) + 800008ac: 000a8513 mv a0,s5 + 800008b0: c69ff0ef jal ra,80000518 + 800008b4: 02a4b823 sd a0,48(s1) + 800008b8: 000a8513 mv a0,s5 + 800008bc: c5dff0ef jal ra,80000518 + 800008c0: 001b1b9b slliw s7,s6,0x1 + 800008c4: 02a4bc23 sd a0,56(s1) + 800008c8: 0184a023 sw s8,0(s1) + 800008cc: 001b8b1b addiw s6,s7,1 + 800008d0: 03805863 blez s8,80000900 + 800008d4: fffc071b addiw a4,s8,-1 + 800008d8: 02071713 slli a4,a4,0x20 + 800008dc: 0184b783 ld a5,24(s1) + 800008e0: 02075713 srli a4,a4,0x20 + 800008e4: 00170713 addi a4,a4,1 + 800008e8: 00271713 slli a4,a4,0x2 + 800008ec: 00f70733 add a4,a4,a5 + 800008f0: fff00693 li a3,-1 + 800008f4: 00d7a023 sw a3,0(a5) + 800008f8: 00478793 addi a5,a5,4 + 800008fc: fee79ce3 bne a5,a4,800008f4 + 80000900: 00092c83 lw s9,0(s2) + 80000904: 0004a223 sw zero,4(s1) + 80000908: 27905c63 blez s9,80000b80 + 8000090c: 00000a93 li s5,0 + 80000910: 00000493 li s1,0 + 80000914: 002a9a13 slli s4,s5,0x2 + 80000918: 00000c13 li s8,0 + 8000091c: 0d905463 blez s9,800009e4 + 80000920: 0009b403 ld s0,0(s3) + 80000924: ca5ff0ef jal ra,800005c8 + 80000928: 02051513 slli a0,a0,0x20 + 8000092c: 00a00593 li a1,10 + 80000930: 02055513 srli a0,a0,0x20 + 80000934: 054040ef jal ra,80004988 <__umoddi3> + 80000938: 018c873b addw a4,s9,s8 + 8000093c: 0005051b sext.w a0,a0 + 80000940: 00092c83 lw s9,0(s2) + 80000944: 00070813 mv a6,a4 + 80000948: 00271593 slli a1,a4,0x2 + 8000094c: 001c0c1b addiw s8,s8,1 + 80000950: 08050863 beqz a0,800009e0 + 80000954: 00442703 lw a4,4(s0) + 80000958: 01043783 ld a5,16(s0) + 8000095c: 01843603 ld a2,24(s0) + 80000960: 00471693 slli a3,a4,0x4 + 80000964: 00d786b3 add a3,a5,a3 + 80000968: 0096a023 sw s1,0(a3) + 8000096c: 00a6a423 sw a0,8(a3) + 80000970: 0106a223 sw a6,4(a3) + 80000974: 0006a623 sw zero,12(a3) + 80000978: 01460533 add a0,a2,s4 + 8000097c: 02043683 ld a3,32(s0) + 80000980: 00052883 lw a7,0(a0) + 80000984: 00271713 slli a4,a4,0x2 + 80000988: 00e68733 add a4,a3,a4 + 8000098c: 01172023 sw a7,0(a4) + 80000990: 00442883 lw a7,4(s0) + 80000994: 00b60733 add a4,a2,a1 + 80000998: 0018861b addiw a2,a7,1 + 8000099c: 00c42223 sw a2,4(s0) + 800009a0: 01152023 sw a7,0(a0) + 800009a4: 00442603 lw a2,4(s0) + 800009a8: 00461593 slli a1,a2,0x4 + 800009ac: 00b787b3 add a5,a5,a1 + 800009b0: 0107a023 sw a6,0(a5) + 800009b4: 0097a223 sw s1,4(a5) + 800009b8: 0007a423 sw zero,8(a5) + 800009bc: 0007a623 sw zero,12(a5) + 800009c0: 00072783 lw a5,0(a4) + 800009c4: 00261613 slli a2,a2,0x2 + 800009c8: 00c686b3 add a3,a3,a2 + 800009cc: 00f6a023 sw a5,0(a3) + 800009d0: 00442783 lw a5,4(s0) + 800009d4: 0017869b addiw a3,a5,1 + 800009d8: 00d42223 sw a3,4(s0) + 800009dc: 00f72023 sw a5,0(a4) + 800009e0: f59c40e3 blt s8,s9,80000920 + 800009e4: 001a8a93 addi s5,s5,1 + 800009e8: 000a849b sext.w s1,s5 + 800009ec: f394c4e3 blt s1,s9,80000914 + 800009f0: 19905863 blez s9,80000b80 + 800009f4: 002b9a93 slli s5,s7,0x2 + 800009f8: 002b1a13 slli s4,s6,0x2 + 800009fc: 00000c13 li s8,0 + 80000a00: 00000c93 li s9,0 + 80000a04: 0009b483 ld s1,0(s3) + 80000a08: bc1ff0ef jal ra,800005c8 + 80000a0c: 02051513 slli a0,a0,0x20 + 80000a10: 3e800593 li a1,1000 + 80000a14: 02055513 srli a0,a0,0x20 + 80000a18: 771030ef jal ra,80004988 <__umoddi3> + 80000a1c: 0005051b sext.w a0,a0 + 80000a20: 002c1813 slli a6,s8,0x2 + 80000a24: 0009b403 ld s0,0(s3) + 80000a28: 00092d03 lw s10,0(s2) + 80000a2c: 001c0c13 addi s8,s8,1 + 80000a30: 08050863 beqz a0,80000ac0 + 80000a34: 0044a703 lw a4,4(s1) + 80000a38: 0104b783 ld a5,16(s1) + 80000a3c: 0184b603 ld a2,24(s1) + 80000a40: 00471693 slli a3,a4,0x4 + 80000a44: 00d786b3 add a3,a5,a3 + 80000a48: 0176a023 sw s7,0(a3) + 80000a4c: 0196a223 sw s9,4(a3) + 80000a50: 00a6a423 sw a0,8(a3) + 80000a54: 0006a623 sw zero,12(a3) + 80000a58: 015605b3 add a1,a2,s5 + 80000a5c: 0204b683 ld a3,32(s1) + 80000a60: 0005a503 lw a0,0(a1) + 80000a64: 00271713 slli a4,a4,0x2 + 80000a68: 00e68733 add a4,a3,a4 + 80000a6c: 00a72023 sw a0,0(a4) + 80000a70: 0044a503 lw a0,4(s1) + 80000a74: 01060733 add a4,a2,a6 + 80000a78: 0015061b addiw a2,a0,1 + 80000a7c: 00c4a223 sw a2,4(s1) + 80000a80: 00a5a023 sw a0,0(a1) + 80000a84: 0044a603 lw a2,4(s1) + 80000a88: 00461593 slli a1,a2,0x4 + 80000a8c: 00b787b3 add a5,a5,a1 + 80000a90: 0197a023 sw s9,0(a5) + 80000a94: 0177a223 sw s7,4(a5) + 80000a98: 0007a423 sw zero,8(a5) + 80000a9c: 0007a623 sw zero,12(a5) + 80000aa0: 00072783 lw a5,0(a4) + 80000aa4: 00261613 slli a2,a2,0x2 + 80000aa8: 00c686b3 add a3,a3,a2 + 80000aac: 00f6a023 sw a5,0(a3) + 80000ab0: 0044a783 lw a5,4(s1) + 80000ab4: 0017869b addiw a3,a5,1 + 80000ab8: 00d4a223 sw a3,4(s1) + 80000abc: 00f72023 sw a5,0(a4) + 80000ac0: b09ff0ef jal ra,800005c8 + 80000ac4: 02051513 slli a0,a0,0x20 + 80000ac8: 3e800593 li a1,1000 + 80000acc: 02055513 srli a0,a0,0x20 + 80000ad0: 6b9030ef jal ra,80004988 <__umoddi3> + 80000ad4: 019d07bb addw a5,s10,s9 + 80000ad8: 0005051b sext.w a0,a0 + 80000adc: 00078813 mv a6,a5 + 80000ae0: 00092883 lw a7,0(s2) + 80000ae4: 00279793 slli a5,a5,0x2 + 80000ae8: 000c0c9b sext.w s9,s8 + 80000aec: 08050863 beqz a0,80000b7c + 80000af0: 00442683 lw a3,4(s0) + 80000af4: 01043703 ld a4,16(s0) + 80000af8: 01843583 ld a1,24(s0) + 80000afc: 00469613 slli a2,a3,0x4 + 80000b00: 00c70633 add a2,a4,a2 + 80000b04: 01062023 sw a6,0(a2) + 80000b08: 01662223 sw s6,4(a2) + 80000b0c: 00a62423 sw a0,8(a2) + 80000b10: 00062623 sw zero,12(a2) + 80000b14: 00f587b3 add a5,a1,a5 + 80000b18: 02043603 ld a2,32(s0) + 80000b1c: 0007a503 lw a0,0(a5) + 80000b20: 00269693 slli a3,a3,0x2 + 80000b24: 00d606b3 add a3,a2,a3 + 80000b28: 00a6a023 sw a0,0(a3) + 80000b2c: 00442503 lw a0,4(s0) + 80000b30: 014586b3 add a3,a1,s4 + 80000b34: 0015059b addiw a1,a0,1 + 80000b38: 00b42223 sw a1,4(s0) + 80000b3c: 00a7a023 sw a0,0(a5) + 80000b40: 00442583 lw a1,4(s0) + 80000b44: 00459793 slli a5,a1,0x4 + 80000b48: 00f707b3 add a5,a4,a5 + 80000b4c: 0167a023 sw s6,0(a5) + 80000b50: 0107a223 sw a6,4(a5) + 80000b54: 0007a423 sw zero,8(a5) + 80000b58: 0007a623 sw zero,12(a5) + 80000b5c: 0006a783 lw a5,0(a3) + 80000b60: 00259593 slli a1,a1,0x2 + 80000b64: 00b60633 add a2,a2,a1 + 80000b68: 00f62023 sw a5,0(a2) + 80000b6c: 00442783 lw a5,4(s0) + 80000b70: 0017871b addiw a4,a5,1 + 80000b74: 00e42223 sw a4,4(s0) + 80000b78: 00f6a023 sw a5,0(a3) + 80000b7c: e91cc4e3 blt s9,a7,80000a04 + 80000b80: 05813083 ld ra,88(sp) + 80000b84: 05013403 ld s0,80(sp) + 80000b88: 04813483 ld s1,72(sp) + 80000b8c: 04013903 ld s2,64(sp) + 80000b90: 03813983 ld s3,56(sp) + 80000b94: 03013a03 ld s4,48(sp) + 80000b98: 02813a83 ld s5,40(sp) + 80000b9c: 02013b03 ld s6,32(sp) + 80000ba0: 01813b83 ld s7,24(sp) + 80000ba4: 01013c03 ld s8,16(sp) + 80000ba8: 00813c83 ld s9,8(sp) + 80000bac: 00013d03 ld s10,0(sp) + 80000bb0: 06010113 addi sp,sp,96 + 80000bb4: 00008067 ret + +0000000080000bb8 : + 80000bb8: f3010113 addi sp,sp,-208 + 80000bbc: 0c813023 sd s0,192(sp) + 80000bc0: 00007717 auipc a4,0x7 + 80000bc4: d5072703 lw a4,-688(a4) # 80007910 <_ZL1N> + 80000bc8: 00007417 auipc s0,0x7 + 80000bcc: d4043403 ld s0,-704(s0) # 80007908 <_ZL1G> + 80000bd0: 00171f1b slliw t5,a4,0x1 + 80000bd4: 04043683 ld a3,64(s0) + 80000bd8: 03843583 ld a1,56(s0) + 80000bdc: 02843783 ld a5,40(s0) + 80000be0: 01843503 ld a0,24(s0) + 80000be4: 09513c23 sd s5,152(sp) + 80000be8: 0c113423 sd ra,200(sp) + 80000bec: 0a913c23 sd s1,184(sp) + 80000bf0: 0b213823 sd s2,176(sp) + 80000bf4: 0b313423 sd s3,168(sp) + 80000bf8: 0b413023 sd s4,160(sp) + 80000bfc: 09613823 sd s6,144(sp) + 80000c00: 09713423 sd s7,136(sp) + 80000c04: 09813023 sd s8,128(sp) + 80000c08: 07913c23 sd s9,120(sp) + 80000c0c: 07a13823 sd s10,112(sp) + 80000c10: 07b13423 sd s11,104(sp) + 80000c14: 001f061b addiw a2,t5,1 + 80000c18: 002f1713 slli a4,t5,0x2 + 80000c1c: 01e42423 sw t5,8(s0) + 80000c20: 00c42623 sw a2,12(s0) + 80000c24: 00e13023 sd a4,0(sp) + 80000c28: 000f0893 mv a7,t5 + 80000c2c: 00000813 li a6,0 + 80000c30: fff00a93 li s5,-1 + 80000c34: 00042703 lw a4,0(s0) + 80000c38: 02e05463 blez a4,80000c60 + 80000c3c: fff7071b addiw a4,a4,-1 + 80000c40: 02071713 slli a4,a4,0x20 + 80000c44: 02075713 srli a4,a4,0x20 + 80000c48: 00170713 addi a4,a4,1 + 80000c4c: 00068613 mv a2,a3 + 80000c50: 00d70733 add a4,a4,a3 + 80000c54: 00060023 sb zero,0(a2) + 80000c58: 00160613 addi a2,a2,1 + 80000c5c: fec71ce3 bne a4,a2,80000c54 + 80000c60: 0115a023 sw a7,0(a1) + 80000c64: 00842703 lw a4,8(s0) + 80000c68: 00100613 li a2,1 + 80000c6c: 00058e93 mv t4,a1 + 80000c70: 00271713 slli a4,a4,0x2 + 80000c74: 00e78733 add a4,a5,a4 + 80000c78: 00072023 sw zero,0(a4) + 80000c7c: 00842703 lw a4,8(s0) + 80000c80: 00100e13 li t3,1 + 80000c84: 00000f93 li t6,0 + 80000c88: 00e68733 add a4,a3,a4 + 80000c8c: 00c70023 sb a2,0(a4) + 80000c90: 000ea603 lw a2,0(t4) + 80000c94: 001f8f9b addiw t6,t6,1 + 80000c98: 00261613 slli a2,a2,0x2 + 80000c9c: 00c50733 add a4,a0,a2 + 80000ca0: 00072703 lw a4,0(a4) + 80000ca4: 07570a63 beq a4,s5,80000d18 + 80000ca8: 01043903 ld s2,16(s0) + 80000cac: 02043483 ld s1,32(s0) + 80000cb0: 00c788b3 add a7,a5,a2 + 80000cb4: 00471313 slli t1,a4,0x4 + 80000cb8: 00690333 add t1,s2,t1 + 80000cbc: 00432603 lw a2,4(t1) + 80000cc0: 00271713 slli a4,a4,0x2 + 80000cc4: 00e48733 add a4,s1,a4 + 80000cc8: 00c689b3 add s3,a3,a2 + 80000ccc: 0009c283 lbu t0,0(s3) + 80000cd0: 04029063 bnez t0,80000d10 + 80000cd4: 00832a03 lw s4,8(t1) + 80000cd8: 00c32383 lw t2,12(t1) + 80000cdc: 00261613 slli a2,a2,0x2 + 80000ce0: 002e1293 slli t0,t3,0x2 + 80000ce4: 00c78633 add a2,a5,a2 + 80000ce8: 005582b3 add t0,a1,t0 + 80000cec: 0343d263 bge t2,s4,80000d10 + 80000cf0: 0008a383 lw t2,0(a7) + 80000cf4: 00100a13 li s4,1 + 80000cf8: 01498023 sb s4,0(s3) + 80000cfc: 0013839b addiw t2,t2,1 + 80000d00: 00762023 sw t2,0(a2) + 80000d04: 00432603 lw a2,4(t1) + 80000d08: 001e0e1b addiw t3,t3,1 + 80000d0c: 00c2a023 sw a2,0(t0) + 80000d10: 00072703 lw a4,0(a4) + 80000d14: fb5710e3 bne a4,s5,80000cb4 + 80000d18: 004e8e93 addi t4,t4,4 + 80000d1c: f7cf9ae3 bne t6,t3,80000c90 + 80000d20: 00c42703 lw a4,12(s0) + 80000d24: 00e68633 add a2,a3,a4 + 80000d28: 00064603 lbu a2,0(a2) + 80000d2c: 38060063 beqz a2,800010ac + 80000d30: 00042603 lw a2,0(s0) + 80000d34: 02c05863 blez a2,80000d64 + 80000d38: 03043883 ld a7,48(s0) + 80000d3c: 00050613 mv a2,a0 + 80000d40: 00000713 li a4,0 + 80000d44: 00062303 lw t1,0(a2) + 80000d48: 0017071b addiw a4,a4,1 + 80000d4c: 00460613 addi a2,a2,4 + 80000d50: 0068a023 sw t1,0(a7) + 80000d54: 00042303 lw t1,0(s0) + 80000d58: 00488893 addi a7,a7,4 + 80000d5c: fe6744e3 blt a4,t1,80000d44 + 80000d60: 00c42703 lw a4,12(s0) + 80000d64: 38ef0663 beq t5,a4,800010f0 + 80000d68: 03043703 ld a4,48(s0) + 80000d6c: 00013603 ld a2,0(sp) + 80000d70: 00c70733 add a4,a4,a2 + 80000d74: 00072b83 lw s7,0(a4) + 80000d78: 335b8663 beq s7,s5,800010a4 + 80000d7c: 003f4db7 lui s11,0x3f4 + 80000d80: 00000e93 li t4,0 + 80000d84: f3fd8d93 addi s11,s11,-193 # 3f3f3f <_entry_offset+0x3f3f3f> + 80000d88: 0180006f j 80000da0 + 80000d8c: 02043703 ld a4,32(s0) + 80000d90: 002b9b93 slli s7,s7,0x2 + 80000d94: 01770bb3 add s7,a4,s7 + 80000d98: 000bab83 lw s7,0(s7) + 80000d9c: 2f5b8c63 beq s7,s5,80001094 + 80000da0: 01043a03 ld s4,16(s0) + 80000da4: 004b9b13 slli s6,s7,0x4 + 80000da8: 00013703 ld a4,0(sp) + 80000dac: 016a0b33 add s6,s4,s6 + 80000db0: 004b2603 lw a2,4(s6) + 80000db4: 00e78733 add a4,a5,a4 + 80000db8: 00072703 lw a4,0(a4) + 80000dbc: 00261f93 slli t6,a2,0x2 + 80000dc0: 01f786b3 add a3,a5,t6 + 80000dc4: 0006a683 lw a3,0(a3) + 80000dc8: 0017071b addiw a4,a4,1 + 80000dcc: fcd710e3 bne a4,a3,80000d8c + 80000dd0: 008b2483 lw s1,8(s6) + 80000dd4: 00cb2703 lw a4,12(s6) + 80000dd8: 40e4873b subw a4,s1,a4 + 80000ddc: 00070493 mv s1,a4 + 80000de0: 00edd463 bge s11,a4,80000de8 + 80000de4: 000d849b sext.w s1,s11 + 80000de8: 00c42703 lw a4,12(s0) + 80000dec: 34c70663 beq a4,a2,80001138 + 80000df0: f8048ee3 beqz s1,80000d8c + 80000df4: 03043703 ld a4,48(s0) + 80000df8: 01f70733 add a4,a4,t6 + 80000dfc: 00072c03 lw s8,0(a4) + 80000e00: f95c06e3 beq s8,s5,80000d8c + 80000e04: 00048893 mv a7,s1 + 80000e08: 00000493 li s1,0 + 80000e0c: 0240006f j 80000e30 + 80000e10: 02043703 ld a4,32(s0) + 80000e14: 002c1c13 slli s8,s8,0x2 + 80000e18: 01870c33 add s8,a4,s8 + 80000e1c: 000c2c03 lw s8,0(s8) + 80000e20: 315c0c63 beq s8,s5,80001138 + 80000e24: 01f78733 add a4,a5,t6 + 80000e28: 01043a03 ld s4,16(s0) + 80000e2c: 00072683 lw a3,0(a4) + 80000e30: 004c1713 slli a4,s8,0x4 + 80000e34: 00ea0733 add a4,s4,a4 + 80000e38: 00472583 lw a1,4(a4) + 80000e3c: 0016869b addiw a3,a3,1 + 80000e40: 00259293 slli t0,a1,0x2 + 80000e44: 00578633 add a2,a5,t0 + 80000e48: 00062603 lw a2,0(a2) + 80000e4c: fcc692e3 bne a3,a2,80000e10 + 80000e50: 00872903 lw s2,8(a4) + 80000e54: 00c72683 lw a3,12(a4) + 80000e58: 40d906bb subw a3,s2,a3 + 80000e5c: 00068913 mv s2,a3 + 80000e60: 00d8d463 bge a7,a3,80000e68 + 80000e64: 0008891b sext.w s2,a7 + 80000e68: 00c42683 lw a3,12(s0) + 80000e6c: 2cb68063 beq a3,a1,8000112c + 80000e70: fa0900e3 beqz s2,80000e10 + 80000e74: 03043683 ld a3,48(s0) + 80000e78: 005686b3 add a3,a3,t0 + 80000e7c: 0006ac83 lw s9,0(a3) + 80000e80: f95c88e3 beq s9,s5,80000e10 + 80000e84: 00090313 mv t1,s2 + 80000e88: 00000913 li s2,0 + 80000e8c: 0240006f j 80000eb0 + 80000e90: 02043683 ld a3,32(s0) + 80000e94: 002c9c93 slli s9,s9,0x2 + 80000e98: 01968cb3 add s9,a3,s9 + 80000e9c: 000cac83 lw s9,0(s9) + 80000ea0: 295c8663 beq s9,s5,8000112c + 80000ea4: 005786b3 add a3,a5,t0 + 80000ea8: 01043a03 ld s4,16(s0) + 80000eac: 0006a603 lw a2,0(a3) + 80000eb0: 004c9693 slli a3,s9,0x4 + 80000eb4: 00da06b3 add a3,s4,a3 + 80000eb8: 0046a583 lw a1,4(a3) + 80000ebc: 0016051b addiw a0,a2,1 + 80000ec0: 00259393 slli t2,a1,0x2 + 80000ec4: 00778633 add a2,a5,t2 + 80000ec8: 00062603 lw a2,0(a2) + 80000ecc: fcc512e3 bne a0,a2,80000e90 + 80000ed0: 0086a983 lw s3,8(a3) + 80000ed4: 00c6a503 lw a0,12(a3) + 80000ed8: 40a9853b subw a0,s3,a0 + 80000edc: 00050993 mv s3,a0 + 80000ee0: 00a35463 bge t1,a0,80000ee8 + 80000ee4: 0003099b sext.w s3,t1 + 80000ee8: 00c42503 lw a0,12(s0) + 80000eec: 22b50a63 beq a0,a1,80001120 + 80000ef0: fa0980e3 beqz s3,80000e90 + 80000ef4: 03043583 ld a1,48(s0) + 80000ef8: 007585b3 add a1,a1,t2 + 80000efc: 0005ad03 lw s10,0(a1) + 80000f00: f95d08e3 beq s10,s5,80000e90 + 80000f04: 00098e13 mv t3,s3 + 80000f08: 00000993 li s3,0 + 80000f0c: 0240006f j 80000f30 + 80000f10: 02043583 ld a1,32(s0) + 80000f14: 002d1d13 slli s10,s10,0x2 + 80000f18: 00778633 add a2,a5,t2 + 80000f1c: 01a58d33 add s10,a1,s10 + 80000f20: 000d2d03 lw s10,0(s10) + 80000f24: 1f5d0e63 beq s10,s5,80001120 + 80000f28: 01043a03 ld s4,16(s0) + 80000f2c: 00062603 lw a2,0(a2) + 80000f30: 004d1593 slli a1,s10,0x4 + 80000f34: 00ba0a33 add s4,s4,a1 + 80000f38: 004a2583 lw a1,4(s4) + 80000f3c: 0016051b addiw a0,a2,1 + 80000f40: 00259613 slli a2,a1,0x2 + 80000f44: 00c78633 add a2,a5,a2 + 80000f48: 00062603 lw a2,0(a2) + 80000f4c: fcc512e3 bne a0,a2,80000f10 + 80000f50: 008a2603 lw a2,8(s4) + 80000f54: 00ca2783 lw a5,12(s4) + 80000f58: 00040513 mv a0,s0 + 80000f5c: 40f607bb subw a5,a2,a5 + 80000f60: 00078613 mv a2,a5 + 80000f64: 00fe5463 bge t3,a5,80000f6c + 80000f68: 000e061b sext.w a2,t3 + 80000f6c: 05c13c23 sd t3,88(sp) + 80000f70: 04613823 sd t1,80(sp) + 80000f74: 04713423 sd t2,72(sp) + 80000f78: 04d13023 sd a3,64(sp) + 80000f7c: 03113c23 sd a7,56(sp) + 80000f80: 02513823 sd t0,48(sp) + 80000f84: 02e13423 sd a4,40(sp) + 80000f88: 03d13023 sd t4,32(sp) + 80000f8c: 01f13c23 sd t6,24(sp) + 80000f90: 01013823 sd a6,16(sp) + 80000f94: 01e13423 sd t5,8(sp) + 80000f98: f10ff0ef jal ra,800006a8 <_ZN5Dinic3DFSEii> + 80000f9c: 001d4593 xori a1,s10,1 + 80000fa0: 00813f03 ld t5,8(sp) + 80000fa4: 01013803 ld a6,16(sp) + 80000fa8: 01813f83 ld t6,24(sp) + 80000fac: 02013e83 ld t4,32(sp) + 80000fb0: 02813703 ld a4,40(sp) + 80000fb4: 03013283 ld t0,48(sp) + 80000fb8: 03813883 ld a7,56(sp) + 80000fbc: 04013683 ld a3,64(sp) + 80000fc0: 04813383 ld t2,72(sp) + 80000fc4: 05013303 ld t1,80(sp) + 80000fc8: 05813e03 ld t3,88(sp) + 80000fcc: 00459593 slli a1,a1,0x4 + 80000fd0: 12a05a63 blez a0,80001104 + 80000fd4: 00ca2783 lw a5,12(s4) + 80000fd8: 01043603 ld a2,16(s0) + 80000fdc: 40ae0e3b subw t3,t3,a0 + 80000fe0: 00a787bb addw a5,a5,a0 + 80000fe4: 00fa2623 sw a5,12(s4) + 80000fe8: 00b605b3 add a1,a2,a1 + 80000fec: 00c5aa03 lw s4,12(a1) + 80000ff0: 00a989bb addw s3,s3,a0 + 80000ff4: 02843783 ld a5,40(s0) + 80000ff8: 40aa053b subw a0,s4,a0 + 80000ffc: 00a5a623 sw a0,12(a1) + 80001000: f00e18e3 bnez t3,80000f10 + 80001004: 00c6a503 lw a0,12(a3) + 80001008: 001cc593 xori a1,s9,1 + 8000100c: 00459593 slli a1,a1,0x4 + 80001010: 0135053b addw a0,a0,s3 + 80001014: 00a6a623 sw a0,12(a3) + 80001018: 00b606b3 add a3,a2,a1 + 8000101c: 00c6a583 lw a1,12(a3) + 80001020: 4133033b subw t1,t1,s3 + 80001024: 0139093b addw s2,s2,s3 + 80001028: 413589bb subw s3,a1,s3 + 8000102c: 0136a623 sw s3,12(a3) + 80001030: e60310e3 bnez t1,80000e90 + 80001034: 00c72583 lw a1,12(a4) + 80001038: 001c4693 xori a3,s8,1 + 8000103c: 00469693 slli a3,a3,0x4 + 80001040: 012585bb addw a1,a1,s2 + 80001044: 00b72623 sw a1,12(a4) + 80001048: 00d60733 add a4,a2,a3 + 8000104c: 00c72683 lw a3,12(a4) + 80001050: 412888bb subw a7,a7,s2 + 80001054: 012484bb addw s1,s1,s2 + 80001058: 4126893b subw s2,a3,s2 + 8000105c: 01272623 sw s2,12(a4) + 80001060: da0898e3 bnez a7,80000e10 + 80001064: 00cb2683 lw a3,12(s6) + 80001068: 001bc713 xori a4,s7,1 + 8000106c: 00471713 slli a4,a4,0x4 + 80001070: 009686bb addw a3,a3,s1 + 80001074: 00db2623 sw a3,12(s6) + 80001078: 00e60633 add a2,a2,a4 + 8000107c: 00c62703 lw a4,12(a2) + 80001080: 409d8dbb subw s11,s11,s1 + 80001084: 009e8ebb addw t4,t4,s1 + 80001088: 409704bb subw s1,a4,s1 + 8000108c: 00962623 sw s1,12(a2) + 80001090: ce0d9ee3 bnez s11,80000d8c + 80001094: 04043683 ld a3,64(s0) + 80001098: 03843583 ld a1,56(s0) + 8000109c: 01843503 ld a0,24(s0) + 800010a0: 01d8083b addw a6,a6,t4 + 800010a4: 00842883 lw a7,8(s0) + 800010a8: b8dff06f j 80000c34 + 800010ac: 0c813083 ld ra,200(sp) + 800010b0: 0c013403 ld s0,192(sp) + 800010b4: 00007797 auipc a5,0x7 + 800010b8: 8707a023 sw a6,-1952(a5) # 80007914 <_ZL3ans> + 800010bc: 0b813483 ld s1,184(sp) + 800010c0: 0b013903 ld s2,176(sp) + 800010c4: 0a813983 ld s3,168(sp) + 800010c8: 0a013a03 ld s4,160(sp) + 800010cc: 09813a83 ld s5,152(sp) + 800010d0: 09013b03 ld s6,144(sp) + 800010d4: 08813b83 ld s7,136(sp) + 800010d8: 08013c03 ld s8,128(sp) + 800010dc: 07813c83 ld s9,120(sp) + 800010e0: 07013d03 ld s10,112(sp) + 800010e4: 06813d83 ld s11,104(sp) + 800010e8: 0d010113 addi sp,sp,208 + 800010ec: 00008067 ret + 800010f0: 003f4737 lui a4,0x3f4 + 800010f4: f3f7071b addiw a4,a4,-193 + 800010f8: 00842883 lw a7,8(s0) + 800010fc: 0107083b addw a6,a4,a6 + 80001100: b35ff06f j 80000c34 + 80001104: 02043583 ld a1,32(s0) + 80001108: 002d1d13 slli s10,s10,0x2 + 8000110c: 02843783 ld a5,40(s0) + 80001110: 01a58d33 add s10,a1,s10 + 80001114: 000d2d03 lw s10,0(s10) + 80001118: 00778633 add a2,a5,t2 + 8000111c: e15d16e3 bne s10,s5,80000f28 + 80001120: d73058e3 blez s3,80000e90 + 80001124: 01043603 ld a2,16(s0) + 80001128: eddff06f j 80001004 + 8000112c: cf2052e3 blez s2,80000e10 + 80001130: 01043603 ld a2,16(s0) + 80001134: f01ff06f j 80001034 + 80001138: c4905ae3 blez s1,80000d8c + 8000113c: 01043603 ld a2,16(s0) + 80001140: f25ff06f j 80001064 + +0000000080001144 : + 80001144: 00007797 auipc a5,0x7 + 80001148: 8d47b783 ld a5,-1836(a5) # 80007a18 + 8000114c: 0187a503 lw a0,24(a5) + 80001150: 00006797 auipc a5,0x6 + 80001154: 7c47a783 lw a5,1988(a5) # 80007914 <_ZL3ans> + 80001158: 40f50533 sub a0,a0,a5 + 8000115c: 00153513 seqz a0,a0 + 80001160: 00008067 ret + +0000000080001164 <_ZL9radixPassPiS_S_ii>: + 80001164: fd010113 addi sp,sp,-48 + 80001168: 0017079b addiw a5,a4,1 + 8000116c: 02813023 sd s0,32(sp) + 80001170: 01413023 sd s4,0(sp) + 80001174: 00050413 mv s0,a0 + 80001178: 00070a13 mv s4,a4 + 8000117c: 00279513 slli a0,a5,0x2 + 80001180: 00913c23 sd s1,24(sp) + 80001184: 01213823 sd s2,16(sp) + 80001188: 01313423 sd s3,8(sp) + 8000118c: 02113423 sd ra,40(sp) + 80001190: 00058993 mv s3,a1 + 80001194: 00060493 mv s1,a2 + 80001198: 00068913 mv s2,a3 + 8000119c: b7cff0ef jal ra,80000518 + 800011a0: 0e0a4c63 bltz s4,80001298 <_ZL9radixPassPiS_S_ii+0x134> + 800011a4: 001a0713 addi a4,s4,1 + 800011a8: 00271713 slli a4,a4,0x2 + 800011ac: 00050793 mv a5,a0 + 800011b0: 00a70733 add a4,a4,a0 + 800011b4: 0007a023 sw zero,0(a5) + 800011b8: 00478793 addi a5,a5,4 + 800011bc: fef71ce3 bne a4,a5,800011b4 <_ZL9radixPassPiS_S_ii+0x50> + 800011c0: 05205663 blez s2,8000120c <_ZL9radixPassPiS_S_ii+0xa8> + 800011c4: fff9071b addiw a4,s2,-1 + 800011c8: 02071713 slli a4,a4,0x20 + 800011cc: 01e75793 srli a5,a4,0x1e + 800011d0: 00440713 addi a4,s0,4 + 800011d4: 00040813 mv a6,s0 + 800011d8: 00e78733 add a4,a5,a4 + 800011dc: 00082783 lw a5,0(a6) + 800011e0: 00480813 addi a6,a6,4 + 800011e4: 00279793 slli a5,a5,0x2 + 800011e8: 00f487b3 add a5,s1,a5 + 800011ec: 0007a783 lw a5,0(a5) + 800011f0: 00279793 slli a5,a5,0x2 + 800011f4: 00f507b3 add a5,a0,a5 + 800011f8: 0007a883 lw a7,0(a5) + 800011fc: 0018889b addiw a7,a7,1 + 80001200: 0117a023 sw a7,0(a5) + 80001204: fd071ce3 bne a4,a6,800011dc <_ZL9radixPassPiS_S_ii+0x78> + 80001208: 020a4663 bltz s4,80001234 <_ZL9radixPassPiS_S_ii+0xd0> + 8000120c: 00050793 mv a5,a0 + 80001210: 00000693 li a3,0 + 80001214: 00000813 li a6,0 + 80001218: 0007a603 lw a2,0(a5) + 8000121c: 0018081b addiw a6,a6,1 + 80001220: 00d7a023 sw a3,0(a5) + 80001224: 00d606bb addw a3,a2,a3 + 80001228: 00478793 addi a5,a5,4 + 8000122c: ff0a56e3 bge s4,a6,80001218 <_ZL9radixPassPiS_S_ii+0xb4> + 80001230: 05205463 blez s2,80001278 <_ZL9radixPassPiS_S_ii+0x114> + 80001234: 00040893 mv a7,s0 + 80001238: 00000813 li a6,0 + 8000123c: 0008a683 lw a3,0(a7) + 80001240: 0018081b addiw a6,a6,1 + 80001244: 00488893 addi a7,a7,4 + 80001248: 00269793 slli a5,a3,0x2 + 8000124c: 00f487b3 add a5,s1,a5 + 80001250: 0007a703 lw a4,0(a5) + 80001254: 00271713 slli a4,a4,0x2 + 80001258: 00e50733 add a4,a0,a4 + 8000125c: 00072783 lw a5,0(a4) # 3f4000 <_entry_offset+0x3f4000> + 80001260: 0017861b addiw a2,a5,1 + 80001264: 00279793 slli a5,a5,0x2 + 80001268: 00c72023 sw a2,0(a4) + 8000126c: 00f987b3 add a5,s3,a5 + 80001270: 00d7a023 sw a3,0(a5) + 80001274: fd2844e3 blt a6,s2,8000123c <_ZL9radixPassPiS_S_ii+0xd8> + 80001278: 02813083 ld ra,40(sp) + 8000127c: 02013403 ld s0,32(sp) + 80001280: 01813483 ld s1,24(sp) + 80001284: 01013903 ld s2,16(sp) + 80001288: 00813983 ld s3,8(sp) + 8000128c: 00013a03 ld s4,0(sp) + 80001290: 03010113 addi sp,sp,48 + 80001294: 00008067 ret + 80001298: f32046e3 bgtz s2,800011c4 <_ZL9radixPassPiS_S_ii+0x60> + 8000129c: fddff06f j 80001278 <_ZL9radixPassPiS_S_ii+0x114> + +00000000800012a0 <_Z11suffixArrayPiS_ii>: + 800012a0: f4010113 addi sp,sp,-192 + 800012a4: 09313c23 sd s3,152(sp) + 800012a8: 07813823 sd s8,112(sp) + 800012ac: 00050993 mv s3,a0 + 800012b0: 00058c13 mv s8,a1 + 800012b4: 0026051b addiw a0,a2,2 + 800012b8: 00300593 li a1,3 + 800012bc: 0a113c23 sd ra,184(sp) + 800012c0: 02c13423 sd a2,40(sp) + 800012c4: 02d13823 sd a3,48(sp) + 800012c8: 0a813823 sd s0,176(sp) + 800012cc: 0a913423 sd s1,168(sp) + 800012d0: 0b213023 sd s2,160(sp) + 800012d4: 00060493 mv s1,a2 + 800012d8: 09413823 sd s4,144(sp) + 800012dc: 09513423 sd s5,136(sp) + 800012e0: 09613023 sd s6,128(sp) + 800012e4: 07713c23 sd s7,120(sp) + 800012e8: 07913423 sd s9,104(sp) + 800012ec: 07a13023 sd s10,96(sp) + 800012f0: 01813823 sd s8,16(sp) + 800012f4: 05b13c23 sd s11,88(sp) + 800012f8: 640030ef jal ra,80004938 <__divdi3> + 800012fc: 00300593 li a1,3 + 80001300: 00050413 mv s0,a0 + 80001304: 00048513 mv a0,s1 + 80001308: 630030ef jal ra,80004938 <__divdi3> + 8000130c: 0004041b sext.w s0,s0 + 80001310: 00850abb addw s5,a0,s0 + 80001314: 003a8a1b addiw s4,s5,3 + 80001318: 002a1a13 slli s4,s4,0x2 + 8000131c: 000a0513 mv a0,s4 + 80001320: 002a8913 addi s2,s5,2 + 80001324: 9f4ff0ef jal ra,80000518 + 80001328: 00291913 slli s2,s2,0x2 + 8000132c: 012507b3 add a5,a0,s2 + 80001330: ffc90c93 addi s9,s2,-4 + 80001334: 0007a023 sw zero,0(a5) + 80001338: ff890b93 addi s7,s2,-8 + 8000133c: 019507b3 add a5,a0,s9 + 80001340: 0007a023 sw zero,0(a5) + 80001344: 017507b3 add a5,a0,s7 + 80001348: 00048c13 mv s8,s1 + 8000134c: 0007a023 sw zero,0(a5) + 80001350: 00050493 mv s1,a0 + 80001354: 000a0513 mv a0,s4 + 80001358: 9c0ff0ef jal ra,80000518 + 8000135c: 01250933 add s2,a0,s2 + 80001360: 00092023 sw zero,0(s2) + 80001364: 01950cb3 add s9,a0,s9 + 80001368: 000ca023 sw zero,0(s9) + 8000136c: 00241913 slli s2,s0,0x2 + 80001370: 01750bb3 add s7,a0,s7 + 80001374: 000ba023 sw zero,0(s7) + 80001378: 00050a13 mv s4,a0 + 8000137c: 00090513 mv a0,s2 + 80001380: 998ff0ef jal ra,80000518 + 80001384: 00050c93 mv s9,a0 + 80001388: 00090513 mv a0,s2 + 8000138c: 01913c23 sd s9,24(sp) + 80001390: 988ff0ef jal ra,80000518 + 80001394: 00050b93 mv s7,a0 + 80001398: 00300593 li a1,3 + 8000139c: 001c051b addiw a0,s8,1 + 800013a0: 03713023 sd s7,32(sp) + 800013a4: 594030ef jal ra,80004938 <__divdi3> + 800013a8: 40a4093b subw s2,s0,a0 + 800013ac: 01890d3b addw s10,s2,s8 + 800013b0: 000a8b13 mv s6,s5 + 800013b4: 00000c13 li s8,0 + 800013b8: 00000b93 li s7,0 + 800013bc: 05a05463 blez s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013c0: 001c0c1b addiw s8,s8,1 + 800013c4: 00300593 li a1,3 + 800013c8: 000c0c93 mv s9,s8 + 800013cc: 000c0513 mv a0,s8 + 800013d0: 03ac0a63 beq s8,s10,80001404 <_Z11suffixArrayPiS_ii+0x164> + 800013d4: 5e8030ef jal ra,800049bc <__moddi3> + 800013d8: 002b9793 slli a5,s7,0x2 + 800013dc: 0005051b sext.w a0,a0 + 800013e0: 00f487b3 add a5,s1,a5 + 800013e4: fc050ee3 beqz a0,800013c0 <_Z11suffixArrayPiS_ii+0x120> + 800013e8: 001c0c1b addiw s8,s8,1 + 800013ec: 0197a023 sw s9,0(a5) + 800013f0: 001b8b9b addiw s7,s7,1 + 800013f4: 00300593 li a1,3 + 800013f8: 000c0c93 mv s9,s8 + 800013fc: 000c0513 mv a0,s8 + 80001400: fdac1ae3 bne s8,s10,800013d4 <_Z11suffixArrayPiS_ii+0x134> + 80001404: 03013b83 ld s7,48(sp) + 80001408: 00898613 addi a2,s3,8 + 8000140c: 000a0593 mv a1,s4 + 80001410: 00048513 mv a0,s1 + 80001414: 000b8713 mv a4,s7 + 80001418: 000a8693 mv a3,s5 + 8000141c: d49ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001420: 000b8713 mv a4,s7 + 80001424: 000a8693 mv a3,s5 + 80001428: 00498613 addi a2,s3,4 + 8000142c: 00048593 mv a1,s1 + 80001430: 000a0513 mv a0,s4 + 80001434: d31ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001438: 000b8713 mv a4,s7 + 8000143c: 000a8693 mv a3,s5 + 80001440: 00098613 mv a2,s3 + 80001444: 000a0593 mv a1,s4 + 80001448: 00048513 mv a0,s1 + 8000144c: d19ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 80001450: 13505c63 blez s5,80001588 <_Z11suffixArrayPiS_ii+0x2e8> + 80001454: fffb089b addiw a7,s6,-1 + 80001458: 02089893 slli a7,a7,0x20 + 8000145c: 0208d893 srli a7,a7,0x20 + 80001460: 00188893 addi a7,a7,1 + 80001464: 00289893 slli a7,a7,0x2 + 80001468: 000a0d93 mv s11,s4 + 8000146c: 01488d33 add s10,a7,s4 + 80001470: 000a0613 mv a2,s4 + 80001474: fff00b13 li s6,-1 + 80001478: fff00b93 li s7,-1 + 8000147c: fff00c93 li s9,-1 + 80001480: 00000c13 li s8,0 + 80001484: 0600006f j 800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001488: 001c0c1b addiw s8,s8,1 + 8000148c: 000f0c93 mv s9,t5 + 80001490: 00c13423 sd a2,8(sp) + 80001494: 00e13023 sd a4,0(sp) + 80001498: 4a0030ef jal ra,80004938 <__divdi3> + 8000149c: 00013703 ld a4,0(sp) + 800014a0: 00050793 mv a5,a0 + 800014a4: 0007879b sext.w a5,a5 + 800014a8: 00070513 mv a0,a4 + 800014ac: 00300593 li a1,3 + 800014b0: 00f13023 sd a5,0(sp) + 800014b4: 508030ef jal ra,800049bc <__moddi3> + 800014b8: 00013783 ld a5,0(sp) + 800014bc: 0005051b sext.w a0,a0 + 800014c0: 00100693 li a3,1 + 800014c4: 00f4073b addw a4,s0,a5 + 800014c8: 00271713 slli a4,a4,0x2 + 800014cc: 00813603 ld a2,8(sp) + 800014d0: 00e48733 add a4,s1,a4 + 800014d4: 04d50463 beq a0,a3,8000151c <_Z11suffixArrayPiS_ii+0x27c> + 800014d8: 01872023 sw s8,0(a4) + 800014dc: 00460613 addi a2,a2,4 + 800014e0: 04cd0863 beq s10,a2,80001530 <_Z11suffixArrayPiS_ii+0x290> + 800014e4: 00062703 lw a4,0(a2) + 800014e8: 000b8f93 mv t6,s7 + 800014ec: 000b0293 mv t0,s6 + 800014f0: 00271793 slli a5,a4,0x2 + 800014f4: 00f987b3 add a5,s3,a5 + 800014f8: 0007af03 lw t5,0(a5) + 800014fc: 0047ab83 lw s7,4(a5) + 80001500: 0087ab03 lw s6,8(a5) + 80001504: 00300593 li a1,3 + 80001508: 00070513 mv a0,a4 + 8000150c: f79f1ee3 bne t5,s9,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001510: f77f9ce3 bne t6,s7,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001514: f7629ae3 bne t0,s6,80001488 <_Z11suffixArrayPiS_ii+0x1e8> + 80001518: f79ff06f j 80001490 <_Z11suffixArrayPiS_ii+0x1f0> + 8000151c: 00279793 slli a5,a5,0x2 + 80001520: 00f487b3 add a5,s1,a5 + 80001524: 0187a023 sw s8,0(a5) + 80001528: 00460613 addi a2,a2,4 + 8000152c: facd1ce3 bne s10,a2,800014e4 <_Z11suffixArrayPiS_ii+0x244> + 80001530: 00048613 mv a2,s1 + 80001534: 00000713 li a4,0 + 80001538: 315c4863 blt s8,s5,80001848 <_Z11suffixArrayPiS_ii+0x5a8> + 8000153c: 00062783 lw a5,0(a2) + 80001540: 00460613 addi a2,a2,4 + 80001544: 00279793 slli a5,a5,0x2 + 80001548: 00fa07b3 add a5,s4,a5 + 8000154c: fee7ae23 sw a4,-4(a5) + 80001550: 0017071b addiw a4,a4,1 + 80001554: feea94e3 bne s5,a4,8000153c <_Z11suffixArrayPiS_ii+0x29c> + 80001558: 00000613 li a2,0 + 8000155c: 000da703 lw a4,0(s11) + 80001560: 01813583 ld a1,24(sp) + 80001564: 00261793 slli a5,a2,0x2 + 80001568: 0017169b slliw a3,a4,0x1 + 8000156c: 004d8d93 addi s11,s11,4 + 80001570: 00f587b3 add a5,a1,a5 + 80001574: 00e686bb addw a3,a3,a4 + 80001578: 00875663 bge a4,s0,80001584 <_Z11suffixArrayPiS_ii+0x2e4> + 8000157c: 00d7a023 sw a3,0(a5) + 80001580: 0016061b addiw a2,a2,1 + 80001584: fdad9ce3 bne s11,s10,8000155c <_Z11suffixArrayPiS_ii+0x2bc> + 80001588: 02013b03 ld s6,32(sp) + 8000158c: 03013703 ld a4,48(sp) + 80001590: 01813503 ld a0,24(sp) + 80001594: 00040693 mv a3,s0 + 80001598: 00098613 mv a2,s3 + 8000159c: 000b0593 mv a1,s6 + 800015a0: bc5ff0ef jal ra,80001164 <_ZL9radixPassPiS_S_ii> + 800015a4: 02813783 ld a5,40(sp) + 800015a8: 0ef05463 blez a5,80001690 <_Z11suffixArrayPiS_ii+0x3f0> + 800015ac: 000a879b sext.w a5,s5 + 800015b0: 02f13c23 sd a5,56(sp) + 800015b4: fffa879b addiw a5,s5,-1 + 800015b8: 04f12223 sw a5,68(sp) + 800015bc: 004a0793 addi a5,s4,4 + 800015c0: 04f13423 sd a5,72(sp) + 800015c4: 0004079b sext.w a5,s0 + 800015c8: 00f13423 sd a5,8(sp) + 800015cc: fff4079b addiw a5,s0,-1 + 800015d0: 00f12c23 sw a5,24(sp) + 800015d4: 004b0793 addi a5,s6,4 + 800015d8: 00000d13 li s10,0 + 800015dc: 00000c93 li s9,0 + 800015e0: 02f13823 sd a5,48(sp) + 800015e4: 02013703 ld a4,32(sp) + 800015e8: 002c9793 slli a5,s9,0x2 + 800015ec: 00291613 slli a2,s2,0x2 + 800015f0: 00f70c33 add s8,a4,a5 + 800015f4: 000c2b83 lw s7,0(s8) + 800015f8: 00ca0b33 add s6,s4,a2 + 800015fc: 000b2783 lw a5,0(s6) + 80001600: 002b9813 slli a6,s7,0x2 + 80001604: 00300593 li a1,3 + 80001608: 000b8513 mv a0,s7 + 8000160c: 00f13023 sd a5,0(sp) + 80001610: 01098db3 add s11,s3,a6 + 80001614: 324030ef jal ra,80004938 <__divdi3> + 80001618: 00013783 ld a5,0(sp) + 8000161c: 000dae83 lw t4,0(s11) + 80001620: 0005071b sext.w a4,a0 + 80001624: 1c87d463 bge a5,s0,800017ec <_Z11suffixArrayPiS_ii+0x54c> + 80001628: 0017959b slliw a1,a5,0x1 + 8000162c: 00f585bb addw a1,a1,a5 + 80001630: 0015859b addiw a1,a1,1 + 80001634: 00259513 slli a0,a1,0x2 + 80001638: 00a98533 add a0,s3,a0 + 8000163c: 008787bb addw a5,a5,s0 + 80001640: 00052503 lw a0,0(a0) + 80001644: 00279793 slli a5,a5,0x2 + 80001648: 00271713 slli a4,a4,0x2 + 8000164c: 00f487b3 add a5,s1,a5 + 80001650: 00e48733 add a4,s1,a4 + 80001654: 0007a803 lw a6,0(a5) + 80001658: 00072783 lw a5,0(a4) + 8000165c: 11d54663 blt a0,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001660: 11d50263 beq a0,t4,80001764 <_Z11suffixArrayPiS_ii+0x4c4> + 80001664: 01013783 ld a5,16(sp) + 80001668: 002d1693 slli a3,s10,0x2 + 8000166c: 001d051b addiw a0,s10,1 + 80001670: 00d787b3 add a5,a5,a3 + 80001674: 0177a023 sw s7,0(a5) + 80001678: 001c8c9b addiw s9,s9,1 + 8000167c: 0005079b sext.w a5,a0 + 80001680: 05940663 beq s0,s9,800016cc <_Z11suffixArrayPiS_ii+0x42c> + 80001684: 00078d13 mv s10,a5 + 80001688: 02813783 ld a5,40(sp) + 8000168c: f4fd4ce3 blt s10,a5,800015e4 <_Z11suffixArrayPiS_ii+0x344> + 80001690: 0b813083 ld ra,184(sp) + 80001694: 0b013403 ld s0,176(sp) + 80001698: 0a813483 ld s1,168(sp) + 8000169c: 0a013903 ld s2,160(sp) + 800016a0: 09813983 ld s3,152(sp) + 800016a4: 09013a03 ld s4,144(sp) + 800016a8: 08813a83 ld s5,136(sp) + 800016ac: 08013b03 ld s6,128(sp) + 800016b0: 07813b83 ld s7,120(sp) + 800016b4: 07013c03 ld s8,112(sp) + 800016b8: 06813c83 ld s9,104(sp) + 800016bc: 06013d03 ld s10,96(sp) + 800016c0: 05813d83 ld s11,88(sp) + 800016c4: 0c010113 addi sp,sp,192 + 800016c8: 00008067 ret + 800016cc: 1b595c63 bge s2,s5,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 800016d0: 04412783 lw a5,68(sp) + 800016d4: 00468693 addi a3,a3,4 + 800016d8: 000b0613 mv a2,s6 + 800016dc: 41278e3b subw t3,a5,s2 + 800016e0: 01013783 ld a5,16(sp) + 800016e4: 020e1e13 slli t3,t3,0x20 + 800016e8: 020e5e13 srli t3,t3,0x20 + 800016ec: 00d786b3 add a3,a5,a3 + 800016f0: 04813783 ld a5,72(sp) + 800016f4: 012e0e33 add t3,t3,s2 + 800016f8: 002e1e13 slli t3,t3,0x2 + 800016fc: 0009089b sext.w a7,s2 + 80001700: 00fe0e33 add t3,t3,a5 + 80001704: 0180006f j 8000171c <_Z11suffixArrayPiS_ii+0x47c> + 80001708: 0015879b addiw a5,a1,1 + 8000170c: 00f6a023 sw a5,0(a3) + 80001710: 00460613 addi a2,a2,4 + 80001714: 00468693 addi a3,a3,4 + 80001718: 02ce0a63 beq t3,a2,8000174c <_Z11suffixArrayPiS_ii+0x4ac> + 8000171c: 00062703 lw a4,0(a2) + 80001720: 4087083b subw a6,a4,s0 + 80001724: 0017159b slliw a1,a4,0x1 + 80001728: 0018179b slliw a5,a6,0x1 + 8000172c: 00e585bb addw a1,a1,a4 + 80001730: 010787bb addw a5,a5,a6 + 80001734: fc874ae3 blt a4,s0,80001708 <_Z11suffixArrayPiS_ii+0x468> + 80001738: 0027879b addiw a5,a5,2 + 8000173c: 00f6a023 sw a5,0(a3) + 80001740: 00460613 addi a2,a2,4 + 80001744: 00468693 addi a3,a3,4 + 80001748: fcce1ae3 bne t3,a2,8000171c <_Z11suffixArrayPiS_ii+0x47c> + 8000174c: 03813783 ld a5,56(sp) + 80001750: 411508bb subw a7,a0,a7 + 80001754: 000a8913 mv s2,s5 + 80001758: 00f888bb addw a7,a7,a5 + 8000175c: 00188d1b addiw s10,a7,1 + 80001760: f29ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 80001764: f107c0e3 blt a5,a6,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001768: 01013783 ld a5,16(sp) + 8000176c: 002d1713 slli a4,s10,0x2 + 80001770: 001d061b addiw a2,s10,1 + 80001774: 00e787b3 add a5,a5,a4 + 80001778: 00b7a023 sw a1,0(a5) + 8000177c: 0019091b addiw s2,s2,1 + 80001780: 0006079b sext.w a5,a2 + 80001784: f12a90e3 bne s5,s2,80001684 <_Z11suffixArrayPiS_ii+0x3e4> + 80001788: 0e8cde63 bge s9,s0,80001884 <_Z11suffixArrayPiS_ii+0x5e4> + 8000178c: 01812783 lw a5,24(sp) + 80001790: 01013683 ld a3,16(sp) + 80001794: 00470713 addi a4,a4,4 + 80001798: 419785bb subw a1,a5,s9 + 8000179c: 02059593 slli a1,a1,0x20 + 800017a0: 0205d593 srli a1,a1,0x20 + 800017a4: 00e68733 add a4,a3,a4 + 800017a8: 03013683 ld a3,48(sp) + 800017ac: 019585b3 add a1,a1,s9 + 800017b0: 00259593 slli a1,a1,0x2 + 800017b4: 000c0793 mv a5,s8 + 800017b8: 000c889b sext.w a7,s9 + 800017bc: 00d585b3 add a1,a1,a3 + 800017c0: 0007a683 lw a3,0(a5) + 800017c4: 00478793 addi a5,a5,4 + 800017c8: 00470713 addi a4,a4,4 + 800017cc: fed72e23 sw a3,-4(a4) + 800017d0: fef598e3 bne a1,a5,800017c0 <_Z11suffixArrayPiS_ii+0x520> + 800017d4: 00813783 ld a5,8(sp) + 800017d8: 411608bb subw a7,a2,a7 + 800017dc: 00040c93 mv s9,s0 + 800017e0: 00f888bb addw a7,a7,a5 + 800017e4: 00188d1b addiw s10,a7,1 + 800017e8: ea1ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + 800017ec: 408787bb subw a5,a5,s0 + 800017f0: 0017959b slliw a1,a5,0x1 + 800017f4: 00f585bb addw a1,a1,a5 + 800017f8: 0025859b addiw a1,a1,2 + 800017fc: 00259f13 slli t5,a1,0x2 + 80001800: 01e98f33 add t5,s3,t5 + 80001804: 000f2f83 lw t6,0(t5) + 80001808: 00178793 addi a5,a5,1 + 8000180c: 00e4073b addw a4,s0,a4 + 80001810: 00279793 slli a5,a5,0x2 + 80001814: 00271713 slli a4,a4,0x2 + 80001818: 00f487b3 add a5,s1,a5 + 8000181c: 00e48733 add a4,s1,a4 + 80001820: 004f2503 lw a0,4(t5) + 80001824: 00072703 lw a4,0(a4) + 80001828: 0007af03 lw t5,0(a5) + 8000182c: 004da783 lw a5,4(s11) + 80001830: f3dfcce3 blt t6,t4,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001834: e3df98e3 bne t6,t4,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001838: f2f548e3 blt a0,a5,80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 8000183c: e2f514e3 bne a0,a5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001840: e3e742e3 blt a4,t5,80001664 <_Z11suffixArrayPiS_ii+0x3c4> + 80001844: f25ff06f j 80001768 <_Z11suffixArrayPiS_ii+0x4c8> + 80001848: 000c0693 mv a3,s8 + 8000184c: 000a8613 mv a2,s5 + 80001850: 000a0593 mv a1,s4 + 80001854: 00048513 mv a0,s1 + 80001858: a49ff0ef jal ra,800012a0 <_Z11suffixArrayPiS_ii> + 8000185c: 000a0693 mv a3,s4 + 80001860: 00000713 li a4,0 + 80001864: 0006a783 lw a5,0(a3) + 80001868: 0017071b addiw a4,a4,1 + 8000186c: 00468693 addi a3,a3,4 + 80001870: 00279793 slli a5,a5,0x2 + 80001874: 00f487b3 add a5,s1,a5 + 80001878: 00e7a023 sw a4,0(a5) + 8000187c: ff5714e3 bne a4,s5,80001864 <_Z11suffixArrayPiS_ii+0x5c4> + 80001880: cd9ff06f j 80001558 <_Z11suffixArrayPiS_ii+0x2b8> + 80001884: 002d0d1b addiw s10,s10,2 + 80001888: e01ff06f j 80001688 <_Z11suffixArrayPiS_ii+0x3e8> + +000000008000188c : + 8000188c: 00006797 auipc a5,0x6 + 80001890: 18c7b783 ld a5,396(a5) # 80007a18 + 80001894: 0007a783 lw a5,0(a5) + 80001898: fd010113 addi sp,sp,-48 + 8000189c: 01213823 sd s2,16(sp) + 800018a0: 00100513 li a0,1 + 800018a4: 00006917 auipc s2,0x6 + 800018a8: 07490913 addi s2,s2,116 # 80007918 <_ZL1N> + 800018ac: 02113423 sd ra,40(sp) + 800018b0: 00f92023 sw a5,0(s2) + 800018b4: 01313423 sd s3,8(sp) + 800018b8: 02813023 sd s0,32(sp) + 800018bc: 00913c23 sd s1,24(sp) + 800018c0: cf5fe0ef jal ra,800005b4 + 800018c4: 00092503 lw a0,0(s2) + 800018c8: 00006997 auipc s3,0x6 + 800018cc: 05898993 addi s3,s3,88 # 80007920 <_ZL1s> + 800018d0: 00a5051b addiw a0,a0,10 + 800018d4: 00251513 slli a0,a0,0x2 + 800018d8: c41fe0ef jal ra,80000518 + 800018dc: 00092783 lw a5,0(s2) + 800018e0: 00a9b023 sd a0,0(s3) + 800018e4: 00a7851b addiw a0,a5,10 + 800018e8: 00251513 slli a0,a0,0x2 + 800018ec: c2dfe0ef jal ra,80000518 + 800018f0: 00092783 lw a5,0(s2) + 800018f4: 00006717 auipc a4,0x6 + 800018f8: 02a73a23 sd a0,52(a4) # 80007928 <_ZL2sa> + 800018fc: 02f05e63 blez a5,80001938 + 80001900: 00000413 li s0,0 + 80001904: cc5fe0ef jal ra,800005c8 + 80001908: 0009b483 ld s1,0(s3) + 8000190c: 02051513 slli a0,a0,0x20 + 80001910: 00241793 slli a5,s0,0x2 + 80001914: 01a00593 li a1,26 + 80001918: 02055513 srli a0,a0,0x20 + 8000191c: 00f484b3 add s1,s1,a5 + 80001920: 068030ef jal ra,80004988 <__umoddi3> + 80001924: 00092703 lw a4,0(s2) + 80001928: 00140413 addi s0,s0,1 + 8000192c: 00a4a023 sw a0,0(s1) + 80001930: 0004079b sext.w a5,s0 + 80001934: fce7c8e3 blt a5,a4,80001904 + 80001938: 02813083 ld ra,40(sp) + 8000193c: 02013403 ld s0,32(sp) + 80001940: 01813483 ld s1,24(sp) + 80001944: 01013903 ld s2,16(sp) + 80001948: 00813983 ld s3,8(sp) + 8000194c: 03010113 addi sp,sp,48 + 80001950: 00008067 ret + +0000000080001954 : + 80001954: 01a00693 li a3,26 + 80001958: 00006617 auipc a2,0x6 + 8000195c: fc062603 lw a2,-64(a2) # 80007918 <_ZL1N> + 80001960: 00006597 auipc a1,0x6 + 80001964: fc85b583 ld a1,-56(a1) # 80007928 <_ZL2sa> + 80001968: 00006517 auipc a0,0x6 + 8000196c: fb853503 ld a0,-72(a0) # 80007920 <_ZL1s> + 80001970: 931ff06f j 800012a0 <_Z11suffixArrayPiS_ii> + +0000000080001974 : + 80001974: 00006597 auipc a1,0x6 + 80001978: fa45a583 lw a1,-92(a1) # 80007918 <_ZL1N> + 8000197c: 00006517 auipc a0,0x6 + 80001980: fac53503 ld a0,-84(a0) # 80007928 <_ZL2sa> + 80001984: 00259593 slli a1,a1,0x2 + 80001988: ff010113 addi sp,sp,-16 + 8000198c: 00b505b3 add a1,a0,a1 + 80001990: 00113423 sd ra,8(sp) + 80001994: c85fe0ef jal ra,80000618 + 80001998: 00006797 auipc a5,0x6 + 8000199c: 0807b783 ld a5,128(a5) # 80007a18 + 800019a0: 0187a783 lw a5,24(a5) + 800019a4: 00813083 ld ra,8(sp) + 800019a8: 0005051b sext.w a0,a0 + 800019ac: 40a78533 sub a0,a5,a0 + 800019b0: 00153513 seqz a0,a0 + 800019b4: 01010113 addi sp,sp,16 + 800019b8: 00008067 ret + +00000000800019bc : + 800019bc: 00008067 ret + +00000000800019c0 : + 800019c0: 00006797 auipc a5,0x6 + 800019c4: 0587b783 ld a5,88(a5) # 80007a18 + 800019c8: 0187a503 lw a0,24(a5) + 800019cc: 00006797 auipc a5,0x6 + 800019d0: f647a783 lw a5,-156(a5) # 80007930 <_ZL3ans> + 800019d4: 40f50533 sub a0,a0,a5 + 800019d8: 00153513 seqz a0,a0 + 800019dc: 00008067 ret + +00000000800019e0 <_ZN8N_puzzleILi4EEC1Ev>: + 800019e0: f6010113 addi sp,sp,-160 + 800019e4: 07613023 sd s6,96(sp) + 800019e8: 08113c23 sd ra,152(sp) + 800019ec: 08813823 sd s0,144(sp) + 800019f0: 08913423 sd s1,136(sp) + 800019f4: 09213023 sd s2,128(sp) + 800019f8: 07313c23 sd s3,120(sp) + 800019fc: 07413823 sd s4,112(sp) + 80001a00: 07513423 sd s5,104(sp) + 80001a04: 05713c23 sd s7,88(sp) + 80001a08: 05813823 sd s8,80(sp) + 80001a0c: 05913423 sd s9,72(sp) + 80001a10: 00100793 li a5,1 + 80001a14: 00f50023 sb a5,0(a0) + 80001a18: 00050b13 mv s6,a0 + 80001a1c: 000501a3 sb zero,3(a0) + 80001a20: 00010713 mv a4,sp + 80001a24: 00000793 li a5,0 + 80001a28: 01000693 li a3,16 + 80001a2c: 00f72023 sw a5,0(a4) + 80001a30: 0017879b addiw a5,a5,1 + 80001a34: 00470713 addi a4,a4,4 + 80001a38: fed79ae3 bne a5,a3,80001a2c <_ZN8N_puzzleILi4EEC1Ev+0x4c> + 80001a3c: 03c10a13 addi s4,sp,60 + 80001a40: 000b0413 mv s0,s6 + 80001a44: 00000993 li s3,0 + 80001a48: 00000c93 li s9,0 + 80001a4c: 00400913 li s2,4 + 80001a50: ff000a93 li s5,-16 + 80001a54: 000a0c13 mv s8,s4 + 80001a58: 00000b93 li s7,0 + 80001a5c: 0109849b addiw s1,s3,16 + 80001a60: b69fe0ef jal ra,800005c8 + 80001a64: 417485bb subw a1,s1,s7 + 80001a68: 02059593 slli a1,a1,0x20 + 80001a6c: 02051513 slli a0,a0,0x20 + 80001a70: 0205d593 srli a1,a1,0x20 + 80001a74: 02055513 srli a0,a0,0x20 + 80001a78: 711020ef jal ra,80004988 <__umoddi3> + 80001a7c: 0005051b sext.w a0,a0 + 80001a80: 00251513 slli a0,a0,0x2 + 80001a84: 04010793 addi a5,sp,64 + 80001a88: 00a787b3 add a5,a5,a0 + 80001a8c: fc07a583 lw a1,-64(a5) + 80001a90: 000b871b sext.w a4,s7 + 80001a94: 01740833 add a6,s0,s7 + 80001a98: fff5879b addiw a5,a1,-1 + 80001a9c: 41f7d69b sraiw a3,a5,0x1f + 80001aa0: 01e6d61b srliw a2,a3,0x1e + 80001aa4: 00f607bb addw a5,a2,a5 + 80001aa8: 0037f693 andi a3,a5,3 + 80001aac: 40c686bb subw a3,a3,a2 + 80001ab0: 4027d79b sraiw a5,a5,0x2 + 80001ab4: 419787bb subw a5,a5,s9 + 80001ab8: 40e6873b subw a4,a3,a4 + 80001abc: 41f7d61b sraiw a2,a5,0x1f + 80001ac0: 41f7569b sraiw a3,a4,0x1f + 80001ac4: 00f647b3 xor a5,a2,a5 + 80001ac8: 00e6c733 xor a4,a3,a4 + 80001acc: 40c787bb subw a5,a5,a2 + 80001ad0: 40d7073b subw a4,a4,a3 + 80001ad4: 00b80223 sb a1,4(a6) + 80001ad8: 00e787bb addw a5,a5,a4 + 80001adc: 0c059063 bnez a1,80001b9c <_ZN8N_puzzleILi4EEC1Ev+0x1bc> + 80001ae0: 019b00a3 sb s9,1(s6) + 80001ae4: 017b0123 sb s7,2(s6) + 80001ae8: 000c2783 lw a5,0(s8) + 80001aec: 04010713 addi a4,sp,64 + 80001af0: 00a70533 add a0,a4,a0 + 80001af4: 001b8b93 addi s7,s7,1 + 80001af8: fcf52023 sw a5,-64(a0) + 80001afc: ffcc0c13 addi s8,s8,-4 + 80001b00: f72b90e3 bne s7,s2,80001a60 <_ZN8N_puzzleILi4EEC1Ev+0x80> + 80001b04: ffc9899b addiw s3,s3,-4 + 80001b08: 001c8c9b addiw s9,s9,1 + 80001b0c: ff0a0a13 addi s4,s4,-16 + 80001b10: 00440413 addi s0,s0,4 + 80001b14: f55990e3 bne s3,s5,80001a54 <_ZN8N_puzzleILi4EEC1Ev+0x74> + 80001b18: 000b2a23 sw zero,20(s6) + 80001b1c: 004b0593 addi a1,s6,4 + 80001b20: 014b0513 addi a0,s6,20 + 80001b24: 00000713 li a4,0 + 80001b28: ffc58693 addi a3,a1,-4 + 80001b2c: 0057179b slliw a5,a4,0x5 + 80001b30: 40e787bb subw a5,a5,a4 + 80001b34: 0027979b slliw a5,a5,0x2 + 80001b38: 40e787bb subw a5,a5,a4 + 80001b3c: 0027979b slliw a5,a5,0x2 + 80001b40: 00468603 lb a2,4(a3) + 80001b44: 00e787bb addw a5,a5,a4 + 80001b48: 0027979b slliw a5,a5,0x2 + 80001b4c: 00e7873b addw a4,a5,a4 + 80001b50: 00168693 addi a3,a3,1 + 80001b54: 00c7073b addw a4,a4,a2 + 80001b58: fcb69ae3 bne a3,a1,80001b2c <_ZN8N_puzzleILi4EEC1Ev+0x14c> + 80001b5c: 00468593 addi a1,a3,4 + 80001b60: fcb514e3 bne a0,a1,80001b28 <_ZN8N_puzzleILi4EEC1Ev+0x148> + 80001b64: 09813083 ld ra,152(sp) + 80001b68: 09013403 ld s0,144(sp) + 80001b6c: 00eb2a23 sw a4,20(s6) + 80001b70: 08813483 ld s1,136(sp) + 80001b74: 08013903 ld s2,128(sp) + 80001b78: 07813983 ld s3,120(sp) + 80001b7c: 07013a03 ld s4,112(sp) + 80001b80: 06813a83 ld s5,104(sp) + 80001b84: 06013b03 ld s6,96(sp) + 80001b88: 05813b83 ld s7,88(sp) + 80001b8c: 05013c03 ld s8,80(sp) + 80001b90: 04813c83 ld s9,72(sp) + 80001b94: 0a010113 addi sp,sp,160 + 80001b98: 00008067 ret + 80001b9c: 003b4703 lbu a4,3(s6) + 80001ba0: 00e787bb addw a5,a5,a4 + 80001ba4: 00fb01a3 sb a5,3(s6) + 80001ba8: f41ff06f j 80001ae8 <_ZN8N_puzzleILi4EEC1Ev+0x108> + +0000000080001bac <_ZN8N_puzzleILi4EEC1EPi>: + 80001bac: fe010113 addi sp,sp,-32 + 80001bb0: 00100793 li a5,1 + 80001bb4: 00010e93 mv t4,sp + 80001bb8: 00f50023 sb a5,0(a0) + 80001bbc: 00813c23 sd s0,24(sp) + 80001bc0: 000501a3 sb zero,3(a0) + 80001bc4: 01010f13 addi t5,sp,16 + 80001bc8: 000e8793 mv a5,t4 + 80001bcc: 00078023 sb zero,0(a5) + 80001bd0: 00178793 addi a5,a5,1 + 80001bd4: ffe79ce3 bne a5,t5,80001bcc <_ZN8N_puzzleILi4EEC1EPi+0x20> + 80001bd8: 00050f93 mv t6,a0 + 80001bdc: 00000e13 li t3,0 + 80001be0: 00100393 li t2,1 + 80001be4: 00400293 li t0,4 + 80001be8: 00058313 mv t1,a1 + 80001bec: 00000613 li a2,0 + 80001bf0: 00032803 lw a6,0(t1) + 80001bf4: 0006071b sext.w a4,a2 + 80001bf8: 00cf8433 add s0,t6,a2 + 80001bfc: fff8079b addiw a5,a6,-1 + 80001c00: 41f7d69b sraiw a3,a5,0x1f + 80001c04: 01e6d89b srliw a7,a3,0x1e + 80001c08: 00f887bb addw a5,a7,a5 + 80001c0c: 0037f693 andi a3,a5,3 + 80001c10: 411686bb subw a3,a3,a7 + 80001c14: 40e6873b subw a4,a3,a4 + 80001c18: 41f7569b sraiw a3,a4,0x1f + 80001c1c: 4027d79b sraiw a5,a5,0x2 + 80001c20: 41c787bb subw a5,a5,t3 + 80001c24: 00e6c733 xor a4,a3,a4 + 80001c28: 41f7d89b sraiw a7,a5,0x1f + 80001c2c: 40d7073b subw a4,a4,a3 + 80001c30: 01010693 addi a3,sp,16 + 80001c34: 00f8c7b3 xor a5,a7,a5 + 80001c38: 010686b3 add a3,a3,a6 + 80001c3c: 411787bb subw a5,a5,a7 + 80001c40: 01040223 sb a6,4(s0) + 80001c44: fe768823 sb t2,-16(a3) + 80001c48: 00e787bb addw a5,a5,a4 + 80001c4c: 08081a63 bnez a6,80001ce0 <_ZN8N_puzzleILi4EEC1EPi+0x134> + 80001c50: 01c500a3 sb t3,1(a0) + 80001c54: 00c50123 sb a2,2(a0) + 80001c58: 00160613 addi a2,a2,1 + 80001c5c: 00430313 addi t1,t1,4 + 80001c60: f85618e3 bne a2,t0,80001bf0 <_ZN8N_puzzleILi4EEC1EPi+0x44> + 80001c64: 001e0e1b addiw t3,t3,1 + 80001c68: 01058593 addi a1,a1,16 + 80001c6c: 004f8f93 addi t6,t6,4 + 80001c70: f65e1ce3 bne t3,t0,80001be8 <_ZN8N_puzzleILi4EEC1EPi+0x3c> + 80001c74: 000ec783 lbu a5,0(t4) + 80001c78: 001e8e93 addi t4,t4,1 + 80001c7c: 06078a63 beqz a5,80001cf0 <_ZN8N_puzzleILi4EEC1EPi+0x144> + 80001c80: ffee9ae3 bne t4,t5,80001c74 <_ZN8N_puzzleILi4EEC1EPi+0xc8> + 80001c84: 00052a23 sw zero,20(a0) + 80001c88: 00450593 addi a1,a0,4 + 80001c8c: 01450813 addi a6,a0,20 + 80001c90: 00000713 li a4,0 + 80001c94: ffc58693 addi a3,a1,-4 + 80001c98: 0057179b slliw a5,a4,0x5 + 80001c9c: 40e787bb subw a5,a5,a4 + 80001ca0: 0027979b slliw a5,a5,0x2 + 80001ca4: 40e787bb subw a5,a5,a4 + 80001ca8: 0027979b slliw a5,a5,0x2 + 80001cac: 00468603 lb a2,4(a3) + 80001cb0: 00e787bb addw a5,a5,a4 + 80001cb4: 0027979b slliw a5,a5,0x2 + 80001cb8: 00e7873b addw a4,a5,a4 + 80001cbc: 00168693 addi a3,a3,1 + 80001cc0: 00c7073b addw a4,a4,a2 + 80001cc4: fcb69ae3 bne a3,a1,80001c98 <_ZN8N_puzzleILi4EEC1EPi+0xec> + 80001cc8: 00468593 addi a1,a3,4 + 80001ccc: fcb814e3 bne a6,a1,80001c94 <_ZN8N_puzzleILi4EEC1EPi+0xe8> + 80001cd0: 01813403 ld s0,24(sp) + 80001cd4: 00e52a23 sw a4,20(a0) + 80001cd8: 02010113 addi sp,sp,32 + 80001cdc: 00008067 ret + 80001ce0: 00354703 lbu a4,3(a0) + 80001ce4: 00e787bb addw a5,a5,a4 + 80001ce8: 00f501a3 sb a5,3(a0) + 80001cec: f6dff06f j 80001c58 <_ZN8N_puzzleILi4EEC1EPi+0xac> + 80001cf0: 01813403 ld s0,24(sp) + 80001cf4: 00050023 sb zero,0(a0) + 80001cf8: 02010113 addi sp,sp,32 + 80001cfc: 00008067 ret + +0000000080001d00 <_ZNK8N_puzzleILi4EEeqERKS0_>: + 80001d00: 00050713 mv a4,a0 + 80001d04: 00054503 lbu a0,0(a0) + 80001d08: 04050863 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d0c: 0005c503 lbu a0,0(a1) + 80001d10: 04050463 beqz a0,80001d58 <_ZNK8N_puzzleILi4EEeqERKS0_+0x58> + 80001d14: 0145a683 lw a3,20(a1) + 80001d18: 01472783 lw a5,20(a4) + 80001d1c: 02f69c63 bne a3,a5,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d20: 00800693 li a3,8 + 80001d24: 01800893 li a7,24 + 80001d28: ffc68793 addi a5,a3,-4 + 80001d2c: 00f70833 add a6,a4,a5 + 80001d30: 00f58633 add a2,a1,a5 + 80001d34: 00084803 lbu a6,0(a6) + 80001d38: 00064603 lbu a2,0(a2) + 80001d3c: 00178793 addi a5,a5,1 + 80001d40: 00c81a63 bne a6,a2,80001d54 <_ZNK8N_puzzleILi4EEeqERKS0_+0x54> + 80001d44: fed794e3 bne a5,a3,80001d2c <_ZNK8N_puzzleILi4EEeqERKS0_+0x2c> + 80001d48: 00468693 addi a3,a3,4 + 80001d4c: fd169ee3 bne a3,a7,80001d28 <_ZNK8N_puzzleILi4EEeqERKS0_+0x28> + 80001d50: 00008067 ret + 80001d54: 00000513 li a0,0 + 80001d58: 00008067 ret + +0000000080001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0>: + 80001d5c: 00064783 lbu a5,0(a2) + 80001d60: fe010113 addi sp,sp,-32 + 80001d64: 00913423 sd s1,8(sp) + 80001d68: 00113c23 sd ra,24(sp) + 80001d6c: 00813823 sd s0,16(sp) + 80001d70: 00060493 mv s1,a2 + 80001d74: 00078e63 beqz a5,80001d90 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x34> + 80001d78: 01462783 lw a5,20(a2) + 80001d7c: fff5051b addiw a0,a0,-1 + 80001d80: 00a7f533 and a0,a5,a0 + 80001d84: 02051793 slli a5,a0,0x20 + 80001d88: 01d7d793 srli a5,a5,0x1d + 80001d8c: 00f585b3 add a1,a1,a5 + 80001d90: 0005b403 ld s0,0(a1) + 80001d94: 00041863 bnez s0,80001da4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x48> + 80001d98: 01c0006f j 80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001d9c: 01843403 ld s0,24(s0) + 80001da0: 00040a63 beqz s0,80001db4 <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x58> + 80001da4: 00040513 mv a0,s0 + 80001da8: 00048593 mv a1,s1 + 80001dac: f55ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80001db0: fe0506e3 beqz a0,80001d9c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0+0x40> + 80001db4: 01813083 ld ra,24(sp) + 80001db8: 00040513 mv a0,s0 + 80001dbc: 01013403 ld s0,16(sp) + 80001dc0: 00813483 ld s1,8(sp) + 80001dc4: 02010113 addi sp,sp,32 + 80001dc8: 00008067 ret + +0000000080001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi>: + 80001dcc: 00100793 li a5,1 + 80001dd0: 06f58463 beq a1,a5,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001dd4: 01053883 ld a7,16(a0) + 80001dd8: 00359793 slli a5,a1,0x3 + 80001ddc: 00100e93 li t4,1 + 80001de0: 00f887b3 add a5,a7,a5 + 80001de4: 0007b603 ld a2,0(a5) + 80001de8: 01c0006f j 80001e04 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x38> + 80001dec: 0106b023 sd a6,0(a3) + 80001df0: 00c73023 sd a2,0(a4) + 80001df4: 0006b703 ld a4,0(a3) + 80001df8: 02f62023 sw a5,32(a2) + 80001dfc: 02a72023 sw a0,32(a4) + 80001e00: 03d58c63 beq a1,t4,80001e38 <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x6c> + 80001e04: 01f5d79b srliw a5,a1,0x1f + 80001e08: 00b787bb addw a5,a5,a1 + 80001e0c: 4017d79b sraiw a5,a5,0x1 + 80001e10: 00058513 mv a0,a1 + 80001e14: 0007859b sext.w a1,a5 + 80001e18: 00359713 slli a4,a1,0x3 + 80001e1c: 00e88733 add a4,a7,a4 + 80001e20: 00073803 ld a6,0(a4) + 80001e24: 02862303 lw t1,40(a2) + 80001e28: 00351693 slli a3,a0,0x3 + 80001e2c: 02882e03 lw t3,40(a6) + 80001e30: 00d886b3 add a3,a7,a3 + 80001e34: fbc34ce3 blt t1,t3,80001dec <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi+0x20> + 80001e38: 00008067 ret + +0000000080001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i>: + 80001e3c: fd010113 addi sp,sp,-48 + 80001e40: 01313423 sd s3,8(sp) + 80001e44: 00052983 lw s3,0(a0) + 80001e48: 00913c23 sd s1,24(sp) + 80001e4c: 00058493 mv s1,a1 + 80001e50: 00853583 ld a1,8(a0) + 80001e54: 02813023 sd s0,32(sp) + 80001e58: 01213823 sd s2,16(sp) + 80001e5c: 00050413 mv s0,a0 + 80001e60: 00060913 mv s2,a2 + 80001e64: 00098513 mv a0,s3 + 80001e68: 00048613 mv a2,s1 + 80001e6c: 02113423 sd ra,40(sp) + 80001e70: eedff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80001e74: 04050263 beqz a0,80001eb8 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x7c> + 80001e78: 02c54783 lbu a5,44(a0) + 80001e7c: 02079063 bnez a5,80001e9c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x60> + 80001e80: 00054703 lbu a4,0(a0) + 80001e84: 04000793 li a5,64 + 80001e88: 00070463 beqz a4,80001e90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x54> + 80001e8c: 00350783 lb a5,3(a0) + 80001e90: 02852703 lw a4,40(a0) + 80001e94: 00f907bb addw a5,s2,a5 + 80001e98: 16e7cc63 blt a5,a4,80002010 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1d4> + 80001e9c: 02813083 ld ra,40(sp) + 80001ea0: 02013403 ld s0,32(sp) + 80001ea4: 01813483 ld s1,24(sp) + 80001ea8: 01013903 ld s2,16(sp) + 80001eac: 00813983 ld s3,8(sp) + 80001eb0: 03010113 addi sp,sp,48 + 80001eb4: 00008067 ret + 80001eb8: 01842783 lw a5,24(s0) + 80001ebc: 1af9c263 blt s3,a5,80002060 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x224> + 80001ec0: 0017879b addiw a5,a5,1 + 80001ec4: 00f42c23 sw a5,24(s0) + 80001ec8: 03800513 li a0,56 + 80001ecc: e4cfe0ef jal ra,80000518 + 80001ed0: 0004c803 lbu a6,0(s1) + 80001ed4: 00843683 ld a3,8(s0) + 80001ed8: 00000713 li a4,0 + 80001edc: 12081663 bnez a6,80002008 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1cc> + 80001ee0: 00042603 lw a2,0(s0) + 80001ee4: 01842883 lw a7,24(s0) + 80001ee8: 00448793 addi a5,s1,4 + 80001eec: fff6061b addiw a2,a2,-1 + 80001ef0: 00c77733 and a4,a4,a2 + 80001ef4: 02071713 slli a4,a4,0x20 + 80001ef8: 01d75713 srli a4,a4,0x1d + 80001efc: 00e68733 add a4,a3,a4 + 80001f00: 00073303 ld t1,0(a4) + 80001f04: 01050023 sb a6,0(a0) + 80001f08: 0014c683 lbu a3,1(s1) + 80001f0c: 00450713 addi a4,a0,4 + 80001f10: 01448593 addi a1,s1,20 + 80001f14: 00d500a3 sb a3,1(a0) + 80001f18: 0024c683 lbu a3,2(s1) + 80001f1c: 00d50123 sb a3,2(a0) + 80001f20: 00348603 lb a2,3(s1) + 80001f24: 00c501a3 sb a2,3(a0) + 80001f28: 0144a683 lw a3,20(s1) + 80001f2c: 00d52a23 sw a3,20(a0) + 80001f30: 00078683 lb a3,0(a5) + 80001f34: 00478793 addi a5,a5,4 + 80001f38: 00470713 addi a4,a4,4 + 80001f3c: fed70e23 sb a3,-4(a4) + 80001f40: ffd78683 lb a3,-3(a5) + 80001f44: fed70ea3 sb a3,-3(a4) + 80001f48: ffe78683 lb a3,-2(a5) + 80001f4c: fed70f23 sb a3,-2(a4) + 80001f50: fff78683 lb a3,-1(a5) + 80001f54: fed70fa3 sb a3,-1(a4) + 80001f58: fcb79ce3 bne a5,a1,80001f30 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xf4> + 80001f5c: 00653c23 sd t1,24(a0) + 80001f60: 03152023 sw a7,32(a0) + 80001f64: 03252223 sw s2,36(a0) + 80001f68: 0c080863 beqz a6,80002038 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1fc> + 80001f6c: 02050623 sb zero,44(a0) + 80001f70: 0004c703 lbu a4,0(s1) + 80001f74: 0126093b addw s2,a2,s2 + 80001f78: 03252423 sw s2,40(a0) + 80001f7c: 02053823 sd zero,48(a0) + 80001f80: 00843683 ld a3,8(s0) + 80001f84: 00000793 li a5,0 + 80001f88: 00070463 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 80001f8c: 0144a783 lw a5,20(s1) + 80001f90: 00042603 lw a2,0(s0) + 80001f94: 01842583 lw a1,24(s0) + 80001f98: 01043703 ld a4,16(s0) + 80001f9c: fff6061b addiw a2,a2,-1 + 80001fa0: 00c7f7b3 and a5,a5,a2 + 80001fa4: 02079793 slli a5,a5,0x20 + 80001fa8: 01d7d793 srli a5,a5,0x1d + 80001fac: 00f687b3 add a5,a3,a5 + 80001fb0: 00359693 slli a3,a1,0x3 + 80001fb4: 00a7b023 sd a0,0(a5) + 80001fb8: 00d707b3 add a5,a4,a3 + 80001fbc: 00a7b023 sd a0,0(a5) + 80001fc0: 00040513 mv a0,s0 + 80001fc4: e09ff0ef jal ra,80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80001fc8: 01842703 lw a4,24(s0) + 80001fcc: 01c42783 lw a5,28(s0) + 80001fd0: 0007069b sext.w a3,a4 + 80001fd4: 0007861b sext.w a2,a5 + 80001fd8: 02d64263 blt a2,a3,80001ffc <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1c0> + 80001fdc: 00f42e23 sw a5,28(s0) + 80001fe0: 02813083 ld ra,40(sp) + 80001fe4: 02013403 ld s0,32(sp) + 80001fe8: 01813483 ld s1,24(sp) + 80001fec: 01013903 ld s2,16(sp) + 80001ff0: 00813983 ld s3,8(sp) + 80001ff4: 03010113 addi sp,sp,48 + 80001ff8: 00008067 ret + 80001ffc: 00070793 mv a5,a4 + 80002000: 00f42e23 sw a5,28(s0) + 80002004: fddff06f j 80001fe0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x1a4> + 80002008: 0144a703 lw a4,20(s1) + 8000200c: ed5ff06f j 80001ee0 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0xa4> + 80002010: 02052583 lw a1,32(a0) + 80002014: 02f52423 sw a5,40(a0) + 80002018: 00040513 mv a0,s0 + 8000201c: 02013403 ld s0,32(sp) + 80002020: 02813083 ld ra,40(sp) + 80002024: 01813483 ld s1,24(sp) + 80002028: 01013903 ld s2,16(sp) + 8000202c: 00813983 ld s3,8(sp) + 80002030: 03010113 addi sp,sp,48 + 80002034: d99ff06f j 80001dcc <_ZN14Updatable_heapI8N_puzzleILi4EEE12percolate_upEi> + 80002038: 02050623 sb zero,44(a0) + 8000203c: 0004c703 lbu a4,0(s1) + 80002040: 04000613 li a2,64 + 80002044: 0126093b addw s2,a2,s2 + 80002048: 03252423 sw s2,40(a0) + 8000204c: 02053823 sd zero,48(a0) + 80002050: 00843683 ld a3,8(s0) + 80002054: 00000793 li a5,0 + 80002058: f2070ce3 beqz a4,80001f90 <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x154> + 8000205c: f31ff06f j 80001f8c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i+0x150> + 80002060: 09800613 li a2,152 + 80002064: 00004597 auipc a1,0x4 + 80002068: 52c58593 addi a1,a1,1324 # 80006590 <_etext+0x3b0> + 8000206c: 00004517 auipc a0,0x4 + 80002070: 1b450513 addi a0,a0,436 # 80006220 <_etext+0x40> + 80002074: 615030ef jal ra,80005e88 + 80002078: 00100513 li a0,1 + 8000207c: 011020ef jal ra,8000488c + +0000000080002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv>: + 80002080: 01852783 lw a5,24(a0) + 80002084: 00200713 li a4,2 + 80002088: 0ef75263 bge a4,a5,8000216c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xec> + 8000208c: 01053603 ld a2,16(a0) + 80002090: 00100293 li t0,1 + 80002094: 02c0006f j 800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002098: 0deec863 blt t4,t5,80002168 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xe8> + 8000209c: 0107b023 sd a6,0(a5) + 800020a0: 00b6b023 sd a1,0(a3) + 800020a4: 0007b783 ld a5,0(a5) + 800020a8: 0255a023 sw t0,32(a1) + 800020ac: 000f829b sext.w t0,t6 + 800020b0: 03f7a023 sw t6,32(a5) + 800020b4: 01852783 lw a5,24(a0) + 800020b8: 0012971b slliw a4,t0,0x1 + 800020bc: 06f75263 bge a4,a5,80002120 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa0> + 800020c0: 00371793 slli a5,a4,0x3 + 800020c4: 00329693 slli a3,t0,0x3 + 800020c8: 00f60333 add t1,a2,a5 + 800020cc: 00d606b3 add a3,a2,a3 + 800020d0: 0006b803 ld a6,0(a3) + 800020d4: 00033883 ld a7,0(t1) + 800020d8: 00878793 addi a5,a5,8 + 800020dc: 00f607b3 add a5,a2,a5 + 800020e0: 0007b583 ld a1,0(a5) + 800020e4: 02882e83 lw t4,40(a6) + 800020e8: 0288ae03 lw t3,40(a7) + 800020ec: 0285af03 lw t5,40(a1) + 800020f0: 00170f9b addiw t6,a4,1 + 800020f4: fbcec2e3 blt t4,t3,80002098 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x18> + 800020f8: fbee52e3 bge t3,t5,8000209c <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x1c> + 800020fc: 01033023 sd a6,0(t1) + 80002100: 0116b023 sd a7,0(a3) + 80002104: 00033783 ld a5,0(t1) + 80002108: 0258a023 sw t0,32(a7) + 8000210c: 00070293 mv t0,a4 + 80002110: 02e7a023 sw a4,32(a5) + 80002114: 01852783 lw a5,24(a0) + 80002118: 0012971b slliw a4,t0,0x1 + 8000211c: faf742e3 blt a4,a5,800020c0 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0x40> + 80002120: 00f70463 beq a4,a5,80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + 80002124: 00008067 ret + 80002128: 01053683 ld a3,16(a0) + 8000212c: 00329613 slli a2,t0,0x3 + 80002130: 00371793 slli a5,a4,0x3 + 80002134: 00f687b3 add a5,a3,a5 + 80002138: 00c686b3 add a3,a3,a2 + 8000213c: 0006b583 ld a1,0(a3) + 80002140: 0007b603 ld a2,0(a5) + 80002144: 0285a503 lw a0,40(a1) + 80002148: 02862803 lw a6,40(a2) + 8000214c: fca85ce3 bge a6,a0,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002150: 00b7b023 sd a1,0(a5) + 80002154: 00c6b023 sd a2,0(a3) + 80002158: 0007b783 ld a5,0(a5) + 8000215c: 02562023 sw t0,32(a2) + 80002160: 02e7a023 sw a4,32(a5) + 80002164: 00008067 ret + 80002168: 00008067 ret + 8000216c: 00200713 li a4,2 + 80002170: 00100293 li t0,1 + 80002174: faf718e3 bne a4,a5,80002124 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa4> + 80002178: fb1ff06f j 80002128 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv+0xa8> + +000000008000217c : + 8000217c: ed010113 addi sp,sp,-304 + 80002180: 03810513 addi a0,sp,56 + 80002184: 12113423 sd ra,296(sp) + 80002188: 12813023 sd s0,288(sp) + 8000218c: 10913c23 sd s1,280(sp) + 80002190: 11213823 sd s2,272(sp) + 80002194: 11313423 sd s3,264(sp) + 80002198: 11413023 sd s4,256(sp) + 8000219c: 0f513c23 sd s5,248(sp) + 800021a0: 0f613823 sd s6,240(sp) + 800021a4: 0f713423 sd s7,232(sp) + 800021a8: 0f813023 sd s8,224(sp) + 800021ac: 0d913c23 sd s9,216(sp) + 800021b0: 0da13823 sd s10,208(sp) + 800021b4: 0db13423 sd s11,200(sp) + 800021b8: 829ff0ef jal ra,800019e0 <_ZN8N_puzzleILi4EEC1Ev> + 800021bc: 00006797 auipc a5,0x6 + 800021c0: 85c7b783 ld a5,-1956(a5) # 80007a18 + 800021c4: 0007a783 lw a5,0(a5) + 800021c8: 00200713 li a4,2 + 800021cc: 2ae78ae3 beq a5,a4,80002c80 + 800021d0: 10f74e63 blt a4,a5,800022ec + 800021d4: 240780e3 beqz a5,80002c14 + 800021d8: 00100713 li a4,1 + 800021dc: 34e796e3 bne a5,a4,80002d28 + 800021e0: 08010493 addi s1,sp,128 + 800021e4: 00005597 auipc a1,0x5 + 800021e8: 26c58593 addi a1,a1,620 # 80007450 <_ZL8PUZZLE_M> + 800021ec: 00048513 mv a0,s1 + 800021f0: 9bdff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 800021f4: 08012783 lw a5,128(sp) + 800021f8: 08014803 lbu a6,128(sp) + 800021fc: 03810713 addi a4,sp,56 + 80002200: 02f12c23 sw a5,56(sp) + 80002204: 09412783 lw a5,148(sp) + 80002208: 09010413 addi s0,sp,144 + 8000220c: 04f12623 sw a5,76(sp) + 80002210: 00048793 mv a5,s1 + 80002214: 0047c503 lbu a0,4(a5) + 80002218: 0057c583 lbu a1,5(a5) + 8000221c: 0067c603 lbu a2,6(a5) + 80002220: 0077c683 lbu a3,7(a5) + 80002224: 00a70223 sb a0,4(a4) + 80002228: 00b702a3 sb a1,5(a4) + 8000222c: 00c70323 sb a2,6(a4) + 80002230: 00d703a3 sb a3,7(a4) + 80002234: 00478793 addi a5,a5,4 + 80002238: 00470713 addi a4,a4,4 + 8000223c: fc879ce3 bne a5,s0,80002214 + 80002240: 00001d37 lui s10,0x1 + 80002244: 800d0793 addi a5,s10,-2048 # 800 <_entry_offset+0x800> + 80002248: 00f13823 sd a5,16(sp) + 8000224c: 10080a63 beqz a6,80002360 + 80002250: 00040613 mv a2,s0 + 80002254: 03810513 addi a0,sp,56 + 80002258: 00000593 li a1,0 + 8000225c: 01000813 li a6,16 + 80002260: 01000893 li a7,16 + 80002264: ff060793 addi a5,a2,-16 + 80002268: 00050713 mv a4,a0 + 8000226c: 00470683 lb a3,4(a4) + 80002270: 10069863 bnez a3,80002380 + 80002274: 0107a023 sw a6,0(a5) + 80002278: 00478793 addi a5,a5,4 + 8000227c: 00170713 addi a4,a4,1 + 80002280: fef616e3 bne a2,a5,8000226c + 80002284: 0045859b addiw a1,a1,4 + 80002288: 01060613 addi a2,a2,16 + 8000228c: 00450513 addi a0,a0,4 + 80002290: fd159ae3 bne a1,a7,80002264 + 80002294: 08012683 lw a3,128(sp) + 80002298: 00100513 li a0,1 + 8000229c: 00000613 li a2,0 + 800022a0: 00100793 li a5,1 + 800022a4: 01000593 li a1,16 + 800022a8: 00279713 slli a4,a5,0x2 + 800022ac: 0c010813 addi a6,sp,192 + 800022b0: 00e80733 add a4,a6,a4 + 800022b4: fc072703 lw a4,-64(a4) + 800022b8: 00d75463 bge a4,a3,800022c0 + 800022bc: 0016061b addiw a2,a2,1 + 800022c0: 0017879b addiw a5,a5,1 + 800022c4: 00078713 mv a4,a5 + 800022c8: feb790e3 bne a5,a1,800022a8 + 800022cc: 0015079b addiw a5,a0,1 + 800022d0: 0ae78c63 beq a5,a4,80002388 + 800022d4: 00251513 slli a0,a0,0x2 + 800022d8: 0c010713 addi a4,sp,192 + 800022dc: 00a70533 add a0,a4,a0 + 800022e0: fc052683 lw a3,-64(a0) + 800022e4: 00078513 mv a0,a5 + 800022e8: fc1ff06f j 800022a8 + 800022ec: 00300713 li a4,3 + 800022f0: 22e79ce3 bne a5,a4,80002d28 + 800022f4: 08010493 addi s1,sp,128 + 800022f8: 00005597 auipc a1,0x5 + 800022fc: 0d858593 addi a1,a1,216 # 800073d0 <_ZL8PUZZLE_H> + 80002300: 00048513 mv a0,s1 + 80002304: 8a9ff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002308: 08012783 lw a5,128(sp) + 8000230c: 08014803 lbu a6,128(sp) + 80002310: 03810713 addi a4,sp,56 + 80002314: 02f12c23 sw a5,56(sp) + 80002318: 09412783 lw a5,148(sp) + 8000231c: 09010413 addi s0,sp,144 + 80002320: 04f12623 sw a5,76(sp) + 80002324: 00048793 mv a5,s1 + 80002328: 0047c503 lbu a0,4(a5) + 8000232c: 0057c583 lbu a1,5(a5) + 80002330: 0067c603 lbu a2,6(a5) + 80002334: 0077c683 lbu a3,7(a5) + 80002338: 00a70223 sb a0,4(a4) + 8000233c: 00b702a3 sb a1,5(a4) + 80002340: 00c70323 sb a2,6(a4) + 80002344: 00d703a3 sb a3,7(a4) + 80002348: 00478793 addi a5,a5,4 + 8000234c: 00470713 addi a4,a4,4 + 80002350: fc879ce3 bne a5,s0,80002328 + 80002354: 000c07b7 lui a5,0xc0 + 80002358: 00f13823 sd a5,16(sp) + 8000235c: ee081ae3 bnez a6,80002250 + 80002360: 03500613 li a2,53 + 80002364: 00004597 auipc a1,0x4 + 80002368: 26c58593 addi a1,a1,620 # 800065d0 <_etext+0x3f0> + 8000236c: 00004517 auipc a0,0x4 + 80002370: eb450513 addi a0,a0,-332 # 80006220 <_etext+0x40> + 80002374: 315030ef jal ra,80005e88 + 80002378: 00100513 li a0,1 + 8000237c: 510020ef jal ra,8000488c + 80002380: 00d7a023 sw a3,0(a5) # c0000 <_entry_offset+0xc0000> + 80002384: ef5ff06f j 80002278 + 80002388: 03914683 lbu a3,57(sp) + 8000238c: 03a14703 lbu a4,58(sp) + 80002390: 00600793 li a5,6 + 80002394: 40d787bb subw a5,a5,a3 + 80002398: 40e787bb subw a5,a5,a4 + 8000239c: 00c787bb addw a5,a5,a2 + 800023a0: 0017f793 andi a5,a5,1 + 800023a4: fa079ee3 bnez a5,80002360 + 800023a8: 02000513 li a0,32 + 800023ac: 96cfe0ef jal ra,80000518 + 800023b0: 01013783 ld a5,16(sp) + 800023b4: 00050d93 mv s11,a0 + 800023b8: 00f52023 sw a5,0(a0) + 800023bc: 00379513 slli a0,a5,0x3 + 800023c0: 958fe0ef jal ra,80000518 + 800023c4: 000da783 lw a5,0(s11) + 800023c8: 00adb823 sd a0,16(s11) + 800023cc: 0017879b addiw a5,a5,1 + 800023d0: 00379513 slli a0,a5,0x3 + 800023d4: 944fe0ef jal ra,80000518 + 800023d8: 000da703 lw a4,0(s11) + 800023dc: 00adb423 sd a0,8(s11) + 800023e0: 000dbc23 sd zero,24(s11) + 800023e4: 00050793 mv a5,a0 + 800023e8: 02e05263 blez a4,8000240c + 800023ec: fff7071b addiw a4,a4,-1 + 800023f0: 02071713 slli a4,a4,0x20 + 800023f4: 01d75713 srli a4,a4,0x1d + 800023f8: 00850693 addi a3,a0,8 + 800023fc: 00d70733 add a4,a4,a3 + 80002400: 0007b023 sd zero,0(a5) + 80002404: 00878793 addi a5,a5,8 + 80002408: fef71ce3 bne a4,a5,80002400 + 8000240c: 03810593 addi a1,sp,56 + 80002410: 00000613 li a2,0 + 80002414: 000d8513 mv a0,s11 + 80002418: a25ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 8000241c: 018da583 lw a1,24(s11) + 80002420: fff00793 li a5,-1 + 80002424: 00005717 auipc a4,0x5 + 80002428: 50c70713 addi a4,a4,1292 # 80007930 <_ZL3ans> + 8000242c: 00f72023 sw a5,0(a4) + 80002430: 00000913 li s2,0 + 80002434: 46058863 beqz a1,800028a4 + 80002438: 01048793 addi a5,s1,16 + 8000243c: 00100b13 li s6,1 + 80002440: 00f00d13 li s10,15 + 80002444: 01448c93 addi s9,s1,20 + 80002448: 00f13423 sd a5,8(sp) + 8000244c: 06010993 addi s3,sp,96 + 80002450: 010dbf03 ld t5,16(s11) + 80002454: 00048713 mv a4,s1 + 80002458: 00048613 mv a2,s1 + 8000245c: 008f3783 ld a5,8(t5) + 80002460: 00378683 lb a3,3(a5) + 80002464: 0007cb83 lbu s7,0(a5) + 80002468: 0017ca03 lbu s4,1(a5) + 8000246c: 0027ca83 lbu s5,2(a5) + 80002470: 0007ae83 lw t4,0(a5) + 80002474: 0147ac03 lw s8,20(a5) + 80002478: 00d13c23 sd a3,24(sp) + 8000247c: 00478793 addi a5,a5,4 + 80002480: 0007cf83 lbu t6,0(a5) + 80002484: 0017c303 lbu t1,1(a5) + 80002488: 0027c883 lbu a7,2(a5) + 8000248c: 0037c503 lbu a0,3(a5) + 80002490: 01f60223 sb t6,4(a2) + 80002494: 006602a3 sb t1,5(a2) + 80002498: 01160323 sb a7,6(a2) + 8000249c: 00a603a3 sb a0,7(a2) + 800024a0: 00460613 addi a2,a2,4 + 800024a4: 00478793 addi a5,a5,4 + 800024a8: fcc41ce3 bne s0,a2,80002480 + 800024ac: 5b658a63 beq a1,s6,80002a60 + 800024b0: 08bb50e3 bge s6,a1,80002d30 + 800024b4: 00359593 slli a1,a1,0x3 + 800024b8: 00bf05b3 add a1,t5,a1 + 800024bc: 0005b783 ld a5,0(a1) + 800024c0: 000d8513 mv a0,s11 + 800024c4: 02e13423 sd a4,40(sp) + 800024c8: 00ff3423 sd a5,8(t5) + 800024cc: 0367a023 sw s6,32(a5) + 800024d0: 018da783 lw a5,24(s11) + 800024d4: 03d13023 sd t4,32(sp) + 800024d8: fff7879b addiw a5,a5,-1 + 800024dc: 00fdac23 sw a5,24(s11) + 800024e0: ba1ff0ef jal ra,80002080 <_ZN14Updatable_heapI8N_puzzleILi4EEE14percolate_downEv> + 800024e4: 02813703 ld a4,40(sp) + 800024e8: 02013e83 ld t4,32(sp) + 800024ec: 07812223 sw s8,100(sp) + 800024f0: 05010c13 addi s8,sp,80 + 800024f4: 05d12823 sw t4,80(sp) + 800024f8: 000c0793 mv a5,s8 + 800024fc: 00474303 lbu t1,4(a4) + 80002500: 00574503 lbu a0,5(a4) + 80002504: 00674583 lbu a1,6(a4) + 80002508: 00774603 lbu a2,7(a4) + 8000250c: 00678223 sb t1,4(a5) + 80002510: 00a782a3 sb a0,5(a5) + 80002514: 00b78323 sb a1,6(a5) + 80002518: 00c783a3 sb a2,7(a5) + 8000251c: 00470713 addi a4,a4,4 + 80002520: 00478793 addi a5,a5,4 + 80002524: fce41ce3 bne s0,a4,800024fc + 80002528: 0019091b addiw s2,s2,1 + 8000252c: 00048713 mv a4,s1 + 80002530: 00000793 li a5,0 + 80002534: 0017879b addiw a5,a5,1 + 80002538: 00f72023 sw a5,0(a4) + 8000253c: 00470713 addi a4,a4,4 + 80002540: ffa79ae3 bne a5,s10,80002534 + 80002544: 00048593 mv a1,s1 + 80002548: 06810513 addi a0,sp,104 + 8000254c: 0a012e23 sw zero,188(sp) + 80002550: e5cff0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002554: 06810593 addi a1,sp,104 + 80002558: 05010513 addi a0,sp,80 + 8000255c: fa4ff0ef jal ra,80001d00 <_ZNK8N_puzzleILi4EEeqERKS0_> + 80002560: 78051663 bnez a0,80002cec + 80002564: 320b8863 beqz s7,80002894 + 80002568: 00300793 li a5,3 + 8000256c: 4efa8e63 beq s5,a5,80002a68 + 80002570: 00048593 mv a1,s1 + 80002574: 00048713 mv a4,s1 + 80002578: 05010793 addi a5,sp,80 + 8000257c: 0047ce03 lbu t3,4(a5) + 80002580: 0057c303 lbu t1,5(a5) + 80002584: 0067c503 lbu a0,6(a5) + 80002588: 0077c603 lbu a2,7(a5) + 8000258c: 01c70223 sb t3,4(a4) + 80002590: 006702a3 sb t1,5(a4) + 80002594: 00a70323 sb a0,6(a4) + 80002598: 00c703a3 sb a2,7(a4) + 8000259c: 00478793 addi a5,a5,4 + 800025a0: 00470713 addi a4,a4,4 + 800025a4: fcf99ce3 bne s3,a5,8000257c + 800025a8: 0c010793 addi a5,sp,192 + 800025ac: 002a1e13 slli t3,s4,0x2 + 800025b0: 01c78e33 add t3,a5,t3 + 800025b4: 001a8f13 addi t5,s5,1 + 800025b8: 01ee07b3 add a5,t3,t5 + 800025bc: f9478303 lb t1,-108(a5) + 800025c0: 001a879b addiw a5,s5,1 + 800025c4: 015e0eb3 add t4,t3,s5 + 800025c8: fff3071b addiw a4,t1,-1 + 800025cc: 41f7561b sraiw a2,a4,0x1f + 800025d0: 01e6561b srliw a2,a2,0x1e + 800025d4: 00c7073b addw a4,a4,a2 + 800025d8: 00377713 andi a4,a4,3 + 800025dc: 40c7073b subw a4,a4,a2 + 800025e0: 4157063b subw a2,a4,s5 + 800025e4: 40f707bb subw a5,a4,a5 + 800025e8: 41f65f9b sraiw t6,a2,0x1f + 800025ec: 41f7d71b sraiw a4,a5,0x1f + 800025f0: 00cfc533 xor a0,t6,a2 + 800025f4: 00f747b3 xor a5,a4,a5 + 800025f8: 40e787bb subw a5,a5,a4 + 800025fc: 41f5053b subw a0,a0,t6 + 80002600: 40f5053b subw a0,a0,a5 + 80002604: 01813783 ld a5,24(sp) + 80002608: 0fff7f13 andi t5,t5,255 + 8000260c: fc6e8223 sb t1,-60(t4) + 80002610: 00f5053b addw a0,a0,a5 + 80002614: 01ee0e33 add t3,t3,t5 + 80002618: 001a831b addiw t1,s5,1 + 8000261c: 000a879b sext.w a5,s5 + 80002620: 0185151b slliw a0,a0,0x18 + 80002624: fc0e0223 sb zero,-60(t3) + 80002628: 000a0b9b sext.w s7,s4 + 8000262c: 02f13023 sd a5,32(sp) + 80002630: 4185551b sraiw a0,a0,0x18 + 80002634: 0ff37313 andi t1,t1,255 + 80002638: 00448613 addi a2,s1,4 + 8000263c: 00000e13 li t3,0 + 80002640: ffc60713 addi a4,a2,-4 + 80002644: 005e179b slliw a5,t3,0x5 + 80002648: 41c787bb subw a5,a5,t3 + 8000264c: 0027979b slliw a5,a5,0x2 + 80002650: 41c787bb subw a5,a5,t3 + 80002654: 0027979b slliw a5,a5,0x2 + 80002658: 00470e83 lb t4,4(a4) + 8000265c: 01c787bb addw a5,a5,t3 + 80002660: 0027979b slliw a5,a5,0x2 + 80002664: 01c787bb addw a5,a5,t3 + 80002668: 00170713 addi a4,a4,1 + 8000266c: 01d78e3b addw t3,a5,t4 + 80002670: fce61ae3 bne a2,a4,80002644 + 80002674: 00460613 addi a2,a2,4 + 80002678: fccc94e3 bne s9,a2,80002640 + 8000267c: 00100793 li a5,1 + 80002680: 06f10423 sb a5,104(sp) + 80002684: 074104a3 sb s4,105(sp) + 80002688: 06610523 sb t1,106(sp) + 8000268c: 06a105a3 sb a0,107(sp) + 80002690: 07c12e23 sw t3,124(sp) + 80002694: 06810793 addi a5,sp,104 + 80002698: 0075c703 lbu a4,7(a1) + 8000269c: 0045c303 lbu t1,4(a1) + 800026a0: 0055c503 lbu a0,5(a1) + 800026a4: 0065c603 lbu a2,6(a1) + 800026a8: 00e783a3 sb a4,7(a5) + 800026ac: 00813703 ld a4,8(sp) + 800026b0: 00678223 sb t1,4(a5) + 800026b4: 00a782a3 sb a0,5(a5) + 800026b8: 00c78323 sb a2,6(a5) + 800026bc: 00458593 addi a1,a1,4 + 800026c0: 00478793 addi a5,a5,4 + 800026c4: fce59ae3 bne a1,a4,80002698 + 800026c8: 008db583 ld a1,8(s11) + 800026cc: 000da503 lw a0,0(s11) + 800026d0: 05010613 addi a2,sp,80 + 800026d4: e88ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800026d8: 50050e63 beqz a0,80002bf4 + 800026dc: 02452603 lw a2,36(a0) + 800026e0: 0016061b addiw a2,a2,1 + 800026e4: 06810593 addi a1,sp,104 + 800026e8: 000d8513 mv a0,s11 + 800026ec: f50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 800026f0: 380a9063 bnez s5,80002a70 + 800026f4: 00300793 li a5,3 + 800026f8: 20fa0a63 beq s4,a5,8000290c + 800026fc: 00048593 mv a1,s1 + 80002700: 00048713 mv a4,s1 + 80002704: 05010793 addi a5,sp,80 + 80002708: 0047ce03 lbu t3,4(a5) + 8000270c: 0057c303 lbu t1,5(a5) + 80002710: 0067c503 lbu a0,6(a5) + 80002714: 0077c603 lbu a2,7(a5) + 80002718: 01c70223 sb t3,4(a4) + 8000271c: 006702a3 sb t1,5(a4) + 80002720: 00a70323 sb a0,6(a4) + 80002724: 00c703a3 sb a2,7(a4) + 80002728: 00478793 addi a5,a5,4 + 8000272c: 00470713 addi a4,a4,4 + 80002730: fcf99ce3 bne s3,a5,80002708 + 80002734: 02013683 ld a3,32(sp) + 80002738: 001b8613 addi a2,s7,1 + 8000273c: 00261793 slli a5,a2,0x2 + 80002740: 0c010713 addi a4,sp,192 + 80002744: 00f707b3 add a5,a4,a5 + 80002748: 00d787b3 add a5,a5,a3 + 8000274c: f9478503 lb a0,-108(a5) + 80002750: 001b879b addiw a5,s7,1 + 80002754: 002b9e13 slli t3,s7,0x2 + 80002758: fff5031b addiw t1,a0,-1 + 8000275c: 41f3571b sraiw a4,t1,0x1f + 80002760: 01e7571b srliw a4,a4,0x1e + 80002764: 0067073b addw a4,a4,t1 + 80002768: 4027571b sraiw a4,a4,0x2 + 8000276c: 4177033b subw t1,a4,s7 + 80002770: 40f707bb subw a5,a4,a5 + 80002774: 41f7de9b sraiw t4,a5,0x1f + 80002778: 41f35f1b sraiw t5,t1,0x1f + 8000277c: 00fec7b3 xor a5,t4,a5 + 80002780: 006f4333 xor t1,t5,t1 + 80002784: 41d787bb subw a5,a5,t4 + 80002788: 0ff67713 andi a4,a2,255 + 8000278c: 41e3033b subw t1,t1,t5 + 80002790: 00271713 slli a4,a4,0x2 + 80002794: 40f3033b subw t1,t1,a5 + 80002798: 0c010793 addi a5,sp,192 + 8000279c: 00e787b3 add a5,a5,a4 + 800027a0: 01813703 ld a4,24(sp) + 800027a4: 0c010613 addi a2,sp,192 + 800027a8: 01c60633 add a2,a2,t3 + 800027ac: 00d60633 add a2,a2,a3 + 800027b0: 00e3033b addw t1,t1,a4 + 800027b4: fca60223 sb a0,-60(a2) + 800027b8: 001a0e1b addiw t3,s4,1 + 800027bc: 00d787b3 add a5,a5,a3 + 800027c0: 00448513 addi a0,s1,4 + 800027c4: 0183131b slliw t1,t1,0x18 + 800027c8: 4183531b sraiw t1,t1,0x18 + 800027cc: 0ffe7e13 andi t3,t3,255 + 800027d0: fc078223 sb zero,-60(a5) + 800027d4: 00050613 mv a2,a0 + 800027d8: 00000e93 li t4,0 + 800027dc: ffc60713 addi a4,a2,-4 + 800027e0: 005e979b slliw a5,t4,0x5 + 800027e4: 41d787bb subw a5,a5,t4 + 800027e8: 0027979b slliw a5,a5,0x2 + 800027ec: 41d787bb subw a5,a5,t4 + 800027f0: 0027979b slliw a5,a5,0x2 + 800027f4: 00470f03 lb t5,4(a4) + 800027f8: 01d787bb addw a5,a5,t4 + 800027fc: 0027979b slliw a5,a5,0x2 + 80002800: 01d787bb addw a5,a5,t4 + 80002804: 00170713 addi a4,a4,1 + 80002808: 01e78ebb addw t4,a5,t5 + 8000280c: fcc71ae3 bne a4,a2,800027e0 + 80002810: 00470613 addi a2,a4,4 + 80002814: fccc94e3 bne s9,a2,800027dc + 80002818: 00100793 li a5,1 + 8000281c: 06f10423 sb a5,104(sp) + 80002820: 07c104a3 sb t3,105(sp) + 80002824: 07510523 sb s5,106(sp) + 80002828: 066105a3 sb t1,107(sp) + 8000282c: 07d12e23 sw t4,124(sp) + 80002830: 06810793 addi a5,sp,104 + 80002834: 0075c703 lbu a4,7(a1) + 80002838: 0045ce03 lbu t3,4(a1) + 8000283c: 0055c303 lbu t1,5(a1) + 80002840: 0065c603 lbu a2,6(a1) + 80002844: 00e783a3 sb a4,7(a5) + 80002848: 00813703 ld a4,8(sp) + 8000284c: 01c78223 sb t3,4(a5) + 80002850: 006782a3 sb t1,5(a5) + 80002854: 00c78323 sb a2,6(a5) + 80002858: 00050593 mv a1,a0 + 8000285c: 00478793 addi a5,a5,4 + 80002860: 08a70063 beq a4,a0,800028e0 + 80002864: 00450513 addi a0,a0,4 + 80002868: fcdff06f j 80002834 + 8000286c: 008db583 ld a1,8(s11) + 80002870: 000da503 lw a0,0(s11) + 80002874: 05010613 addi a2,sp,80 + 80002878: ce4ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 8000287c: 38050863 beqz a0,80002c0c + 80002880: 02452603 lw a2,36(a0) + 80002884: 0016061b addiw a2,a2,1 + 80002888: 06810593 addi a1,sp,104 + 8000288c: 000d8513 mv a0,s11 + 80002890: dacff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002894: 018da583 lw a1,24(s11) + 80002898: 00058663 beqz a1,800028a4 + 8000289c: 01013783 ld a5,16(sp) + 800028a0: bb2798e3 bne a5,s2,80002450 + 800028a4: 12813083 ld ra,296(sp) + 800028a8: 12013403 ld s0,288(sp) + 800028ac: 11813483 ld s1,280(sp) + 800028b0: 11013903 ld s2,272(sp) + 800028b4: 10813983 ld s3,264(sp) + 800028b8: 10013a03 ld s4,256(sp) + 800028bc: 0f813a83 ld s5,248(sp) + 800028c0: 0f013b03 ld s6,240(sp) + 800028c4: 0e813b83 ld s7,232(sp) + 800028c8: 0e013c03 ld s8,224(sp) + 800028cc: 0d813c83 ld s9,216(sp) + 800028d0: 0d013d03 ld s10,208(sp) + 800028d4: 0c813d83 ld s11,200(sp) + 800028d8: 13010113 addi sp,sp,304 + 800028dc: 00008067 ret + 800028e0: 008db583 ld a1,8(s11) + 800028e4: 000da503 lw a0,0(s11) + 800028e8: 05010613 addi a2,sp,80 + 800028ec: c70ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 800028f0: 30050663 beqz a0,80002bfc + 800028f4: 02452603 lw a2,36(a0) + 800028f8: 0016061b addiw a2,a2,1 + 800028fc: 06810593 addi a1,sp,104 + 80002900: 000d8513 mv a0,s11 + 80002904: d38ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002908: f80a06e3 beqz s4,80002894 + 8000290c: 00048513 mv a0,s1 + 80002910: 00048793 mv a5,s1 + 80002914: 004c4303 lbu t1,4(s8) + 80002918: 005c4583 lbu a1,5(s8) + 8000291c: 006c4603 lbu a2,6(s8) + 80002920: 007c4703 lbu a4,7(s8) + 80002924: 00678223 sb t1,4(a5) + 80002928: 00b782a3 sb a1,5(a5) + 8000292c: 00c78323 sb a2,6(a5) + 80002930: 00e783a3 sb a4,7(a5) + 80002934: 004c0c13 addi s8,s8,4 + 80002938: 00478793 addi a5,a5,4 + 8000293c: fd899ce3 bne s3,s8,80002914 + 80002940: fffb871b addiw a4,s7,-1 + 80002944: 02013683 ld a3,32(sp) + 80002948: 00070893 mv a7,a4 + 8000294c: 0c010793 addi a5,sp,192 + 80002950: 00271713 slli a4,a4,0x2 + 80002954: 00e78733 add a4,a5,a4 + 80002958: 00d70733 add a4,a4,a3 + 8000295c: f9470603 lb a2,-108(a4) + 80002960: 002b9593 slli a1,s7,0x2 + 80002964: 00b785b3 add a1,a5,a1 + 80002968: fff6031b addiw t1,a2,-1 + 8000296c: 41f3579b sraiw a5,t1,0x1f + 80002970: 01e7d79b srliw a5,a5,0x1e + 80002974: 006787bb addw a5,a5,t1 + 80002978: 4027d79b sraiw a5,a5,0x2 + 8000297c: 41778bbb subw s7,a5,s7 + 80002980: 411787bb subw a5,a5,a7 + 80002984: 41fbde1b sraiw t3,s7,0x1f + 80002988: 41f7d89b sraiw a7,a5,0x1f + 8000298c: 017e4333 xor t1,t3,s7 + 80002990: 00f8c7b3 xor a5,a7,a5 + 80002994: 411787bb subw a5,a5,a7 + 80002998: 41c3033b subw t1,t1,t3 + 8000299c: 40f3033b subw t1,t1,a5 + 800029a0: 01813783 ld a5,24(sp) + 800029a4: 00d585b3 add a1,a1,a3 + 800029a8: fcc58223 sb a2,-60(a1) + 800029ac: 00f3033b addw t1,t1,a5 + 800029b0: fffa059b addiw a1,s4,-1 + 800029b4: 00448e13 addi t3,s1,4 + 800029b8: 0183131b slliw t1,t1,0x18 + 800029bc: fc070223 sb zero,-60(a4) + 800029c0: 4183531b sraiw t1,t1,0x18 + 800029c4: 0ff5f593 andi a1,a1,255 + 800029c8: 000e0893 mv a7,t3 + 800029cc: 00000713 li a4,0 + 800029d0: ffc88613 addi a2,a7,-4 + 800029d4: 0057179b slliw a5,a4,0x5 + 800029d8: 40e787bb subw a5,a5,a4 + 800029dc: 0027979b slliw a5,a5,0x2 + 800029e0: 40e787bb subw a5,a5,a4 + 800029e4: 0027979b slliw a5,a5,0x2 + 800029e8: 00460e83 lb t4,4(a2) + 800029ec: 00e787bb addw a5,a5,a4 + 800029f0: 0027979b slliw a5,a5,0x2 + 800029f4: 00e7873b addw a4,a5,a4 + 800029f8: 00160613 addi a2,a2,1 + 800029fc: 01d7073b addw a4,a4,t4 + 80002a00: fcc89ae3 bne a7,a2,800029d4 + 80002a04: 00488893 addi a7,a7,4 + 80002a08: fd1c94e3 bne s9,a7,800029d0 + 80002a0c: 00100793 li a5,1 + 80002a10: 06f10423 sb a5,104(sp) + 80002a14: 06b104a3 sb a1,105(sp) + 80002a18: 07510523 sb s5,106(sp) + 80002a1c: 066105a3 sb t1,107(sp) + 80002a20: 06e12e23 sw a4,124(sp) + 80002a24: 06810793 addi a5,sp,104 + 80002a28: 00754703 lbu a4,7(a0) + 80002a2c: 00454883 lbu a7,4(a0) + 80002a30: 00554583 lbu a1,5(a0) + 80002a34: 00654603 lbu a2,6(a0) + 80002a38: 00e783a3 sb a4,7(a5) + 80002a3c: 00813703 ld a4,8(sp) + 80002a40: 01178223 sb a7,4(a5) + 80002a44: 00b782a3 sb a1,5(a5) + 80002a48: 00c78323 sb a2,6(a5) + 80002a4c: 000e0513 mv a0,t3 + 80002a50: 00478793 addi a5,a5,4 + 80002a54: e0ee0ce3 beq t3,a4,8000286c + 80002a58: 004e0e13 addi t3,t3,4 + 80002a5c: fcdff06f j 80002a28 + 80002a60: 000dac23 sw zero,24(s11) + 80002a64: a89ff06f j 800024ec + 80002a68: 000a0b9b sext.w s7,s4 + 80002a6c: 02f13023 sd a5,32(sp) + 80002a70: 00048593 mv a1,s1 + 80002a74: 00048713 mv a4,s1 + 80002a78: 05010793 addi a5,sp,80 + 80002a7c: 0047ce03 lbu t3,4(a5) + 80002a80: 0057c303 lbu t1,5(a5) + 80002a84: 0067c503 lbu a0,6(a5) + 80002a88: 0077c603 lbu a2,7(a5) + 80002a8c: 01c70223 sb t3,4(a4) + 80002a90: 006702a3 sb t1,5(a4) + 80002a94: 00a70323 sb a0,6(a4) + 80002a98: 00c703a3 sb a2,7(a4) + 80002a9c: 00478793 addi a5,a5,4 + 80002aa0: 00470713 addi a4,a4,4 + 80002aa4: fd379ce3 bne a5,s3,80002a7c + 80002aa8: 02013683 ld a3,32(sp) + 80002aac: 0c010713 addi a4,sp,192 + 80002ab0: 002b9513 slli a0,s7,0x2 + 80002ab4: fff6879b addiw a5,a3,-1 + 80002ab8: 00a70533 add a0,a4,a0 + 80002abc: 0007861b sext.w a2,a5 + 80002ac0: 00060313 mv t1,a2 + 80002ac4: 00c50633 add a2,a0,a2 + 80002ac8: f9460e83 lb t4,-108(a2) + 80002acc: 00d50533 add a0,a0,a3 + 80002ad0: fffa8e1b addiw t3,s5,-1 + 80002ad4: fffe879b addiw a5,t4,-1 + 80002ad8: 41f7d71b sraiw a4,a5,0x1f + 80002adc: 01e7571b srliw a4,a4,0x1e + 80002ae0: 00e787bb addw a5,a5,a4 + 80002ae4: 0037f793 andi a5,a5,3 + 80002ae8: 40e787bb subw a5,a5,a4 + 80002aec: 40d7873b subw a4,a5,a3 + 80002af0: 406787bb subw a5,a5,t1 + 80002af4: 41f75f9b sraiw t6,a4,0x1f + 80002af8: 41f7df1b sraiw t5,a5,0x1f + 80002afc: 00efc333 xor t1,t6,a4 + 80002b00: 00ff47b3 xor a5,t5,a5 + 80002b04: 41e787bb subw a5,a5,t5 + 80002b08: 41f3033b subw t1,t1,t6 + 80002b0c: 40f3033b subw t1,t1,a5 + 80002b10: 01813783 ld a5,24(sp) + 80002b14: fdd50223 sb t4,-60(a0) + 80002b18: 00448513 addi a0,s1,4 + 80002b1c: 00f3033b addw t1,t1,a5 + 80002b20: 0183131b slliw t1,t1,0x18 + 80002b24: fc060223 sb zero,-60(a2) + 80002b28: 4183531b sraiw t1,t1,0x18 + 80002b2c: 0ffe7e13 andi t3,t3,255 + 80002b30: 00050613 mv a2,a0 + 80002b34: 00000e93 li t4,0 + 80002b38: ffc60713 addi a4,a2,-4 + 80002b3c: 005e979b slliw a5,t4,0x5 + 80002b40: 41d787bb subw a5,a5,t4 + 80002b44: 0027979b slliw a5,a5,0x2 + 80002b48: 41d787bb subw a5,a5,t4 + 80002b4c: 0027979b slliw a5,a5,0x2 + 80002b50: 00470f03 lb t5,4(a4) + 80002b54: 01d787bb addw a5,a5,t4 + 80002b58: 0027979b slliw a5,a5,0x2 + 80002b5c: 01d787bb addw a5,a5,t4 + 80002b60: 00170713 addi a4,a4,1 + 80002b64: 01e78ebb addw t4,a5,t5 + 80002b68: fce61ae3 bne a2,a4,80002b3c + 80002b6c: 00460613 addi a2,a2,4 + 80002b70: fd9614e3 bne a2,s9,80002b38 + 80002b74: 00100793 li a5,1 + 80002b78: 06f10423 sb a5,104(sp) + 80002b7c: 074104a3 sb s4,105(sp) + 80002b80: 07c10523 sb t3,106(sp) + 80002b84: 066105a3 sb t1,107(sp) + 80002b88: 07d12e23 sw t4,124(sp) + 80002b8c: 06810793 addi a5,sp,104 + 80002b90: 0075c703 lbu a4,7(a1) + 80002b94: 0045ce03 lbu t3,4(a1) + 80002b98: 0055c303 lbu t1,5(a1) + 80002b9c: 0065c603 lbu a2,6(a1) + 80002ba0: 00e783a3 sb a4,7(a5) + 80002ba4: 00813703 ld a4,8(sp) + 80002ba8: 01c78223 sb t3,4(a5) + 80002bac: 006782a3 sb t1,5(a5) + 80002bb0: 00c78323 sb a2,6(a5) + 80002bb4: 00050593 mv a1,a0 + 80002bb8: 00478793 addi a5,a5,4 + 80002bbc: 00e50663 beq a0,a4,80002bc8 + 80002bc0: 00450513 addi a0,a0,4 + 80002bc4: fcdff06f j 80002b90 + 80002bc8: 008db583 ld a1,8(s11) + 80002bcc: 000da503 lw a0,0(s11) + 80002bd0: 05010613 addi a2,sp,80 + 80002bd4: 988ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002bd8: 02050663 beqz a0,80002c04 + 80002bdc: 02452603 lw a2,36(a0) + 80002be0: 0016061b addiw a2,a2,1 + 80002be4: 06810593 addi a1,sp,104 + 80002be8: 000d8513 mv a0,s11 + 80002bec: a50ff0ef jal ra,80001e3c <_ZN14Updatable_heapI8N_puzzleILi4EEE4pushERKS1_i> + 80002bf0: b05ff06f j 800026f4 + 80002bf4: 80000637 lui a2,0x80000 + 80002bf8: aedff06f j 800026e4 + 80002bfc: 80000637 lui a2,0x80000 + 80002c00: cfdff06f j 800028fc + 80002c04: 80000637 lui a2,0x80000 + 80002c08: fddff06f j 80002be4 + 80002c0c: 80000637 lui a2,0x80000 + 80002c10: c79ff06f j 80002888 + 80002c14: 08010493 addi s1,sp,128 + 80002c18: 00005597 auipc a1,0x5 + 80002c1c: 87858593 addi a1,a1,-1928 # 80007490 <_ZL8PUZZLE_S> + 80002c20: 00048513 mv a0,s1 + 80002c24: f89fe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c28: 08012783 lw a5,128(sp) + 80002c2c: 08014803 lbu a6,128(sp) + 80002c30: 03810713 addi a4,sp,56 + 80002c34: 02f12c23 sw a5,56(sp) + 80002c38: 09412783 lw a5,148(sp) + 80002c3c: 09010413 addi s0,sp,144 + 80002c40: 04f12623 sw a5,76(sp) + 80002c44: 00048793 mv a5,s1 + 80002c48: 0047c503 lbu a0,4(a5) + 80002c4c: 0057c583 lbu a1,5(a5) + 80002c50: 0067c603 lbu a2,6(a5) + 80002c54: 0077c683 lbu a3,7(a5) + 80002c58: 00a70223 sb a0,4(a4) + 80002c5c: 00b702a3 sb a1,5(a4) + 80002c60: 00c70323 sb a2,6(a4) + 80002c64: 00d703a3 sb a3,7(a4) + 80002c68: 00478793 addi a5,a5,4 + 80002c6c: 00470713 addi a4,a4,4 + 80002c70: fc879ce3 bne a5,s0,80002c48 + 80002c74: 00a00793 li a5,10 + 80002c78: 00f13823 sd a5,16(sp) + 80002c7c: dd0ff06f j 8000224c + 80002c80: 08010493 addi s1,sp,128 + 80002c84: 00004597 auipc a1,0x4 + 80002c88: 78c58593 addi a1,a1,1932 # 80007410 <_ZL8PUZZLE_L> + 80002c8c: 00048513 mv a0,s1 + 80002c90: f1dfe0ef jal ra,80001bac <_ZN8N_puzzleILi4EEC1EPi> + 80002c94: 08012783 lw a5,128(sp) + 80002c98: 08014803 lbu a6,128(sp) + 80002c9c: 03810713 addi a4,sp,56 + 80002ca0: 02f12c23 sw a5,56(sp) + 80002ca4: 09412783 lw a5,148(sp) + 80002ca8: 09010413 addi s0,sp,144 + 80002cac: 04f12623 sw a5,76(sp) + 80002cb0: 00048793 mv a5,s1 + 80002cb4: 0047c503 lbu a0,4(a5) + 80002cb8: 0057c583 lbu a1,5(a5) + 80002cbc: 0067c603 lbu a2,6(a5) + 80002cc0: 0077c683 lbu a3,7(a5) + 80002cc4: 00a70223 sb a0,4(a4) + 80002cc8: 00b702a3 sb a1,5(a4) + 80002ccc: 00c70323 sb a2,6(a4) + 80002cd0: 00d703a3 sb a3,7(a4) + 80002cd4: 00478793 addi a5,a5,4 + 80002cd8: 00470713 addi a4,a4,4 + 80002cdc: fcf41ce3 bne s0,a5,80002cb4 + 80002ce0: 000047b7 lui a5,0x4 + 80002ce4: 00f13823 sd a5,16(sp) + 80002ce8: d64ff06f j 8000224c + 80002cec: 008db583 ld a1,8(s11) + 80002cf0: 000da503 lw a0,0(s11) + 80002cf4: 05010613 addi a2,sp,80 + 80002cf8: 864ff0ef jal ra,80001d5c <_ZNK14Updatable_heapI8N_puzzleILi4EEE7pointerERKS1_.isra.0> + 80002cfc: 02050063 beqz a0,80002d1c + 80002d00: 02452583 lw a1,36(a0) + 80002d04: 00090513 mv a0,s2 + 80002d08: 3cd010ef jal ra,800048d4 <__muldi3> + 80002d0c: 00005797 auipc a5,0x5 + 80002d10: c2478793 addi a5,a5,-988 # 80007930 <_ZL3ans> + 80002d14: 00a7a023 sw a0,0(a5) + 80002d18: b8dff06f j 800028a4 + 80002d1c: 800005b7 lui a1,0x80000 + 80002d20: fff5c593 not a1,a1 + 80002d24: fe1ff06f j 80002d04 + 80002d28: 03300613 li a2,51 + 80002d2c: e38ff06f j 80002364 + 80002d30: 05600613 li a2,86 + 80002d34: 00004597 auipc a1,0x4 + 80002d38: 85c58593 addi a1,a1,-1956 # 80006590 <_etext+0x3b0> + 80002d3c: 00003517 auipc a0,0x3 + 80002d40: 4e450513 addi a0,a0,1252 # 80006220 <_etext+0x40> + 80002d44: 144030ef jal ra,80005e88 + 80002d48: 00100513 li a0,1 + 80002d4c: 341010ef jal ra,8000488c + +0000000080002d50 : + 80002d50: 00005797 auipc a5,0x5 + 80002d54: cc87b783 ld a5,-824(a5) # 80007a18 + 80002d58: 0007a783 lw a5,0(a5) + 80002d5c: fd010113 addi sp,sp,-48 + 80002d60: 01213823 sd s2,16(sp) + 80002d64: 00100513 li a0,1 + 80002d68: 00005917 auipc s2,0x5 + 80002d6c: bcc90913 addi s2,s2,-1076 # 80007934 + 80002d70: 02113423 sd ra,40(sp) + 80002d74: 00f92023 sw a5,0(s2) + 80002d78: 01313423 sd s3,8(sp) + 80002d7c: 02813023 sd s0,32(sp) + 80002d80: 00913c23 sd s1,24(sp) + 80002d84: 831fd0ef jal ra,800005b4 + 80002d88: 00011537 lui a0,0x11 + 80002d8c: 80850513 addi a0,a0,-2040 # 10808 <_entry_offset+0x10808> + 80002d90: f88fd0ef jal ra,80000518 + 80002d94: 00050793 mv a5,a0 + 80002d98: 00092503 lw a0,0(s2) + 80002d9c: 00005717 auipc a4,0x5 + 80002da0: baf73a23 sd a5,-1100(a4) # 80007950 + 80002da4: 00005997 auipc s3,0x5 + 80002da8: b9498993 addi s3,s3,-1132 # 80007938 + 80002dac: f6cfd0ef jal ra,80000518 + 80002db0: 00092783 lw a5,0(s2) + 80002db4: 00a9b023 sd a0,0(s3) + 80002db8: 1907851b addiw a0,a5,400 + 80002dbc: f5cfd0ef jal ra,80000518 + 80002dc0: 00092783 lw a5,0(s2) + 80002dc4: 00005717 auipc a4,0x5 + 80002dc8: b6a73e23 sd a0,-1156(a4) # 80007940 + 80002dcc: 02f05e63 blez a5,80002e08 + 80002dd0: 00000413 li s0,0 + 80002dd4: ff4fd0ef jal ra,800005c8 + 80002dd8: 02051513 slli a0,a0,0x20 + 80002ddc: 0009b483 ld s1,0(s3) + 80002de0: 01a00593 li a1,26 + 80002de4: 02055513 srli a0,a0,0x20 + 80002de8: 3a1010ef jal ra,80004988 <__umoddi3> + 80002dec: 00092703 lw a4,0(s2) + 80002df0: 008484b3 add s1,s1,s0 + 80002df4: 0615051b addiw a0,a0,97 + 80002df8: 00140413 addi s0,s0,1 + 80002dfc: 00a48023 sb a0,0(s1) + 80002e00: 0004079b sext.w a5,s0 + 80002e04: fce7c8e3 blt a5,a4,80002dd4 + 80002e08: 02813083 ld ra,40(sp) + 80002e0c: 02013403 ld s0,32(sp) + 80002e10: 01813483 ld s1,24(sp) + 80002e14: 01013903 ld s2,16(sp) + 80002e18: 00813983 ld s3,8(sp) + 80002e1c: 03010113 addi sp,sp,48 + 80002e20: 00008067 ret + +0000000080002e24 : + 80002e24: ff010113 addi sp,sp,-16 + 80002e28: 00005697 auipc a3,0x5 + 80002e2c: b286b683 ld a3,-1240(a3) # 80007950 + 80002e30: 00005617 auipc a2,0x5 + 80002e34: b0462603 lw a2,-1276(a2) # 80007934 + 80002e38: 00005597 auipc a1,0x5 + 80002e3c: b085b583 ld a1,-1272(a1) # 80007940 + 80002e40: 00005517 auipc a0,0x5 + 80002e44: af853503 ld a0,-1288(a0) # 80007938 + 80002e48: 00113423 sd ra,8(sp) + 80002e4c: 084000ef jal ra,80002ed0 + 80002e50: 00813083 ld ra,8(sp) + 80002e54: 00005797 auipc a5,0x5 + 80002e58: aea7aa23 sw a0,-1292(a5) # 80007948 + 80002e5c: 01010113 addi sp,sp,16 + 80002e60: 00008067 ret + +0000000080002e64 : + 80002e64: 00005517 auipc a0,0x5 + 80002e68: adc53503 ld a0,-1316(a0) # 80007940 + 80002e6c: 00005597 auipc a1,0x5 + 80002e70: adc5a583 lw a1,-1316(a1) # 80007948 + 80002e74: ff010113 addi sp,sp,-16 + 80002e78: 00b505b3 add a1,a0,a1 + 80002e7c: 00113423 sd ra,8(sp) + 80002e80: f98fd0ef jal ra,80000618 + 80002e84: 00005797 auipc a5,0x5 + 80002e88: b947b783 ld a5,-1132(a5) # 80007a18 + 80002e8c: 0187a783 lw a5,24(a5) + 80002e90: 00813083 ld ra,8(sp) + 80002e94: 0005051b sext.w a0,a0 + 80002e98: 40a78533 sub a0,a5,a0 + 80002e9c: 00153513 seqz a0,a0 + 80002ea0: 01010113 addi sp,sp,16 + 80002ea4: 00008067 ret + +0000000080002ea8 : + 80002ea8: ff010113 addi sp,sp,-16 + 80002eac: 00800613 li a2,8 + 80002eb0: 00003597 auipc a1,0x3 + 80002eb4: 76858593 addi a1,a1,1896 # 80006618 <_etext+0x438> + 80002eb8: 00003517 auipc a0,0x3 + 80002ebc: 36850513 addi a0,a0,872 # 80006220 <_etext+0x40> + 80002ec0: 00113423 sd ra,8(sp) + 80002ec4: 7c5020ef jal ra,80005e88 + 80002ec8: 00100513 li a0,1 + 80002ecc: 1c1010ef jal ra,8000488c + +0000000080002ed0 : + 80002ed0: 00100793 li a5,1 + 80002ed4: f5010113 addi sp,sp,-176 + 80002ed8: 02079793 slli a5,a5,0x20 + 80002edc: 00060713 mv a4,a2 + 80002ee0: 02c13023 sd a2,32(sp) + 80002ee4: 0a113423 sd ra,168(sp) + 80002ee8: 0a813023 sd s0,160(sp) + 80002eec: 08913c23 sd s1,152(sp) + 80002ef0: 09213823 sd s2,144(sp) + 80002ef4: 09313423 sd s3,136(sp) + 80002ef8: 09413023 sd s4,128(sp) + 80002efc: 07513c23 sd s5,120(sp) + 80002f00: 07613823 sd s6,112(sp) + 80002f04: 07713423 sd s7,104(sp) + 80002f08: 07813023 sd s8,96(sp) + 80002f0c: 05913c23 sd s9,88(sp) + 80002f10: 05a13823 sd s10,80(sp) + 80002f14: 05b13423 sd s11,72(sp) + 80002f18: fff60613 addi a2,a2,-1 + 80002f1c: e6e78793 addi a5,a5,-402 + 80002f20: 00a13423 sd a0,8(sp) + 80002f24: 02b13823 sd a1,48(sp) + 80002f28: 58c7e463 bltu a5,a2,800034b0 + 80002f2c: 00300593 li a1,3 + 80002f30: 0d700793 li a5,215 + 80002f34: 02b13423 sd a1,40(sp) + 80002f38: 46e7e263 bltu a5,a4,8000339c + 80002f3c: 000107b7 lui a5,0x10 + 80002f40: 00011737 lui a4,0x11 + 80002f44: 00878793 addi a5,a5,8 # 10008 <_entry_offset+0x10008> + 80002f48: 80870713 addi a4,a4,-2040 # 10808 <_entry_offset+0x10808> + 80002f4c: 00f687b3 add a5,a3,a5 + 80002f50: 00e68733 add a4,a3,a4 + 80002f54: 00078023 sb zero,0(a5) + 80002f58: 00178793 addi a5,a5,1 + 80002f5c: fef71ce3 bne a4,a5,80002f54 + 80002f60: 00813783 ld a5,8(sp) + 80002f64: 03013703 ld a4,48(sp) + 80002f68: 02813583 ld a1,40(sp) + 80002f6c: 00c78633 add a2,a5,a2 + 80002f70: 02c13c23 sd a2,56(sp) + 80002f74: 00b704b3 add s1,a4,a1 + 80002f78: 00913823 sd s1,16(sp) + 80002f7c: ff660c13 addi s8,a2,-10 + 80002f80: 00448e13 addi t3,s1,4 + 80002f84: 00078713 mv a4,a5 + 80002f88: 5cfc6663 bltu s8,a5,80003554 + 80002f8c: 02013783 ld a5,32(sp) + 80002f90: ffc60d13 addi s10,a2,-4 + 80002f94: 0fe00c93 li s9,254 + 80002f98: 0017d793 srli a5,a5,0x1 + 80002f9c: 00f707b3 add a5,a4,a5 + 80002fa0: 00f13c23 sd a5,24(sp) + 80002fa4: 00010bb7 lui s7,0x10 + 80002fa8: 00070793 mv a5,a4 + 80002fac: 00400993 li s3,4 + 80002fb0: 80000737 lui a4,0x80000 + 80002fb4: 80000db7 lui s11,0x80000 + 80002fb8: 40fd0fb3 sub t6,s10,a5 + 80002fbc: 01fcd463 bge s9,t6,80002fc4 + 80002fc0: 0fe00f93 li t6,254 + 80002fc4: 0017c603 lbu a2,1(a5) + 80002fc8: 0027c503 lbu a0,2(a5) + 80002fcc: 0007c583 lbu a1,0(a5) + 80002fd0: 0086161b slliw a2,a2,0x8 + 80002fd4: 0105151b slliw a0,a0,0x10 + 80002fd8: 00a66633 or a2,a2,a0 + 80002fdc: 00b66633 or a2,a2,a1 + 80002fe0: 00d6559b srliw a1,a2,0xd + 80002fe4: 0096581b srliw a6,a2,0x9 + 80002fe8: 00b84833 xor a6,a6,a1 + 80002fec: 0006061b sext.w a2,a2 + 80002ff0: 00c84833 xor a6,a6,a2 + 80002ff4: 7ff87313 andi t1,a6,2047 + 80002ff8: 00531893 slli a7,t1,0x5 + 80002ffc: 011688b3 add a7,a3,a7 + 80003000: 0088b583 ld a1,8(a7) + 80003004: 00668533 add a0,a3,t1 + 80003008: 00ab8533 add a0,s7,a0 + 8000300c: ffe78a13 addi s4,a5,-2 + 80003010: 00854283 lbu t0,8(a0) + 80003014: 001f8f93 addi t6,t6,1 + 80003018: 00030813 mv a6,t1 + 8000301c: 0945fe63 bgeu a1,s4,800030b8 + 80003020: 2c029e63 bnez t0,800032fc + 80003024: 00f8b423 sd a5,8(a7) + 80003028: 00100613 li a2,1 + 8000302c: 00c50423 sb a2,8(a0) + 80003030: 0017571b srliw a4,a4,0x1 + 80003034: 0007c603 lbu a2,0(a5) + 80003038: 001e0e13 addi t3,t3,1 + 8000303c: 00178793 addi a5,a5,1 + 80003040: fece0fa3 sb a2,-1(t3) + 80003044: 00177613 andi a2,a4,1 + 80003048: 00060593 mv a1,a2 + 8000304c: 14fc6063 bltu s8,a5,8000318c + 80003050: f60584e3 beqz a1,80002fb8 + 80003054: 01813603 ld a2,24(sp) + 80003058: 02f67063 bgeu a2,a5,80003078 + 8000305c: 00813603 ld a2,8(sp) + 80003060: 01013583 ld a1,16(sp) + 80003064: 40c78633 sub a2,a5,a2 + 80003068: 40565513 srai a0,a2,0x5 + 8000306c: 40be05b3 sub a1,t3,a1 + 80003070: 40a60633 sub a2,a2,a0 + 80003074: 44b64a63 blt a2,a1,800034c8 + 80003078: 0017571b srliw a4,a4,0x1 + 8000307c: 01b76733 or a4,a4,s11 + 80003080: 0007071b sext.w a4,a4 + 80003084: 00875513 srli a0,a4,0x8 + 80003088: 01075593 srli a1,a4,0x10 + 8000308c: 01875613 srli a2,a4,0x18 + 80003090: 00e48023 sb a4,0(s1) + 80003094: 00a480a3 sb a0,1(s1) + 80003098: 00b48123 sb a1,2(s1) + 8000309c: 00c481a3 sb a2,3(s1) + 800030a0: 40fd0fb3 sub t6,s10,a5 + 800030a4: 000e0493 mv s1,t3 + 800030a8: 80000737 lui a4,0x80000 + 800030ac: 004e0e13 addi t3,t3,4 + 800030b0: f1fcdae3 bge s9,t6,80002fc4 + 800030b4: f0dff06f j 80002fc0 + 800030b8: 00000e93 li t4,0 + 800030bc: 00531593 slli a1,t1,0x5 + 800030c0: 0002891b sext.w s2,t0 + 800030c4: 00b685b3 add a1,a3,a1 + 800030c8: 00000f13 li t5,0 + 800030cc: 00100513 li a0,1 + 800030d0: 03257863 bgeu a0,s2,80003100 + 800030d4: 0105b883 ld a7,16(a1) + 800030d8: 020e9393 slli t2,t4,0x20 + 800030dc: 0203d393 srli t2,t2,0x20 + 800030e0: 00778433 add s0,a5,t2 + 800030e4: 007883b3 add t2,a7,t2 + 800030e8: 00044403 lbu s0,0(s0) + 800030ec: 0003c383 lbu t2,0(t2) + 800030f0: 18740c63 beq s0,t2,80003288 + 800030f4: 0015051b addiw a0,a0,1 + 800030f8: 00858593 addi a1,a1,8 + 800030fc: fd351ae3 bne a0,s3,800030d0 + 80003100: 0032f593 andi a1,t0,3 + 80003104: 00231613 slli a2,t1,0x2 + 80003108: 00b60633 add a2,a2,a1 + 8000310c: 00361613 slli a2,a2,0x3 + 80003110: 00c68633 add a2,a3,a2 + 80003114: 00668333 add t1,a3,t1 + 80003118: 00f63423 sd a5,8(a2) + 8000311c: 006b8333 add t1,s7,t1 + 80003120: 0012829b addiw t0,t0,1 + 80003124: 0017561b srliw a2,a4,0x1 + 80003128: 00530423 sb t0,8(t1) + 8000312c: 00200593 li a1,2 + 80003130: 0006071b sext.w a4,a2 + 80003134: f1d5f0e3 bgeu a1,t4,80003034 + 80003138: 01b66733 or a4,a2,s11 + 8000313c: 020e9613 slli a2,t4,0x20 + 80003140: 02065613 srli a2,a2,0x20 + 80003144: 0058181b slliw a6,a6,0x5 + 80003148: 010f6f33 or t5,t5,a6 + 8000314c: 00c787b3 add a5,a5,a2 + 80003150: 00900613 li a2,9 + 80003154: 0007071b sext.w a4,a4 + 80003158: 000f0f1b sext.w t5,t5 + 8000315c: 21d66c63 bltu a2,t4,80003374 + 80003160: ffee8e9b addiw t4,t4,-2 + 80003164: 002e9e9b slliw t4,t4,0x2 + 80003168: 01df6f33 or t5,t5,t4 + 8000316c: 000f0f1b sext.w t5,t5 + 80003170: 008f5613 srli a2,t5,0x8 + 80003174: 00ce00a3 sb a2,1(t3) + 80003178: 01ee0023 sb t5,0(t3) + 8000317c: 00177613 andi a2,a4,1 + 80003180: 002e0e13 addi t3,t3,2 + 80003184: 00060593 mv a1,a2 + 80003188: ecfc74e3 bgeu s8,a5,80003050 + 8000318c: 03813883 ld a7,56(sp) + 80003190: 0017551b srliw a0,a4,0x1 + 80003194: 0017581b srliw a6,a4,0x1 + 80003198: 20f8e863 bltu a7,a5,800033a8 + 8000319c: 03813583 ld a1,56(sp) + 800031a0: 02013803 ld a6,32(sp) + 800031a4: 80000f37 lui t5,0x80000 + 800031a8: ffd58313 addi t1,a1,-3 + 800031ac: 00813583 ld a1,8(sp) + 800031b0: 00010eb7 lui t4,0x10 + 800031b4: 010588b3 add a7,a1,a6 + 800031b8: 08c0006f j 80003244 + 800031bc: 0027581b srliw a6,a4,0x2 + 800031c0: 00157593 andi a1,a0,1 + 800031c4: 000f8713 mv a4,t6 + 800031c8: 0007cf83 lbu t6,0(a5) + 800031cc: 06f36063 bltu t1,a5,8000322c + 800031d0: 0017c503 lbu a0,1(a5) + 800031d4: 0027c603 lbu a2,2(a5) + 800031d8: 0085151b slliw a0,a0,0x8 + 800031dc: 0106161b slliw a2,a2,0x10 + 800031e0: 00c56533 or a0,a0,a2 + 800031e4: 00d5529b srliw t0,a0,0xd + 800031e8: 0095561b srliw a2,a0,0x9 + 800031ec: 00564633 xor a2,a2,t0 + 800031f0: 01f56533 or a0,a0,t6 + 800031f4: 00a64633 xor a2,a2,a0 + 800031f8: 7ff67613 andi a2,a2,2047 + 800031fc: 00c68533 add a0,a3,a2 + 80003200: 00ae8533 add a0,t4,a0 + 80003204: 00854f83 lbu t6,8(a0) + 80003208: 00261613 slli a2,a2,0x2 + 8000320c: 003ff293 andi t0,t6,3 + 80003210: 00560633 add a2,a2,t0 + 80003214: 00361613 slli a2,a2,0x3 + 80003218: 00c68633 add a2,a3,a2 + 8000321c: 00f63423 sd a5,8(a2) + 80003220: 001f8f9b addiw t6,t6,1 + 80003224: 01f50423 sb t6,8(a0) + 80003228: 0007cf83 lbu t6,0(a5) + 8000322c: 01fe0023 sb t6,0(t3) + 80003230: 00178793 addi a5,a5,1 + 80003234: 001e0e13 addi t3,t3,1 + 80003238: 17178863 beq a5,a7,800033a8 + 8000323c: 0017551b srliw a0,a4,0x1 + 80003240: 00177613 andi a2,a4,1 + 80003244: 00050f9b sext.w t6,a0 + 80003248: f6060ae3 beqz a2,800031bc + 8000324c: 01e56533 or a0,a0,t5 + 80003250: 0005051b sext.w a0,a0 + 80003254: 00855593 srli a1,a0,0x8 + 80003258: 01855713 srli a4,a0,0x18 + 8000325c: 01055613 srli a2,a0,0x10 + 80003260: 00b480a3 sb a1,1(s1) + 80003264: 00e481a3 sb a4,3(s1) + 80003268: 00a48023 sb a0,0(s1) + 8000326c: 00c48123 sb a2,2(s1) + 80003270: 20000837 lui a6,0x20000 + 80003274: 000e0493 mv s1,t3 + 80003278: 00000593 li a1,0 + 8000327c: 004e0e13 addi t3,t3,4 + 80003280: 40000737 lui a4,0x40000 + 80003284: f45ff06f j 800031c8 + 80003288: 0018c383 lbu t2,1(a7) + 8000328c: 0028c403 lbu s0,2(a7) + 80003290: 0008ca83 lbu s5,0(a7) + 80003294: 0083939b slliw t2,t2,0x8 + 80003298: 0104141b slliw s0,s0,0x10 + 8000329c: 0083e3b3 or t2,t2,s0 + 800032a0: 0153e3b3 or t2,t2,s5 + 800032a4: 0003839b sext.w t2,t2 + 800032a8: e4c396e3 bne t2,a2,800030f4 + 800032ac: e548f4e3 bgeu a7,s4,800030f4 + 800032b0: 0038c403 lbu s0,3(a7) + 800032b4: 0037c383 lbu t2,3(a5) + 800032b8: 28741a63 bne s0,t2,8000354c + 800032bc: 00300393 li t2,3 + 800032c0: 01f3e663 bltu t2,t6,800032cc + 800032c4: 2880006f j 8000354c + 800032c8: 03f47263 bgeu s0,t6,800032ec + 800032cc: 0013839b addiw t2,t2,1 + 800032d0: 02039413 slli s0,t2,0x20 + 800032d4: 02045413 srli s0,s0,0x20 + 800032d8: 00888b33 add s6,a7,s0 + 800032dc: 00878ab3 add s5,a5,s0 + 800032e0: 000b4b03 lbu s6,0(s6) + 800032e4: 000aca83 lbu s5,0(s5) + 800032e8: ff5b00e3 beq s6,s5,800032c8 + 800032ec: e07ef4e3 bgeu t4,t2,800030f4 + 800032f0: 00050f13 mv t5,a0 + 800032f4: 00038e93 mv t4,t2 + 800032f8: dfdff06f j 800030f4 + 800032fc: 0015c503 lbu a0,1(a1) + 80003300: 0025c883 lbu a7,2(a1) + 80003304: 0005ce83 lbu t4,0(a1) + 80003308: 0085151b slliw a0,a0,0x8 + 8000330c: 0108989b slliw a7,a7,0x10 + 80003310: 01156533 or a0,a0,a7 + 80003314: 01d56533 or a0,a0,t4 + 80003318: 0005051b sext.w a0,a0 + 8000331c: 00000e93 li t4,0 + 80003320: d8c51ee3 bne a0,a2,800030bc + 80003324: 0035c883 lbu a7,3(a1) + 80003328: 0037c503 lbu a0,3(a5) + 8000332c: 00300e93 li t4,3 + 80003330: d8a896e3 bne a7,a0,800030bc + 80003334: 0045c883 lbu a7,4(a1) + 80003338: 0047c503 lbu a0,4(a5) + 8000333c: 00400e93 li t4,4 + 80003340: d6a89ee3 bne a7,a0,800030bc + 80003344: 01f9e663 bltu s3,t6,80003350 + 80003348: d75ff06f j 800030bc + 8000334c: d7f578e3 bgeu a0,t6,800030bc + 80003350: 001e8e9b addiw t4,t4,1 + 80003354: 020e9513 slli a0,t4,0x20 + 80003358: 02055513 srli a0,a0,0x20 + 8000335c: 00a588b3 add a7,a1,a0 + 80003360: 00a78f33 add t5,a5,a0 + 80003364: 0008c883 lbu a7,0(a7) + 80003368: 000f4f03 lbu t5,0(t5) # ffffffff80000000 <_end+0xfffffffeffff0000> + 8000336c: ffe880e3 beq a7,t5,8000334c + 80003370: d4dff06f j 800030bc + 80003374: 010e9e9b slliw t4,t4,0x10 + 80003378: 01df6eb3 or t4,t5,t4 + 8000337c: 000e8e9b sext.w t4,t4 + 80003380: 008ed593 srli a1,t4,0x8 + 80003384: 010ed613 srli a2,t4,0x10 + 80003388: 01de0023 sb t4,0(t3) + 8000338c: 00be00a3 sb a1,1(t3) + 80003390: 00ce0123 sb a2,2(t3) + 80003394: 003e0e13 addi t3,t3,3 + 80003398: cadff06f j 80003044 + 8000339c: 00900793 li a5,9 + 800033a0: 02f13423 sd a5,40(sp) + 800033a4: b99ff06f j 80002f3c + 800033a8: 00059e63 bnez a1,800033c4 + 800033ac: 0017579b srliw a5,a4,0x1 + 800033b0: 0017f613 andi a2,a5,1 + 800033b4: 0007081b sext.w a6,a4 + 800033b8: 0007871b sext.w a4,a5 + 800033bc: fe0608e3 beqz a2,800033ac + 800033c0: 0028581b srliw a6,a6,0x2 + 800033c4: 800007b7 lui a5,0x80000 + 800033c8: 00f86833 or a6,a6,a5 + 800033cc: 01885793 srli a5,a6,0x18 + 800033d0: 00f481a3 sb a5,3(s1) + 800033d4: 01013783 ld a5,16(sp) + 800033d8: 00885613 srli a2,a6,0x8 + 800033dc: 01085713 srli a4,a6,0x10 + 800033e0: 40fe0533 sub a0,t3,a5 + 800033e4: 01048023 sb a6,0(s1) + 800033e8: 00c480a3 sb a2,1(s1) + 800033ec: 00e48123 sb a4,2(s1) + 800033f0: 00900793 li a5,9 + 800033f4: 00f55463 bge a0,a5,800033fc + 800033f8: 00900513 li a0,9 + 800033fc: 02813783 ld a5,40(sp) + 80003400: 00f50533 add a0,a0,a5 + 80003404: 00100793 li a5,1 + 80003408: 0006b023 sd zero,0(a3) + 8000340c: 02813683 ld a3,40(sp) + 80003410: 00300713 li a4,3 + 80003414: 02014603 lbu a2,32(sp) + 80003418: 0ff57593 andi a1,a0,255 + 8000341c: 08e68e63 beq a3,a4,800034b8 + 80003420: 02012683 lw a3,32(sp) + 80003424: 03013e83 ld t4,48(sp) + 80003428: 0005071b sext.w a4,a0 + 8000342c: 00875e13 srli t3,a4,0x8 + 80003430: 01075313 srli t1,a4,0x10 + 80003434: 0086d893 srli a7,a3,0x8 + 80003438: 0106d813 srli a6,a3,0x10 + 8000343c: 01875713 srli a4,a4,0x18 + 80003440: 0186d693 srli a3,a3,0x18 + 80003444: 0027e793 ori a5,a5,2 + 80003448: 00be80a3 sb a1,1(t4) # 10001 <_entry_offset+0x10001> + 8000344c: 01ce8123 sb t3,2(t4) + 80003450: 006e81a3 sb t1,3(t4) + 80003454: 00ee8223 sb a4,4(t4) + 80003458: 00ce82a3 sb a2,5(t4) + 8000345c: 011e8323 sb a7,6(t4) + 80003460: 010e83a3 sb a6,7(t4) + 80003464: 00de8423 sb a3,8(t4) + 80003468: 03013703 ld a4,48(sp) + 8000346c: 0487e793 ori a5,a5,72 + 80003470: 00f70023 sb a5,0(a4) # 40000000 <_entry_offset+0x40000000> + 80003474: 0a813083 ld ra,168(sp) + 80003478: 0a013403 ld s0,160(sp) + 8000347c: 09813483 ld s1,152(sp) + 80003480: 09013903 ld s2,144(sp) + 80003484: 08813983 ld s3,136(sp) + 80003488: 08013a03 ld s4,128(sp) + 8000348c: 07813a83 ld s5,120(sp) + 80003490: 07013b03 ld s6,112(sp) + 80003494: 06813b83 ld s7,104(sp) + 80003498: 06013c03 ld s8,96(sp) + 8000349c: 05813c83 ld s9,88(sp) + 800034a0: 05013d03 ld s10,80(sp) + 800034a4: 04813d83 ld s11,72(sp) + 800034a8: 0b010113 addi sp,sp,176 + 800034ac: 00008067 ret + 800034b0: 00000513 li a0,0 + 800034b4: fc1ff06f j 80003474 + 800034b8: 03013703 ld a4,48(sp) + 800034bc: 00b700a3 sb a1,1(a4) + 800034c0: 00c70123 sb a2,2(a4) + 800034c4: fa5ff06f j 80003468 + 800034c8: 00813783 ld a5,8(sp) + 800034cc: 0a078c63 beqz a5,80003584 + 800034d0: 00813603 ld a2,8(sp) + 800034d4: 02013583 ld a1,32(sp) + 800034d8: 01013703 ld a4,16(sp) + 800034dc: 00b607b3 add a5,a2,a1 + 800034e0: 02f77c63 bgeu a4,a5,80003518 + 800034e4: 02e67a63 bgeu a2,a4,80003518 + 800034e8: 00b70733 add a4,a4,a1 + 800034ec: fff7c603 lbu a2,-1(a5) # ffffffff7fffffff <_end+0xfffffffefffeffff> + 800034f0: fff78793 addi a5,a5,-1 + 800034f4: fff70713 addi a4,a4,-1 + 800034f8: 00c70023 sb a2,0(a4) + 800034fc: 00813603 ld a2,8(sp) + 80003500: fef616e3 bne a2,a5,800034ec + 80003504: 02813783 ld a5,40(sp) + 80003508: 02013703 ld a4,32(sp) + 8000350c: 00e78533 add a0,a5,a4 + 80003510: 00000793 li a5,0 + 80003514: ef5ff06f j 80003408 + 80003518: 01013783 ld a5,16(sp) + 8000351c: 02013703 ld a4,32(sp) + 80003520: 00e78733 add a4,a5,a4 + 80003524: 00813583 ld a1,8(sp) + 80003528: 01013603 ld a2,16(sp) + 8000352c: 0005c783 lbu a5,0(a1) + 80003530: 00160613 addi a2,a2,1 + 80003534: 00158593 addi a1,a1,1 + 80003538: 00c13823 sd a2,16(sp) + 8000353c: 00b13423 sd a1,8(sp) + 80003540: fef60fa3 sb a5,-1(a2) + 80003544: fec710e3 bne a4,a2,80003524 + 80003548: fbdff06f j 80003504 + 8000354c: 00300393 li t2,3 + 80003550: d9dff06f j 800032ec + 80003554: 00813783 ld a5,8(sp) + 80003558: 03813703 ld a4,56(sp) + 8000355c: 00f76e63 bltu a4,a5,80003578 + 80003560: 00813783 ld a5,8(sp) + 80003564: 01013483 ld s1,16(sp) + 80003568: 80000737 lui a4,0x80000 + 8000356c: 40000537 lui a0,0x40000 + 80003570: 00000613 li a2,0 + 80003574: c29ff06f j 8000319c + 80003578: 01013483 ld s1,16(sp) + 8000357c: 80000737 lui a4,0x80000 + 80003580: e2dff06f j 800033ac + 80003584: 925ff0ef jal ra,80002ea8 + +0000000080003588 : + 80003588: 00004797 auipc a5,0x4 + 8000358c: 4907b783 ld a5,1168(a5) # 80007a18 + 80003590: 0007a783 lw a5,0(a5) + 80003594: fd010113 addi sp,sp,-48 + 80003598: 01213823 sd s2,16(sp) + 8000359c: 00004537 lui a0,0x4 + 800035a0: 00004917 auipc s2,0x4 + 800035a4: 3b890913 addi s2,s2,952 # 80007958 + 800035a8: 02113423 sd ra,40(sp) + 800035ac: 00f92023 sw a5,0(s2) + 800035b0: 01313423 sd s3,8(sp) + 800035b4: 00004797 auipc a5,0x4 + 800035b8: 3a07aa23 sw zero,948(a5) # 80007968 + 800035bc: 02813023 sd s0,32(sp) + 800035c0: 00913c23 sd s1,24(sp) + 800035c4: 01413023 sd s4,0(sp) + 800035c8: f51fc0ef jal ra,80000518 + 800035cc: 00050793 mv a5,a0 + 800035d0: 40000513 li a0,1024 + 800035d4: 00004717 auipc a4,0x4 + 800035d8: 38f73623 sd a5,908(a4) # 80007960 + 800035dc: f3dfc0ef jal ra,80000518 + 800035e0: 00050793 mv a5,a0 + 800035e4: 00002537 lui a0,0x2 + 800035e8: 00004717 auipc a4,0x4 + 800035ec: 38f73423 sd a5,904(a4) # 80007970 + 800035f0: f29fc0ef jal ra,80000518 + 800035f4: 00092783 lw a5,0(s2) + 800035f8: 00004717 auipc a4,0x4 + 800035fc: 38a73423 sd a0,904(a4) # 80007980 + 80003600: 00004997 auipc s3,0x4 + 80003604: 38898993 addi s3,s3,904 # 80007988 + 80003608: 0017851b addiw a0,a5,1 + 8000360c: 00003797 auipc a5,0x3 + 80003610: 05478793 addi a5,a5,84 # 80006660 <_etext+0x480> + 80003614: 00004717 auipc a4,0x4 + 80003618: 36f73223 sd a5,868(a4) # 80007978 + 8000361c: efdfc0ef jal ra,80000518 + 80003620: 00050793 mv a5,a0 + 80003624: 00001537 lui a0,0x1 + 80003628: 00f9b023 sd a5,0(s3) + 8000362c: eedfc0ef jal ra,80000518 + 80003630: 00050793 mv a5,a0 + 80003634: 00100513 li a0,1 + 80003638: 00004717 auipc a4,0x4 + 8000363c: 36f73023 sd a5,864(a4) # 80007998 + 80003640: 00004797 auipc a5,0x4 + 80003644: 3407a823 sw zero,848(a5) # 80007990 + 80003648: f6dfc0ef jal ra,800005b4 + 8000364c: 00092783 lw a5,0(s2) + 80003650: 04f05863 blez a5,800036a0 + 80003654: 00000413 li s0,0 + 80003658: 00003a17 auipc s4,0x3 + 8000365c: 0c0a0a13 addi s4,s4,192 # 80006718 <_etext+0x538> + 80003660: f69fc0ef jal ra,800005c8 + 80003664: 02051513 slli a0,a0,0x20 + 80003668: 03e00593 li a1,62 + 8000366c: 02055513 srli a0,a0,0x20 + 80003670: 318010ef jal ra,80004988 <__umoddi3> + 80003674: 02051513 slli a0,a0,0x20 + 80003678: 02055513 srli a0,a0,0x20 + 8000367c: 0009b483 ld s1,0(s3) + 80003680: 00aa0533 add a0,s4,a0 + 80003684: 00054783 lbu a5,0(a0) # 1000 <_entry_offset+0x1000> + 80003688: 00092703 lw a4,0(s2) + 8000368c: 008484b3 add s1,s1,s0 + 80003690: 00140413 addi s0,s0,1 + 80003694: 00f48023 sb a5,0(s1) + 80003698: 0004079b sext.w a5,s0 + 8000369c: fce7c2e3 blt a5,a4,80003660 + 800036a0: 02813083 ld ra,40(sp) + 800036a4: 02013403 ld s0,32(sp) + 800036a8: 01813483 ld s1,24(sp) + 800036ac: 01013903 ld s2,16(sp) + 800036b0: 00813983 ld s3,8(sp) + 800036b4: 00013a03 ld s4,0(sp) + 800036b8: 03010113 addi sp,sp,48 + 800036bc: 00008067 ret + +00000000800036c0 : + 800036c0: 00004317 auipc t1,0x4 + 800036c4: 2b830313 addi t1,t1,696 # 80007978 + 800036c8: 00033583 ld a1,0(t1) + 800036cc: fc010113 addi sp,sp,-64 + 800036d0: 02813c23 sd s0,56(sp) + 800036d4: 02913823 sd s1,48(sp) + 800036d8: 03213423 sd s2,40(sp) + 800036dc: 03313023 sd s3,32(sp) + 800036e0: 01413c23 sd s4,24(sp) + 800036e4: 01513823 sd s5,16(sp) + 800036e8: 01613423 sd s6,8(sp) + 800036ec: 01713023 sd s7,0(sp) + 800036f0: 0005c703 lbu a4,0(a1) + 800036f4: 00004517 auipc a0,0x4 + 800036f8: 27450513 addi a0,a0,628 # 80007968 + 800036fc: 00052603 lw a2,0(a0) + 80003700: 00004697 auipc a3,0x4 + 80003704: 2606b683 ld a3,608(a3) # 80007960 + 80003708: 38070463 beqz a4,80003a90 + 8000370c: 00004897 auipc a7,0x4 + 80003710: 2648b883 ld a7,612(a7) # 80007970 + 80003714: 00158593 addi a1,a1,1 + 80003718: 00000b13 li s6,0 + 8000371c: 00000a93 li s5,0 + 80003720: 00000793 li a5,0 + 80003724: 03200a13 li s4,50 + 80003728: 00003817 auipc a6,0x3 + 8000372c: 03080813 addi a6,a6,48 # 80006758 <_etext+0x578> + 80003730: 000019b7 lui s3,0x1 + 80003734: 00800913 li s2,8 + 80003738: 00700493 li s1,7 + 8000373c: 20000413 li s0,512 + 80003740: 00600393 li t2,6 + 80003744: 00500293 li t0,5 + 80003748: 00400f93 li t6,4 + 8000374c: 00300f13 li t5,3 + 80003750: 00200e93 li t4,2 + 80003754: 00100e13 li t3,1 + 80003758: fd57071b addiw a4,a4,-43 + 8000375c: 0ff77713 andi a4,a4,255 + 80003760: fff58b93 addi s7,a1,-1 + 80003764: 00ea6c63 bltu s4,a4,8000377c + 80003768: 00271713 slli a4,a4,0x2 + 8000376c: 01070733 add a4,a4,a6 + 80003770: 00072703 lw a4,0(a4) + 80003774: 01070733 add a4,a4,a6 + 80003778: 00070067 jr a4 + 8000377c: 0005c703 lbu a4,0(a1) + 80003780: 10070a63 beqz a4,80003894 + 80003784: 00158593 addi a1,a1,1 + 80003788: 00100b13 li s6,1 + 8000378c: fcdff06f j 80003758 + 80003790: 2c060e63 beqz a2,80003a6c + 80003794: fff6061b addiw a2,a2,-1 + 80003798: 02061713 slli a4,a2,0x20 + 8000379c: 01f75713 srli a4,a4,0x1f + 800037a0: 00e88733 add a4,a7,a4 + 800037a4: 00075b03 lhu s6,0(a4) + 800037a8: 00279713 slli a4,a5,0x2 + 800037ac: 00e68733 add a4,a3,a4 + 800037b0: 002b1a93 slli s5,s6,0x2 + 800037b4: 01671123 sh s6,2(a4) + 800037b8: 01271023 sh s2,0(a4) + 800037bc: 01568733 add a4,a3,s5 + 800037c0: 00f71123 sh a5,2(a4) + 800037c4: 00100a93 li s5,1 + 800037c8: 0005c703 lbu a4,0(a1) + 800037cc: 0017879b addiw a5,a5,1 + 800037d0: 03079793 slli a5,a5,0x30 + 800037d4: 0307d793 srli a5,a5,0x30 + 800037d8: 0a070e63 beqz a4,80003894 + 800037dc: fb3794e3 bne a5,s3,80003784 + 800037e0: 000a8463 beqz s5,800037e8 + 800037e4: 00c52023 sw a2,0(a0) + 800037e8: 00b33023 sd a1,0(t1) + 800037ec: 0006d703 lhu a4,0(a3) + 800037f0: 16070c63 beqz a4,80003968 + 800037f4: 00004e97 auipc t4,0x4 + 800037f8: 194e8e93 addi t4,t4,404 # 80007988 + 800037fc: 00004e17 auipc t3,0x4 + 80003800: 194e0e13 addi t3,t3,404 # 80007990 + 80003804: 000eb803 ld a6,0(t4) + 80003808: 000e2503 lw a0,0(t3) + 8000380c: 00004597 auipc a1,0x4 + 80003810: 1745b583 ld a1,372(a1) # 80007980 + 80003814: 00004397 auipc t2,0x4 + 80003818: 1843b383 ld t2,388(t2) # 80007998 + 8000381c: 00068793 mv a5,a3 + 80003820: 00000f13 li t5,0 + 80003824: 00000313 li t1,0 + 80003828: 00000413 li s0,0 + 8000382c: 00000613 li a2,0 + 80003830: 00800293 li t0,8 + 80003834: 00003897 auipc a7,0x3 + 80003838: ff088893 addi a7,a7,-16 # 80006824 <_etext+0x644> + 8000383c: 00001fb7 lui t6,0x1 + 80003840: 10e2ec63 bltu t0,a4,80003958 + 80003844: 00271713 slli a4,a4,0x2 + 80003848: 01170733 add a4,a4,a7 + 8000384c: 00072703 lw a4,0(a4) + 80003850: 01170733 add a4,a4,a7 + 80003854: 00070067 jr a4 + 80003858: 00279713 slli a4,a5,0x2 + 8000385c: 00e68733 add a4,a3,a4 + 80003860: 00971023 sh s1,0(a4) + 80003864: 22860063 beq a2,s0,80003a84 + 80003868: 02061713 slli a4,a2,0x20 + 8000386c: 01f75713 srli a4,a4,0x1f + 80003870: 00e88733 add a4,a7,a4 + 80003874: 00f71023 sh a5,0(a4) + 80003878: 0005c703 lbu a4,0(a1) + 8000387c: 0017879b addiw a5,a5,1 + 80003880: 03079793 slli a5,a5,0x30 + 80003884: 0016061b addiw a2,a2,1 + 80003888: 00100a93 li s5,1 + 8000388c: 0307d793 srli a5,a5,0x30 + 80003890: f40716e3 bnez a4,800037dc + 80003894: 000a8463 beqz s5,8000389c + 80003898: 00c52023 sw a2,0(a0) + 8000389c: 00052703 lw a4,0(a0) + 800038a0: 00b33023 sd a1,0(t1) + 800038a4: f40714e3 bnez a4,800037ec + 800038a8: 00001737 lui a4,0x1 + 800038ac: f4e780e3 beq a5,a4,800037ec + 800038b0: 00279793 slli a5,a5,0x2 + 800038b4: 00f687b3 add a5,a3,a5 + 800038b8: 00079023 sh zero,0(a5) + 800038bc: 0006d703 lhu a4,0(a3) + 800038c0: f2071ae3 bnez a4,800037f4 + 800038c4: 0a40006f j 80003968 + 800038c8: 00279713 slli a4,a5,0x2 + 800038cc: 00e68733 add a4,a3,a4 + 800038d0: 01c71023 sh t3,0(a4) # 1000 <_entry_offset+0x1000> + 800038d4: ef5ff06f j 800037c8 + 800038d8: 00279713 slli a4,a5,0x2 + 800038dc: 00e68733 add a4,a3,a4 + 800038e0: 01d71023 sh t4,0(a4) + 800038e4: ee5ff06f j 800037c8 + 800038e8: 00279713 slli a4,a5,0x2 + 800038ec: 00e68733 add a4,a3,a4 + 800038f0: 00571023 sh t0,0(a4) + 800038f4: ed5ff06f j 800037c8 + 800038f8: 00279713 slli a4,a5,0x2 + 800038fc: 00e68733 add a4,a3,a4 + 80003900: 01f71023 sh t6,0(a4) + 80003904: ec5ff06f j 800037c8 + 80003908: 00279713 slli a4,a5,0x2 + 8000390c: 00e68733 add a4,a3,a4 + 80003910: 00771023 sh t2,0(a4) + 80003914: eb5ff06f j 800037c8 + 80003918: 00279713 slli a4,a5,0x2 + 8000391c: 00e68733 add a4,a3,a4 + 80003920: 01e71023 sh t5,0(a4) + 80003924: ea5ff06f j 800037c8 + 80003928: 02061713 slli a4,a2,0x20 + 8000392c: 01f75713 srli a4,a4,0x1f + 80003930: 00e58733 add a4,a1,a4 + 80003934: 00075703 lhu a4,0(a4) + 80003938: 08070863 beqz a4,800039c8 + 8000393c: 0027d403 lhu s0,2(a5) + 80003940: 0014041b addiw s0,s0,1 + 80003944: 02041793 slli a5,s0,0x20 + 80003948: 01e7d793 srli a5,a5,0x1e + 8000394c: 00f687b3 add a5,a3,a5 + 80003950: 0007d703 lhu a4,0(a5) + 80003954: ee0716e3 bnez a4,80003840 + 80003958: 00030463 beqz t1,80003960 + 8000395c: 00ae2023 sw a0,0(t3) + 80003960: 000f0463 beqz t5,80003968 + 80003964: 010eb023 sd a6,0(t4) + 80003968: 03813403 ld s0,56(sp) + 8000396c: 03013483 ld s1,48(sp) + 80003970: 02813903 ld s2,40(sp) + 80003974: 02013983 ld s3,32(sp) + 80003978: 01813a03 ld s4,24(sp) + 8000397c: 01013a83 ld s5,16(sp) + 80003980: 00813b03 ld s6,8(sp) + 80003984: 00013b83 ld s7,0(sp) + 80003988: 04010113 addi sp,sp,64 + 8000398c: 00008067 ret + 80003990: 02061713 slli a4,a2,0x20 + 80003994: 01f75713 srli a4,a4,0x1f + 80003998: 00e58733 add a4,a1,a4 + 8000399c: 00075703 lhu a4,0(a4) + 800039a0: 02071463 bnez a4,800039c8 + 800039a4: 0027d403 lhu s0,2(a5) + 800039a8: f99ff06f j 80003940 + 800039ac: 00084703 lbu a4,0(a6) + 800039b0: 02061793 slli a5,a2,0x20 + 800039b4: 01f7d793 srli a5,a5,0x1f + 800039b8: 00f587b3 add a5,a1,a5 + 800039bc: 00e79023 sh a4,0(a5) + 800039c0: 00180813 addi a6,a6,1 + 800039c4: 00100f13 li t5,1 + 800039c8: 0014041b addiw s0,s0,1 + 800039cc: 02041793 slli a5,s0,0x20 + 800039d0: 01e7d793 srli a5,a5,0x1e + 800039d4: 00f687b3 add a5,a3,a5 + 800039d8: 0007d703 lhu a4,0(a5) + 800039dc: e60712e3 bnez a4,80003840 + 800039e0: f79ff06f j 80003958 + 800039e4: 02061793 slli a5,a2,0x20 + 800039e8: 01f7d793 srli a5,a5,0x1f + 800039ec: 00f587b3 add a5,a1,a5 + 800039f0: 0007d703 lhu a4,0(a5) + 800039f4: fff7071b addiw a4,a4,-1 + 800039f8: 00e79023 sh a4,0(a5) + 800039fc: fcdff06f j 800039c8 + 80003a00: 02061793 slli a5,a2,0x20 + 80003a04: 01f7d793 srli a5,a5,0x1f + 80003a08: 00f587b3 add a5,a1,a5 + 80003a0c: 0007d703 lhu a4,0(a5) + 80003a10: 00a387b3 add a5,t2,a0 + 80003a14: 00100313 li t1,1 + 80003a18: 00e78023 sb a4,0(a5) + 80003a1c: 0015051b addiw a0,a0,1 + 80003a20: fa9ff06f j 800039c8 + 80003a24: 02061793 slli a5,a2,0x20 + 80003a28: 01f7d793 srli a5,a5,0x1f + 80003a2c: 00f587b3 add a5,a1,a5 + 80003a30: 0007d703 lhu a4,0(a5) + 80003a34: 0017071b addiw a4,a4,1 + 80003a38: 00e79023 sh a4,0(a5) + 80003a3c: f8dff06f j 800039c8 + 80003a40: fff6061b addiw a2,a2,-1 + 80003a44: 0014041b addiw s0,s0,1 + 80003a48: 02041793 slli a5,s0,0x20 + 80003a4c: 01e7d793 srli a5,a5,0x1e + 80003a50: 00f687b3 add a5,a3,a5 + 80003a54: 0007d703 lhu a4,0(a5) + 80003a58: f00700e3 beqz a4,80003958 + 80003a5c: dff662e3 bltu a2,t6,80003840 + 80003a60: ef9ff06f j 80003958 + 80003a64: 0016061b addiw a2,a2,1 + 80003a68: fddff06f j 80003a44 + 80003a6c: 000a8663 beqz s5,80003a78 + 80003a70: 00004797 auipc a5,0x4 + 80003a74: ee07ac23 sw zero,-264(a5) # 80007968 + 80003a78: d60b0ae3 beqz s6,800037ec + 80003a7c: 01733023 sd s7,0(t1) + 80003a80: d6dff06f j 800037ec + 80003a84: fe0a8ae3 beqz s5,80003a78 + 80003a88: 00c52023 sw a2,0(a0) + 80003a8c: fedff06f j 80003a78 + 80003a90: 00068793 mv a5,a3 + 80003a94: e20602e3 beqz a2,800038b8 + 80003a98: d55ff06f j 800037ec + +0000000080003a9c : + 80003a9c: ff010113 addi sp,sp,-16 + 80003aa0: 00813023 sd s0,0(sp) + 80003aa4: 00004417 auipc s0,0x4 + 80003aa8: eec40413 addi s0,s0,-276 # 80007990 + 80003aac: 00042583 lw a1,0(s0) + 80003ab0: 00004517 auipc a0,0x4 + 80003ab4: ee853503 ld a0,-280(a0) # 80007998 + 80003ab8: 00113423 sd ra,8(sp) + 80003abc: 00b505b3 add a1,a0,a1 + 80003ac0: b59fc0ef jal ra,80000618 + 80003ac4: 00042683 lw a3,0(s0) + 80003ac8: 00004717 auipc a4,0x4 + 80003acc: e9072703 lw a4,-368(a4) # 80007958 + 80003ad0: 00e68c63 beq a3,a4,80003ae8 + 80003ad4: 00813083 ld ra,8(sp) + 80003ad8: 00013403 ld s0,0(sp) + 80003adc: 00000513 li a0,0 + 80003ae0: 01010113 addi sp,sp,16 + 80003ae4: 00008067 ret + 80003ae8: 00004717 auipc a4,0x4 + 80003aec: f3073703 ld a4,-208(a4) # 80007a18 + 80003af0: 0005079b sext.w a5,a0 + 80003af4: 01872503 lw a0,24(a4) + 80003af8: 00813083 ld ra,8(sp) + 80003afc: 00013403 ld s0,0(sp) + 80003b00: 40f50533 sub a0,a0,a5 + 80003b04: 00153513 seqz a0,a0 + 80003b08: 01010113 addi sp,sp,16 + 80003b0c: 00008067 ret + +0000000080003b10 : + 80003b10: 00004797 auipc a5,0x4 + 80003b14: f087b783 ld a5,-248(a5) # 80007a18 + 80003b18: 0007a783 lw a5,0(a5) + 80003b1c: ff010113 addi sp,sp,-16 + 80003b20: 00113423 sd ra,8(sp) + 80003b24: 0007859b sext.w a1,a5 + 80003b28: 00058513 mv a0,a1 + 80003b2c: 00004717 auipc a4,0x4 + 80003b30: e6f72e23 sw a5,-388(a4) # 800079a8 + 80003b34: 00813023 sd s0,0(sp) + 80003b38: 59d000ef jal ra,800048d4 <__muldi3> + 80003b3c: 0025141b slliw s0,a0,0x2 + 80003b40: 00040513 mv a0,s0 + 80003b44: 9d5fc0ef jal ra,80000518 + 80003b48: 00050793 mv a5,a0 + 80003b4c: 00040513 mv a0,s0 + 80003b50: 00004717 auipc a4,0x4 + 80003b54: e4f73823 sd a5,-432(a4) # 800079a0 + 80003b58: 9c1fc0ef jal ra,80000518 + 80003b5c: 00050793 mv a5,a0 + 80003b60: 00040513 mv a0,s0 + 80003b64: 00004717 auipc a4,0x4 + 80003b68: e4f73623 sd a5,-436(a4) # 800079b0 + 80003b6c: 9adfc0ef jal ra,80000518 + 80003b70: 00050793 mv a5,a0 + 80003b74: 00040513 mv a0,s0 + 80003b78: 00004717 auipc a4,0x4 + 80003b7c: e4f73023 sd a5,-448(a4) # 800079b8 + 80003b80: 999fc0ef jal ra,80000518 + 80003b84: 00813083 ld ra,8(sp) + 80003b88: 00013403 ld s0,0(sp) + 80003b8c: 00004797 auipc a5,0x4 + 80003b90: e2a7ba23 sd a0,-460(a5) # 800079c0 + 80003b94: 01010113 addi sp,sp,16 + 80003b98: 00008067 ret + +0000000080003b9c : + 80003b9c: f5010113 addi sp,sp,-176 + 80003ba0: 00004797 auipc a5,0x4 + 80003ba4: e107b783 ld a5,-496(a5) # 800079b0 + 80003ba8: 00f13423 sd a5,8(sp) + 80003bac: 00004797 auipc a5,0x4 + 80003bb0: e0c7b783 ld a5,-500(a5) # 800079b8 + 80003bb4: 0a813023 sd s0,160(sp) + 80003bb8: 0a113423 sd ra,168(sp) + 80003bbc: 08913c23 sd s1,152(sp) + 80003bc0: 09213823 sd s2,144(sp) + 80003bc4: 09313423 sd s3,136(sp) + 80003bc8: 09413023 sd s4,128(sp) + 80003bcc: 07513c23 sd s5,120(sp) + 80003bd0: 07613823 sd s6,112(sp) + 80003bd4: 07713423 sd s7,104(sp) + 80003bd8: 07813023 sd s8,96(sp) + 80003bdc: 05913c23 sd s9,88(sp) + 80003be0: 05a13823 sd s10,80(sp) + 80003be4: 05b13423 sd s11,72(sp) + 80003be8: 00004417 auipc s0,0x4 + 80003bec: dc042403 lw s0,-576(s0) # 800079a8 + 80003bf0: 00f13c23 sd a5,24(sp) + 80003bf4: 2a805263 blez s0,80003e98 + 80003bf8: fff4039b addiw t2,s0,-1 + 80003bfc: 00004f17 auipc t5,0x4 + 80003c00: da4f3f03 ld t5,-604(t5) # 800079a0 + 80003c04: 00038e9b sext.w t4,t2 + 80003c08: 00241493 slli s1,s0,0x2 + 80003c0c: 00000293 li t0,0 + 80003c10: 00000f93 li t6,0 + 80003c14: 00000e13 li t3,0 + 80003c18: 001e0e1b addiw t3,t3,1 + 80003c1c: 00028713 mv a4,t0 + 80003c20: 00000793 li a5,0 + 80003c24: 000f859b sext.w a1,t6 + 80003c28: 00813683 ld a3,8(sp) + 80003c2c: 01813603 ld a2,24(sp) + 80003c30: 41c78333 sub t1,a5,t3 + 80003c34: 00e68833 add a6,a3,a4 + 80003c38: 40b786b3 sub a3,a5,a1 + 80003c3c: 00e60533 add a0,a2,a4 + 80003c40: 00ef08b3 add a7,t5,a4 + 80003c44: 0016b693 seqz a3,a3 + 80003c48: 0017879b addiw a5,a5,1 + 80003c4c: 00100613 li a2,1 + 80003c50: 00be8463 beq t4,a1,80003c58 + 80003c54: 00133613 seqz a2,t1 + 80003c58: 00c8a023 sw a2,0(a7) + 80003c5c: 00c82023 sw a2,0(a6) + 80003c60: 00d52023 sw a3,0(a0) + 80003c64: 00470713 addi a4,a4,4 + 80003c68: fc8790e3 bne a5,s0,80003c28 + 80003c6c: 001f8f9b addiw t6,t6,1 + 80003c70: 009282b3 add t0,t0,s1 + 80003c74: fbc412e3 bne s0,t3,80003c18 + 80003c78: 01813703 ld a4,24(sp) + 80003c7c: 02039793 slli a5,t2,0x20 + 80003c80: 00004697 auipc a3,0x4 + 80003c84: d406b683 ld a3,-704(a3) # 800079c0 + 80003c88: 0207d793 srli a5,a5,0x20 + 80003c8c: 00279793 slli a5,a5,0x2 + 80003c90: 02d13423 sd a3,40(sp) + 80003c94: 00470713 addi a4,a4,4 + 80003c98: 00468693 addi a3,a3,4 + 80003c9c: 00f70733 add a4,a4,a5 + 80003ca0: 00f687b3 add a5,a3,a5 + 80003ca4: 02f13c23 sd a5,56(sp) + 80003ca8: 80000d37 lui s10,0x80000 + 80003cac: 01f00793 li a5,31 + 80003cb0: 02f13023 sd a5,32(sp) + 80003cb4: fd3d4793 xori a5,s10,-45 + 80003cb8: 02e13823 sd a4,48(sp) + 80003cbc: 00f13823 sd a5,16(sp) + 80003cc0: 01013783 ld a5,16(sp) + 80003cc4: 0017f793 andi a5,a5,1 + 80003cc8: 10079c63 bnez a5,80003de0 + 80003ccc: 0a805e63 blez s0,80003d88 + 80003cd0: 00813903 ld s2,8(sp) + 80003cd4: 02813a83 ld s5,40(sp) + 80003cd8: 00000a13 li s4,0 + 80003cdc: 01213023 sd s2,0(sp) + 80003ce0: 00813b03 ld s6,8(sp) + 80003ce4: 000a8d13 mv s10,s5 + 80003ce8: 00000d93 li s11,0 + 80003cec: 000d2023 sw zero,0(s10) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003cf0: 000b0993 mv s3,s6 + 80003cf4: 00090c93 mv s9,s2 + 80003cf8: 00000b93 li s7,0 + 80003cfc: 00000c13 li s8,0 + 80003d00: 0009a583 lw a1,0(s3) # 1000 <_entry_offset+0x1000> + 80003d04: 000ca503 lw a0,0(s9) + 80003d08: 001c0c1b addiw s8,s8,1 + 80003d0c: 004c8c93 addi s9,s9,4 + 80003d10: 3c5000ef jal ra,800048d4 <__muldi3> + 80003d14: 01750bbb addw s7,a0,s7 + 80003d18: 017d2023 sw s7,0(s10) + 80003d1c: 009989b3 add s3,s3,s1 + 80003d20: fe8c40e3 blt s8,s0,80003d00 + 80003d24: 001d8d9b addiw s11,s11,1 + 80003d28: 004d0d13 addi s10,s10,4 + 80003d2c: 004b0b13 addi s6,s6,4 + 80003d30: fa8dcee3 blt s11,s0,80003cec + 80003d34: 001a0a1b addiw s4,s4,1 + 80003d38: 00990933 add s2,s2,s1 + 80003d3c: 009a8ab3 add s5,s5,s1 + 80003d40: fa8a40e3 blt s4,s0,80003ce0 + 80003d44: 02813503 ld a0,40(sp) + 80003d48: 00000593 li a1,0 + 80003d4c: 00013683 ld a3,0(sp) + 80003d50: 00050713 mv a4,a0 + 80003d54: 00000793 li a5,0 + 80003d58: 00072603 lw a2,0(a4) + 80003d5c: 0017879b addiw a5,a5,1 + 80003d60: 00470713 addi a4,a4,4 + 80003d64: 00c6a023 sw a2,0(a3) + 80003d68: 00468693 addi a3,a3,4 + 80003d6c: fe87c6e3 blt a5,s0,80003d58 + 80003d70: 00013783 ld a5,0(sp) + 80003d74: 0015859b addiw a1,a1,1 + 80003d78: 00950533 add a0,a0,s1 + 80003d7c: 009787b3 add a5,a5,s1 + 80003d80: 00f13023 sd a5,0(sp) + 80003d84: fc85c4e3 blt a1,s0,80003d4c + 80003d88: 02013783 ld a5,32(sp) + 80003d8c: 01013703 ld a4,16(sp) + 80003d90: fff7879b addiw a5,a5,-1 + 80003d94: 40175713 srai a4,a4,0x1 + 80003d98: 02f13023 sd a5,32(sp) + 80003d9c: 00e13823 sd a4,16(sp) + 80003da0: f20790e3 bnez a5,80003cc0 + 80003da4: 0a813083 ld ra,168(sp) + 80003da8: 0a013403 ld s0,160(sp) + 80003dac: 09813483 ld s1,152(sp) + 80003db0: 09013903 ld s2,144(sp) + 80003db4: 08813983 ld s3,136(sp) + 80003db8: 08013a03 ld s4,128(sp) + 80003dbc: 07813a83 ld s5,120(sp) + 80003dc0: 07013b03 ld s6,112(sp) + 80003dc4: 06813b83 ld s7,104(sp) + 80003dc8: 06013c03 ld s8,96(sp) + 80003dcc: 05813c83 ld s9,88(sp) + 80003dd0: 05013d03 ld s10,80(sp) + 80003dd4: 04813d83 ld s11,72(sp) + 80003dd8: 0b010113 addi sp,sp,176 + 80003ddc: 00008067 ret + 80003de0: fa8054e3 blez s0,80003d88 + 80003de4: 02813c83 ld s9,40(sp) + 80003de8: 03013983 ld s3,48(sp) + 80003dec: 01813b83 ld s7,24(sp) + 80003df0: 00000c13 li s8,0 + 80003df4: 00813a83 ld s5,8(sp) + 80003df8: 000c8913 mv s2,s9 + 80003dfc: 00000a13 li s4,0 + 80003e00: 00092023 sw zero,0(s2) + 80003e04: 000a8d93 mv s11,s5 + 80003e08: 000b8d13 mv s10,s7 + 80003e0c: 00000b13 li s6,0 + 80003e10: 000da583 lw a1,0(s11) # ffffffff80000000 <_end+0xfffffffeffff0000> + 80003e14: 000d2503 lw a0,0(s10) + 80003e18: 004d0d13 addi s10,s10,4 + 80003e1c: 009d8db3 add s11,s11,s1 + 80003e20: 2b5000ef jal ra,800048d4 <__muldi3> + 80003e24: 01650b3b addw s6,a0,s6 + 80003e28: 01692023 sw s6,0(s2) + 80003e2c: ffa992e3 bne s3,s10,80003e10 + 80003e30: 001a0a1b addiw s4,s4,1 + 80003e34: 00490913 addi s2,s2,4 + 80003e38: 004a8a93 addi s5,s5,4 + 80003e3c: fc8a12e3 bne s4,s0,80003e00 + 80003e40: 001c0c1b addiw s8,s8,1 + 80003e44: 009b8bb3 add s7,s7,s1 + 80003e48: 009989b3 add s3,s3,s1 + 80003e4c: 009c8cb3 add s9,s9,s1 + 80003e50: fa8c12e3 bne s8,s0,80003df4 + 80003e54: 03813603 ld a2,56(sp) + 80003e58: 01813803 ld a6,24(sp) + 80003e5c: 02813503 ld a0,40(sp) + 80003e60: 00000593 li a1,0 + 80003e64: 00080713 mv a4,a6 + 80003e68: 00050793 mv a5,a0 + 80003e6c: 0007a683 lw a3,0(a5) + 80003e70: 00478793 addi a5,a5,4 + 80003e74: 00470713 addi a4,a4,4 + 80003e78: fed72e23 sw a3,-4(a4) + 80003e7c: fec798e3 bne a5,a2,80003e6c + 80003e80: 0015859b addiw a1,a1,1 + 80003e84: 00950533 add a0,a0,s1 + 80003e88: 00980833 add a6,a6,s1 + 80003e8c: 00960633 add a2,a2,s1 + 80003e90: fc859ae3 bne a1,s0,80003e64 + 80003e94: e3dff06f j 80003cd0 + 80003e98: 00241493 slli s1,s0,0x2 + 80003e9c: fff4039b addiw t2,s0,-1 + 80003ea0: dd9ff06f j 80003c78 + +0000000080003ea4 : + 80003ea4: 00004517 auipc a0,0x4 + 80003ea8: b0452503 lw a0,-1276(a0) # 800079a8 + 80003eac: ff010113 addi sp,sp,-16 + 80003eb0: fff5059b addiw a1,a0,-1 + 80003eb4: 00813023 sd s0,0(sp) + 80003eb8: 00113423 sd ra,8(sp) + 80003ebc: 00058413 mv s0,a1 + 80003ec0: 215000ef jal ra,800048d4 <__muldi3> + 80003ec4: 00a4043b addw s0,s0,a0 + 80003ec8: 00241413 slli s0,s0,0x2 + 80003ecc: 00004517 auipc a0,0x4 + 80003ed0: aec53503 ld a0,-1300(a0) # 800079b8 + 80003ed4: 00850433 add s0,a0,s0 + 80003ed8: 00004797 auipc a5,0x4 + 80003edc: b407b783 ld a5,-1216(a5) # 80007a18 + 80003ee0: 00042503 lw a0,0(s0) + 80003ee4: 0187a783 lw a5,24(a5) + 80003ee8: 00813083 ld ra,8(sp) + 80003eec: 00013403 ld s0,0(sp) + 80003ef0: 40f50533 sub a0,a0,a5 + 80003ef4: 00153513 seqz a0,a0 + 80003ef8: 01010113 addi sp,sp,16 + 80003efc: 00008067 ret + +0000000080003f00 : + 80003f00: fd010113 addi sp,sp,-48 + 80003f04: 01413023 sd s4,0(sp) + 80003f08: 02113423 sd ra,40(sp) + 80003f0c: 02813023 sd s0,32(sp) + 80003f10: 00913c23 sd s1,24(sp) + 80003f14: 01213823 sd s2,16(sp) + 80003f18: 01313423 sd s3,8(sp) + 80003f1c: 00004797 auipc a5,0x4 + 80003f20: aac7a783 lw a5,-1364(a5) # 800079c8 + 80003f24: 00100a13 li s4,1 + 80003f28: 04a78c63 beq a5,a0,80003f80 + 80003f2c: 00c5e433 or s0,a1,a2 + 80003f30: 00a46433 or s0,s0,a0 + 80003f34: fff44413 not s0,s0 + 80003f38: 00f47433 and s0,s0,a5 + 80003f3c: 00050493 mv s1,a0 + 80003f40: 00058993 mv s3,a1 + 80003f44: 00060913 mv s2,a2 + 80003f48: 00000a13 li s4,0 + 80003f4c: 02040a63 beqz s0,80003f80 + 80003f50: 408007bb negw a5,s0 + 80003f54: 00f477b3 and a5,s0,a5 + 80003f58: 0007851b sext.w a0,a5 + 80003f5c: 013565b3 or a1,a0,s3 + 80003f60: 00a96633 or a2,s2,a0 + 80003f64: 0015959b slliw a1,a1,0x1 + 80003f68: 0016561b srliw a2,a2,0x1 + 80003f6c: 00956533 or a0,a0,s1 + 80003f70: 40f4043b subw s0,s0,a5 + 80003f74: f8dff0ef jal ra,80003f00 + 80003f78: 01450a3b addw s4,a0,s4 + 80003f7c: fc041ae3 bnez s0,80003f50 + 80003f80: 02813083 ld ra,40(sp) + 80003f84: 02013403 ld s0,32(sp) + 80003f88: 01813483 ld s1,24(sp) + 80003f8c: 01013903 ld s2,16(sp) + 80003f90: 00813983 ld s3,8(sp) + 80003f94: 000a0513 mv a0,s4 + 80003f98: 00013a03 ld s4,0(sp) + 80003f9c: 03010113 addi sp,sp,48 + 80003fa0: 00008067 ret + +0000000080003fa4 : + 80003fa4: 00004797 auipc a5,0x4 + 80003fa8: a747b783 ld a5,-1420(a5) # 80007a18 + 80003fac: 0007a703 lw a4,0(a5) + 80003fb0: 00004797 auipc a5,0x4 + 80003fb4: a007ae23 sw zero,-1508(a5) # 800079cc + 80003fb8: 00100793 li a5,1 + 80003fbc: 00e797bb sllw a5,a5,a4 + 80003fc0: fff7879b addiw a5,a5,-1 + 80003fc4: 00004717 auipc a4,0x4 + 80003fc8: a0f72223 sw a5,-1532(a4) # 800079c8 + 80003fcc: 00008067 ret + +0000000080003fd0 : + 80003fd0: ff010113 addi sp,sp,-16 + 80003fd4: 00000613 li a2,0 + 80003fd8: 00000593 li a1,0 + 80003fdc: 00000513 li a0,0 + 80003fe0: 00113423 sd ra,8(sp) + 80003fe4: f1dff0ef jal ra,80003f00 + 80003fe8: 00813083 ld ra,8(sp) + 80003fec: 00004797 auipc a5,0x4 + 80003ff0: 9ea7a023 sw a0,-1568(a5) # 800079cc + 80003ff4: 01010113 addi sp,sp,16 + 80003ff8: 00008067 ret + +0000000080003ffc : + 80003ffc: 00004797 auipc a5,0x4 + 80004000: a1c7b783 ld a5,-1508(a5) # 80007a18 + 80004004: 0187a503 lw a0,24(a5) + 80004008: 00004797 auipc a5,0x4 + 8000400c: 9c47a783 lw a5,-1596(a5) # 800079cc + 80004010: 40f50533 sub a0,a0,a5 + 80004014: 00153513 seqz a0,a0 + 80004018: 00008067 ret + +000000008000401c : + 8000401c: fd010113 addi sp,sp,-48 + 80004020: 01213823 sd s2,16(sp) + 80004024: 01313423 sd s3,8(sp) + 80004028: 01413023 sd s4,0(sp) + 8000402c: 02113423 sd ra,40(sp) + 80004030: 02813023 sd s0,32(sp) + 80004034: 00913c23 sd s1,24(sp) + 80004038: 00060a13 mv s4,a2 + 8000403c: 00050993 mv s3,a0 + 80004040: 00850913 addi s2,a0,8 + 80004044: 0b45dc63 bge a1,s4,800040fc + 80004048: 00259793 slli a5,a1,0x2 + 8000404c: 00f98e33 add t3,s3,a5 + 80004050: 0015871b addiw a4,a1,1 + 80004054: 000e2303 lw t1,0(t3) + 80004058: ffea049b addiw s1,s4,-2 + 8000405c: 09475c63 bge a4,s4,800040f4 + 80004060: 40b4883b subw a6,s1,a1 + 80004064: 02081813 slli a6,a6,0x20 + 80004068: 02085813 srli a6,a6,0x20 + 8000406c: 00b80833 add a6,a6,a1 + 80004070: 00478793 addi a5,a5,4 + 80004074: 00281813 slli a6,a6,0x2 + 80004078: 00f987b3 add a5,s3,a5 + 8000407c: 01280833 add a6,a6,s2 + 80004080: 00058613 mv a2,a1 + 80004084: 0007a683 lw a3,0(a5) + 80004088: 0016041b addiw s0,a2,1 + 8000408c: 00241713 slli a4,s0,0x2 + 80004090: 0026051b addiw a0,a2,2 + 80004094: 00e98733 add a4,s3,a4 + 80004098: 0066dc63 bge a3,t1,800040b0 + 8000409c: 00072883 lw a7,0(a4) + 800040a0: 00d72023 sw a3,0(a4) + 800040a4: 00040613 mv a2,s0 + 800040a8: 0117a023 sw a7,0(a5) + 800040ac: 00050413 mv s0,a0 + 800040b0: 00478793 addi a5,a5,4 + 800040b4: fcf818e3 bne a6,a5,80004084 + 800040b8: 00261793 slli a5,a2,0x2 + 800040bc: 000e2683 lw a3,0(t3) + 800040c0: 00f987b3 add a5,s3,a5 + 800040c4: 0007a703 lw a4,0(a5) + 800040c8: 00d7a023 sw a3,0(a5) + 800040cc: 00098513 mv a0,s3 + 800040d0: 00ee2023 sw a4,0(t3) + 800040d4: f49ff0ef jal ra,8000401c + 800040d8: 03445263 bge s0,s4,800040fc + 800040dc: 00040593 mv a1,s0 + 800040e0: 00259793 slli a5,a1,0x2 + 800040e4: 00f98e33 add t3,s3,a5 + 800040e8: 0015871b addiw a4,a1,1 + 800040ec: 000e2303 lw t1,0(t3) + 800040f0: f74748e3 blt a4,s4,80004060 + 800040f4: 00058a13 mv s4,a1 + 800040f8: f545c8e3 blt a1,s4,80004048 + 800040fc: 02813083 ld ra,40(sp) + 80004100: 02013403 ld s0,32(sp) + 80004104: 01813483 ld s1,24(sp) + 80004108: 01013903 ld s2,16(sp) + 8000410c: 00813983 ld s3,8(sp) + 80004110: 00013a03 ld s4,0(sp) + 80004114: 03010113 addi sp,sp,48 + 80004118: 00008067 ret + +000000008000411c : + 8000411c: fd010113 addi sp,sp,-48 + 80004120: 00100513 li a0,1 + 80004124: 02113423 sd ra,40(sp) + 80004128: 01213823 sd s2,16(sp) + 8000412c: 01313423 sd s3,8(sp) + 80004130: 02813023 sd s0,32(sp) + 80004134: 00913c23 sd s1,24(sp) + 80004138: c7cfc0ef jal ra,800005b4 + 8000413c: 00004797 auipc a5,0x4 + 80004140: 8dc7b783 ld a5,-1828(a5) # 80007a18 + 80004144: 0007a783 lw a5,0(a5) + 80004148: 00004917 auipc s2,0x4 + 8000414c: 88890913 addi s2,s2,-1912 # 800079d0 + 80004150: 00004997 auipc s3,0x4 + 80004154: 88898993 addi s3,s3,-1912 # 800079d8 + 80004158: 00279513 slli a0,a5,0x2 + 8000415c: 00f92023 sw a5,0(s2) + 80004160: bb8fc0ef jal ra,80000518 + 80004164: 00092783 lw a5,0(s2) + 80004168: 00a9b023 sd a0,0(s3) + 8000416c: 02f05e63 blez a5,800041a8 + 80004170: 00000493 li s1,0 + 80004174: c54fc0ef jal ra,800005c8 + 80004178: 0005041b sext.w s0,a0 + 8000417c: c4cfc0ef jal ra,800005c8 + 80004180: 0009b783 ld a5,0(s3) + 80004184: 00249693 slli a3,s1,0x2 + 80004188: 0104141b slliw s0,s0,0x10 + 8000418c: 00092703 lw a4,0(s2) + 80004190: 00d787b3 add a5,a5,a3 + 80004194: 00856433 or s0,a0,s0 + 80004198: 00148493 addi s1,s1,1 + 8000419c: 0087a023 sw s0,0(a5) + 800041a0: 0004879b sext.w a5,s1 + 800041a4: fce7c8e3 blt a5,a4,80004174 + 800041a8: 02813083 ld ra,40(sp) + 800041ac: 02013403 ld s0,32(sp) + 800041b0: 01813483 ld s1,24(sp) + 800041b4: 01013903 ld s2,16(sp) + 800041b8: 00813983 ld s3,8(sp) + 800041bc: 03010113 addi sp,sp,48 + 800041c0: 00008067 ret + +00000000800041c4 : + 800041c4: 00004617 auipc a2,0x4 + 800041c8: 80c62603 lw a2,-2036(a2) # 800079d0 + 800041cc: 00000593 li a1,0 + 800041d0: 00004517 auipc a0,0x4 + 800041d4: 80853503 ld a0,-2040(a0) # 800079d8 + 800041d8: e45ff06f j 8000401c + +00000000800041dc : + 800041dc: 00003597 auipc a1,0x3 + 800041e0: 7f45a583 lw a1,2036(a1) # 800079d0 + 800041e4: 00003517 auipc a0,0x3 + 800041e8: 7f453503 ld a0,2036(a0) # 800079d8 + 800041ec: 00259593 slli a1,a1,0x2 + 800041f0: ff010113 addi sp,sp,-16 + 800041f4: 00b505b3 add a1,a0,a1 + 800041f8: 00113423 sd ra,8(sp) + 800041fc: c1cfc0ef jal ra,80000618 + 80004200: 00004797 auipc a5,0x4 + 80004204: 8187b783 ld a5,-2024(a5) # 80007a18 + 80004208: 0187a783 lw a5,24(a5) + 8000420c: 00813083 ld ra,8(sp) + 80004210: 0005051b sext.w a0,a0 + 80004214: 40a78533 sub a0,a5,a0 + 80004218: 00153513 seqz a0,a0 + 8000421c: 01010113 addi sp,sp,16 + 80004220: 00008067 ret + +0000000080004224 : + 80004224: 00003797 auipc a5,0x3 + 80004228: 7f47b783 ld a5,2036(a5) # 80007a18 + 8000422c: 0007a783 lw a5,0(a5) + 80004230: fe010113 addi sp,sp,-32 + 80004234: 00913423 sd s1,8(sp) + 80004238: 00100513 li a0,1 + 8000423c: 00003497 auipc s1,0x3 + 80004240: 7a448493 addi s1,s1,1956 # 800079e0 + 80004244: 00f4a023 sw a5,0(s1) + 80004248: 00113c23 sd ra,24(sp) + 8000424c: 01213023 sd s2,0(sp) + 80004250: 00813823 sd s0,16(sp) + 80004254: b60fc0ef jal ra,800005b4 + 80004258: 0004a503 lw a0,0(s1) + 8000425c: 00003917 auipc s2,0x3 + 80004260: 79490913 addi s2,s2,1940 # 800079f0 + 80004264: ab4fc0ef jal ra,80000518 + 80004268: 0004a783 lw a5,0(s1) + 8000426c: 00a93023 sd a0,0(s2) + 80004270: 02f05463 blez a5,80004298 + 80004274: 00000413 li s0,0 + 80004278: b50fc0ef jal ra,800005c8 + 8000427c: 00093783 ld a5,0(s2) + 80004280: 0004a703 lw a4,0(s1) + 80004284: 008787b3 add a5,a5,s0 + 80004288: 00140413 addi s0,s0,1 + 8000428c: 00a78023 sb a0,0(a5) + 80004290: 0004079b sext.w a5,s0 + 80004294: fee7c2e3 blt a5,a4,80004278 + 80004298: 01000513 li a0,16 + 8000429c: a7cfc0ef jal ra,80000518 + 800042a0: 01813083 ld ra,24(sp) + 800042a4: 01013403 ld s0,16(sp) + 800042a8: 00003797 auipc a5,0x3 + 800042ac: 74a7b023 sd a0,1856(a5) # 800079e8 + 800042b0: 00813483 ld s1,8(sp) + 800042b4: 00013903 ld s2,0(sp) + 800042b8: 02010113 addi sp,sp,32 + 800042bc: 00008067 ret + +00000000800042c0 : + 800042c0: 00003697 auipc a3,0x3 + 800042c4: 7206a683 lw a3,1824(a3) # 800079e0 + 800042c8: f6010113 addi sp,sp,-160 + 800042cc: 00168793 addi a5,a3,1 + 800042d0: 00003f97 auipc t6,0x3 + 800042d4: 720fbf83 ld t6,1824(t6) # 800079f0 + 800042d8: 08813c23 sd s0,152(sp) + 800042dc: 08913823 sd s1,144(sp) + 800042e0: 09213423 sd s2,136(sp) + 800042e4: 09313023 sd s3,128(sp) + 800042e8: 07413c23 sd s4,120(sp) + 800042ec: 07513823 sd s5,112(sp) + 800042f0: 07613423 sd s6,104(sp) + 800042f4: 07713023 sd s7,96(sp) + 800042f8: 05813c23 sd s8,88(sp) + 800042fc: 05913823 sd s9,80(sp) + 80004300: 05a13423 sd s10,72(sp) + 80004304: 05b13023 sd s11,64(sp) + 80004308: 03f7f513 andi a0,a5,63 + 8000430c: 03800593 li a1,56 + 80004310: 00003717 auipc a4,0x3 + 80004314: 6d873703 ld a4,1752(a4) # 800079e8 + 80004318: 00df8633 add a2,t6,a3 + 8000431c: 00078f13 mv t5,a5 + 80004320: 2eb50863 beq a0,a1,80004610 + 80004324: 03800513 li a0,56 + 80004328: 001f0f13 addi t5,t5,1 + 8000432c: 03ff7593 andi a1,t5,63 + 80004330: fea59ce3 bne a1,a0,80004328 + 80004334: f8000593 li a1,-128 + 80004338: 00b60023 sb a1,0(a2) + 8000433c: 2de7fe63 bgeu a5,t5,80004618 + 80004340: 00ff87b3 add a5,t6,a5 + 80004344: 01ef8633 add a2,t6,t5 + 80004348: 00078023 sb zero,0(a5) + 8000434c: 00178793 addi a5,a5,1 + 80004350: fef61ce3 bne a2,a5,80004348 + 80004354: 0036951b slliw a0,a3,0x3 + 80004358: 41d6d593 srai a1,a3,0x1d + 8000435c: 0185581b srliw a6,a0,0x18 + 80004360: 0085531b srliw t1,a0,0x8 + 80004364: 0105589b srliw a7,a0,0x10 + 80004368: 004f0793 addi a5,t5,4 + 8000436c: 00a60023 sb a0,0(a2) + 80004370: 010601a3 sb a6,3(a2) + 80004374: 006600a3 sb t1,1(a2) + 80004378: 01160123 sb a7,2(a2) + 8000437c: 00ff87b3 add a5,t6,a5 + 80004380: 0085d51b srliw a0,a1,0x8 + 80004384: 0105d61b srliw a2,a1,0x10 + 80004388: 0185d59b srliw a1,a1,0x18 + 8000438c: 01d6d693 srli a3,a3,0x1d + 80004390: 00a780a3 sb a0,1(a5) + 80004394: 00c78123 sb a2,2(a5) + 80004398: 00b781a3 sb a1,3(a5) + 8000439c: 10325637 lui a2,0x10325 + 800043a0: 98bae5b7 lui a1,0x98bae + 800043a4: efcdb537 lui a0,0xefcdb + 800043a8: 67452837 lui a6,0x67452 + 800043ac: d76aa3b7 lui t2,0xd76aa + 800043b0: 00d78023 sb a3,0(a5) + 800043b4: 47660613 addi a2,a2,1142 # 10325476 <_entry_offset+0x10325476> + 800043b8: cfe58593 addi a1,a1,-770 # ffffffff98badcfe <_end+0xffffffff18b9dcfe> + 800043bc: b8950513 addi a0,a0,-1143 # ffffffffefcdab89 <_end+0xffffffff6fccab89> + 800043c0: 30180813 addi a6,a6,769 # 67452301 <_entry_offset+0x67452301> + 800043c4: 00000293 li t0,0 + 800043c8: 47838393 addi t2,t2,1144 # ffffffffd76aa478 <_end+0xffffffff5769a478> + 800043cc: 00f00c13 li s8,15 + 800043d0: 01f00b93 li s7,31 + 800043d4: 02f00b13 li s6,47 + 800043d8: 03f00a93 li s5,63 + 800043dc: 005f87b3 add a5,t6,t0 + 800043e0: 00010e13 mv t3,sp + 800043e4: 0017c303 lbu t1,1(a5) + 800043e8: 0007ce83 lbu t4,0(a5) + 800043ec: 0027c883 lbu a7,2(a5) + 800043f0: 0037c683 lbu a3,3(a5) + 800043f4: 00831313 slli t1,t1,0x8 + 800043f8: 01d36333 or t1,t1,t4 + 800043fc: 01089893 slli a7,a7,0x10 + 80004400: 0068e8b3 or a7,a7,t1 + 80004404: 01869693 slli a3,a3,0x18 + 80004408: 0116e6b3 or a3,a3,a7 + 8000440c: 00de2023 sw a3,0(t3) + 80004410: 004e0e13 addi t3,t3,4 + 80004414: 04010693 addi a3,sp,64 + 80004418: 00478793 addi a5,a5,4 + 8000441c: fdc694e3 bne a3,t3,800043e4 + 80004420: 00080793 mv a5,a6 + 80004424: 00038d13 mv s10,t2 + 80004428: 00000e93 li t4,0 + 8000442c: 00002a17 auipc s4,0x2 + 80004430: 420a0a13 addi s4,s4,1056 # 8000684c + 80004434: 00002997 auipc s3,0x2 + 80004438: 51898993 addi s3,s3,1304 # 8000694c + 8000443c: 00050893 mv a7,a0 + 80004440: 00060e13 mv t3,a2 + 80004444: 00058313 mv t1,a1 + 80004448: 00100913 li s2,1 + 8000444c: 00500493 li s1,5 + 80004450: 00000413 li s0,0 + 80004454: 00700c93 li s9,7 + 80004458: 01a78d3b addw s10,a5,s10 + 8000445c: 09dc7263 bgeu s8,t4,800044e0 + 80004460: 011347b3 xor a5,t1,a7 + 80004464: 01c7f7b3 and a5,a5,t3 + 80004468: 00f347b3 xor a5,t1,a5 + 8000446c: 09dbe663 bltu s7,t4,800044f8 + 80004470: 0007879b sext.w a5,a5 + 80004474: 00f97693 andi a3,s2,15 + 80004478: 02069693 slli a3,a3,0x20 + 8000447c: 01e6d693 srli a3,a3,0x1e + 80004480: 04010d93 addi s11,sp,64 + 80004484: 00dd86b3 add a3,s11,a3 + 80004488: fc06a683 lw a3,-64(a3) + 8000448c: 01a787bb addw a5,a5,s10 + 80004490: 41900d3b negw s10,s9 + 80004494: 00d787bb addw a5,a5,a3 + 80004498: 019796bb sllw a3,a5,s9 + 8000449c: 01a7d7bb srlw a5,a5,s10 + 800044a0: 00f6e6b3 or a3,a3,a5 + 800044a4: 011686bb addw a3,a3,a7 + 800044a8: 000a2d03 lw s10,0(s4) + 800044ac: 000e0793 mv a5,t3 + 800044b0: 001e8e9b addiw t4,t4,1 + 800044b4: 0009ac83 lw s9,0(s3) + 800044b8: 00030e13 mv t3,t1 + 800044bc: 004a0a13 addi s4,s4,4 + 800044c0: 00088313 mv t1,a7 + 800044c4: 00498993 addi s3,s3,4 + 800044c8: 0074041b addiw s0,s0,7 + 800044cc: 0034849b addiw s1,s1,3 + 800044d0: 0059091b addiw s2,s2,5 + 800044d4: 00068893 mv a7,a3 + 800044d8: 01a78d3b addw s10,a5,s10 + 800044dc: f9dc62e3 bltu s8,t4,80004460 + 800044e0: 01c347b3 xor a5,t1,t3 + 800044e4: 0117f7b3 and a5,a5,a7 + 800044e8: 00fe47b3 xor a5,t3,a5 + 800044ec: 0007879b sext.w a5,a5 + 800044f0: 000e8693 mv a3,t4 + 800044f4: f85ff06f j 80004478 + 800044f8: 01c347b3 xor a5,t1,t3 + 800044fc: 0117c7b3 xor a5,a5,a7 + 80004500: 01db6863 bltu s6,t4,80004510 + 80004504: 0007879b sext.w a5,a5 + 80004508: 00f4f693 andi a3,s1,15 + 8000450c: f6dff06f j 80004478 + 80004510: 00f47793 andi a5,s0,15 + 80004514: 04010693 addi a3,sp,64 + 80004518: 00279793 slli a5,a5,0x2 + 8000451c: 00f687b3 add a5,a3,a5 + 80004520: fc07a783 lw a5,-64(a5) + 80004524: fffe4693 not a3,t3 + 80004528: 0116e6b3 or a3,a3,a7 + 8000452c: 0066c6b3 xor a3,a3,t1 + 80004530: 00d787bb addw a5,a5,a3 + 80004534: 01a787bb addw a5,a5,s10 + 80004538: 41900d3b negw s10,s9 + 8000453c: 019796bb sllw a3,a5,s9 + 80004540: 01a7d7bb srlw a5,a5,s10 + 80004544: 00f6e6b3 or a3,a3,a5 + 80004548: 011686bb addw a3,a3,a7 + 8000454c: f55e9ee3 bne t4,s5,800044a8 + 80004550: 04028293 addi t0,t0,64 + 80004554: 010e083b addw a6,t3,a6 + 80004558: 00a6853b addw a0,a3,a0 + 8000455c: 00b885bb addw a1,a7,a1 + 80004560: 00c3063b addw a2,t1,a2 + 80004564: e7e2ece3 bltu t0,t5,800043dc + 80004568: 0088549b srliw s1,a6,0x8 + 8000456c: 0108541b srliw s0,a6,0x10 + 80004570: 0188539b srliw t2,a6,0x18 + 80004574: 0085529b srliw t0,a0,0x8 + 80004578: 01055f9b srliw t6,a0,0x10 + 8000457c: 01855f1b srliw t5,a0,0x18 + 80004580: 0085de9b srliw t4,a1,0x8 + 80004584: 0105de1b srliw t3,a1,0x10 + 80004588: 0185d31b srliw t1,a1,0x18 + 8000458c: 0086589b srliw a7,a2,0x8 + 80004590: 0106569b srliw a3,a2,0x10 + 80004594: 0186579b srliw a5,a2,0x18 + 80004598: 01070023 sb a6,0(a4) + 8000459c: 009700a3 sb s1,1(a4) + 800045a0: 00870123 sb s0,2(a4) + 800045a4: 007701a3 sb t2,3(a4) + 800045a8: 00a70223 sb a0,4(a4) + 800045ac: 005702a3 sb t0,5(a4) + 800045b0: 01f70323 sb t6,6(a4) + 800045b4: 01e703a3 sb t5,7(a4) + 800045b8: 00b70423 sb a1,8(a4) + 800045bc: 01d704a3 sb t4,9(a4) + 800045c0: 01c70523 sb t3,10(a4) + 800045c4: 006705a3 sb t1,11(a4) + 800045c8: 00c70623 sb a2,12(a4) + 800045cc: 011706a3 sb a7,13(a4) + 800045d0: 00d70723 sb a3,14(a4) + 800045d4: 00f707a3 sb a5,15(a4) + 800045d8: 09813403 ld s0,152(sp) + 800045dc: 09013483 ld s1,144(sp) + 800045e0: 08813903 ld s2,136(sp) + 800045e4: 08013983 ld s3,128(sp) + 800045e8: 07813a03 ld s4,120(sp) + 800045ec: 07013a83 ld s5,112(sp) + 800045f0: 06813b03 ld s6,104(sp) + 800045f4: 06013b83 ld s7,96(sp) + 800045f8: 05813c03 ld s8,88(sp) + 800045fc: 05013c83 ld s9,80(sp) + 80004600: 04813d03 ld s10,72(sp) + 80004604: 04013d83 ld s11,64(sp) + 80004608: 0a010113 addi sp,sp,160 + 8000460c: 00008067 ret + 80004610: f8000793 li a5,-128 + 80004614: 00f60023 sb a5,0(a2) + 80004618: 01ef8633 add a2,t6,t5 + 8000461c: d39ff06f j 80004354 + +0000000080004620 : + 80004620: 00003517 auipc a0,0x3 + 80004624: 3c853503 ld a0,968(a0) # 800079e8 + 80004628: ff010113 addi sp,sp,-16 + 8000462c: 01050593 addi a1,a0,16 + 80004630: 00113423 sd ra,8(sp) + 80004634: fe5fb0ef jal ra,80000618 + 80004638: 00003797 auipc a5,0x3 + 8000463c: 3e07b783 ld a5,992(a5) # 80007a18 + 80004640: 0187a783 lw a5,24(a5) + 80004644: 00813083 ld ra,8(sp) + 80004648: 0005051b sext.w a0,a0 + 8000464c: 40a78533 sub a0,a5,a0 + 80004650: 00153513 seqz a0,a0 + 80004654: 01010113 addi sp,sp,16 + 80004658: 00008067 ret + +000000008000465c : + 8000465c: 00003797 auipc a5,0x3 + 80004660: 3bc7b783 ld a5,956(a5) # 80007a18 + 80004664: 0007a783 lw a5,0(a5) + 80004668: ff010113 addi sp,sp,-16 + 8000466c: 00813023 sd s0,0(sp) + 80004670: 41f7d51b sraiw a0,a5,0x1f + 80004674: 01d5551b srliw a0,a0,0x1d + 80004678: 00f5053b addw a0,a0,a5 + 8000467c: 4035551b sraiw a0,a0,0x3 + 80004680: 00003417 auipc s0,0x3 + 80004684: 37840413 addi s0,s0,888 # 800079f8 + 80004688: 0805051b addiw a0,a0,128 + 8000468c: 00f42023 sw a5,0(s0) + 80004690: 00113423 sd ra,8(sp) + 80004694: e85fb0ef jal ra,80000518 + 80004698: 00042783 lw a5,0(s0) + 8000469c: 00003717 auipc a4,0x3 + 800046a0: 36a73223 sd a0,868(a4) # 80007a00 + 800046a4: fe100693 li a3,-31 + 800046a8: 41f7d71b sraiw a4,a5,0x1f + 800046ac: 01b7571b srliw a4,a4,0x1b + 800046b0: 00f7073b addw a4,a4,a5 + 800046b4: 02d7c063 blt a5,a3,800046d4 + 800046b8: 4057571b sraiw a4,a4,0x5 + 800046bc: 00000793 li a5,0 + 800046c0: fff00693 li a3,-1 + 800046c4: 00d52023 sw a3,0(a0) + 800046c8: 0017879b addiw a5,a5,1 + 800046cc: 00450513 addi a0,a0,4 + 800046d0: fef75ae3 bge a4,a5,800046c4 + 800046d4: 00813083 ld ra,8(sp) + 800046d8: 00013403 ld s0,0(sp) + 800046dc: 01010113 addi sp,sp,16 + 800046e0: 00008067 ret + +00000000800046e4 : + 800046e4: fd010113 addi sp,sp,-48 + 800046e8: 00913c23 sd s1,24(sp) + 800046ec: 02113423 sd ra,40(sp) + 800046f0: 02813023 sd s0,32(sp) + 800046f4: 01213823 sd s2,16(sp) + 800046f8: 01313423 sd s3,8(sp) + 800046fc: 00003497 auipc s1,0x3 + 80004700: 2fc4a483 lw s1,764(s1) # 800079f8 + 80004704: 14905463 blez s1,8000484c + 80004708: 00003617 auipc a2,0x3 + 8000470c: 2f860613 addi a2,a2,760 # 80007a00 + 80004710: 00063683 ld a3,0(a2) + 80004714: 0006a783 lw a5,0(a3) + 80004718: 0017d79b srliw a5,a5,0x1 + 8000471c: 0017f793 andi a5,a5,1 + 80004720: 00079c63 bnez a5,80004738 + 80004724: 10c0006f j 80004830 + 80004728: 00072703 lw a4,0(a4) + 8000472c: 00f7573b srlw a4,a4,a5 + 80004730: 00177713 andi a4,a4,1 + 80004734: 0e070e63 beqz a4,80004830 + 80004738: 0017879b addiw a5,a5,1 + 8000473c: 4057d71b sraiw a4,a5,0x5 + 80004740: 00271713 slli a4,a4,0x2 + 80004744: 00e68733 add a4,a3,a4 + 80004748: fef4d0e3 bge s1,a5,80004728 + 8000474c: 00300793 li a5,3 + 80004750: 0e97de63 bge a5,s1,8000484c + 80004754: 00063903 ld s2,0(a2) + 80004758: 00200413 li s0,2 + 8000475c: 00100993 li s3,1 + 80004760: 01c0006f j 8000477c + 80004764: 0014041b addiw s0,s0,1 + 80004768: 00040593 mv a1,s0 + 8000476c: 00040513 mv a0,s0 + 80004770: 164000ef jal ra,800048d4 <__muldi3> + 80004774: 0005051b sext.w a0,a0 + 80004778: 06a4c663 blt s1,a0,800047e4 + 8000477c: 40545793 srai a5,s0,0x5 + 80004780: 00279793 slli a5,a5,0x2 + 80004784: 00f907b3 add a5,s2,a5 + 80004788: 0007a783 lw a5,0(a5) + 8000478c: 0087d7bb srlw a5,a5,s0 + 80004790: 0017f793 andi a5,a5,1 + 80004794: fc0788e3 beqz a5,80004764 + 80004798: 0014169b slliw a3,s0,0x1 + 8000479c: fcd4c4e3 blt s1,a3,80004764 + 800047a0: 4056d713 srai a4,a3,0x5 + 800047a4: 00271713 slli a4,a4,0x2 + 800047a8: 00e90733 add a4,s2,a4 + 800047ac: 00072603 lw a2,0(a4) + 800047b0: 01f6f793 andi a5,a3,31 + 800047b4: 00f997b3 sll a5,s3,a5 + 800047b8: fff7c793 not a5,a5 + 800047bc: 00c7f7b3 and a5,a5,a2 + 800047c0: 00f72023 sw a5,0(a4) + 800047c4: 00d406bb addw a3,s0,a3 + 800047c8: fcd4dce3 bge s1,a3,800047a0 + 800047cc: 0014041b addiw s0,s0,1 + 800047d0: 00040593 mv a1,s0 + 800047d4: 00040513 mv a0,s0 + 800047d8: 0fc000ef jal ra,800048d4 <__muldi3> + 800047dc: 0005051b sext.w a0,a0 + 800047e0: f8a4dee3 bge s1,a0,8000477c + 800047e4: 00003797 auipc a5,0x3 + 800047e8: 2007ac23 sw zero,536(a5) # 800079fc + 800047ec: 00000613 li a2,0 + 800047f0: 00000693 li a3,0 + 800047f4: 00200713 li a4,2 + 800047f8: 40575793 srai a5,a4,0x5 + 800047fc: 00279793 slli a5,a5,0x2 + 80004800: 00f907b3 add a5,s2,a5 + 80004804: 0007a783 lw a5,0(a5) + 80004808: 00e7d7bb srlw a5,a5,a4 + 8000480c: 0017f793 andi a5,a5,1 + 80004810: 0017071b addiw a4,a4,1 + 80004814: 00078663 beqz a5,80004820 + 80004818: 0016869b addiw a3,a3,1 + 8000481c: 00100613 li a2,1 + 80004820: fce4dce3 bge s1,a4,800047f8 + 80004824: 00060663 beqz a2,80004830 + 80004828: 00003797 auipc a5,0x3 + 8000482c: 1cd7aa23 sw a3,468(a5) # 800079fc + 80004830: 02813083 ld ra,40(sp) + 80004834: 02013403 ld s0,32(sp) + 80004838: 01813483 ld s1,24(sp) + 8000483c: 01013903 ld s2,16(sp) + 80004840: 00813983 ld s3,8(sp) + 80004844: 03010113 addi sp,sp,48 + 80004848: 00008067 ret + 8000484c: 00003797 auipc a5,0x3 + 80004850: 1a07a823 sw zero,432(a5) # 800079fc + 80004854: 00100793 li a5,1 + 80004858: fc97dce3 bge a5,s1,80004830 + 8000485c: 00003917 auipc s2,0x3 + 80004860: 1a493903 ld s2,420(s2) # 80007a00 + 80004864: f89ff06f j 800047ec + +0000000080004868 : + 80004868: 00003797 auipc a5,0x3 + 8000486c: 1b07b783 ld a5,432(a5) # 80007a18 + 80004870: 0187a503 lw a0,24(a5) + 80004874: 00003797 auipc a5,0x3 + 80004878: 1887a783 lw a5,392(a5) # 800079fc + 8000487c: 40f50533 sub a0,a0,a5 + 80004880: 00153513 seqz a0,a0 + 80004884: 00008067 ret + +0000000080004888 : + 80004888: 00008067 ret + +000000008000488c : + 8000488c: 00050513 mv a0,a0 + 80004890: 0000006b 0x6b + 80004894: 0000006f j 80004894 + +0000000080004898 <_assert>: + 80004898: 00051a63 bnez a0,800048ac <_assert+0x14> + 8000489c: 00100793 li a5,1 + 800048a0: 00078513 mv a0,a5 + 800048a4: 0000006b 0x6b + 800048a8: 0000006f j 800048a8 <_assert+0x10> + 800048ac: 00008067 ret + +00000000800048b0 <_trm_init>: + 800048b0: ff010113 addi sp,sp,-16 + 800048b4: 00113423 sd ra,8(sp) + 800048b8: 50c000ef jal ra,80004dc4 + 800048bc: 00002517 auipc a0,0x2 + 800048c0: 46c50513 addi a0,a0,1132 # 80006d28 + 800048c4: 839fb0ef jal ra,800000fc
+ 800048c8: 00050513 mv a0,a0 + 800048cc: 0000006b 0x6b + 800048d0: 0000006f j 800048d0 <_trm_init+0x20> + +00000000800048d4 <__muldi3>: + 800048d4: 00050613 mv a2,a0 + 800048d8: 00000513 li a0,0 + 800048dc: 0015f693 andi a3,a1,1 + 800048e0: 00068463 beqz a3,800048e8 <__muldi3+0x14> + 800048e4: 00c50533 add a0,a0,a2 + 800048e8: 0015d593 srli a1,a1,0x1 + 800048ec: 00161613 slli a2,a2,0x1 + 800048f0: fe0596e3 bnez a1,800048dc <__muldi3+0x8> + 800048f4: 00008067 ret + +00000000800048f8 <__udivsi3>: + 800048f8: 02051513 slli a0,a0,0x20 + 800048fc: 02059593 slli a1,a1,0x20 + 80004900: 00008293 mv t0,ra + 80004904: 03c000ef jal ra,80004940 <__udivdi3> + 80004908: 0005051b sext.w a0,a0 + 8000490c: 00028067 jr t0 + +0000000080004910 <__umodsi3>: + 80004910: 02051513 slli a0,a0,0x20 + 80004914: 02059593 slli a1,a1,0x20 + 80004918: 02055513 srli a0,a0,0x20 + 8000491c: 0205d593 srli a1,a1,0x20 + 80004920: 00008293 mv t0,ra + 80004924: 01c000ef jal ra,80004940 <__udivdi3> + 80004928: 0005851b sext.w a0,a1 + 8000492c: 00028067 jr t0 + +0000000080004930 <__divsi3>: + 80004930: fff00293 li t0,-1 + 80004934: 0a558c63 beq a1,t0,800049ec <__moddi3+0x30> + +0000000080004938 <__divdi3>: + 80004938: 06054063 bltz a0,80004998 <__umoddi3+0x10> + 8000493c: 0605c663 bltz a1,800049a8 <__umoddi3+0x20> + +0000000080004940 <__udivdi3>: + 80004940: 00058613 mv a2,a1 + 80004944: 00050593 mv a1,a0 + 80004948: fff00513 li a0,-1 + 8000494c: 02060c63 beqz a2,80004984 <__udivdi3+0x44> + 80004950: 00100693 li a3,1 + 80004954: 00b67a63 bgeu a2,a1,80004968 <__udivdi3+0x28> + 80004958: 00c05863 blez a2,80004968 <__udivdi3+0x28> + 8000495c: 00161613 slli a2,a2,0x1 + 80004960: 00169693 slli a3,a3,0x1 + 80004964: feb66ae3 bltu a2,a1,80004958 <__udivdi3+0x18> + 80004968: 00000513 li a0,0 + 8000496c: 00c5e663 bltu a1,a2,80004978 <__udivdi3+0x38> + 80004970: 40c585b3 sub a1,a1,a2 + 80004974: 00d56533 or a0,a0,a3 + 80004978: 0016d693 srli a3,a3,0x1 + 8000497c: 00165613 srli a2,a2,0x1 + 80004980: fe0696e3 bnez a3,8000496c <__udivdi3+0x2c> + 80004984: 00008067 ret + +0000000080004988 <__umoddi3>: + 80004988: 00008293 mv t0,ra + 8000498c: fb5ff0ef jal ra,80004940 <__udivdi3> + 80004990: 00058513 mv a0,a1 + 80004994: 00028067 jr t0 + 80004998: 40a00533 neg a0,a0 + 8000499c: 00b04863 bgtz a1,800049ac <__umoddi3+0x24> + 800049a0: 40b005b3 neg a1,a1 + 800049a4: f9dff06f j 80004940 <__udivdi3> + 800049a8: 40b005b3 neg a1,a1 + 800049ac: 00008293 mv t0,ra + 800049b0: f91ff0ef jal ra,80004940 <__udivdi3> + 800049b4: 40a00533 neg a0,a0 + 800049b8: 00028067 jr t0 + +00000000800049bc <__moddi3>: + 800049bc: 00008293 mv t0,ra + 800049c0: 0005ca63 bltz a1,800049d4 <__moddi3+0x18> + 800049c4: 00054c63 bltz a0,800049dc <__moddi3+0x20> + 800049c8: f79ff0ef jal ra,80004940 <__udivdi3> + 800049cc: 00058513 mv a0,a1 + 800049d0: 00028067 jr t0 + 800049d4: 40b005b3 neg a1,a1 + 800049d8: fe0558e3 bgez a0,800049c8 <__moddi3+0xc> + 800049dc: 40a00533 neg a0,a0 + 800049e0: f61ff0ef jal ra,80004940 <__udivdi3> + 800049e4: 40b00533 neg a0,a1 + 800049e8: 00028067 jr t0 + 800049ec: 01f29293 slli t0,t0,0x1f + 800049f0: f45514e3 bne a0,t0,80004938 <__divdi3> + 800049f4: 00008067 ret + +00000000800049f8 <__am_timer_config>: + 800049f8: 00100793 li a5,1 + 800049fc: 00f50023 sb a5,0(a0) + 80004a00: 00f500a3 sb a5,1(a0) + 80004a04: 00008067 ret + +0000000080004a08 <__am_input_config>: + 80004a08: 00100793 li a5,1 + 80004a0c: 00f50023 sb a5,0(a0) + 80004a10: 00008067 ret + +0000000080004a14 : + 80004a14: ff010113 addi sp,sp,-16 + 80004a18: 00813023 sd s0,0(sp) + 80004a1c: 00113423 sd ra,8(sp) + 80004a20: 00002417 auipc s0,0x2 + 80004a24: 02840413 addi s0,s0,40 # 80006a48 + 80004a28: 04100513 li a0,65 + 80004a2c: 00140413 addi s0,s0,1 + 80004a30: e59ff0ef jal ra,80004888 + 80004a34: 00044503 lbu a0,0(s0) + 80004a38: fe051ae3 bnez a0,80004a2c + 80004a3c: 00002417 auipc s0,0x2 + 80004a40: 01c40413 addi s0,s0,28 # 80006a58 + 80004a44: 06100513 li a0,97 + 80004a48: 00140413 addi s0,s0,1 + 80004a4c: e3dff0ef jal ra,80004888 + 80004a50: 00044503 lbu a0,0(s0) + 80004a54: fe051ae3 bnez a0,80004a48 + 80004a58: 00002417 auipc s0,0x2 + 80004a5c: 02040413 addi s0,s0,32 # 80006a78 + 80004a60: 02000513 li a0,32 + 80004a64: 00140413 addi s0,s0,1 + 80004a68: e21ff0ef jal ra,80004888 + 80004a6c: 00044503 lbu a0,0(s0) + 80004a70: fe051ae3 bnez a0,80004a64 + 80004a74: 00100513 li a0,1 + 80004a78: e15ff0ef jal ra,8000488c + +0000000080004a7c : + 80004a7c: ff010113 addi sp,sp,-16 + 80004a80: 00113423 sd ra,8(sp) + 80004a84: 00003797 auipc a5,0x3 + 80004a88: a5c78793 addi a5,a5,-1444 # 800074e0 + 80004a8c: 00003697 auipc a3,0x3 + 80004a90: e5468693 addi a3,a3,-428 # 800078e0 + 80004a94: 00000617 auipc a2,0x0 + 80004a98: f8060613 addi a2,a2,-128 # 80004a14 + 80004a9c: 00c0006f j 80004aa8 + 80004aa0: 00878793 addi a5,a5,8 + 80004aa4: 00d78c63 beq a5,a3,80004abc + 80004aa8: 0007b703 ld a4,0(a5) + 80004aac: fe071ae3 bnez a4,80004aa0 + 80004ab0: 00c7b023 sd a2,0(a5) + 80004ab4: 00878793 addi a5,a5,8 + 80004ab8: fed798e3 bne a5,a3,80004aa8 + 80004abc: 030000ef jal ra,80004aec <__am_timer_init> + 80004ac0: 00813083 ld ra,8(sp) + 80004ac4: 00100513 li a0,1 + 80004ac8: 01010113 addi sp,sp,16 + 80004acc: 00008067 ret + +0000000080004ad0 : + 80004ad0: 00351793 slli a5,a0,0x3 + 80004ad4: 00003517 auipc a0,0x3 + 80004ad8: a0c50513 addi a0,a0,-1524 # 800074e0 + 80004adc: 00f50533 add a0,a0,a5 + 80004ae0: 00053303 ld t1,0(a0) + 80004ae4: 00058513 mv a0,a1 + 80004ae8: 00030067 jr t1 + +0000000080004aec <__am_timer_init>: + 80004aec: 00008067 ret + +0000000080004af0 <__am_timer_uptime>: + 80004af0: 00053023 sd zero,0(a0) + 80004af4: 00008067 ret + +0000000080004af8 : + 80004af8: fc010113 addi sp,sp,-64 + 80004afc: 01313c23 sd s3,24(sp) + 80004b00: 00058993 mv s3,a1 + 80004b04: 00050793 mv a5,a0 + 80004b08: 03800613 li a2,56 + 80004b0c: 00000593 li a1,0 + 80004b10: 00098513 mv a0,s3 + 80004b14: 02813823 sd s0,48(sp) + 80004b18: 03213023 sd s2,32(sp) + 80004b1c: 0007b403 ld s0,0(a5) + 80004b20: 01413823 sd s4,16(sp) + 80004b24: 02113c23 sd ra,56(sp) + 80004b28: 02913423 sd s1,40(sp) + 80004b2c: 01513423 sd s5,8(sp) + 80004b30: 3fc010ef jal ra,80005f2c + 80004b34: 7b200793 li a5,1970 + 80004b38: 00f9aa23 sw a5,20(s3) + 80004b3c: 7b200913 li s2,1970 + 80004b40: 00002a17 auipc s4,0x2 + 80004b44: 1f0a0a13 addi s4,s4,496 # 80006d30 + 80004b48: 0100006f j 80004b58 + 80004b4c: 40e40433 sub s0,s0,a4 + 80004b50: 00d9aa23 sw a3,20(s3) + 80004b54: 00068913 mv s2,a3 + 80004b58: 0009049b sext.w s1,s2 + 80004b5c: 06400593 li a1,100 + 80004b60: 00048513 mv a0,s1 + 80004b64: e59ff0ef jal ra,800049bc <__moddi3> + 80004b68: 00050793 mv a5,a0 + 80004b6c: 0007879b sext.w a5,a5 + 80004b70: 00048513 mv a0,s1 + 80004b74: 00397493 andi s1,s2,3 + 80004b78: 19000593 li a1,400 + 80004b7c: 0014b493 seqz s1,s1 + 80004b80: 00079863 bnez a5,80004b90 + 80004b84: e39ff0ef jal ra,800049bc <__moddi3> + 80004b88: 0005049b sext.w s1,a0 + 80004b8c: 0014b493 seqz s1,s1 + 80004b90: 00249793 slli a5,s1,0x2 + 80004b94: 00fa07b3 add a5,s4,a5 + 80004b98: 0007e703 lwu a4,0(a5) + 80004b9c: 0019069b addiw a3,s2,1 + 80004ba0: fae456e3 bge s0,a4,80004b4c + 80004ba4: 000155b7 lui a1,0x15 + 80004ba8: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004bac: 00040513 mv a0,s0 + 80004bb0: d89ff0ef jal ra,80004938 <__divdi3> + 80004bb4: 00149793 slli a5,s1,0x1 + 80004bb8: 009784b3 add s1,a5,s1 + 80004bbc: 00449793 slli a5,s1,0x4 + 80004bc0: 00002497 auipc s1,0x2 + 80004bc4: ef848493 addi s1,s1,-264 # 80006ab8 + 80004bc8: 00f484b3 add s1,s1,a5 + 80004bcc: 0004e783 lwu a5,0(s1) + 80004bd0: 0005051b sext.w a0,a0 + 80004bd4: 00a9ae23 sw a0,28(s3) + 80004bd8: 14f44463 blt s0,a5,80004d20 + 80004bdc: 00100713 li a4,1 + 80004be0: 40f40433 sub s0,s0,a5 + 80004be4: 0044e783 lwu a5,4(s1) + 80004be8: 00070a1b sext.w s4,a4 + 80004bec: 00448493 addi s1,s1,4 + 80004bf0: 00170713 addi a4,a4,1 + 80004bf4: fef456e3 bge s0,a5,80004be0 + 80004bf8: 000155b7 lui a1,0x15 + 80004bfc: 0149a823 sw s4,16(s3) + 80004c00: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c04: 00040513 mv a0,s0 + 80004c08: d31ff0ef jal ra,80004938 <__divdi3> + 80004c0c: 0005051b sext.w a0,a0 + 80004c10: 0015079b addiw a5,a0,1 + 80004c14: 000155b7 lui a1,0x15 + 80004c18: 00f9a623 sw a5,12(s3) + 80004c1c: 18058593 addi a1,a1,384 # 15180 <_entry_offset+0x15180> + 80004c20: 00040513 mv a0,s0 + 80004c24: 00001ab7 lui s5,0x1 + 80004c28: 0007841b sext.w s0,a5 + 80004c2c: d91ff0ef jal ra,800049bc <__moddi3> + 80004c30: e10a8593 addi a1,s5,-496 # e10 <_entry_offset+0xe10> + 80004c34: 00050493 mv s1,a0 + 80004c38: d01ff0ef jal ra,80004938 <__divdi3> + 80004c3c: e10a8593 addi a1,s5,-496 + 80004c40: 00a9a423 sw a0,8(s3) + 80004c44: 00048513 mv a0,s1 + 80004c48: d75ff0ef jal ra,800049bc <__moddi3> + 80004c4c: 03c00593 li a1,60 + 80004c50: 00050493 mv s1,a0 + 80004c54: ce5ff0ef jal ra,80004938 <__divdi3> + 80004c58: 00a9a223 sw a0,4(s3) + 80004c5c: 03c00593 li a1,60 + 80004c60: 00048513 mv a0,s1 + 80004c64: d59ff0ef jal ra,800049bc <__moddi3> + 80004c68: 001a0a1b addiw s4,s4,1 + 80004c6c: 0ffa7793 andi a5,s4,255 + 80004c70: 0037b793 sltiu a5,a5,3 + 80004c74: 40f9093b subw s2,s2,a5 + 80004c78: 03091913 slli s2,s2,0x30 + 80004c7c: 03095913 srli s2,s2,0x30 + 80004c80: 00a9a023 sw a0,0(s3) + 80004c84: 06400593 li a1,100 + 80004c88: 00090513 mv a0,s2 + 80004c8c: cb5ff0ef jal ra,80004940 <__udivdi3> + 80004c90: 0029549b srliw s1,s2,0x2 + 80004c94: 0105179b slliw a5,a0,0x10 + 80004c98: 0107d79b srliw a5,a5,0x10 + 80004c9c: 012484bb addw s1,s1,s2 + 80004ca0: 19000593 li a1,400 + 80004ca4: 00090513 mv a0,s2 + 80004ca8: 0ffa7a13 andi s4,s4,255 + 80004cac: 40f484bb subw s1,s1,a5 + 80004cb0: fffa0a1b addiw s4,s4,-1 + 80004cb4: c8dff0ef jal ra,80004940 <__udivdi3> + 80004cb8: 00002797 auipc a5,0x2 + 80004cbc: e6078793 addi a5,a5,-416 # 80006b18 + 80004cc0: 002a1a13 slli s4,s4,0x2 + 80004cc4: 01478a33 add s4,a5,s4 + 80004cc8: 000a2783 lw a5,0(s4) + 80004ccc: 0105151b slliw a0,a0,0x10 + 80004cd0: 0105551b srliw a0,a0,0x10 + 80004cd4: 00a484bb addw s1,s1,a0 + 80004cd8: 00f484bb addw s1,s1,a5 + 80004cdc: 0ff47513 andi a0,s0,255 + 80004ce0: 00a4853b addw a0,s1,a0 + 80004ce4: 00700593 li a1,7 + 80004ce8: cd5ff0ef jal ra,800049bc <__moddi3> + 80004cec: 03813083 ld ra,56(sp) + 80004cf0: 03013403 ld s0,48(sp) + 80004cf4: 0ff57513 andi a0,a0,255 + 80004cf8: 00a9ac23 sw a0,24(s3) + 80004cfc: 0209a023 sw zero,32(s3) + 80004d00: 02813483 ld s1,40(sp) + 80004d04: 02013903 ld s2,32(sp) + 80004d08: 01013a03 ld s4,16(sp) + 80004d0c: 00813a83 ld s5,8(sp) + 80004d10: 00098513 mv a0,s3 + 80004d14: 01813983 ld s3,24(sp) + 80004d18: 04010113 addi sp,sp,64 + 80004d1c: 00008067 ret + 80004d20: 00000a13 li s4,0 + 80004d24: eedff06f j 80004c10 + +0000000080004d28 <__am_timer_rtc>: + 80004d28: fa010113 addi sp,sp,-96 + 80004d2c: 04813823 sd s0,80(sp) + 80004d30: 01810593 addi a1,sp,24 + 80004d34: 00050413 mv s0,a0 + 80004d38: 00810513 addi a0,sp,8 + 80004d3c: 04113c23 sd ra,88(sp) + 80004d40: 00013423 sd zero,8(sp) + 80004d44: 00013823 sd zero,16(sp) + 80004d48: db1ff0ef jal ra,80004af8 + 80004d4c: 01812703 lw a4,24(sp) + 80004d50: 02812783 lw a5,40(sp) + 80004d54: 05813083 ld ra,88(sp) + 80004d58: 00e42a23 sw a4,20(s0) + 80004d5c: 01c12703 lw a4,28(sp) + 80004d60: 0017879b addiw a5,a5,1 + 80004d64: 00f42223 sw a5,4(s0) + 80004d68: 00e42823 sw a4,16(s0) + 80004d6c: 02012703 lw a4,32(sp) + 80004d70: 02c12783 lw a5,44(sp) + 80004d74: 00e42623 sw a4,12(s0) + 80004d78: 02412703 lw a4,36(sp) + 80004d7c: 00f42023 sw a5,0(s0) + 80004d80: 00e42423 sw a4,8(s0) + 80004d84: 05013403 ld s0,80(sp) + 80004d88: 06010113 addi sp,sp,96 + 80004d8c: 00008067 ret + +0000000080004d90 <__am_input_keybrd>: + 80004d90: 00050023 sb zero,0(a0) + 80004d94: 00052223 sw zero,4(a0) + 80004d98: 00008067 ret + +0000000080004d9c : + 80004d9c: 00054783 lbu a5,0(a0) + 80004da0: 00158593 addi a1,a1,1 + 80004da4: 00150513 addi a0,a0,1 + 80004da8: fff5c703 lbu a4,-1(a1) + 80004dac: 00078863 beqz a5,80004dbc + 80004db0: fee786e3 beq a5,a4,80004d9c + 80004db4: 40e7853b subw a0,a5,a4 + 80004db8: 00008067 ret + 80004dbc: 40e0053b negw a0,a4 + 80004dc0: 00008067 ret + +0000000080004dc4 : + 80004dc4: 00002797 auipc a5,0x2 + 80004dc8: 70c78793 addi a5,a5,1804 # 800074d0 + 80004dcc: 0007b503 ld a0,0(a5) + 80004dd0: 0087b583 ld a1,8(a5) + 80004dd4: ff010113 addi sp,sp,-16 + 80004dd8: 00000693 li a3,0 + 80004ddc: 00000613 li a2,0 + 80004de0: 40a585b3 sub a1,a1,a0 + 80004de4: 00113423 sd ra,8(sp) + 80004de8: 224010ef jal ra,8000600c + 80004dec: 00813083 ld ra,8(sp) + 80004df0: 00003797 auipc a5,0x3 + 80004df4: c0a7bc23 sd a0,-1000(a5) # 80007a08 + 80004df8: 01010113 addi sp,sp,16 + 80004dfc: 00008067 ret + +0000000080004e00 <_out_buffer>: + 80004e00: 00d67663 bgeu a2,a3,80004e0c <_out_buffer+0xc> + 80004e04: 00c585b3 add a1,a1,a2 + 80004e08: 00a58023 sb a0,0(a1) + 80004e0c: 00008067 ret + +0000000080004e10 <_out_null>: + 80004e10: 00008067 ret + +0000000080004e14 <_ntoa_format>: + 80004e14: fa010113 addi sp,sp,-96 + 80004e18: 07012303 lw t1,112(sp) + 80004e1c: 03313c23 sd s3,56(sp) + 80004e20: 03413823 sd s4,48(sp) + 80004e24: 03513423 sd s5,40(sp) + 80004e28: 03613023 sd s6,32(sp) + 80004e2c: 01713c23 sd s7,24(sp) + 80004e30: 01813823 sd s8,16(sp) + 80004e34: 01913423 sd s9,8(sp) + 80004e38: 00237e13 andi t3,t1,2 + 80004e3c: 01037e93 andi t4,t1,16 + 80004e40: 04113c23 sd ra,88(sp) + 80004e44: 04813823 sd s0,80(sp) + 80004e48: 04913423 sd s1,72(sp) + 80004e4c: 05213023 sd s2,64(sp) + 80004e50: 06012f03 lw t5,96(sp) + 80004e54: 06812c03 lw s8,104(sp) + 80004e58: 00050a13 mv s4,a0 + 80004e5c: 00058a93 mv s5,a1 + 80004e60: 00060b93 mv s7,a2 + 80004e64: 00068b13 mv s6,a3 + 80004e68: 00070993 mv s3,a4 + 80004e6c: 000e0c9b sext.w s9,t3 + 80004e70: 000e8e9b sext.w t4,t4 + 80004e74: 060e1c63 bnez t3,80004eec <_ntoa_format+0xd8> + 80004e78: 020f1693 slli a3,t5,0x20 + 80004e7c: 00137513 andi a0,t1,1 + 80004e80: 0206d693 srli a3,a3,0x20 + 80004e84: 180c1e63 bnez s8,80005020 <_ntoa_format+0x20c> + 80004e88: 02d7f663 bgeu a5,a3,80004eb4 <_ntoa_format+0xa0> + 80004e8c: 02000713 li a4,32 + 80004e90: 36e78e63 beq a5,a4,8000520c <_ntoa_format+0x3f8> + 80004e94: 03000613 li a2,48 + 80004e98: 02000593 li a1,32 + 80004e9c: 0080006f j 80004ea4 <_ntoa_format+0x90> + 80004ea0: 00b78a63 beq a5,a1,80004eb4 <_ntoa_format+0xa0> + 80004ea4: 00178793 addi a5,a5,1 + 80004ea8: 00f98733 add a4,s3,a5 + 80004eac: fec70fa3 sb a2,-1(a4) + 80004eb0: fed7e8e3 bltu a5,a3,80004ea0 <_ntoa_format+0x8c> + 80004eb4: 02050c63 beqz a0,80004eec <_ntoa_format+0xd8> + 80004eb8: 020c1913 slli s2,s8,0x20 + 80004ebc: 02095913 srli s2,s2,0x20 + 80004ec0: 0327f663 bgeu a5,s2,80004eec <_ntoa_format+0xd8> + 80004ec4: 02000713 li a4,32 + 80004ec8: 36e78863 beq a5,a4,80005238 <_ntoa_format+0x424> + 80004ecc: 03000693 li a3,48 + 80004ed0: 02000613 li a2,32 + 80004ed4: 0080006f j 80004edc <_ntoa_format+0xc8> + 80004ed8: 16c78463 beq a5,a2,80005040 <_ntoa_format+0x22c> + 80004edc: 00178793 addi a5,a5,1 + 80004ee0: 00f98733 add a4,s3,a5 + 80004ee4: fed70fa3 sb a3,-1(a4) + 80004ee8: ff2798e3 bne a5,s2,80004ed8 <_ntoa_format+0xc4> + 80004eec: 1a0e8663 beqz t4,80005098 <_ntoa_format+0x284> + 80004ef0: 40037713 andi a4,t1,1024 + 80004ef4: 20071463 bnez a4,800050fc <_ntoa_format+0x2e8> + 80004ef8: 1e079663 bnez a5,800050e4 <_ntoa_format+0x2d0> + 80004efc: 01000793 li a5,16 + 80004f00: 2af88863 beq a7,a5,800051b0 <_ntoa_format+0x39c> + 80004f04: 00200793 li a5,2 + 80004f08: 2ef88a63 beq a7,a5,800051fc <_ntoa_format+0x3e8> + 80004f0c: 03000793 li a5,48 + 80004f10: 00f98023 sb a5,0(s3) + 80004f14: 00100793 li a5,1 + 80004f18: 1a080463 beqz a6,800050c0 <_ntoa_format+0x2ac> + 80004f1c: 00f98733 add a4,s3,a5 + 80004f20: 00178413 addi s0,a5,1 + 80004f24: 02d00793 li a5,45 + 80004f28: 00f70023 sb a5,0(a4) + 80004f2c: 00337313 andi t1,t1,3 + 80004f30: 20031263 bnez t1,80005134 <_ntoa_format+0x320> + 80004f34: 020c1913 slli s2,s8,0x20 + 80004f38: 02095913 srli s2,s2,0x20 + 80004f3c: 1f247c63 bgeu s0,s2,80005134 <_ntoa_format+0x320> + 80004f40: 40890933 sub s2,s2,s0 + 80004f44: 01790933 add s2,s2,s7 + 80004f48: 000b8493 mv s1,s7 + 80004f4c: 00048613 mv a2,s1 + 80004f50: 000b0693 mv a3,s6 + 80004f54: 00148493 addi s1,s1,1 + 80004f58: 000a8593 mv a1,s5 + 80004f5c: 02000513 li a0,32 + 80004f60: 000a00e7 jalr s4 + 80004f64: ff2494e3 bne s1,s2,80004f4c <_ntoa_format+0x138> + 80004f68: 02040663 beqz s0,80004f94 <_ntoa_format+0x180> + 80004f6c: 01240933 add s2,s0,s2 + 80004f70: 012984b3 add s1,s3,s2 + 80004f74: 00898433 add s0,s3,s0 + 80004f78: fff44503 lbu a0,-1(s0) + 80004f7c: 40848633 sub a2,s1,s0 + 80004f80: 000b0693 mv a3,s6 + 80004f84: fff40413 addi s0,s0,-1 + 80004f88: 000a8593 mv a1,s5 + 80004f8c: 000a00e7 jalr s4 + 80004f90: fe8994e3 bne s3,s0,80004f78 <_ntoa_format+0x164> + 80004f94: 040c8a63 beqz s9,80004fe8 <_ntoa_format+0x1d4> + 80004f98: 020c1c13 slli s8,s8,0x20 + 80004f9c: 41790433 sub s0,s2,s7 + 80004fa0: 020c5c13 srli s8,s8,0x20 + 80004fa4: 05847263 bgeu s0,s8,80004fe8 <_ntoa_format+0x1d4> + 80004fa8: 01740633 add a2,s0,s7 + 80004fac: 000b0693 mv a3,s6 + 80004fb0: 00140413 addi s0,s0,1 + 80004fb4: 000a8593 mv a1,s5 + 80004fb8: 02000513 li a0,32 + 80004fbc: 000a00e7 jalr s4 + 80004fc0: ff8464e3 bltu s0,s8,80004fa8 <_ntoa_format+0x194> + 80004fc4: 41790733 sub a4,s2,s7 + 80004fc8: 00170713 addi a4,a4,1 + 80004fcc: 00000793 li a5,0 + 80004fd0: 00ec6863 bltu s8,a4,80004fe0 <_ntoa_format+0x1cc> + 80004fd4: fffb8793 addi a5,s7,-1 # ffff <_entry_offset+0xffff> + 80004fd8: 01878c33 add s8,a5,s8 + 80004fdc: 412c07b3 sub a5,s8,s2 + 80004fe0: 00190913 addi s2,s2,1 + 80004fe4: 00f90933 add s2,s2,a5 + 80004fe8: 05813083 ld ra,88(sp) + 80004fec: 05013403 ld s0,80(sp) + 80004ff0: 04813483 ld s1,72(sp) + 80004ff4: 03813983 ld s3,56(sp) + 80004ff8: 03013a03 ld s4,48(sp) + 80004ffc: 02813a83 ld s5,40(sp) + 80005000: 02013b03 ld s6,32(sp) + 80005004: 01813b83 ld s7,24(sp) + 80005008: 01013c03 ld s8,16(sp) + 8000500c: 00813c83 ld s9,8(sp) + 80005010: 00090513 mv a0,s2 + 80005014: 04013903 ld s2,64(sp) + 80005018: 06010113 addi sp,sp,96 + 8000501c: 00008067 ret + 80005020: 12050863 beqz a0,80005150 <_ntoa_format+0x33c> + 80005024: 00081663 bnez a6,80005030 <_ntoa_format+0x21c> + 80005028: 00c37713 andi a4,t1,12 + 8000502c: 00070463 beqz a4,80005034 <_ntoa_format+0x220> + 80005030: fffc0c1b addiw s8,s8,-1 + 80005034: e8d7f2e3 bgeu a5,a3,80004eb8 <_ntoa_format+0xa4> + 80005038: 02000713 li a4,32 + 8000503c: e4e79ce3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 80005040: 0e0e8063 beqz t4,80005120 <_ntoa_format+0x30c> + 80005044: 40037793 andi a5,t1,1024 + 80005048: 04079c63 bnez a5,800050a0 <_ntoa_format+0x28c> + 8000504c: 02000793 li a5,32 + 80005050: 0aff1063 bne t5,a5,800050f0 <_ntoa_format+0x2dc> + 80005054: 02000793 li a5,32 + 80005058: 01f00713 li a4,31 + 8000505c: 01000693 li a3,16 + 80005060: 1ad88c63 beq a7,a3,80005218 <_ntoa_format+0x404> + 80005064: 00200693 li a3,2 + 80005068: 00070793 mv a5,a4 + 8000506c: 00d89e63 bne a7,a3,80005088 <_ntoa_format+0x274> + 80005070: 00e986b3 add a3,s3,a4 + 80005074: 00170793 addi a5,a4,1 + 80005078: 06200713 li a4,98 + 8000507c: 00e68023 sb a4,0(a3) + 80005080: 02000713 li a4,32 + 80005084: 00e78e63 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005088: 00f98733 add a4,s3,a5 + 8000508c: 03000693 li a3,48 + 80005090: 00d70023 sb a3,0(a4) + 80005094: 00178793 addi a5,a5,1 + 80005098: 02000713 li a4,32 + 8000509c: e6e79ee3 bne a5,a4,80004f18 <_ntoa_format+0x104> + 800050a0: 00337313 andi t1,t1,3 + 800050a4: 08031263 bnez t1,80005128 <_ntoa_format+0x314> + 800050a8: 02000413 li s0,32 + 800050ac: 020c1913 slli s2,s8,0x20 + 800050b0: 02095913 srli s2,s2,0x20 + 800050b4: e92466e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 800050b8: 000b8913 mv s2,s7 + 800050bc: eadff06f j 80004f68 <_ntoa_format+0x154> + 800050c0: 00437713 andi a4,t1,4 + 800050c4: 06071c63 bnez a4,8000513c <_ntoa_format+0x328> + 800050c8: 00837713 andi a4,t1,8 + 800050cc: 0c071863 bnez a4,8000519c <_ntoa_format+0x388> + 800050d0: 00337313 andi t1,t1,3 + 800050d4: 00078413 mv s0,a5 + 800050d8: fc030ae3 beqz t1,800050ac <_ntoa_format+0x298> + 800050dc: 000b8913 mv s2,s7 + 800050e0: e89ff06f j 80004f68 <_ntoa_format+0x154> + 800050e4: 020f1f13 slli t5,t5,0x20 + 800050e8: 020f5f13 srli t5,t5,0x20 + 800050ec: 0aff0263 beq t5,a5,80005190 <_ntoa_format+0x37c> + 800050f0: 020c1913 slli s2,s8,0x20 + 800050f4: 02095913 srli s2,s2,0x20 + 800050f8: 08f90c63 beq s2,a5,80005190 <_ntoa_format+0x37c> + 800050fc: 01000713 li a4,16 + 80005100: 06e88663 beq a7,a4,8000516c <_ntoa_format+0x358> + 80005104: 00200713 li a4,2 + 80005108: f6e89ce3 bne a7,a4,80005080 <_ntoa_format+0x26c> + 8000510c: 02000713 li a4,32 + 80005110: f8e788e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 80005114: 00f986b3 add a3,s3,a5 + 80005118: 00178793 addi a5,a5,1 + 8000511c: f5dff06f j 80005078 <_ntoa_format+0x264> + 80005120: 00337313 andi t1,t1,3 + 80005124: 0c030063 beqz t1,800051e4 <_ntoa_format+0x3d0> + 80005128: 000b8913 mv s2,s7 + 8000512c: 02000413 li s0,32 + 80005130: e3dff06f j 80004f6c <_ntoa_format+0x158> + 80005134: 000b8913 mv s2,s7 + 80005138: e35ff06f j 80004f6c <_ntoa_format+0x158> + 8000513c: 00f98733 add a4,s3,a5 + 80005140: 00178413 addi s0,a5,1 + 80005144: 02b00793 li a5,43 + 80005148: 00f70023 sb a5,0(a4) + 8000514c: de1ff06f j 80004f2c <_ntoa_format+0x118> + 80005150: d8d7fee3 bgeu a5,a3,80004eec <_ntoa_format+0xd8> + 80005154: 02000713 li a4,32 + 80005158: d2e79ee3 bne a5,a4,80004e94 <_ntoa_format+0x80> + 8000515c: 0e0e8c63 beqz t4,80005254 <_ntoa_format+0x440> + 80005160: 40037793 andi a5,t1,1024 + 80005164: f2079ee3 bnez a5,800050a0 <_ntoa_format+0x28c> + 80005168: ee5ff06f j 8000504c <_ntoa_format+0x238> + 8000516c: 02037713 andi a4,t1,32 + 80005170: 04071c63 bnez a4,800051c8 <_ntoa_format+0x3b4> + 80005174: 02000713 li a4,32 + 80005178: f2e784e3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 8000517c: 00f98733 add a4,s3,a5 + 80005180: 00178793 addi a5,a5,1 + 80005184: 07800693 li a3,120 + 80005188: 00d70023 sb a3,0(a4) + 8000518c: ef5ff06f j 80005080 <_ntoa_format+0x26c> + 80005190: fff78713 addi a4,a5,-1 + 80005194: d60704e3 beqz a4,80004efc <_ntoa_format+0xe8> + 80005198: ec5ff06f j 8000505c <_ntoa_format+0x248> + 8000519c: 00f98733 add a4,s3,a5 + 800051a0: 00178413 addi s0,a5,1 + 800051a4: 02000793 li a5,32 + 800051a8: 00f70023 sb a5,0(a4) + 800051ac: d81ff06f j 80004f2c <_ntoa_format+0x118> + 800051b0: 02037793 andi a5,t1,32 + 800051b4: 02079c63 bnez a5,800051ec <_ntoa_format+0x3d8> + 800051b8: 07800793 li a5,120 + 800051bc: 00f98023 sb a5,0(s3) + 800051c0: 00100793 li a5,1 + 800051c4: ec5ff06f j 80005088 <_ntoa_format+0x274> + 800051c8: 02000713 li a4,32 + 800051cc: ece78ae3 beq a5,a4,800050a0 <_ntoa_format+0x28c> + 800051d0: 00f98733 add a4,s3,a5 + 800051d4: 05800693 li a3,88 + 800051d8: 00d70023 sb a3,0(a4) + 800051dc: 00178793 addi a5,a5,1 + 800051e0: ea1ff06f j 80005080 <_ntoa_format+0x26c> + 800051e4: 02000413 li s0,32 + 800051e8: d4dff06f j 80004f34 <_ntoa_format+0x120> + 800051ec: 05800793 li a5,88 + 800051f0: 00f98023 sb a5,0(s3) + 800051f4: 00100793 li a5,1 + 800051f8: e91ff06f j 80005088 <_ntoa_format+0x274> + 800051fc: 06200793 li a5,98 + 80005200: 00f98023 sb a5,0(s3) + 80005204: 00100793 li a5,1 + 80005208: e81ff06f j 80005088 <_ntoa_format+0x274> + 8000520c: e2051ae3 bnez a0,80005040 <_ntoa_format+0x22c> + 80005210: 000c8c13 mv s8,s9 + 80005214: f49ff06f j 8000515c <_ntoa_format+0x348> + 80005218: 02037693 andi a3,t1,32 + 8000521c: ffe78713 addi a4,a5,-2 + 80005220: 00069863 bnez a3,80005230 <_ntoa_format+0x41c> + 80005224: 00e98733 add a4,s3,a4 + 80005228: fff78793 addi a5,a5,-1 + 8000522c: f59ff06f j 80005184 <_ntoa_format+0x370> + 80005230: 00070793 mv a5,a4 + 80005234: f9dff06f j 800051d0 <_ntoa_format+0x3bc> + 80005238: 000e8e63 beqz t4,80005254 <_ntoa_format+0x440> + 8000523c: 40037713 andi a4,t1,1024 + 80005240: 00071e63 bnez a4,8000525c <_ntoa_format+0x448> + 80005244: 02ff0e63 beq t5,a5,80005280 <_ntoa_format+0x46c> + 80005248: e4fc1ce3 bne s8,a5,800050a0 <_ntoa_format+0x28c> + 8000524c: 01f00713 li a4,31 + 80005250: e0dff06f j 8000505c <_ntoa_format+0x248> + 80005254: 02000413 li s0,32 + 80005258: cd5ff06f j 80004f2c <_ntoa_format+0x118> + 8000525c: 01000793 li a5,16 + 80005260: 02f88c63 beq a7,a5,80005298 <_ntoa_format+0x484> + 80005264: 00200793 li a5,2 + 80005268: e2f88ce3 beq a7,a5,800050a0 <_ntoa_format+0x28c> + 8000526c: 00337313 andi t1,t1,3 + 80005270: ea031ce3 bnez t1,80005128 <_ntoa_format+0x314> + 80005274: 02000413 li s0,32 + 80005278: cd2464e3 bltu s0,s2,80004f40 <_ntoa_format+0x12c> + 8000527c: e3dff06f j 800050b8 <_ntoa_format+0x2a4> + 80005280: 01000713 li a4,16 + 80005284: f8e88ae3 beq a7,a4,80005218 <_ntoa_format+0x404> + 80005288: 00200793 li a5,2 + 8000528c: 00f88c63 beq a7,a5,800052a4 <_ntoa_format+0x490> + 80005290: 01f00793 li a5,31 + 80005294: df5ff06f j 80005088 <_ntoa_format+0x274> + 80005298: 02037793 andi a5,t1,32 + 8000529c: fc0788e3 beqz a5,8000526c <_ntoa_format+0x458> + 800052a0: e01ff06f j 800050a0 <_ntoa_format+0x28c> + 800052a4: 01f00713 li a4,31 + 800052a8: dc9ff06f j 80005070 <_ntoa_format+0x25c> + +00000000800052ac <_ntoa_long>: + 800052ac: f4010113 addi sp,sp,-192 + 800052b0: 09413823 sd s4,144(sp) + 800052b4: 09513423 sd s5,136(sp) + 800052b8: 09613023 sd s6,128(sp) + 800052bc: 07713c23 sd s7,120(sp) + 800052c0: 07813823 sd s8,112(sp) + 800052c4: 07913423 sd s9,104(sp) + 800052c8: 05b13c23 sd s11,88(sp) + 800052cc: 0a113c23 sd ra,184(sp) + 800052d0: 0a813823 sd s0,176(sp) + 800052d4: 0a913423 sd s1,168(sp) + 800052d8: 0b213023 sd s2,160(sp) + 800052dc: 09313c23 sd s3,152(sp) + 800052e0: 07a13023 sd s10,96(sp) + 800052e4: 02a13023 sd a0,32(sp) + 800052e8: 03113423 sd a7,40(sp) + 800052ec: 0c812a03 lw s4,200(sp) + 800052f0: 00070d93 mv s11,a4 + 800052f4: 00058b13 mv s6,a1 + 800052f8: 00060b93 mv s7,a2 + 800052fc: 00068c13 mv s8,a3 + 80005300: 00078c93 mv s9,a5 + 80005304: 00080a93 mv s5,a6 + 80005308: 00071863 bnez a4,80005318 <_ntoa_long+0x6c> + 8000530c: 400a7793 andi a5,s4,1024 + 80005310: fefa7a13 andi s4,s4,-17 + 80005314: 0e079663 bnez a5,80005400 <_ntoa_long+0x154> + 80005318: 020a7793 andi a5,s4,32 + 8000531c: 06100413 li s0,97 + 80005320: 0c079c63 bnez a5,800053f8 <_ntoa_long+0x14c> + 80005324: 00000d13 li s10,0 + 80005328: 03010493 addi s1,sp,48 + 8000532c: 00900913 li s2,9 + 80005330: ff64041b addiw s0,s0,-10 + 80005334: 02000993 li s3,32 + 80005338: 00c0006f j 80005344 <_ntoa_long+0x98> + 8000533c: 053d0463 beq s10,s3,80005384 <_ntoa_long+0xd8> + 80005340: 00050d93 mv s11,a0 + 80005344: 000a8593 mv a1,s5 + 80005348: 000d8513 mv a0,s11 + 8000534c: e3cff0ef jal ra,80004988 <__umoddi3> + 80005350: 0ff57313 andi t1,a0,255 + 80005354: 0303071b addiw a4,t1,48 + 80005358: 0064033b addw t1,s0,t1 + 8000535c: 0ff37313 andi t1,t1,255 + 80005360: 00a96463 bltu s2,a0,80005368 <_ntoa_long+0xbc> + 80005364: 0ff77313 andi t1,a4,255 + 80005368: 001d0d13 addi s10,s10,1 + 8000536c: 01a48733 add a4,s1,s10 + 80005370: 000d8513 mv a0,s11 + 80005374: 000a8593 mv a1,s5 + 80005378: fe670fa3 sb t1,-1(a4) + 8000537c: dc4ff0ef jal ra,80004940 <__udivdi3> + 80005380: fb5dfee3 bgeu s11,s5,8000533c <_ntoa_long+0x90> + 80005384: 0c012703 lw a4,192(sp) + 80005388: 02813783 ld a5,40(sp) + 8000538c: 02013503 ld a0,32(sp) + 80005390: 01413823 sd s4,16(sp) + 80005394: 00e13423 sd a4,8(sp) + 80005398: 00f13023 sd a5,0(sp) + 8000539c: 000a889b sext.w a7,s5 + 800053a0: 000c8813 mv a6,s9 + 800053a4: 000d0793 mv a5,s10 + 800053a8: 00048713 mv a4,s1 + 800053ac: 000c0693 mv a3,s8 + 800053b0: 000b8613 mv a2,s7 + 800053b4: 000b0593 mv a1,s6 + 800053b8: a5dff0ef jal ra,80004e14 <_ntoa_format> + 800053bc: 0b813083 ld ra,184(sp) + 800053c0: 0b013403 ld s0,176(sp) + 800053c4: 0a813483 ld s1,168(sp) + 800053c8: 0a013903 ld s2,160(sp) + 800053cc: 09813983 ld s3,152(sp) + 800053d0: 09013a03 ld s4,144(sp) + 800053d4: 08813a83 ld s5,136(sp) + 800053d8: 08013b03 ld s6,128(sp) + 800053dc: 07813b83 ld s7,120(sp) + 800053e0: 07013c03 ld s8,112(sp) + 800053e4: 06813c83 ld s9,104(sp) + 800053e8: 06013d03 ld s10,96(sp) + 800053ec: 05813d83 ld s11,88(sp) + 800053f0: 0c010113 addi sp,sp,192 + 800053f4: 00008067 ret + 800053f8: 04100413 li s0,65 + 800053fc: f29ff06f j 80005324 <_ntoa_long+0x78> + 80005400: 00000d13 li s10,0 + 80005404: 03010493 addi s1,sp,48 + 80005408: f7dff06f j 80005384 <_ntoa_long+0xd8> + +000000008000540c <_ntoa_long_long>: + 8000540c: f4010113 addi sp,sp,-192 + 80005410: 09413823 sd s4,144(sp) + 80005414: 09513423 sd s5,136(sp) + 80005418: 09613023 sd s6,128(sp) + 8000541c: 07713c23 sd s7,120(sp) + 80005420: 07813823 sd s8,112(sp) + 80005424: 07913423 sd s9,104(sp) + 80005428: 05b13c23 sd s11,88(sp) + 8000542c: 0a113c23 sd ra,184(sp) + 80005430: 0a813823 sd s0,176(sp) + 80005434: 0a913423 sd s1,168(sp) + 80005438: 0b213023 sd s2,160(sp) + 8000543c: 09313c23 sd s3,152(sp) + 80005440: 07a13023 sd s10,96(sp) + 80005444: 02a13023 sd a0,32(sp) + 80005448: 03113423 sd a7,40(sp) + 8000544c: 0c812a03 lw s4,200(sp) + 80005450: 00070d93 mv s11,a4 + 80005454: 00058b13 mv s6,a1 + 80005458: 00060b93 mv s7,a2 + 8000545c: 00068c13 mv s8,a3 + 80005460: 00078c93 mv s9,a5 + 80005464: 00080a93 mv s5,a6 + 80005468: 00071863 bnez a4,80005478 <_ntoa_long_long+0x6c> + 8000546c: 400a7793 andi a5,s4,1024 + 80005470: fefa7a13 andi s4,s4,-17 + 80005474: 0e079663 bnez a5,80005560 <_ntoa_long_long+0x154> + 80005478: 020a7793 andi a5,s4,32 + 8000547c: 06100413 li s0,97 + 80005480: 0c079c63 bnez a5,80005558 <_ntoa_long_long+0x14c> + 80005484: 00000d13 li s10,0 + 80005488: 03010493 addi s1,sp,48 + 8000548c: 00900913 li s2,9 + 80005490: ff64041b addiw s0,s0,-10 + 80005494: 02000993 li s3,32 + 80005498: 00c0006f j 800054a4 <_ntoa_long_long+0x98> + 8000549c: 053d0463 beq s10,s3,800054e4 <_ntoa_long_long+0xd8> + 800054a0: 00050d93 mv s11,a0 + 800054a4: 000a8593 mv a1,s5 + 800054a8: 000d8513 mv a0,s11 + 800054ac: cdcff0ef jal ra,80004988 <__umoddi3> + 800054b0: 0ff57313 andi t1,a0,255 + 800054b4: 0303071b addiw a4,t1,48 + 800054b8: 0064033b addw t1,s0,t1 + 800054bc: 0ff37313 andi t1,t1,255 + 800054c0: 00a96463 bltu s2,a0,800054c8 <_ntoa_long_long+0xbc> + 800054c4: 0ff77313 andi t1,a4,255 + 800054c8: 001d0d13 addi s10,s10,1 + 800054cc: 01a48733 add a4,s1,s10 + 800054d0: 000d8513 mv a0,s11 + 800054d4: 000a8593 mv a1,s5 + 800054d8: fe670fa3 sb t1,-1(a4) + 800054dc: c64ff0ef jal ra,80004940 <__udivdi3> + 800054e0: fb5dfee3 bgeu s11,s5,8000549c <_ntoa_long_long+0x90> + 800054e4: 0c012703 lw a4,192(sp) + 800054e8: 02813783 ld a5,40(sp) + 800054ec: 02013503 ld a0,32(sp) + 800054f0: 01413823 sd s4,16(sp) + 800054f4: 00e13423 sd a4,8(sp) + 800054f8: 00f13023 sd a5,0(sp) + 800054fc: 000a889b sext.w a7,s5 + 80005500: 000c8813 mv a6,s9 + 80005504: 000d0793 mv a5,s10 + 80005508: 00048713 mv a4,s1 + 8000550c: 000c0693 mv a3,s8 + 80005510: 000b8613 mv a2,s7 + 80005514: 000b0593 mv a1,s6 + 80005518: 8fdff0ef jal ra,80004e14 <_ntoa_format> + 8000551c: 0b813083 ld ra,184(sp) + 80005520: 0b013403 ld s0,176(sp) + 80005524: 0a813483 ld s1,168(sp) + 80005528: 0a013903 ld s2,160(sp) + 8000552c: 09813983 ld s3,152(sp) + 80005530: 09013a03 ld s4,144(sp) + 80005534: 08813a83 ld s5,136(sp) + 80005538: 08013b03 ld s6,128(sp) + 8000553c: 07813b83 ld s7,120(sp) + 80005540: 07013c03 ld s8,112(sp) + 80005544: 06813c83 ld s9,104(sp) + 80005548: 06013d03 ld s10,96(sp) + 8000554c: 05813d83 ld s11,88(sp) + 80005550: 0c010113 addi sp,sp,192 + 80005554: 00008067 ret + 80005558: 04100413 li s0,65 + 8000555c: f29ff06f j 80005484 <_ntoa_long_long+0x78> + 80005560: 00000d13 li s10,0 + 80005564: 03010493 addi s1,sp,48 + 80005568: f7dff06f j 800054e4 <_ntoa_long_long+0xd8> + +000000008000556c <_vsnprintf>: + 8000556c: f6010113 addi sp,sp,-160 + 80005570: 08913423 sd s1,136(sp) + 80005574: 09213023 sd s2,128(sp) + 80005578: 07313c23 sd s3,120(sp) + 8000557c: 05713c23 sd s7,88(sp) + 80005580: 05813823 sd s8,80(sp) + 80005584: 08113c23 sd ra,152(sp) + 80005588: 08813823 sd s0,144(sp) + 8000558c: 07413823 sd s4,112(sp) + 80005590: 07513423 sd s5,104(sp) + 80005594: 07613023 sd s6,96(sp) + 80005598: 05913423 sd s9,72(sp) + 8000559c: 05a13023 sd s10,64(sp) + 800055a0: 03b13c23 sd s11,56(sp) + 800055a4: 00058493 mv s1,a1 + 800055a8: 00060c13 mv s8,a2 + 800055ac: 00068b93 mv s7,a3 + 800055b0: 00070993 mv s3,a4 + 800055b4: 00000917 auipc s2,0x0 + 800055b8: 85c90913 addi s2,s2,-1956 # 80004e10 <_out_null> + 800055bc: 00058463 beqz a1,800055c4 <_vsnprintf+0x58> + 800055c0: 00050913 mv s2,a0 + 800055c4: 000bc503 lbu a0,0(s7) + 800055c8: 00000d13 li s10,0 + 800055cc: 66050663 beqz a0,80005c38 <_vsnprintf+0x6cc> + 800055d0: 000107b7 lui a5,0x10 + 800055d4: fff78793 addi a5,a5,-1 # ffff <_entry_offset+0xffff> + 800055d8: 02500a13 li s4,37 + 800055dc: 00001417 auipc s0,0x1 + 800055e0: 56c40413 addi s0,s0,1388 # 80006b48 + 800055e4: 00f13c23 sd a5,24(sp) + 800055e8: 0200006f j 80005608 <_vsnprintf+0x9c> + 800055ec: 000d0613 mv a2,s10 + 800055f0: 000c0693 mv a3,s8 + 800055f4: 00048593 mv a1,s1 + 800055f8: 001d0d13 addi s10,s10,1 + 800055fc: 000900e7 jalr s2 + 80005600: 000bc503 lbu a0,0(s7) + 80005604: 1c050263 beqz a0,800057c8 <_vsnprintf+0x25c> + 80005608: 001b8b93 addi s7,s7,1 + 8000560c: ff4510e3 bne a0,s4,800055ec <_vsnprintf+0x80> + 80005610: 00000593 li a1,0 + 80005614: 01000813 li a6,16 + 80005618: 000bc503 lbu a0,0(s7) + 8000561c: 001b8713 addi a4,s7,1 + 80005620: 00070613 mv a2,a4 + 80005624: fe05079b addiw a5,a0,-32 + 80005628: 0ff7f793 andi a5,a5,255 + 8000562c: 00f86c63 bltu a6,a5,80005644 <_vsnprintf+0xd8> + 80005630: 00279793 slli a5,a5,0x2 + 80005634: 008787b3 add a5,a5,s0 + 80005638: 0007a783 lw a5,0(a5) + 8000563c: 008787b3 add a5,a5,s0 + 80005640: 00078067 jr a5 + 80005644: fd05079b addiw a5,a0,-48 + 80005648: 0ff7f793 andi a5,a5,255 + 8000564c: 00900813 li a6,9 + 80005650: 0ef87c63 bgeu a6,a5,80005748 <_vsnprintf+0x1dc> + 80005654: 02a00793 li a5,42 + 80005658: 20f50463 beq a0,a5,80005860 <_vsnprintf+0x2f4> + 8000565c: 000b8613 mv a2,s7 + 80005660: 00000d93 li s11,0 + 80005664: 00070b93 mv s7,a4 + 80005668: 02e00793 li a5,46 + 8000566c: 00000c93 li s9,0 + 80005670: 12f50463 beq a0,a5,80005798 <_vsnprintf+0x22c> + 80005674: f985079b addiw a5,a0,-104 + 80005678: 0ff7f793 andi a5,a5,255 + 8000567c: 01200713 li a4,18 + 80005680: 08f76463 bltu a4,a5,80005708 <_vsnprintf+0x19c> + 80005684: 00001717 auipc a4,0x1 + 80005688: 50870713 addi a4,a4,1288 # 80006b8c + 8000568c: 00279793 slli a5,a5,0x2 + 80005690: 00e787b3 add a5,a5,a4 + 80005694: 0007a783 lw a5,0(a5) + 80005698: 00e787b3 add a5,a5,a4 + 8000569c: 00078067 jr a5 + 800056a0: 0015e593 ori a1,a1,1 + 800056a4: 0005859b sext.w a1,a1 + 800056a8: 00070b93 mv s7,a4 + 800056ac: f6dff06f j 80005618 <_vsnprintf+0xac> + 800056b0: 0025e593 ori a1,a1,2 + 800056b4: 0005859b sext.w a1,a1 + 800056b8: 00070b93 mv s7,a4 + 800056bc: f5dff06f j 80005618 <_vsnprintf+0xac> + 800056c0: 0045e593 ori a1,a1,4 + 800056c4: 0005859b sext.w a1,a1 + 800056c8: 00070b93 mv s7,a4 + 800056cc: f4dff06f j 80005618 <_vsnprintf+0xac> + 800056d0: 0105e593 ori a1,a1,16 + 800056d4: 0005859b sext.w a1,a1 + 800056d8: 00070b93 mv s7,a4 + 800056dc: f3dff06f j 80005618 <_vsnprintf+0xac> + 800056e0: 0085e593 ori a1,a1,8 + 800056e4: 0005859b sext.w a1,a1 + 800056e8: 00070b93 mv s7,a4 + 800056ec: f2dff06f j 80005618 <_vsnprintf+0xac> + 800056f0: 00164503 lbu a0,1(a2) + 800056f4: 06800793 li a5,104 + 800056f8: 52f50663 beq a0,a5,80005c24 <_vsnprintf+0x6b8> + 800056fc: 0805e593 ori a1,a1,128 + 80005700: 0005859b sext.w a1,a1 + 80005704: 001b8b93 addi s7,s7,1 + 80005708: fdb5079b addiw a5,a0,-37 + 8000570c: 0ff7f793 andi a5,a5,255 + 80005710: 05300713 li a4,83 + 80005714: ecf76ce3 bltu a4,a5,800055ec <_vsnprintf+0x80> + 80005718: 00001717 auipc a4,0x1 + 8000571c: 4c070713 addi a4,a4,1216 # 80006bd8 + 80005720: 00279793 slli a5,a5,0x2 + 80005724: 00e787b3 add a5,a5,a4 + 80005728: 0007a783 lw a5,0(a5) + 8000572c: 00e787b3 add a5,a5,a4 + 80005730: 00078067 jr a5 + 80005734: 00164503 lbu a0,1(a2) + 80005738: 1005e593 ori a1,a1,256 + 8000573c: 0005859b sext.w a1,a1 + 80005740: 001b8b93 addi s7,s7,1 + 80005744: fc5ff06f j 80005708 <_vsnprintf+0x19c> + 80005748: 00000d93 li s11,0 + 8000574c: 00900813 li a6,9 + 80005750: 0080006f j 80005758 <_vsnprintf+0x1ec> + 80005754: 00170713 addi a4,a4,1 + 80005758: 002d979b slliw a5,s11,0x2 + 8000575c: 01b787bb addw a5,a5,s11 + 80005760: 0017979b slliw a5,a5,0x1 + 80005764: 00a787bb addw a5,a5,a0 + 80005768: 00074503 lbu a0,0(a4) + 8000576c: 000b8893 mv a7,s7 + 80005770: fd078d9b addiw s11,a5,-48 + 80005774: fd05061b addiw a2,a0,-48 + 80005778: 0ff67613 andi a2,a2,255 + 8000577c: 00070b93 mv s7,a4 + 80005780: fcc87ae3 bgeu a6,a2,80005754 <_vsnprintf+0x1e8> + 80005784: 02e00793 li a5,46 + 80005788: 00070613 mv a2,a4 + 8000578c: 00288b93 addi s7,a7,2 + 80005790: 00000c93 li s9,0 + 80005794: eef510e3 bne a0,a5,80005674 <_vsnprintf+0x108> + 80005798: 00164503 lbu a0,1(a2) + 8000579c: 4005e593 ori a1,a1,1024 + 800057a0: 00900713 li a4,9 + 800057a4: fd05079b addiw a5,a0,-48 + 800057a8: 0ff7f793 andi a5,a5,255 + 800057ac: 0005859b sext.w a1,a1 + 800057b0: 06f77c63 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 800057b4: 02a00793 li a5,42 + 800057b8: 32f50e63 beq a0,a5,80005af4 <_vsnprintf+0x588> + 800057bc: 000b8613 mv a2,s7 + 800057c0: 001b8b93 addi s7,s7,1 + 800057c4: eb1ff06f j 80005674 <_vsnprintf+0x108> + 800057c8: 000d041b sext.w s0,s10 + 800057cc: 018d6463 bltu s10,s8,800057d4 <_vsnprintf+0x268> + 800057d0: fffc0d13 addi s10,s8,-1 + 800057d4: 000c0693 mv a3,s8 + 800057d8: 000d0613 mv a2,s10 + 800057dc: 00048593 mv a1,s1 + 800057e0: 00000513 li a0,0 + 800057e4: 000900e7 jalr s2 + 800057e8: 09813083 ld ra,152(sp) + 800057ec: 00040513 mv a0,s0 + 800057f0: 09013403 ld s0,144(sp) + 800057f4: 08813483 ld s1,136(sp) + 800057f8: 08013903 ld s2,128(sp) + 800057fc: 07813983 ld s3,120(sp) + 80005800: 07013a03 ld s4,112(sp) + 80005804: 06813a83 ld s5,104(sp) + 80005808: 06013b03 ld s6,96(sp) + 8000580c: 05813b83 ld s7,88(sp) + 80005810: 05013c03 ld s8,80(sp) + 80005814: 04813c83 ld s9,72(sp) + 80005818: 04013d03 ld s10,64(sp) + 8000581c: 03813d83 ld s11,56(sp) + 80005820: 0a010113 addi sp,sp,160 + 80005824: 00008067 ret + 80005828: 002c979b slliw a5,s9,0x2 + 8000582c: 019788bb addw a7,a5,s9 + 80005830: 000b8813 mv a6,s7 + 80005834: 0018989b slliw a7,a7,0x1 + 80005838: 001b8b93 addi s7,s7,1 + 8000583c: 00a888bb addw a7,a7,a0 + 80005840: 000bc503 lbu a0,0(s7) + 80005844: fd088c9b addiw s9,a7,-48 + 80005848: fd05079b addiw a5,a0,-48 + 8000584c: 0ff7f793 andi a5,a5,255 + 80005850: fcf77ce3 bgeu a4,a5,80005828 <_vsnprintf+0x2bc> + 80005854: 000b8613 mv a2,s7 + 80005858: 00280b93 addi s7,a6,2 + 8000585c: e19ff06f j 80005674 <_vsnprintf+0x108> + 80005860: 0009a783 lw a5,0(s3) + 80005864: 00898993 addi s3,s3,8 + 80005868: 00078d9b sext.w s11,a5 + 8000586c: 0007c863 bltz a5,8000587c <_vsnprintf+0x310> + 80005870: 001bc503 lbu a0,1(s7) + 80005874: 002b8b93 addi s7,s7,2 + 80005878: df1ff06f j 80005668 <_vsnprintf+0xfc> + 8000587c: 0025e593 ori a1,a1,2 + 80005880: 001bc503 lbu a0,1(s7) + 80005884: 0005859b sext.w a1,a1 + 80005888: 40f00dbb negw s11,a5 + 8000588c: 002b8b93 addi s7,s7,2 + 80005890: dd9ff06f j 80005668 <_vsnprintf+0xfc> + 80005894: 07800793 li a5,120 + 80005898: 00898a93 addi s5,s3,8 + 8000589c: 56f50063 beq a0,a5,80005dfc <_vsnprintf+0x890> + 800058a0: 05800793 li a5,88 + 800058a4: 28f50463 beq a0,a5,80005b2c <_vsnprintf+0x5c0> + 800058a8: 06f00793 li a5,111 + 800058ac: 4af50c63 beq a0,a5,80005d64 <_vsnprintf+0x7f8> + 800058b0: 06200793 li a5,98 + 800058b4: 54f50063 beq a0,a5,80005df4 <_vsnprintf+0x888> + 800058b8: fef5ff13 andi t5,a1,-17 + 800058bc: 4005f793 andi a5,a1,1024 + 800058c0: 06900713 li a4,105 + 800058c4: 000f0f1b sext.w t5,t5 + 800058c8: 0007879b sext.w a5,a5 + 800058cc: 5ae51063 bne a0,a4,80005e6c <_vsnprintf+0x900> + 800058d0: 48079663 bnez a5,80005d5c <_vsnprintf+0x7f0> + 800058d4: 2005f593 andi a1,a1,512 + 800058d8: 0005879b sext.w a5,a1 + 800058dc: 00a00813 li a6,10 + 800058e0: 42079663 bnez a5,80005d0c <_vsnprintf+0x7a0> + 800058e4: 100f7793 andi a5,t5,256 + 800058e8: 000f0613 mv a2,t5 + 800058ec: 4e079063 bnez a5,80005dcc <_vsnprintf+0x860> + 800058f0: 040f7713 andi a4,t5,64 + 800058f4: 0009a783 lw a5,0(s3) + 800058f8: 4a071a63 bnez a4,80005dac <_vsnprintf+0x840> + 800058fc: 08067613 andi a2,a2,128 + 80005900: 52060a63 beqz a2,80005e34 <_vsnprintf+0x8c8> + 80005904: 0107979b slliw a5,a5,0x10 + 80005908: 4107d79b sraiw a5,a5,0x10 + 8000590c: 40f7d61b sraiw a2,a5,0xf + 80005910: 00c7c733 xor a4,a5,a2 + 80005914: 40c7073b subw a4,a4,a2 + 80005918: 03071713 slli a4,a4,0x30 + 8000591c: 03075713 srli a4,a4,0x30 + 80005920: 000d0613 mv a2,s10 + 80005924: 01e13423 sd t5,8(sp) + 80005928: 01b13023 sd s11,0(sp) + 8000592c: 000c8893 mv a7,s9 + 80005930: 01f7d79b srliw a5,a5,0x1f + 80005934: 000c0693 mv a3,s8 + 80005938: 00048593 mv a1,s1 + 8000593c: 00090513 mv a0,s2 + 80005940: 96dff0ef jal ra,800052ac <_ntoa_long> + 80005944: 00050d13 mv s10,a0 + 80005948: 000a8993 mv s3,s5 + 8000594c: cb5ff06f j 80005600 <_vsnprintf+0x94> + 80005950: 00164503 lbu a0,1(a2) + 80005954: 06c00793 li a5,108 + 80005958: def510e3 bne a0,a5,80005738 <_vsnprintf+0x1cc> + 8000595c: 3005e593 ori a1,a1,768 + 80005960: 00264503 lbu a0,2(a2) + 80005964: 0005859b sext.w a1,a1 + 80005968: 00360b93 addi s7,a2,3 + 8000596c: d9dff06f j 80005708 <_vsnprintf+0x19c> + 80005970: 00898793 addi a5,s3,8 + 80005974: 001d0813 addi a6,s10,1 + 80005978: 0025f593 andi a1,a1,2 + 8000597c: 02f13023 sd a5,32(sp) + 80005980: 00080b13 mv s6,a6 + 80005984: 32058263 beqz a1,80005ca8 <_vsnprintf+0x73c> + 80005988: 0009c503 lbu a0,0(s3) + 8000598c: 000c0693 mv a3,s8 + 80005990: 000d0613 mv a2,s10 + 80005994: 00048593 mv a1,s1 + 80005998: 000900e7 jalr s2 + 8000599c: 00100793 li a5,1 + 800059a0: 43b7f063 bgeu a5,s11,80005dc0 <_vsnprintf+0x854> + 800059a4: ffed879b addiw a5,s11,-2 + 800059a8: 02079a93 slli s5,a5,0x20 + 800059ac: 020ada93 srli s5,s5,0x20 + 800059b0: 002d0d13 addi s10,s10,2 + 800059b4: 015d0cb3 add s9,s10,s5 + 800059b8: 000b0613 mv a2,s6 + 800059bc: 000c0693 mv a3,s8 + 800059c0: 001b0b13 addi s6,s6,1 + 800059c4: 00048593 mv a1,s1 + 800059c8: 02000513 li a0,32 + 800059cc: 000900e7 jalr s2 + 800059d0: ff6c94e3 bne s9,s6,800059b8 <_vsnprintf+0x44c> + 800059d4: 02013983 ld s3,32(sp) + 800059d8: 015d0d33 add s10,s10,s5 + 800059dc: c25ff06f j 80005600 <_vsnprintf+0x94> + 800059e0: 000d0613 mv a2,s10 + 800059e4: 000c0693 mv a3,s8 + 800059e8: 00048593 mv a1,s1 + 800059ec: 02500513 li a0,37 + 800059f0: 001d0d13 addi s10,s10,1 + 800059f4: 000900e7 jalr s2 + 800059f8: c09ff06f j 80005600 <_vsnprintf+0x94> + 800059fc: 0009ba83 ld s5,0(s3) + 80005a00: 00898793 addi a5,s3,8 + 80005a04: 02f13023 sd a5,32(sp) + 80005a08: 000ac503 lbu a0,0(s5) + 80005a0c: 100c9663 bnez s9,80005b18 <_vsnprintf+0x5ac> + 80005a10: ffe00793 li a5,-2 + 80005a14: 42050863 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005a18: 00178693 addi a3,a5,1 + 80005a1c: 00da8633 add a2,s5,a3 + 80005a20: 000a8793 mv a5,s5 + 80005a24: 0080006f j 80005a2c <_vsnprintf+0x4c0> + 80005a28: 1ec78863 beq a5,a2,80005c18 <_vsnprintf+0x6ac> + 80005a2c: 0017c703 lbu a4,1(a5) + 80005a30: 00178793 addi a5,a5,1 + 80005a34: fe071ae3 bnez a4,80005a28 <_vsnprintf+0x4bc> + 80005a38: 415787bb subw a5,a5,s5 + 80005a3c: 00f13823 sd a5,16(sp) + 80005a40: 4005f793 andi a5,a1,1024 + 80005a44: 0007899b sext.w s3,a5 + 80005a48: 00078c63 beqz a5,80005a60 <_vsnprintf+0x4f4> + 80005a4c: 01013703 ld a4,16(sp) + 80005a50: 000c879b sext.w a5,s9 + 80005a54: 01977463 bgeu a4,s9,80005a5c <_vsnprintf+0x4f0> + 80005a58: 0007079b sext.w a5,a4 + 80005a5c: 00f13823 sd a5,16(sp) + 80005a60: 0025f593 andi a1,a1,2 + 80005a64: 0005879b sext.w a5,a1 + 80005a68: 02f13423 sd a5,40(sp) + 80005a6c: 1c058a63 beqz a1,80005c40 <_vsnprintf+0x6d4> + 80005a70: 14050863 beqz a0,80005bc0 <_vsnprintf+0x654> + 80005a74: 000d0613 mv a2,s10 + 80005a78: 00098863 beqz s3,80005a88 <_vsnprintf+0x51c> + 80005a7c: fffc879b addiw a5,s9,-1 + 80005a80: 120c8863 beqz s9,80005bb0 <_vsnprintf+0x644> + 80005a84: 00078c93 mv s9,a5 + 80005a88: 000c0693 mv a3,s8 + 80005a8c: 00048593 mv a1,s1 + 80005a90: 00160b13 addi s6,a2,1 + 80005a94: 000900e7 jalr s2 + 80005a98: 41ab07b3 sub a5,s6,s10 + 80005a9c: 00fa87b3 add a5,s5,a5 + 80005aa0: 0007c503 lbu a0,0(a5) + 80005aa4: 10050863 beqz a0,80005bb4 <_vsnprintf+0x648> + 80005aa8: 000b0613 mv a2,s6 + 80005aac: fcdff06f j 80005a78 <_vsnprintf+0x50c> + 80005ab0: 0009b703 ld a4,0(s3) + 80005ab4: 0215e593 ori a1,a1,33 + 80005ab8: 0005859b sext.w a1,a1 + 80005abc: 01000793 li a5,16 + 80005ac0: 000d0613 mv a2,s10 + 80005ac4: 00b13423 sd a1,8(sp) + 80005ac8: 00f13023 sd a5,0(sp) + 80005acc: 000c8893 mv a7,s9 + 80005ad0: 01000813 li a6,16 + 80005ad4: 00000793 li a5,0 + 80005ad8: 000c0693 mv a3,s8 + 80005adc: 00048593 mv a1,s1 + 80005ae0: 00090513 mv a0,s2 + 80005ae4: 929ff0ef jal ra,8000540c <_ntoa_long_long> + 80005ae8: 00898993 addi s3,s3,8 + 80005aec: 00050d13 mv s10,a0 + 80005af0: b11ff06f j 80005600 <_vsnprintf+0x94> + 80005af4: 0009a883 lw a7,0(s3) + 80005af8: 00264503 lbu a0,2(a2) + 80005afc: 00360b93 addi s7,a2,3 + 80005b00: fff8c793 not a5,a7 + 80005b04: 43f7d793 srai a5,a5,0x3f + 80005b08: 00f8fcb3 and s9,a7,a5 + 80005b0c: 00898993 addi s3,s3,8 + 80005b10: 00260613 addi a2,a2,2 + 80005b14: b61ff06f j 80005674 <_vsnprintf+0x108> + 80005b18: 020c9793 slli a5,s9,0x20 + 80005b1c: 0207d793 srli a5,a5,0x20 + 80005b20: 32050263 beqz a0,80005e44 <_vsnprintf+0x8d8> + 80005b24: fff78793 addi a5,a5,-1 + 80005b28: ef1ff06f j 80005a18 <_vsnprintf+0x4ac> + 80005b2c: ff35ff13 andi t5,a1,-13 + 80005b30: 000f0f1b sext.w t5,t5 + 80005b34: 4005f793 andi a5,a1,1024 + 80005b38: 020f6f13 ori t5,t5,32 + 80005b3c: 20078863 beqz a5,80005d4c <_vsnprintf+0x7e0> + 80005b40: 01000813 li a6,16 + 80005b44: ffef7f13 andi t5,t5,-2 + 80005b48: 000f0f1b sext.w t5,t5 + 80005b4c: 200f7793 andi a5,t5,512 + 80005b50: 06900713 li a4,105 + 80005b54: 0007879b sext.w a5,a5 + 80005b58: d8e504e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b5c: 06400713 li a4,100 + 80005b60: d8e500e3 beq a0,a4,800058e0 <_vsnprintf+0x374> + 80005b64: 22079663 bnez a5,80005d90 <_vsnprintf+0x824> + 80005b68: 100f7793 andi a5,t5,256 + 80005b6c: 000f0713 mv a4,t5 + 80005b70: 2a079463 bnez a5,80005e18 <_vsnprintf+0x8ac> + 80005b74: 040f7793 andi a5,t5,64 + 80005b78: 24079063 bnez a5,80005db8 <_vsnprintf+0x84c> + 80005b7c: 08077713 andi a4,a4,128 + 80005b80: 2c070663 beqz a4,80005e4c <_vsnprintf+0x8e0> + 80005b84: 0009a703 lw a4,0(s3) + 80005b88: 01813783 ld a5,24(sp) + 80005b8c: 00e7f733 and a4,a5,a4 + 80005b90: 02071713 slli a4,a4,0x20 + 80005b94: 000d0613 mv a2,s10 + 80005b98: 01e13423 sd t5,8(sp) + 80005b9c: 01b13023 sd s11,0(sp) + 80005ba0: 000c8893 mv a7,s9 + 80005ba4: 00000793 li a5,0 + 80005ba8: 02075713 srli a4,a4,0x20 + 80005bac: d89ff06f j 80005934 <_vsnprintf+0x3c8> + 80005bb0: 00060b13 mv s6,a2 + 80005bb4: 02813783 ld a5,40(sp) + 80005bb8: 04078a63 beqz a5,80005c0c <_vsnprintf+0x6a0> + 80005bbc: 000b0d13 mv s10,s6 + 80005bc0: 01013703 ld a4,16(sp) + 80005bc4: 0db77e63 bgeu a4,s11,80005ca0 <_vsnprintf+0x734> + 80005bc8: fffd879b addiw a5,s11,-1 + 80005bcc: 40e7873b subw a4,a5,a4 + 80005bd0: 02071713 slli a4,a4,0x20 + 80005bd4: 02075713 srli a4,a4,0x20 + 80005bd8: 001d0813 addi a6,s10,1 + 80005bdc: 01070b33 add s6,a4,a6 + 80005be0: 0080006f j 80005be8 <_vsnprintf+0x67c> + 80005be4: 00180813 addi a6,a6,1 + 80005be8: 000d0613 mv a2,s10 + 80005bec: 01013823 sd a6,16(sp) + 80005bf0: 000c0693 mv a3,s8 + 80005bf4: 00048593 mv a1,s1 + 80005bf8: 02000513 li a0,32 + 80005bfc: 00080d13 mv s10,a6 + 80005c00: 000900e7 jalr s2 + 80005c04: 01013803 ld a6,16(sp) + 80005c08: fd0b1ee3 bne s6,a6,80005be4 <_vsnprintf+0x678> + 80005c0c: 02013983 ld s3,32(sp) + 80005c10: 000b0d13 mv s10,s6 + 80005c14: 9edff06f j 80005600 <_vsnprintf+0x94> + 80005c18: 0006879b sext.w a5,a3 + 80005c1c: 00f13823 sd a5,16(sp) + 80005c20: e21ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005c24: 0c05e593 ori a1,a1,192 + 80005c28: 00264503 lbu a0,2(a2) + 80005c2c: 0005859b sext.w a1,a1 + 80005c30: 00360b93 addi s7,a2,3 + 80005c34: ad5ff06f j 80005708 <_vsnprintf+0x19c> + 80005c38: 00000413 li s0,0 + 80005c3c: b91ff06f j 800057cc <_vsnprintf+0x260> + 80005c40: 01013703 ld a4,16(sp) + 80005c44: 0017079b addiw a5,a4,1 + 80005c48: 21b77c63 bgeu a4,s11,80005e60 <_vsnprintf+0x8f4> + 80005c4c: fffd879b addiw a5,s11,-1 + 80005c50: 40e787bb subw a5,a5,a4 + 80005c54: 02079793 slli a5,a5,0x20 + 80005c58: 0207d793 srli a5,a5,0x20 + 80005c5c: 001d0813 addi a6,s10,1 + 80005c60: 01078b33 add s6,a5,a6 + 80005c64: 00c0006f j 80005c70 <_vsnprintf+0x704> + 80005c68: 01013803 ld a6,16(sp) + 80005c6c: 00180813 addi a6,a6,1 + 80005c70: 000d0613 mv a2,s10 + 80005c74: 000c0693 mv a3,s8 + 80005c78: 00080d13 mv s10,a6 + 80005c7c: 01013823 sd a6,16(sp) + 80005c80: 00048593 mv a1,s1 + 80005c84: 02000513 li a0,32 + 80005c88: 000900e7 jalr s2 + 80005c8c: fd6d1ee3 bne s10,s6,80005c68 <_vsnprintf+0x6fc> + 80005c90: 000ac503 lbu a0,0(s5) + 80005c94: 001d879b addiw a5,s11,1 + 80005c98: 00f13823 sd a5,16(sp) + 80005c9c: dc051ce3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005ca0: 000d0b13 mv s6,s10 + 80005ca4: f69ff06f j 80005c0c <_vsnprintf+0x6a0> + 80005ca8: 00100793 li a5,1 + 80005cac: 1bb7f463 bgeu a5,s11,80005e54 <_vsnprintf+0x8e8> + 80005cb0: ffed879b addiw a5,s11,-2 + 80005cb4: 02079a93 slli s5,a5,0x20 + 80005cb8: 020ada93 srli s5,s5,0x20 + 80005cbc: 010a8ab3 add s5,s5,a6 + 80005cc0: 00c0006f j 80005ccc <_vsnprintf+0x760> + 80005cc4: 01013803 ld a6,16(sp) + 80005cc8: 00180813 addi a6,a6,1 + 80005ccc: 000d0613 mv a2,s10 + 80005cd0: 000c0693 mv a3,s8 + 80005cd4: 00080d13 mv s10,a6 + 80005cd8: 01013823 sd a6,16(sp) + 80005cdc: 00048593 mv a1,s1 + 80005ce0: 02000513 li a0,32 + 80005ce4: 000900e7 jalr s2 + 80005ce8: fdaa9ee3 bne s5,s10,80005cc4 <_vsnprintf+0x758> + 80005cec: 001a8d13 addi s10,s5,1 + 80005cf0: 0009c503 lbu a0,0(s3) + 80005cf4: 000c0693 mv a3,s8 + 80005cf8: 000a8613 mv a2,s5 + 80005cfc: 00048593 mv a1,s1 + 80005d00: 000900e7 jalr s2 + 80005d04: 02013983 ld s3,32(sp) + 80005d08: 8f9ff06f j 80005600 <_vsnprintf+0x94> + 80005d0c: 0009b783 ld a5,0(s3) + 80005d10: 000d0613 mv a2,s10 + 80005d14: 01e13423 sd t5,8(sp) + 80005d18: 43f7d713 srai a4,a5,0x3f + 80005d1c: 00f745b3 xor a1,a4,a5 + 80005d20: 01b13023 sd s11,0(sp) + 80005d24: 000c8893 mv a7,s9 + 80005d28: 03f7d793 srli a5,a5,0x3f + 80005d2c: 40e58733 sub a4,a1,a4 + 80005d30: 000c0693 mv a3,s8 + 80005d34: 00048593 mv a1,s1 + 80005d38: 00090513 mv a0,s2 + 80005d3c: ed0ff0ef jal ra,8000540c <_ntoa_long_long> + 80005d40: 00050d13 mv s10,a0 + 80005d44: 000a8993 mv s3,s5 + 80005d48: 8b9ff06f j 80005600 <_vsnprintf+0x94> + 80005d4c: 2005f793 andi a5,a1,512 + 80005d50: 0007879b sext.w a5,a5 + 80005d54: 01000813 li a6,16 + 80005d58: e0dff06f j 80005b64 <_vsnprintf+0x5f8> + 80005d5c: 00a00813 li a6,10 + 80005d60: de5ff06f j 80005b44 <_vsnprintf+0x5d8> + 80005d64: 00800813 li a6,8 + 80005d68: 00058f13 mv t5,a1 + 80005d6c: 400f7713 andi a4,t5,1024 + 80005d70: 06400613 li a2,100 + 80005d74: 000f0793 mv a5,t5 + 80005d78: 0007071b sext.w a4,a4 + 80005d7c: 0ec51c63 bne a0,a2,80005e74 <_vsnprintf+0x908> + 80005d80: dc0712e3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005d84: 2007f793 andi a5,a5,512 + 80005d88: 0007879b sext.w a5,a5 + 80005d8c: b55ff06f j 800058e0 <_vsnprintf+0x374> + 80005d90: 0009b703 ld a4,0(s3) + 80005d94: 000d0613 mv a2,s10 + 80005d98: 01e13423 sd t5,8(sp) + 80005d9c: 01b13023 sd s11,0(sp) + 80005da0: 000c8893 mv a7,s9 + 80005da4: 00000793 li a5,0 + 80005da8: f89ff06f j 80005d30 <_vsnprintf+0x7c4> + 80005dac: 0ff7f793 andi a5,a5,255 + 80005db0: 00078713 mv a4,a5 + 80005db4: b6dff06f j 80005920 <_vsnprintf+0x3b4> + 80005db8: 0009c703 lbu a4,0(s3) + 80005dbc: dd5ff06f j 80005b90 <_vsnprintf+0x624> + 80005dc0: 02013983 ld s3,32(sp) + 80005dc4: 000b0d13 mv s10,s6 + 80005dc8: 839ff06f j 80005600 <_vsnprintf+0x94> + 80005dcc: 0009b783 ld a5,0(s3) + 80005dd0: 000d0613 mv a2,s10 + 80005dd4: 01e13423 sd t5,8(sp) + 80005dd8: 43f7d713 srai a4,a5,0x3f + 80005ddc: 00f745b3 xor a1,a4,a5 + 80005de0: 01b13023 sd s11,0(sp) + 80005de4: 000c8893 mv a7,s9 + 80005de8: 03f7d793 srli a5,a5,0x3f + 80005dec: 40e58733 sub a4,a1,a4 + 80005df0: b45ff06f j 80005934 <_vsnprintf+0x3c8> + 80005df4: 00200813 li a6,2 + 80005df8: f71ff06f j 80005d68 <_vsnprintf+0x7fc> + 80005dfc: 4005f713 andi a4,a1,1024 + 80005e00: 0007071b sext.w a4,a4 + 80005e04: 01000813 li a6,16 + 80005e08: ff35f593 andi a1,a1,-13 + 80005e0c: 00058f1b sext.w t5,a1 + 80005e10: d2071ae3 bnez a4,80005b44 <_vsnprintf+0x5d8> + 80005e14: d39ff06f j 80005b4c <_vsnprintf+0x5e0> + 80005e18: 0009b703 ld a4,0(s3) + 80005e1c: 000d0613 mv a2,s10 + 80005e20: 01e13423 sd t5,8(sp) + 80005e24: 01b13023 sd s11,0(sp) + 80005e28: 000c8893 mv a7,s9 + 80005e2c: 00000793 li a5,0 + 80005e30: b05ff06f j 80005934 <_vsnprintf+0x3c8> + 80005e34: 41f7d61b sraiw a2,a5,0x1f + 80005e38: 00c7c733 xor a4,a5,a2 + 80005e3c: 40c7073b subw a4,a4,a2 + 80005e40: ae1ff06f j 80005920 <_vsnprintf+0x3b4> + 80005e44: 00013823 sd zero,16(sp) + 80005e48: bf9ff06f j 80005a40 <_vsnprintf+0x4d4> + 80005e4c: 0009a703 lw a4,0(s3) + 80005e50: d41ff06f j 80005b90 <_vsnprintf+0x624> + 80005e54: 000d0a93 mv s5,s10 + 80005e58: 00080d13 mv s10,a6 + 80005e5c: e95ff06f j 80005cf0 <_vsnprintf+0x784> + 80005e60: 00f13823 sd a5,16(sp) + 80005e64: c00518e3 bnez a0,80005a74 <_vsnprintf+0x508> + 80005e68: e39ff06f j 80005ca0 <_vsnprintf+0x734> + 80005e6c: 00a00813 li a6,10 + 80005e70: efdff06f j 80005d6c <_vsnprintf+0x800> + 80005e74: 000f0593 mv a1,t5 + 80005e78: f91ff06f j 80005e08 <_vsnprintf+0x89c> + +0000000080005e7c <_out_char>: + 80005e7c: 00051463 bnez a0,80005e84 <_out_char+0x8> + 80005e80: 00008067 ret + 80005e84: a05fe06f j 80004888 + +0000000080005e88 : + 80005e88: fa010113 addi sp,sp,-96 + 80005e8c: 02810313 addi t1,sp,40 + 80005e90: 02b13423 sd a1,40(sp) + 80005e94: 02c13823 sd a2,48(sp) + 80005e98: 02d13c23 sd a3,56(sp) + 80005e9c: 04e13023 sd a4,64(sp) + 80005ea0: 00050693 mv a3,a0 + 80005ea4: 00010593 mv a1,sp + 80005ea8: 00030713 mv a4,t1 + 80005eac: fff00613 li a2,-1 + 80005eb0: 00000517 auipc a0,0x0 + 80005eb4: fcc50513 addi a0,a0,-52 # 80005e7c <_out_char> + 80005eb8: 00113c23 sd ra,24(sp) + 80005ebc: 04f13423 sd a5,72(sp) + 80005ec0: 05013823 sd a6,80(sp) + 80005ec4: 05113c23 sd a7,88(sp) + 80005ec8: 00613423 sd t1,8(sp) + 80005ecc: ea0ff0ef jal ra,8000556c <_vsnprintf> + 80005ed0: 01813083 ld ra,24(sp) + 80005ed4: 06010113 addi sp,sp,96 + 80005ed8: 00008067 ret + +0000000080005edc : + 80005edc: fb010113 addi sp,sp,-80 + 80005ee0: 02010313 addi t1,sp,32 + 80005ee4: 02c13023 sd a2,32(sp) + 80005ee8: 02d13423 sd a3,40(sp) + 80005eec: 02e13823 sd a4,48(sp) + 80005ef0: 00058693 mv a3,a1 + 80005ef4: 00030713 mv a4,t1 + 80005ef8: 00050593 mv a1,a0 + 80005efc: fff00613 li a2,-1 + 80005f00: fffff517 auipc a0,0xfffff + 80005f04: f0050513 addi a0,a0,-256 # 80004e00 <_out_buffer> + 80005f08: 00113c23 sd ra,24(sp) + 80005f0c: 02f13c23 sd a5,56(sp) + 80005f10: 05013023 sd a6,64(sp) + 80005f14: 05113423 sd a7,72(sp) + 80005f18: 00613423 sd t1,8(sp) + 80005f1c: e50ff0ef jal ra,8000556c <_vsnprintf> + 80005f20: 01813083 ld ra,24(sp) + 80005f24: 05010113 addi sp,sp,80 + 80005f28: 00008067 ret + +0000000080005f2c : + 80005f2c: 00700713 li a4,7 + 80005f30: 00050793 mv a5,a0 + 80005f34: 0ac77a63 bgeu a4,a2,80005fe8 + 80005f38: 0ff5f893 andi a7,a1,255 + 80005f3c: 00889693 slli a3,a7,0x8 + 80005f40: 0116e6b3 or a3,a3,a7 + 80005f44: 01069713 slli a4,a3,0x10 + 80005f48: 00d766b3 or a3,a4,a3 + 80005f4c: 02069713 slli a4,a3,0x20 + 80005f50: 00757813 andi a6,a0,7 + 80005f54: 00d76733 or a4,a4,a3 + 80005f58: 0a080663 beqz a6,80006004 + 80005f5c: 00c50633 add a2,a0,a2 + 80005f60: 01178023 sb a7,0(a5) + 80005f64: 00178793 addi a5,a5,1 + 80005f68: 0077f813 andi a6,a5,7 + 80005f6c: 40f606b3 sub a3,a2,a5 + 80005f70: fe0818e3 bnez a6,80005f60 + 80005f74: 0066d813 srli a6,a3,0x6 + 80005f78: 04080063 beqz a6,80005fb8 + 80005f7c: 00681613 slli a2,a6,0x6 + 80005f80: 00078893 mv a7,a5 + 80005f84: 00f60633 add a2,a2,a5 + 80005f88: 00e7b023 sd a4,0(a5) + 80005f8c: 00e7b423 sd a4,8(a5) + 80005f90: 00e7b823 sd a4,16(a5) + 80005f94: 00e7bc23 sd a4,24(a5) + 80005f98: 02e7b023 sd a4,32(a5) + 80005f9c: 02e7b423 sd a4,40(a5) + 80005fa0: 02e7b823 sd a4,48(a5) + 80005fa4: 02e7bc23 sd a4,56(a5) + 80005fa8: 04078793 addi a5,a5,64 + 80005fac: fcf61ee3 bne a2,a5,80005f88 + 80005fb0: 00681793 slli a5,a6,0x6 + 80005fb4: 011787b3 add a5,a5,a7 + 80005fb8: 0036d813 srli a6,a3,0x3 + 80005fbc: 00787813 andi a6,a6,7 + 80005fc0: 02080263 beqz a6,80005fe4 + 80005fc4: 00381613 slli a2,a6,0x3 + 80005fc8: 00078893 mv a7,a5 + 80005fcc: 00f60633 add a2,a2,a5 + 80005fd0: 00e7b023 sd a4,0(a5) + 80005fd4: 00878793 addi a5,a5,8 + 80005fd8: fef61ce3 bne a2,a5,80005fd0 + 80005fdc: 00381793 slli a5,a6,0x3 + 80005fe0: 011787b3 add a5,a5,a7 + 80005fe4: 0076f613 andi a2,a3,7 + 80005fe8: 0ff5f593 andi a1,a1,255 + 80005fec: 00c78733 add a4,a5,a2 + 80005ff0: 00060863 beqz a2,80006000 + 80005ff4: 00b78023 sb a1,0(a5) + 80005ff8: 00178793 addi a5,a5,1 + 80005ffc: fef71ce3 bne a4,a5,80005ff4 + 80006000: 00008067 ret + 80006004: 00060693 mv a3,a2 + 80006008: f6dff06f j 80005f74 + +000000008000600c : + 8000600c: 1a050e63 beqz a0,800061c8 + 80006010: fd010113 addi sp,sp,-48 + 80006014: 02813023 sd s0,32(sp) + 80006018: 00913c23 sd s1,24(sp) + 8000601c: 01f57793 andi a5,a0,31 + 80006020: 02113423 sd ra,40(sp) + 80006024: 01213823 sd s2,16(sp) + 80006028: 01313423 sd s3,8(sp) + 8000602c: 01413023 sd s4,0(sp) + 80006030: 00050493 mv s1,a0 + 80006034: 00050413 mv s0,a0 + 80006038: 00000513 li a0,0 + 8000603c: 14079a63 bnez a5,80006190 + 80006040: 27f00713 li a4,639 + 80006044: 00058913 mv s2,a1 + 80006048: 00078513 mv a0,a5 + 8000604c: 14b77263 bgeu a4,a1,80006190 + 80006050: 0074f513 andi a0,s1,7 + 80006054: 00153513 seqz a0,a0 + 80006058: 00060a13 mv s4,a2 + 8000605c: 00068993 mv s3,a3 + 80006060: 839fe0ef jal ra,80004898 <_assert> + 80006064: 20048793 addi a5,s1,512 + 80006068: 2004b023 sd zero,512(s1) + 8000606c: 2144b423 sd s4,520(s1) + 80006070: 2134b823 sd s3,528(s1) + 80006074: 00043023 sd zero,0(s0) + 80006078: 00840413 addi s0,s0,8 + 8000607c: fe879ce3 bne a5,s0,80006074 + 80006080: fff00793 li a5,-1 + 80006084: dc090413 addi s0,s2,-576 + 80006088: 03f79793 slli a5,a5,0x3f + 8000608c: 1287f863 bgeu a5,s0,800061bc + 80006090: 00078413 mv s0,a5 + 80006094: 00100513 li a0,1 + 80006098: 801fe0ef jal ra,80004898 <_assert> + 8000609c: f8300793 li a5,-125 + 800060a0: 0017d793 srli a5,a5,0x1 + 800060a4: fc040513 addi a0,s0,-64 + 800060a8: 00f53533 sltu a0,a0,a5 + 800060ac: fecfe0ef jal ra,80004898 <_assert> + 800060b0: 01f4f513 andi a0,s1,31 + 800060b4: 00153513 seqz a0,a0 + 800060b8: fe0fe0ef jal ra,80004898 <_assert> + 800060bc: 00100513 li a0,1 + 800060c0: 2404b023 sd zero,576(s1) + 800060c4: 2404b423 sd zero,584(s1) + 800060c8: 2484b823 sd s0,592(s1) + 800060cc: 24048c23 sb zero,600(s1) + 800060d0: 2604b023 sd zero,608(s1) + 800060d4: 2604b423 sd zero,616(s1) + 800060d8: fc0fe0ef jal ra,80004898 <_assert> + 800060dc: 00100513 li a0,1 + 800060e0: fb8fe0ef jal ra,80004898 <_assert> + 800060e4: 2504b503 ld a0,592(s1) + 800060e8: 24048a13 addi s4,s1,576 + 800060ec: 04053513 sltiu a0,a0,64 + 800060f0: 00154513 xori a0,a0,1 + 800060f4: 00157513 andi a0,a0,1 + 800060f8: fa0fe0ef jal ra,80004898 <_assert> + 800060fc: 2504b503 ld a0,592(s1) + 80006100: 03f57513 andi a0,a0,63 + 80006104: 00153513 seqz a0,a0 + 80006108: f90fe0ef jal ra,80004898 <_assert> + 8000610c: 2504b703 ld a4,592(s1) + 80006110: 00100793 li a5,1 + 80006114: 00675713 srli a4,a4,0x6 + 80006118: 0ae7fc63 bgeu a5,a4,800061d0 + 8000611c: 00000793 li a5,0 + 80006120: 00100693 li a3,1 + 80006124: 0017879b addiw a5,a5,1 + 80006128: 00175713 srli a4,a4,0x1 + 8000612c: 0ff7f793 andi a5,a5,255 + 80006130: fed71ae3 bne a4,a3,80006124 + 80006134: 00078993 mv s3,a5 + 80006138: 0407b513 sltiu a0,a5,64 + 8000613c: 00f71933 sll s2,a4,a5 + 80006140: f58fe0ef jal ra,80004898 <_assert> + 80006144: 00399793 slli a5,s3,0x3 + 80006148: 00f487b3 add a5,s1,a5 + 8000614c: 0007b703 ld a4,0(a5) + 80006150: 2604b423 sd zero,616(s1) + 80006154: 26e4b023 sd a4,608(s1) + 80006158: 00070463 beqz a4,80006160 + 8000615c: 03473423 sd s4,40(a4) + 80006160: 0147b023 sd s4,0(a5) + 80006164: 2004b503 ld a0,512(s1) + 80006168: 00a96533 or a0,s2,a0 + 8000616c: 20a4b023 sd a0,512(s1) + 80006170: 00a03533 snez a0,a0 + 80006174: f24fe0ef jal ra,80004898 <_assert> + 80006178: 00048513 mv a0,s1 + 8000617c: 2084bc23 sd s0,536(s1) + 80006180: 2204b023 sd zero,544(s1) + 80006184: 2204b423 sd zero,552(s1) + 80006188: 2204b823 sd zero,560(s1) + 8000618c: 2204bc23 sd zero,568(s1) + 80006190: 02813083 ld ra,40(sp) + 80006194: 02013403 ld s0,32(sp) + 80006198: 01813483 ld s1,24(sp) + 8000619c: 01013903 ld s2,16(sp) + 800061a0: 00813983 ld s3,8(sp) + 800061a4: 00013a03 ld s4,0(sp) + 800061a8: 03010113 addi sp,sp,48 + 800061ac: 00008067 ret + 800061b0: 00100513 li a0,1 + 800061b4: fff40413 addi s0,s0,-1 + 800061b8: ee0fe0ef jal ra,80004898 <_assert> + 800061bc: 03f47793 andi a5,s0,63 + 800061c0: fe0798e3 bnez a5,800061b0 + 800061c4: ed1ff06f j 80006094 + 800061c8: 00000513 li a0,0 + 800061cc: 00008067 ret + 800061d0: 00100913 li s2,1 + 800061d4: 00000993 li s3,0 + 800061d8: 00100513 li a0,1 + 800061dc: f65ff06f j 80006140 diff --git a/bin/non-output/riscv-tests/add-riscv-tests.bin b/bin/non-output/riscv-tests/add-riscv-tests.bin new file mode 100755 index 0000000..3204754 Binary files /dev/null and b/bin/non-output/riscv-tests/add-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/add-riscv-tests.elf b/bin/non-output/riscv-tests/add-riscv-tests.elf new file mode 100755 index 0000000..1c4cc91 Binary files /dev/null and b/bin/non-output/riscv-tests/add-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/add-riscv-tests.txt b/bin/non-output/riscv-tests/add-riscv-tests.txt new file mode 100755 index 0000000..04072d1 --- /dev/null +++ b/bin/non-output/riscv-tests/add-riscv-tests.txt @@ -0,0 +1,411 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/add-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 00208733 add a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4e771063 bne a4,t2,800004f4 + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 00208733 add a4,ra,sp + 80000024: 00200393 li t2,2 + 80000028: 00300193 li gp,3 + 8000002c: 4c771463 bne a4,t2,800004f4 + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 00208733 add a4,ra,sp + 8000003c: 00a00393 li t2,10 + 80000040: 00400193 li gp,4 + 80000044: 4a771863 bne a4,t2,800004f4 + +0000000080000048 : + 80000048: 00000093 li ra,0 + 8000004c: ffff8137 lui sp,0xffff8 + 80000050: 00208733 add a4,ra,sp + 80000054: ffff83b7 lui t2,0xffff8 + 80000058: 00500193 li gp,5 + 8000005c: 48771c63 bne a4,t2,800004f4 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 00000113 li sp,0 + 80000068: 00208733 add a4,ra,sp + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 00600193 li gp,6 + 80000074: 48771063 bne a4,t2,800004f4 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: ffff8137 lui sp,0xffff8 + 80000080: 00208733 add a4,ra,sp + 80000084: ffff03b7 lui t2,0xffff0 + 80000088: fff3839b addiw t2,t2,-1 + 8000008c: 00f39393 slli t2,t2,0xf + 80000090: 00700193 li gp,7 + 80000094: 46771063 bne a4,t2,800004f4 + +0000000080000098 : + 80000098: 00000093 li ra,0 + 8000009c: 00008137 lui sp,0x8 + 800000a0: fff1011b addiw sp,sp,-1 + 800000a4: 00208733 add a4,ra,sp + 800000a8: 000083b7 lui t2,0x8 + 800000ac: fff3839b addiw t2,t2,-1 + 800000b0: 00800193 li gp,8 + 800000b4: 44771063 bne a4,t2,800004f4 + +00000000800000b8 : + 800000b8: 800000b7 lui ra,0x80000 + 800000bc: fff0809b addiw ra,ra,-1 + 800000c0: 00000113 li sp,0 + 800000c4: 00208733 add a4,ra,sp + 800000c8: 800003b7 lui t2,0x80000 + 800000cc: fff3839b addiw t2,t2,-1 + 800000d0: 00900193 li gp,9 + 800000d4: 42771063 bne a4,t2,800004f4 + +00000000800000d8 : + 800000d8: 800000b7 lui ra,0x80000 + 800000dc: fff0809b addiw ra,ra,-1 + 800000e0: 00008137 lui sp,0x8 + 800000e4: fff1011b addiw sp,sp,-1 + 800000e8: 00208733 add a4,ra,sp + 800000ec: 000103b7 lui t2,0x10 + 800000f0: 0013839b addiw t2,t2,1 + 800000f4: 00f39393 slli t2,t2,0xf + 800000f8: ffe38393 addi t2,t2,-2 # fffe <_entry_offset+0xfffe> + 800000fc: 00a00193 li gp,10 + 80000100: 3e771a63 bne a4,t2,800004f4 + +0000000080000104 : + 80000104: 800000b7 lui ra,0x80000 + 80000108: 00008137 lui sp,0x8 + 8000010c: fff1011b addiw sp,sp,-1 + 80000110: 00208733 add a4,ra,sp + 80000114: 800083b7 lui t2,0x80008 + 80000118: fff3839b addiw t2,t2,-1 + 8000011c: 00b00193 li gp,11 + 80000120: 3c771a63 bne a4,t2,800004f4 + +0000000080000124 : + 80000124: 800000b7 lui ra,0x80000 + 80000128: fff0809b addiw ra,ra,-1 + 8000012c: ffff8137 lui sp,0xffff8 + 80000130: 00208733 add a4,ra,sp + 80000134: 7fff83b7 lui t2,0x7fff8 + 80000138: fff3839b addiw t2,t2,-1 + 8000013c: 00c00193 li gp,12 + 80000140: 3a771a63 bne a4,t2,800004f4 + +0000000080000144 : + 80000144: 00000093 li ra,0 + 80000148: fff00113 li sp,-1 + 8000014c: 00208733 add a4,ra,sp + 80000150: fff00393 li t2,-1 + 80000154: 00d00193 li gp,13 + 80000158: 38771e63 bne a4,t2,800004f4 + +000000008000015c : + 8000015c: fff00093 li ra,-1 + 80000160: 00100113 li sp,1 + 80000164: 00208733 add a4,ra,sp + 80000168: 00000393 li t2,0 + 8000016c: 00e00193 li gp,14 + 80000170: 38771263 bne a4,t2,800004f4 + +0000000080000174 : + 80000174: fff00093 li ra,-1 + 80000178: fff00113 li sp,-1 + 8000017c: 00208733 add a4,ra,sp + 80000180: ffe00393 li t2,-2 + 80000184: 00f00193 li gp,15 + 80000188: 36771663 bne a4,t2,800004f4 + +000000008000018c : + 8000018c: 00100093 li ra,1 + 80000190: 80000137 lui sp,0x80000 + 80000194: fff1011b addiw sp,sp,-1 + 80000198: 00208733 add a4,ra,sp + 8000019c: 0010039b addiw t2,zero,1 + 800001a0: 01f39393 slli t2,t2,0x1f + 800001a4: 01000193 li gp,16 + 800001a8: 34771663 bne a4,t2,800004f4 + +00000000800001ac : + 800001ac: 00d00093 li ra,13 + 800001b0: 00b00113 li sp,11 + 800001b4: 002080b3 add ra,ra,sp + 800001b8: 01800393 li t2,24 + 800001bc: 01100193 li gp,17 + 800001c0: 32709a63 bne ra,t2,800004f4 + +00000000800001c4 : + 800001c4: 00e00093 li ra,14 + 800001c8: 00b00113 li sp,11 + 800001cc: 00208133 add sp,ra,sp + 800001d0: 01900393 li t2,25 + 800001d4: 01200193 li gp,18 + 800001d8: 30711e63 bne sp,t2,800004f4 + +00000000800001dc : + 800001dc: 00d00093 li ra,13 + 800001e0: 001080b3 add ra,ra,ra + 800001e4: 01a00393 li t2,26 + 800001e8: 01300193 li gp,19 + 800001ec: 30709463 bne ra,t2,800004f4 + +00000000800001f0 : + 800001f0: 00000213 li tp,0 + 800001f4: 00d00093 li ra,13 + 800001f8: 00b00113 li sp,11 + 800001fc: 00208733 add a4,ra,sp + 80000200: 00070313 mv t1,a4 + 80000204: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000208: 00200293 li t0,2 + 8000020c: fe5214e3 bne tp,t0,800001f4 + 80000210: 01800393 li t2,24 + 80000214: 01400193 li gp,20 + 80000218: 2c731e63 bne t1,t2,800004f4 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 00e00093 li ra,14 + 80000224: 00b00113 li sp,11 + 80000228: 00208733 add a4,ra,sp + 8000022c: 00000013 nop + 80000230: 00070313 mv t1,a4 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fe5212e3 bne tp,t0,80000220 + 80000240: 01900393 li t2,25 + 80000244: 01500193 li gp,21 + 80000248: 2a731663 bne t1,t2,800004f4 + +000000008000024c : + 8000024c: 00000213 li tp,0 + 80000250: 00f00093 li ra,15 + 80000254: 00b00113 li sp,11 + 80000258: 00208733 add a4,ra,sp + 8000025c: 00000013 nop + 80000260: 00000013 nop + 80000264: 00070313 mv t1,a4 + 80000268: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000026c: 00200293 li t0,2 + 80000270: fe5210e3 bne tp,t0,80000250 + 80000274: 01a00393 li t2,26 + 80000278: 01600193 li gp,22 + 8000027c: 26731c63 bne t1,t2,800004f4 + +0000000080000280 : + 80000280: 00000213 li tp,0 + 80000284: 00d00093 li ra,13 + 80000288: 00b00113 li sp,11 + 8000028c: 00208733 add a4,ra,sp + 80000290: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000294: 00200293 li t0,2 + 80000298: fe5216e3 bne tp,t0,80000284 + 8000029c: 01800393 li t2,24 + 800002a0: 01700193 li gp,23 + 800002a4: 24771863 bne a4,t2,800004f4 + +00000000800002a8 : + 800002a8: 00000213 li tp,0 + 800002ac: 00e00093 li ra,14 + 800002b0: 00b00113 li sp,11 + 800002b4: 00000013 nop + 800002b8: 00208733 add a4,ra,sp + 800002bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c0: 00200293 li t0,2 + 800002c4: fe5214e3 bne tp,t0,800002ac + 800002c8: 01900393 li t2,25 + 800002cc: 01800193 li gp,24 + 800002d0: 22771263 bne a4,t2,800004f4 + +00000000800002d4 : + 800002d4: 00000213 li tp,0 + 800002d8: 00f00093 li ra,15 + 800002dc: 00b00113 li sp,11 + 800002e0: 00000013 nop + 800002e4: 00000013 nop + 800002e8: 00208733 add a4,ra,sp + 800002ec: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f0: 00200293 li t0,2 + 800002f4: fe5212e3 bne tp,t0,800002d8 + 800002f8: 01a00393 li t2,26 + 800002fc: 01900193 li gp,25 + 80000300: 1e771a63 bne a4,t2,800004f4 + +0000000080000304 : + 80000304: 00000213 li tp,0 + 80000308: 00d00093 li ra,13 + 8000030c: 00000013 nop + 80000310: 00b00113 li sp,11 + 80000314: 00208733 add a4,ra,sp + 80000318: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000031c: 00200293 li t0,2 + 80000320: fe5214e3 bne tp,t0,80000308 + 80000324: 01800393 li t2,24 + 80000328: 01a00193 li gp,26 + 8000032c: 1c771463 bne a4,t2,800004f4 + +0000000080000330 : + 80000330: 00000213 li tp,0 + 80000334: 00e00093 li ra,14 + 80000338: 00000013 nop + 8000033c: 00b00113 li sp,11 + 80000340: 00000013 nop + 80000344: 00208733 add a4,ra,sp + 80000348: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000034c: 00200293 li t0,2 + 80000350: fe5212e3 bne tp,t0,80000334 + 80000354: 01900393 li t2,25 + 80000358: 01b00193 li gp,27 + 8000035c: 18771c63 bne a4,t2,800004f4 + +0000000080000360 : + 80000360: 00000213 li tp,0 + 80000364: 00f00093 li ra,15 + 80000368: 00000013 nop + 8000036c: 00000013 nop + 80000370: 00b00113 li sp,11 + 80000374: 00208733 add a4,ra,sp + 80000378: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000037c: 00200293 li t0,2 + 80000380: fe5212e3 bne tp,t0,80000364 + 80000384: 01a00393 li t2,26 + 80000388: 01c00193 li gp,28 + 8000038c: 16771463 bne a4,t2,800004f4 + +0000000080000390 : + 80000390: 00000213 li tp,0 + 80000394: 00b00113 li sp,11 + 80000398: 00d00093 li ra,13 + 8000039c: 00208733 add a4,ra,sp + 800003a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003a4: 00200293 li t0,2 + 800003a8: fe5216e3 bne tp,t0,80000394 + 800003ac: 01800393 li t2,24 + 800003b0: 01d00193 li gp,29 + 800003b4: 14771063 bne a4,t2,800004f4 + +00000000800003b8 : + 800003b8: 00000213 li tp,0 + 800003bc: 00b00113 li sp,11 + 800003c0: 00e00093 li ra,14 + 800003c4: 00000013 nop + 800003c8: 00208733 add a4,ra,sp + 800003cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d0: 00200293 li t0,2 + 800003d4: fe5214e3 bne tp,t0,800003bc + 800003d8: 01900393 li t2,25 + 800003dc: 01e00193 li gp,30 + 800003e0: 10771a63 bne a4,t2,800004f4 + +00000000800003e4 : + 800003e4: 00000213 li tp,0 + 800003e8: 00b00113 li sp,11 + 800003ec: 00f00093 li ra,15 + 800003f0: 00000013 nop + 800003f4: 00000013 nop + 800003f8: 00208733 add a4,ra,sp + 800003fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000400: 00200293 li t0,2 + 80000404: fe5212e3 bne tp,t0,800003e8 + 80000408: 01a00393 li t2,26 + 8000040c: 01f00193 li gp,31 + 80000410: 0e771263 bne a4,t2,800004f4 + +0000000080000414 : + 80000414: 00000213 li tp,0 + 80000418: 00b00113 li sp,11 + 8000041c: 00000013 nop + 80000420: 00d00093 li ra,13 + 80000424: 00208733 add a4,ra,sp + 80000428: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000042c: 00200293 li t0,2 + 80000430: fe5214e3 bne tp,t0,80000418 + 80000434: 01800393 li t2,24 + 80000438: 02000193 li gp,32 + 8000043c: 0a771c63 bne a4,t2,800004f4 + +0000000080000440 : + 80000440: 00000213 li tp,0 + 80000444: 00b00113 li sp,11 + 80000448: 00000013 nop + 8000044c: 00e00093 li ra,14 + 80000450: 00000013 nop + 80000454: 00208733 add a4,ra,sp + 80000458: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000045c: 00200293 li t0,2 + 80000460: fe5212e3 bne tp,t0,80000444 + 80000464: 01900393 li t2,25 + 80000468: 02100193 li gp,33 + 8000046c: 08771463 bne a4,t2,800004f4 + +0000000080000470 : + 80000470: 00000213 li tp,0 + 80000474: 00b00113 li sp,11 + 80000478: 00000013 nop + 8000047c: 00000013 nop + 80000480: 00f00093 li ra,15 + 80000484: 00208733 add a4,ra,sp + 80000488: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000048c: 00200293 li t0,2 + 80000490: fe5212e3 bne tp,t0,80000474 + 80000494: 01a00393 li t2,26 + 80000498: 02200193 li gp,34 + 8000049c: 04771c63 bne a4,t2,800004f4 + +00000000800004a0 : + 800004a0: 00f00093 li ra,15 + 800004a4: 00100133 add sp,zero,ra + 800004a8: 00f00393 li t2,15 + 800004ac: 02300193 li gp,35 + 800004b0: 04711263 bne sp,t2,800004f4 + +00000000800004b4 : + 800004b4: 02000093 li ra,32 + 800004b8: 00008133 add sp,ra,zero + 800004bc: 02000393 li t2,32 + 800004c0: 02400193 li gp,36 + 800004c4: 02711863 bne sp,t2,800004f4 + +00000000800004c8 : + 800004c8: 000000b3 add ra,zero,zero + 800004cc: 00000393 li t2,0 + 800004d0: 02500193 li gp,37 + 800004d4: 02709063 bne ra,t2,800004f4 + +00000000800004d8 : + 800004d8: 01000093 li ra,16 + 800004dc: 01e00113 li sp,30 + 800004e0: 00208033 add zero,ra,sp + 800004e4: 00000393 li t2,0 + 800004e8: 02600193 li gp,38 + 800004ec: 00701463 bne zero,t2,800004f4 + 800004f0: 00301663 bne zero,gp,800004fc + +00000000800004f4 : + 800004f4: 00100513 li a0,1 + 800004f8: 04c0006f j 80000544 + +00000000800004fc : + 800004fc: 00000513 li a0,0 + 80000500: 0440006f j 80000544 + 80000504: c0001073 unimp + ... + +0000000080000544 : + 80000544: 00050513 mv a0,a0 + 80000548: 0000006b 0x6b + 8000054c: 0000006f j 8000054c diff --git a/bin/non-output/riscv-tests/addi-riscv-tests.bin b/bin/non-output/riscv-tests/addi-riscv-tests.bin new file mode 100755 index 0000000..12c7630 Binary files /dev/null and b/bin/non-output/riscv-tests/addi-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/addi-riscv-tests.elf b/bin/non-output/riscv-tests/addi-riscv-tests.elf new file mode 100755 index 0000000..02dc3fe Binary files /dev/null and b/bin/non-output/riscv-tests/addi-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/addi-riscv-tests.txt b/bin/non-output/riscv-tests/addi-riscv-tests.txt new file mode 100755 index 0000000..2b7a759 --- /dev/null +++ b/bin/non-output/riscv-tests/addi-riscv-tests.txt @@ -0,0 +1,233 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/addi-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00008713 mv a4,ra + 80000008: 00000393 li t2,0 + 8000000c: 00200193 li gp,2 + 80000010: 28771263 bne a4,t2,80000294 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 00108713 addi a4,ra,1 + 8000001c: 00200393 li t2,2 + 80000020: 00300193 li gp,3 + 80000024: 26771863 bne a4,t2,80000294 + +0000000080000028 : + 80000028: 00300093 li ra,3 + 8000002c: 00708713 addi a4,ra,7 + 80000030: 00a00393 li t2,10 + 80000034: 00400193 li gp,4 + 80000038: 24771e63 bne a4,t2,80000294 + +000000008000003c : + 8000003c: 00000093 li ra,0 + 80000040: 80008713 addi a4,ra,-2048 + 80000044: 80000393 li t2,-2048 + 80000048: 00500193 li gp,5 + 8000004c: 24771463 bne a4,t2,80000294 + +0000000080000050 : + 80000050: 800000b7 lui ra,0x80000 + 80000054: 00008713 mv a4,ra + 80000058: 800003b7 lui t2,0x80000 + 8000005c: 00600193 li gp,6 + 80000060: 22771a63 bne a4,t2,80000294 + +0000000080000064 : + 80000064: 800000b7 lui ra,0x80000 + 80000068: 80008713 addi a4,ra,-2048 # ffffffff7ffff800 <_end+0xfffffffeffff6800> + 8000006c: fff0039b addiw t2,zero,-1 + 80000070: 01f39393 slli t2,t2,0x1f + 80000074: 80038393 addi t2,t2,-2048 # ffffffff7ffff800 <_end+0xfffffffeffff6800> + 80000078: 00700193 li gp,7 + 8000007c: 20771c63 bne a4,t2,80000294 + +0000000080000080 : + 80000080: 00000093 li ra,0 + 80000084: 7ff08713 addi a4,ra,2047 + 80000088: 7ff00393 li t2,2047 + 8000008c: 00800193 li gp,8 + 80000090: 20771263 bne a4,t2,80000294 + +0000000080000094 : + 80000094: 800000b7 lui ra,0x80000 + 80000098: fff0809b addiw ra,ra,-1 + 8000009c: 00008713 mv a4,ra + 800000a0: 800003b7 lui t2,0x80000 + 800000a4: fff3839b addiw t2,t2,-1 + 800000a8: 00900193 li gp,9 + 800000ac: 1e771463 bne a4,t2,80000294 + +00000000800000b0 : + 800000b0: 800000b7 lui ra,0x80000 + 800000b4: fff0809b addiw ra,ra,-1 + 800000b8: 7ff08713 addi a4,ra,2047 # ffffffff800007ff <_end+0xfffffffeffff77ff> + 800000bc: 0010039b addiw t2,zero,1 + 800000c0: 01f39393 slli t2,t2,0x1f + 800000c4: 7fe38393 addi t2,t2,2046 # ffffffff800007fe <_end+0xfffffffeffff77fe> + 800000c8: 00a00193 li gp,10 + 800000cc: 1c771463 bne a4,t2,80000294 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: 7ff08713 addi a4,ra,2047 # ffffffff800007ff <_end+0xfffffffeffff77ff> + 800000d8: 800003b7 lui t2,0x80000 + 800000dc: 7ff3839b addiw t2,t2,2047 + 800000e0: 00b00193 li gp,11 + 800000e4: 1a771863 bne a4,t2,80000294 + +00000000800000e8 : + 800000e8: 800000b7 lui ra,0x80000 + 800000ec: fff0809b addiw ra,ra,-1 + 800000f0: 80008713 addi a4,ra,-2048 # ffffffff7ffff800 <_end+0xfffffffeffff6800> + 800000f4: 7ffff3b7 lui t2,0x7ffff + 800000f8: 7ff3839b addiw t2,t2,2047 + 800000fc: 00c00193 li gp,12 + 80000100: 18771a63 bne a4,t2,80000294 + +0000000080000104 : + 80000104: 00000093 li ra,0 + 80000108: fff08713 addi a4,ra,-1 + 8000010c: fff00393 li t2,-1 + 80000110: 00d00193 li gp,13 + 80000114: 18771063 bne a4,t2,80000294 + +0000000080000118 : + 80000118: fff00093 li ra,-1 + 8000011c: 00108713 addi a4,ra,1 + 80000120: 00000393 li t2,0 + 80000124: 00e00193 li gp,14 + 80000128: 16771663 bne a4,t2,80000294 + +000000008000012c : + 8000012c: fff00093 li ra,-1 + 80000130: fff08713 addi a4,ra,-1 + 80000134: ffe00393 li t2,-2 + 80000138: 00f00193 li gp,15 + 8000013c: 14771c63 bne a4,t2,80000294 + +0000000080000140 : + 80000140: 800000b7 lui ra,0x80000 + 80000144: fff0809b addiw ra,ra,-1 + 80000148: 00108713 addi a4,ra,1 # ffffffff80000001 <_end+0xfffffffeffff7001> + 8000014c: 0010039b addiw t2,zero,1 + 80000150: 01f39393 slli t2,t2,0x1f + 80000154: 01000193 li gp,16 + 80000158: 12771e63 bne a4,t2,80000294 + +000000008000015c : + 8000015c: 00d00093 li ra,13 + 80000160: 00b08093 addi ra,ra,11 + 80000164: 01800393 li t2,24 + 80000168: 01100193 li gp,17 + 8000016c: 12709463 bne ra,t2,80000294 + +0000000080000170 : + 80000170: 00000213 li tp,0 + 80000174: 00d00093 li ra,13 + 80000178: 00b08713 addi a4,ra,11 + 8000017c: 00070313 mv t1,a4 + 80000180: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000184: 00200293 li t0,2 + 80000188: fe5216e3 bne tp,t0,80000174 + 8000018c: 01800393 li t2,24 + 80000190: 01200193 li gp,18 + 80000194: 10731063 bne t1,t2,80000294 + +0000000080000198 : + 80000198: 00000213 li tp,0 + 8000019c: 00d00093 li ra,13 + 800001a0: 00a08713 addi a4,ra,10 + 800001a4: 00000013 nop + 800001a8: 00070313 mv t1,a4 + 800001ac: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001b0: 00200293 li t0,2 + 800001b4: fe5214e3 bne tp,t0,8000019c + 800001b8: 01700393 li t2,23 + 800001bc: 01300193 li gp,19 + 800001c0: 0c731a63 bne t1,t2,80000294 + +00000000800001c4 : + 800001c4: 00000213 li tp,0 + 800001c8: 00d00093 li ra,13 + 800001cc: 00908713 addi a4,ra,9 + 800001d0: 00000013 nop + 800001d4: 00000013 nop + 800001d8: 00070313 mv t1,a4 + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5212e3 bne tp,t0,800001c8 + 800001e8: 01600393 li t2,22 + 800001ec: 01400193 li gp,20 + 800001f0: 0a731263 bne t1,t2,80000294 + +00000000800001f4 : + 800001f4: 00000213 li tp,0 + 800001f8: 00d00093 li ra,13 + 800001fc: 00b08713 addi a4,ra,11 + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fe5218e3 bne tp,t0,800001f8 + 8000020c: 01800393 li t2,24 + 80000210: 01500193 li gp,21 + 80000214: 08771063 bne a4,t2,80000294 + +0000000080000218 : + 80000218: 00000213 li tp,0 + 8000021c: 00d00093 li ra,13 + 80000220: 00000013 nop + 80000224: 00a08713 addi a4,ra,10 + 80000228: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000022c: 00200293 li t0,2 + 80000230: fe5216e3 bne tp,t0,8000021c + 80000234: 01700393 li t2,23 + 80000238: 01600193 li gp,22 + 8000023c: 04771c63 bne a4,t2,80000294 + +0000000080000240 : + 80000240: 00000213 li tp,0 + 80000244: 00d00093 li ra,13 + 80000248: 00000013 nop + 8000024c: 00000013 nop + 80000250: 00908713 addi a4,ra,9 + 80000254: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000258: 00200293 li t0,2 + 8000025c: fe5214e3 bne tp,t0,80000244 + 80000260: 01600393 li t2,22 + 80000264: 01700193 li gp,23 + 80000268: 02771663 bne a4,t2,80000294 + +000000008000026c : + 8000026c: 02000093 li ra,32 + 80000270: 02000393 li t2,32 + 80000274: 01800193 li gp,24 + 80000278: 00709e63 bne ra,t2,80000294 + +000000008000027c : + 8000027c: 02100093 li ra,33 + 80000280: 03208013 addi zero,ra,50 + 80000284: 00000393 li t2,0 + 80000288: 01900193 li gp,25 + 8000028c: 00701463 bne zero,t2,80000294 + 80000290: 00301663 bne zero,gp,8000029c + +0000000080000294 : + 80000294: 00100513 li a0,1 + 80000298: 02c0006f j 800002c4 + +000000008000029c : + 8000029c: 00000513 li a0,0 + 800002a0: 0240006f j 800002c4 + 800002a4: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/addiw-riscv-tests.bin b/bin/non-output/riscv-tests/addiw-riscv-tests.bin new file mode 100755 index 0000000..e24e2ff Binary files /dev/null and b/bin/non-output/riscv-tests/addiw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/addiw-riscv-tests.elf b/bin/non-output/riscv-tests/addiw-riscv-tests.elf new file mode 100755 index 0000000..ec23360 Binary files /dev/null and b/bin/non-output/riscv-tests/addiw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/addiw-riscv-tests.txt b/bin/non-output/riscv-tests/addiw-riscv-tests.txt new file mode 100755 index 0000000..4b8c1a0 --- /dev/null +++ b/bin/non-output/riscv-tests/addiw-riscv-tests.txt @@ -0,0 +1,230 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/addiw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 0000871b sext.w a4,ra + 80000008: 00000393 li t2,0 + 8000000c: 00200193 li gp,2 + 80000010: 26771c63 bne a4,t2,80000288 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 0010871b addiw a4,ra,1 + 8000001c: 00200393 li t2,2 + 80000020: 00300193 li gp,3 + 80000024: 26771263 bne a4,t2,80000288 + +0000000080000028 : + 80000028: 00300093 li ra,3 + 8000002c: 0070871b addiw a4,ra,7 + 80000030: 00a00393 li t2,10 + 80000034: 00400193 li gp,4 + 80000038: 24771863 bne a4,t2,80000288 + +000000008000003c : + 8000003c: 00000093 li ra,0 + 80000040: 8000871b addiw a4,ra,-2048 + 80000044: 80000393 li t2,-2048 + 80000048: 00500193 li gp,5 + 8000004c: 22771e63 bne a4,t2,80000288 + +0000000080000050 : + 80000050: 800000b7 lui ra,0x80000 + 80000054: 0000871b sext.w a4,ra + 80000058: 800003b7 lui t2,0x80000 + 8000005c: 00600193 li gp,6 + 80000060: 22771463 bne a4,t2,80000288 + +0000000080000064 : + 80000064: 800000b7 lui ra,0x80000 + 80000068: 8000871b addiw a4,ra,-2048 + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 8003839b addiw t2,t2,-2048 + 80000074: 00700193 li gp,7 + 80000078: 20771863 bne a4,t2,80000288 + +000000008000007c : + 8000007c: 00000093 li ra,0 + 80000080: 7ff0871b addiw a4,ra,2047 + 80000084: 7ff00393 li t2,2047 + 80000088: 00800193 li gp,8 + 8000008c: 1e771e63 bne a4,t2,80000288 + +0000000080000090 : + 80000090: 800000b7 lui ra,0x80000 + 80000094: fff0809b addiw ra,ra,-1 + 80000098: 0000871b sext.w a4,ra + 8000009c: 800003b7 lui t2,0x80000 + 800000a0: fff3839b addiw t2,t2,-1 + 800000a4: 00900193 li gp,9 + 800000a8: 1e771063 bne a4,t2,80000288 + +00000000800000ac : + 800000ac: 800000b7 lui ra,0x80000 + 800000b0: fff0809b addiw ra,ra,-1 + 800000b4: 7ff0871b addiw a4,ra,2047 + 800000b8: 800003b7 lui t2,0x80000 + 800000bc: 7fe3839b addiw t2,t2,2046 + 800000c0: 00a00193 li gp,10 + 800000c4: 1c771263 bne a4,t2,80000288 + +00000000800000c8 : + 800000c8: 800000b7 lui ra,0x80000 + 800000cc: 7ff0871b addiw a4,ra,2047 + 800000d0: 800003b7 lui t2,0x80000 + 800000d4: 7ff3839b addiw t2,t2,2047 + 800000d8: 00b00193 li gp,11 + 800000dc: 1a771663 bne a4,t2,80000288 + +00000000800000e0 : + 800000e0: 800000b7 lui ra,0x80000 + 800000e4: fff0809b addiw ra,ra,-1 + 800000e8: 8000871b addiw a4,ra,-2048 + 800000ec: 7ffff3b7 lui t2,0x7ffff + 800000f0: 7ff3839b addiw t2,t2,2047 + 800000f4: 00c00193 li gp,12 + 800000f8: 18771863 bne a4,t2,80000288 + +00000000800000fc : + 800000fc: 00000093 li ra,0 + 80000100: fff0871b addiw a4,ra,-1 + 80000104: fff00393 li t2,-1 + 80000108: 00d00193 li gp,13 + 8000010c: 16771e63 bne a4,t2,80000288 + +0000000080000110 : + 80000110: fff00093 li ra,-1 + 80000114: 0010871b addiw a4,ra,1 + 80000118: 00000393 li t2,0 + 8000011c: 00e00193 li gp,14 + 80000120: 16771463 bne a4,t2,80000288 + +0000000080000124 : + 80000124: fff00093 li ra,-1 + 80000128: fff0871b addiw a4,ra,-1 + 8000012c: ffe00393 li t2,-2 + 80000130: 00f00193 li gp,15 + 80000134: 14771a63 bne a4,t2,80000288 + +0000000080000138 : + 80000138: 800000b7 lui ra,0x80000 + 8000013c: fff0809b addiw ra,ra,-1 + 80000140: 0010871b addiw a4,ra,1 + 80000144: 800003b7 lui t2,0x80000 + 80000148: 01000193 li gp,16 + 8000014c: 12771e63 bne a4,t2,80000288 + +0000000080000150 : + 80000150: 00d00093 li ra,13 + 80000154: 00b0809b addiw ra,ra,11 + 80000158: 01800393 li t2,24 + 8000015c: 01100193 li gp,17 + 80000160: 12709463 bne ra,t2,80000288 + +0000000080000164 : + 80000164: 00000213 li tp,0 + 80000168: 00d00093 li ra,13 + 8000016c: 00b0871b addiw a4,ra,11 + 80000170: 00070313 mv t1,a4 + 80000174: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000178: 00200293 li t0,2 + 8000017c: fe5216e3 bne tp,t0,80000168 + 80000180: 01800393 li t2,24 + 80000184: 01200193 li gp,18 + 80000188: 10731063 bne t1,t2,80000288 + +000000008000018c : + 8000018c: 00000213 li tp,0 + 80000190: 00d00093 li ra,13 + 80000194: 00a0871b addiw a4,ra,10 + 80000198: 00000013 nop + 8000019c: 00070313 mv t1,a4 + 800001a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a4: 00200293 li t0,2 + 800001a8: fe5214e3 bne tp,t0,80000190 + 800001ac: 01700393 li t2,23 + 800001b0: 01300193 li gp,19 + 800001b4: 0c731a63 bne t1,t2,80000288 + +00000000800001b8 : + 800001b8: 00000213 li tp,0 + 800001bc: 00d00093 li ra,13 + 800001c0: 0090871b addiw a4,ra,9 + 800001c4: 00000013 nop + 800001c8: 00000013 nop + 800001cc: 00070313 mv t1,a4 + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5212e3 bne tp,t0,800001bc + 800001dc: 01600393 li t2,22 + 800001e0: 01400193 li gp,20 + 800001e4: 0a731263 bne t1,t2,80000288 + +00000000800001e8 : + 800001e8: 00000213 li tp,0 + 800001ec: 00d00093 li ra,13 + 800001f0: 00b0871b addiw a4,ra,11 + 800001f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f8: 00200293 li t0,2 + 800001fc: fe5218e3 bne tp,t0,800001ec + 80000200: 01800393 li t2,24 + 80000204: 01500193 li gp,21 + 80000208: 08771063 bne a4,t2,80000288 + +000000008000020c : + 8000020c: 00000213 li tp,0 + 80000210: 00d00093 li ra,13 + 80000214: 00000013 nop + 80000218: 00a0871b addiw a4,ra,10 + 8000021c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000220: 00200293 li t0,2 + 80000224: fe5216e3 bne tp,t0,80000210 + 80000228: 01700393 li t2,23 + 8000022c: 01600193 li gp,22 + 80000230: 04771c63 bne a4,t2,80000288 + +0000000080000234 : + 80000234: 00000213 li tp,0 + 80000238: 00d00093 li ra,13 + 8000023c: 00000013 nop + 80000240: 00000013 nop + 80000244: 0090871b addiw a4,ra,9 + 80000248: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000024c: 00200293 li t0,2 + 80000250: fe5214e3 bne tp,t0,80000238 + 80000254: 01600393 li t2,22 + 80000258: 01700193 li gp,23 + 8000025c: 02771663 bne a4,t2,80000288 + +0000000080000260 : + 80000260: 0200009b addiw ra,zero,32 + 80000264: 02000393 li t2,32 + 80000268: 01800193 li gp,24 + 8000026c: 00709e63 bne ra,t2,80000288 + +0000000080000270 : + 80000270: 02100093 li ra,33 + 80000274: 0320801b addiw zero,ra,50 + 80000278: 00000393 li t2,0 + 8000027c: 01900193 li gp,25 + 80000280: 00701463 bne zero,t2,80000288 + 80000284: 00301663 bne zero,gp,80000290 + +0000000080000288 : + 80000288: 00100513 li a0,1 + 8000028c: 0380006f j 800002c4 + +0000000080000290 : + 80000290: 00000513 li a0,0 + 80000294: 0300006f j 800002c4 + 80000298: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/addw-riscv-tests.bin b/bin/non-output/riscv-tests/addw-riscv-tests.bin new file mode 100755 index 0000000..ba36c1b Binary files /dev/null and b/bin/non-output/riscv-tests/addw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/addw-riscv-tests.elf b/bin/non-output/riscv-tests/addw-riscv-tests.elf new file mode 100755 index 0000000..b1e7ef5 Binary files /dev/null and b/bin/non-output/riscv-tests/addw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/addw-riscv-tests.txt b/bin/non-output/riscv-tests/addw-riscv-tests.txt new file mode 100755 index 0000000..40b40ea --- /dev/null +++ b/bin/non-output/riscv-tests/addw-riscv-tests.txt @@ -0,0 +1,406 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/addw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 0020873b addw a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4c771663 bne a4,t2,800004e0 + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 0020873b addw a4,ra,sp + 80000024: 00200393 li t2,2 + 80000028: 00300193 li gp,3 + 8000002c: 4a771a63 bne a4,t2,800004e0 + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 0020873b addw a4,ra,sp + 8000003c: 00a00393 li t2,10 + 80000040: 00400193 li gp,4 + 80000044: 48771e63 bne a4,t2,800004e0 + +0000000080000048 : + 80000048: 00000093 li ra,0 + 8000004c: ffff8137 lui sp,0xffff8 + 80000050: 0020873b addw a4,ra,sp + 80000054: ffff83b7 lui t2,0xffff8 + 80000058: 00500193 li gp,5 + 8000005c: 48771263 bne a4,t2,800004e0 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 00000113 li sp,0 + 80000068: 0020873b addw a4,ra,sp + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 00600193 li gp,6 + 80000074: 46771663 bne a4,t2,800004e0 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: ffff8137 lui sp,0xffff8 + 80000080: 0020873b addw a4,ra,sp + 80000084: 7fff83b7 lui t2,0x7fff8 + 80000088: 00700193 li gp,7 + 8000008c: 44771a63 bne a4,t2,800004e0 + +0000000080000090 : + 80000090: 00000093 li ra,0 + 80000094: 00008137 lui sp,0x8 + 80000098: fff1011b addiw sp,sp,-1 + 8000009c: 0020873b addw a4,ra,sp + 800000a0: 000083b7 lui t2,0x8 + 800000a4: fff3839b addiw t2,t2,-1 + 800000a8: 00800193 li gp,8 + 800000ac: 42771a63 bne a4,t2,800004e0 + +00000000800000b0 : + 800000b0: 800000b7 lui ra,0x80000 + 800000b4: fff0809b addiw ra,ra,-1 + 800000b8: 00000113 li sp,0 + 800000bc: 0020873b addw a4,ra,sp + 800000c0: 800003b7 lui t2,0x80000 + 800000c4: fff3839b addiw t2,t2,-1 + 800000c8: 00900193 li gp,9 + 800000cc: 40771a63 bne a4,t2,800004e0 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: fff0809b addiw ra,ra,-1 + 800000d8: 00008137 lui sp,0x8 + 800000dc: fff1011b addiw sp,sp,-1 + 800000e0: 0020873b addw a4,ra,sp + 800000e4: 800083b7 lui t2,0x80008 + 800000e8: ffe3839b addiw t2,t2,-2 + 800000ec: 00a00193 li gp,10 + 800000f0: 3e771863 bne a4,t2,800004e0 + +00000000800000f4 : + 800000f4: 800000b7 lui ra,0x80000 + 800000f8: 00008137 lui sp,0x8 + 800000fc: fff1011b addiw sp,sp,-1 + 80000100: 0020873b addw a4,ra,sp + 80000104: 800083b7 lui t2,0x80008 + 80000108: fff3839b addiw t2,t2,-1 + 8000010c: 00b00193 li gp,11 + 80000110: 3c771863 bne a4,t2,800004e0 + +0000000080000114 : + 80000114: 800000b7 lui ra,0x80000 + 80000118: fff0809b addiw ra,ra,-1 + 8000011c: ffff8137 lui sp,0xffff8 + 80000120: 0020873b addw a4,ra,sp + 80000124: 7fff83b7 lui t2,0x7fff8 + 80000128: fff3839b addiw t2,t2,-1 + 8000012c: 00c00193 li gp,12 + 80000130: 3a771863 bne a4,t2,800004e0 + +0000000080000134 : + 80000134: 00000093 li ra,0 + 80000138: fff00113 li sp,-1 + 8000013c: 0020873b addw a4,ra,sp + 80000140: fff00393 li t2,-1 + 80000144: 00d00193 li gp,13 + 80000148: 38771c63 bne a4,t2,800004e0 + +000000008000014c : + 8000014c: fff00093 li ra,-1 + 80000150: 00100113 li sp,1 + 80000154: 0020873b addw a4,ra,sp + 80000158: 00000393 li t2,0 + 8000015c: 00e00193 li gp,14 + 80000160: 38771063 bne a4,t2,800004e0 + +0000000080000164 : + 80000164: fff00093 li ra,-1 + 80000168: fff00113 li sp,-1 + 8000016c: 0020873b addw a4,ra,sp + 80000170: ffe00393 li t2,-2 + 80000174: 00f00193 li gp,15 + 80000178: 36771463 bne a4,t2,800004e0 + +000000008000017c : + 8000017c: 00100093 li ra,1 + 80000180: 80000137 lui sp,0x80000 + 80000184: fff1011b addiw sp,sp,-1 + 80000188: 0020873b addw a4,ra,sp + 8000018c: 800003b7 lui t2,0x80000 + 80000190: 01000193 li gp,16 + 80000194: 34771663 bne a4,t2,800004e0 + +0000000080000198 : + 80000198: 00d00093 li ra,13 + 8000019c: 00b00113 li sp,11 + 800001a0: 002080bb addw ra,ra,sp + 800001a4: 01800393 li t2,24 + 800001a8: 01100193 li gp,17 + 800001ac: 32709a63 bne ra,t2,800004e0 + +00000000800001b0 : + 800001b0: 00e00093 li ra,14 + 800001b4: 00b00113 li sp,11 + 800001b8: 0020813b addw sp,ra,sp + 800001bc: 01900393 li t2,25 + 800001c0: 01200193 li gp,18 + 800001c4: 30711e63 bne sp,t2,800004e0 + +00000000800001c8 : + 800001c8: 00d00093 li ra,13 + 800001cc: 001080bb addw ra,ra,ra + 800001d0: 01a00393 li t2,26 + 800001d4: 01300193 li gp,19 + 800001d8: 30709463 bne ra,t2,800004e0 + +00000000800001dc : + 800001dc: 00000213 li tp,0 + 800001e0: 00d00093 li ra,13 + 800001e4: 00b00113 li sp,11 + 800001e8: 0020873b addw a4,ra,sp + 800001ec: 00070313 mv t1,a4 + 800001f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f4: 00200293 li t0,2 + 800001f8: fe5214e3 bne tp,t0,800001e0 + 800001fc: 01800393 li t2,24 + 80000200: 01400193 li gp,20 + 80000204: 2c731e63 bne t1,t2,800004e0 + +0000000080000208 : + 80000208: 00000213 li tp,0 + 8000020c: 00e00093 li ra,14 + 80000210: 00b00113 li sp,11 + 80000214: 0020873b addw a4,ra,sp + 80000218: 00000013 nop + 8000021c: 00070313 mv t1,a4 + 80000220: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000224: 00200293 li t0,2 + 80000228: fe5212e3 bne tp,t0,8000020c + 8000022c: 01900393 li t2,25 + 80000230: 01500193 li gp,21 + 80000234: 2a731663 bne t1,t2,800004e0 + +0000000080000238 : + 80000238: 00000213 li tp,0 + 8000023c: 00f00093 li ra,15 + 80000240: 00b00113 li sp,11 + 80000244: 0020873b addw a4,ra,sp + 80000248: 00000013 nop + 8000024c: 00000013 nop + 80000250: 00070313 mv t1,a4 + 80000254: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000258: 00200293 li t0,2 + 8000025c: fe5210e3 bne tp,t0,8000023c + 80000260: 01a00393 li t2,26 + 80000264: 01600193 li gp,22 + 80000268: 26731c63 bne t1,t2,800004e0 + +000000008000026c : + 8000026c: 00000213 li tp,0 + 80000270: 00d00093 li ra,13 + 80000274: 00b00113 li sp,11 + 80000278: 0020873b addw a4,ra,sp + 8000027c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000280: 00200293 li t0,2 + 80000284: fe5216e3 bne tp,t0,80000270 + 80000288: 01800393 li t2,24 + 8000028c: 01700193 li gp,23 + 80000290: 24771863 bne a4,t2,800004e0 + +0000000080000294 : + 80000294: 00000213 li tp,0 + 80000298: 00e00093 li ra,14 + 8000029c: 00b00113 li sp,11 + 800002a0: 00000013 nop + 800002a4: 0020873b addw a4,ra,sp + 800002a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002ac: 00200293 li t0,2 + 800002b0: fe5214e3 bne tp,t0,80000298 + 800002b4: 01900393 li t2,25 + 800002b8: 01800193 li gp,24 + 800002bc: 22771263 bne a4,t2,800004e0 + +00000000800002c0 : + 800002c0: 00000213 li tp,0 + 800002c4: 00f00093 li ra,15 + 800002c8: 00b00113 li sp,11 + 800002cc: 00000013 nop + 800002d0: 00000013 nop + 800002d4: 0020873b addw a4,ra,sp + 800002d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002dc: 00200293 li t0,2 + 800002e0: fe5212e3 bne tp,t0,800002c4 + 800002e4: 01a00393 li t2,26 + 800002e8: 01900193 li gp,25 + 800002ec: 1e771a63 bne a4,t2,800004e0 + +00000000800002f0 : + 800002f0: 00000213 li tp,0 + 800002f4: 00d00093 li ra,13 + 800002f8: 00000013 nop + 800002fc: 00b00113 li sp,11 + 80000300: 0020873b addw a4,ra,sp + 80000304: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000308: 00200293 li t0,2 + 8000030c: fe5214e3 bne tp,t0,800002f4 + 80000310: 01800393 li t2,24 + 80000314: 01a00193 li gp,26 + 80000318: 1c771463 bne a4,t2,800004e0 + +000000008000031c : + 8000031c: 00000213 li tp,0 + 80000320: 00e00093 li ra,14 + 80000324: 00000013 nop + 80000328: 00b00113 li sp,11 + 8000032c: 00000013 nop + 80000330: 0020873b addw a4,ra,sp + 80000334: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000338: 00200293 li t0,2 + 8000033c: fe5212e3 bne tp,t0,80000320 + 80000340: 01900393 li t2,25 + 80000344: 01b00193 li gp,27 + 80000348: 18771c63 bne a4,t2,800004e0 + +000000008000034c : + 8000034c: 00000213 li tp,0 + 80000350: 00f00093 li ra,15 + 80000354: 00000013 nop + 80000358: 00000013 nop + 8000035c: 00b00113 li sp,11 + 80000360: 0020873b addw a4,ra,sp + 80000364: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000368: 00200293 li t0,2 + 8000036c: fe5212e3 bne tp,t0,80000350 + 80000370: 01a00393 li t2,26 + 80000374: 01c00193 li gp,28 + 80000378: 16771463 bne a4,t2,800004e0 + +000000008000037c : + 8000037c: 00000213 li tp,0 + 80000380: 00b00113 li sp,11 + 80000384: 00d00093 li ra,13 + 80000388: 0020873b addw a4,ra,sp + 8000038c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000390: 00200293 li t0,2 + 80000394: fe5216e3 bne tp,t0,80000380 + 80000398: 01800393 li t2,24 + 8000039c: 01d00193 li gp,29 + 800003a0: 14771063 bne a4,t2,800004e0 + +00000000800003a4 : + 800003a4: 00000213 li tp,0 + 800003a8: 00b00113 li sp,11 + 800003ac: 00e00093 li ra,14 + 800003b0: 00000013 nop + 800003b4: 0020873b addw a4,ra,sp + 800003b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003bc: 00200293 li t0,2 + 800003c0: fe5214e3 bne tp,t0,800003a8 + 800003c4: 01900393 li t2,25 + 800003c8: 01e00193 li gp,30 + 800003cc: 10771a63 bne a4,t2,800004e0 + +00000000800003d0 : + 800003d0: 00000213 li tp,0 + 800003d4: 00b00113 li sp,11 + 800003d8: 00f00093 li ra,15 + 800003dc: 00000013 nop + 800003e0: 00000013 nop + 800003e4: 0020873b addw a4,ra,sp + 800003e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003ec: 00200293 li t0,2 + 800003f0: fe5212e3 bne tp,t0,800003d4 + 800003f4: 01a00393 li t2,26 + 800003f8: 01f00193 li gp,31 + 800003fc: 0e771263 bne a4,t2,800004e0 + +0000000080000400 : + 80000400: 00000213 li tp,0 + 80000404: 00b00113 li sp,11 + 80000408: 00000013 nop + 8000040c: 00d00093 li ra,13 + 80000410: 0020873b addw a4,ra,sp + 80000414: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000418: 00200293 li t0,2 + 8000041c: fe5214e3 bne tp,t0,80000404 + 80000420: 01800393 li t2,24 + 80000424: 02000193 li gp,32 + 80000428: 0a771c63 bne a4,t2,800004e0 + +000000008000042c : + 8000042c: 00000213 li tp,0 + 80000430: 00b00113 li sp,11 + 80000434: 00000013 nop + 80000438: 00e00093 li ra,14 + 8000043c: 00000013 nop + 80000440: 0020873b addw a4,ra,sp + 80000444: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000448: 00200293 li t0,2 + 8000044c: fe5212e3 bne tp,t0,80000430 + 80000450: 01900393 li t2,25 + 80000454: 02100193 li gp,33 + 80000458: 08771463 bne a4,t2,800004e0 + +000000008000045c : + 8000045c: 00000213 li tp,0 + 80000460: 00b00113 li sp,11 + 80000464: 00000013 nop + 80000468: 00000013 nop + 8000046c: 00f00093 li ra,15 + 80000470: 0020873b addw a4,ra,sp + 80000474: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000478: 00200293 li t0,2 + 8000047c: fe5212e3 bne tp,t0,80000460 + 80000480: 01a00393 li t2,26 + 80000484: 02200193 li gp,34 + 80000488: 04771c63 bne a4,t2,800004e0 + +000000008000048c : + 8000048c: 00f00093 li ra,15 + 80000490: 0010013b addw sp,zero,ra + 80000494: 00f00393 li t2,15 + 80000498: 02300193 li gp,35 + 8000049c: 04711263 bne sp,t2,800004e0 + +00000000800004a0 : + 800004a0: 02000093 li ra,32 + 800004a4: 0000813b addw sp,ra,zero + 800004a8: 02000393 li t2,32 + 800004ac: 02400193 li gp,36 + 800004b0: 02711863 bne sp,t2,800004e0 + +00000000800004b4 : + 800004b4: 000000bb addw ra,zero,zero + 800004b8: 00000393 li t2,0 + 800004bc: 02500193 li gp,37 + 800004c0: 02709063 bne ra,t2,800004e0 + +00000000800004c4 : + 800004c4: 01000093 li ra,16 + 800004c8: 01e00113 li sp,30 + 800004cc: 0020803b addw zero,ra,sp + 800004d0: 00000393 li t2,0 + 800004d4: 02600193 li gp,38 + 800004d8: 00701463 bne zero,t2,800004e0 + 800004dc: 00301663 bne zero,gp,800004e8 + +00000000800004e0 : + 800004e0: 00100513 li a0,1 + 800004e4: 0200006f j 80000504 + +00000000800004e8 : + 800004e8: 00000513 li a0,0 + 800004ec: 0180006f j 80000504 + 800004f0: c0001073 unimp + ... + +0000000080000504 : + 80000504: 00050513 mv a0,a0 + 80000508: 0000006b 0x6b + 8000050c: 0000006f j 8000050c diff --git a/bin/non-output/riscv-tests/and-riscv-tests.bin b/bin/non-output/riscv-tests/and-riscv-tests.bin new file mode 100755 index 0000000..855365e Binary files /dev/null and b/bin/non-output/riscv-tests/and-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/and-riscv-tests.elf b/bin/non-output/riscv-tests/and-riscv-tests.elf new file mode 100755 index 0000000..c4f54b4 Binary files /dev/null and b/bin/non-output/riscv-tests/and-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/and-riscv-tests.txt b/bin/non-output/riscv-tests/and-riscv-tests.txt new file mode 100755 index 0000000..bf3b02c --- /dev/null +++ b/bin/non-output/riscv-tests/and-riscv-tests.txt @@ -0,0 +1,414 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/and-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 000100b7 lui ra,0x10 + 80000004: f010809b addiw ra,ra,-255 + 80000008: 01009093 slli ra,ra,0x10 + 8000000c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000010: 0f0f1137 lui sp,0xf0f1 + 80000014: f0f1011b addiw sp,sp,-241 + 80000018: 0020f733 and a4,ra,sp + 8000001c: 0f0013b7 lui t2,0xf001 + 80000020: f003839b addiw t2,t2,-256 + 80000024: 00200193 li gp,2 + 80000028: 52771863 bne a4,t2,80000558 + +000000008000002c : + 8000002c: 0ff010b7 lui ra,0xff01 + 80000030: ff00809b addiw ra,ra,-16 + 80000034: 000f1137 lui sp,0xf1 + 80000038: f0f1011b addiw sp,sp,-241 + 8000003c: 00c11113 slli sp,sp,0xc + 80000040: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000044: 0020f733 and a4,ra,sp + 80000048: 00f003b7 lui t2,0xf00 + 8000004c: 0f03839b addiw t2,t2,240 + 80000050: 00300193 li gp,3 + 80000054: 50771263 bne a4,t2,80000558 + +0000000080000058 : + 80000058: 00ff00b7 lui ra,0xff0 + 8000005c: 0ff0809b addiw ra,ra,255 + 80000060: 0f0f1137 lui sp,0xf0f1 + 80000064: f0f1011b addiw sp,sp,-241 + 80000068: 0020f733 and a4,ra,sp + 8000006c: 000f03b7 lui t2,0xf0 + 80000070: 00f3839b addiw t2,t2,15 + 80000074: 00400193 li gp,4 + 80000078: 4e771063 bne a4,t2,80000558 + +000000008000007c : + 8000007c: 000f00b7 lui ra,0xf0 + 80000080: 0ff0809b addiw ra,ra,255 + 80000084: 00c09093 slli ra,ra,0xc + 80000088: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 8000008c: 000f1137 lui sp,0xf1 + 80000090: f0f1011b addiw sp,sp,-241 + 80000094: 00c11113 slli sp,sp,0xc + 80000098: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000009c: 0020f733 and a4,ra,sp + 800000a0: 000f03b7 lui t2,0xf0 + 800000a4: 00f3839b addiw t2,t2,15 + 800000a8: 00c39393 slli t2,t2,0xc + 800000ac: 00500193 li gp,5 + 800000b0: 4a771463 bne a4,t2,80000558 + +00000000800000b4 : + 800000b4: 000100b7 lui ra,0x10 + 800000b8: f010809b addiw ra,ra,-255 + 800000bc: 01009093 slli ra,ra,0x10 + 800000c0: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800000c4: 0f0f1137 lui sp,0xf0f1 + 800000c8: f0f1011b addiw sp,sp,-241 + 800000cc: 0020f0b3 and ra,ra,sp + 800000d0: 0f0013b7 lui t2,0xf001 + 800000d4: f003839b addiw t2,t2,-256 + 800000d8: 00600193 li gp,6 + 800000dc: 46709e63 bne ra,t2,80000558 + +00000000800000e0 : + 800000e0: 0ff010b7 lui ra,0xff01 + 800000e4: ff00809b addiw ra,ra,-16 + 800000e8: 000f1137 lui sp,0xf1 + 800000ec: f0f1011b addiw sp,sp,-241 + 800000f0: 00c11113 slli sp,sp,0xc + 800000f4: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800000f8: 0020f133 and sp,ra,sp + 800000fc: 00f003b7 lui t2,0xf00 + 80000100: 0f03839b addiw t2,t2,240 + 80000104: 00700193 li gp,7 + 80000108: 44711863 bne sp,t2,80000558 + +000000008000010c : + 8000010c: 000100b7 lui ra,0x10 + 80000110: f010809b addiw ra,ra,-255 + 80000114: 01009093 slli ra,ra,0x10 + 80000118: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000011c: 0010f0b3 and ra,ra,ra + 80000120: 000103b7 lui t2,0x10 + 80000124: f013839b addiw t2,t2,-255 + 80000128: 01039393 slli t2,t2,0x10 + 8000012c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000130: 00800193 li gp,8 + 80000134: 42709263 bne ra,t2,80000558 + +0000000080000138 : + 80000138: 00000213 li tp,0 + 8000013c: 000100b7 lui ra,0x10 + 80000140: f010809b addiw ra,ra,-255 + 80000144: 01009093 slli ra,ra,0x10 + 80000148: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000014c: 0f0f1137 lui sp,0xf0f1 + 80000150: f0f1011b addiw sp,sp,-241 + 80000154: 0020f733 and a4,ra,sp + 80000158: 00070313 mv t1,a4 + 8000015c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000160: 00200293 li t0,2 + 80000164: fc521ce3 bne tp,t0,8000013c + 80000168: 0f0013b7 lui t2,0xf001 + 8000016c: f003839b addiw t2,t2,-256 + 80000170: 00900193 li gp,9 + 80000174: 3e731263 bne t1,t2,80000558 + +0000000080000178 : + 80000178: 00000213 li tp,0 + 8000017c: 0ff010b7 lui ra,0xff01 + 80000180: ff00809b addiw ra,ra,-16 + 80000184: 000f1137 lui sp,0xf1 + 80000188: f0f1011b addiw sp,sp,-241 + 8000018c: 00c11113 slli sp,sp,0xc + 80000190: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000194: 0020f733 and a4,ra,sp + 80000198: 00000013 nop + 8000019c: 00070313 mv t1,a4 + 800001a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a4: 00200293 li t0,2 + 800001a8: fc521ae3 bne tp,t0,8000017c + 800001ac: 00f003b7 lui t2,0xf00 + 800001b0: 0f03839b addiw t2,t2,240 + 800001b4: 00a00193 li gp,10 + 800001b8: 3a731063 bne t1,t2,80000558 + +00000000800001bc : + 800001bc: 00000213 li tp,0 + 800001c0: 00ff00b7 lui ra,0xff0 + 800001c4: 0ff0809b addiw ra,ra,255 + 800001c8: 0f0f1137 lui sp,0xf0f1 + 800001cc: f0f1011b addiw sp,sp,-241 + 800001d0: 0020f733 and a4,ra,sp + 800001d4: 00000013 nop + 800001d8: 00000013 nop + 800001dc: 00070313 mv t1,a4 + 800001e0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e4: 00200293 li t0,2 + 800001e8: fc521ce3 bne tp,t0,800001c0 + 800001ec: 000f03b7 lui t2,0xf0 + 800001f0: 00f3839b addiw t2,t2,15 + 800001f4: 00b00193 li gp,11 + 800001f8: 36731063 bne t1,t2,80000558 + +00000000800001fc : + 800001fc: 00000213 li tp,0 + 80000200: 000100b7 lui ra,0x10 + 80000204: f010809b addiw ra,ra,-255 + 80000208: 01009093 slli ra,ra,0x10 + 8000020c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000210: 0f0f1137 lui sp,0xf0f1 + 80000214: f0f1011b addiw sp,sp,-241 + 80000218: 0020f733 and a4,ra,sp + 8000021c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000220: 00200293 li t0,2 + 80000224: fc521ee3 bne tp,t0,80000200 + 80000228: 0f0013b7 lui t2,0xf001 + 8000022c: f003839b addiw t2,t2,-256 + 80000230: 00c00193 li gp,12 + 80000234: 32771263 bne a4,t2,80000558 + +0000000080000238 : + 80000238: 00000213 li tp,0 + 8000023c: 0ff010b7 lui ra,0xff01 + 80000240: ff00809b addiw ra,ra,-16 + 80000244: 000f1137 lui sp,0xf1 + 80000248: f0f1011b addiw sp,sp,-241 + 8000024c: 00c11113 slli sp,sp,0xc + 80000250: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000254: 00000013 nop + 80000258: 0020f733 and a4,ra,sp + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fc521ce3 bne tp,t0,8000023c + 80000268: 00f003b7 lui t2,0xf00 + 8000026c: 0f03839b addiw t2,t2,240 + 80000270: 00d00193 li gp,13 + 80000274: 2e771263 bne a4,t2,80000558 + +0000000080000278 : + 80000278: 00000213 li tp,0 + 8000027c: 00ff00b7 lui ra,0xff0 + 80000280: 0ff0809b addiw ra,ra,255 + 80000284: 0f0f1137 lui sp,0xf0f1 + 80000288: f0f1011b addiw sp,sp,-241 + 8000028c: 00000013 nop + 80000290: 00000013 nop + 80000294: 0020f733 and a4,ra,sp + 80000298: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000029c: 00200293 li t0,2 + 800002a0: fc521ee3 bne tp,t0,8000027c + 800002a4: 000f03b7 lui t2,0xf0 + 800002a8: 00f3839b addiw t2,t2,15 + 800002ac: 00e00193 li gp,14 + 800002b0: 2a771463 bne a4,t2,80000558 + +00000000800002b4 : + 800002b4: 00000213 li tp,0 + 800002b8: 000100b7 lui ra,0x10 + 800002bc: f010809b addiw ra,ra,-255 + 800002c0: 01009093 slli ra,ra,0x10 + 800002c4: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800002c8: 00000013 nop + 800002cc: 0f0f1137 lui sp,0xf0f1 + 800002d0: f0f1011b addiw sp,sp,-241 + 800002d4: 0020f733 and a4,ra,sp + 800002d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002dc: 00200293 li t0,2 + 800002e0: fc521ce3 bne tp,t0,800002b8 + 800002e4: 0f0013b7 lui t2,0xf001 + 800002e8: f003839b addiw t2,t2,-256 + 800002ec: 00f00193 li gp,15 + 800002f0: 26771463 bne a4,t2,80000558 + +00000000800002f4 : + 800002f4: 00000213 li tp,0 + 800002f8: 0ff010b7 lui ra,0xff01 + 800002fc: ff00809b addiw ra,ra,-16 + 80000300: 00000013 nop + 80000304: 000f1137 lui sp,0xf1 + 80000308: f0f1011b addiw sp,sp,-241 + 8000030c: 00c11113 slli sp,sp,0xc + 80000310: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000314: 00000013 nop + 80000318: 0020f733 and a4,ra,sp + 8000031c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000320: 00200293 li t0,2 + 80000324: fc521ae3 bne tp,t0,800002f8 + 80000328: 00f003b7 lui t2,0xf00 + 8000032c: 0f03839b addiw t2,t2,240 + 80000330: 01000193 li gp,16 + 80000334: 22771263 bne a4,t2,80000558 + +0000000080000338 : + 80000338: 00000213 li tp,0 + 8000033c: 00ff00b7 lui ra,0xff0 + 80000340: 0ff0809b addiw ra,ra,255 + 80000344: 00000013 nop + 80000348: 00000013 nop + 8000034c: 0f0f1137 lui sp,0xf0f1 + 80000350: f0f1011b addiw sp,sp,-241 + 80000354: 0020f733 and a4,ra,sp + 80000358: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000035c: 00200293 li t0,2 + 80000360: fc521ee3 bne tp,t0,8000033c + 80000364: 000f03b7 lui t2,0xf0 + 80000368: 00f3839b addiw t2,t2,15 + 8000036c: 01100193 li gp,17 + 80000370: 1e771463 bne a4,t2,80000558 + +0000000080000374 : + 80000374: 00000213 li tp,0 + 80000378: 0f0f1137 lui sp,0xf0f1 + 8000037c: f0f1011b addiw sp,sp,-241 + 80000380: 000100b7 lui ra,0x10 + 80000384: f010809b addiw ra,ra,-255 + 80000388: 01009093 slli ra,ra,0x10 + 8000038c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000390: 0020f733 and a4,ra,sp + 80000394: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000398: 00200293 li t0,2 + 8000039c: fc521ee3 bne tp,t0,80000378 + 800003a0: 0f0013b7 lui t2,0xf001 + 800003a4: f003839b addiw t2,t2,-256 + 800003a8: 01200193 li gp,18 + 800003ac: 1a771663 bne a4,t2,80000558 + +00000000800003b0 : + 800003b0: 00000213 li tp,0 + 800003b4: 000f1137 lui sp,0xf1 + 800003b8: f0f1011b addiw sp,sp,-241 + 800003bc: 00c11113 slli sp,sp,0xc + 800003c0: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800003c4: 0ff010b7 lui ra,0xff01 + 800003c8: ff00809b addiw ra,ra,-16 + 800003cc: 00000013 nop + 800003d0: 0020f733 and a4,ra,sp + 800003d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d8: 00200293 li t0,2 + 800003dc: fc521ce3 bne tp,t0,800003b4 + 800003e0: 00f003b7 lui t2,0xf00 + 800003e4: 0f03839b addiw t2,t2,240 + 800003e8: 01300193 li gp,19 + 800003ec: 16771663 bne a4,t2,80000558 + +00000000800003f0 : + 800003f0: 00000213 li tp,0 + 800003f4: 0f0f1137 lui sp,0xf0f1 + 800003f8: f0f1011b addiw sp,sp,-241 + 800003fc: 00ff00b7 lui ra,0xff0 + 80000400: 0ff0809b addiw ra,ra,255 + 80000404: 00000013 nop + 80000408: 00000013 nop + 8000040c: 0020f733 and a4,ra,sp + 80000410: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000414: 00200293 li t0,2 + 80000418: fc521ee3 bne tp,t0,800003f4 + 8000041c: 000f03b7 lui t2,0xf0 + 80000420: 00f3839b addiw t2,t2,15 + 80000424: 01400193 li gp,20 + 80000428: 12771863 bne a4,t2,80000558 + +000000008000042c : + 8000042c: 00000213 li tp,0 + 80000430: 0f0f1137 lui sp,0xf0f1 + 80000434: f0f1011b addiw sp,sp,-241 + 80000438: 00000013 nop + 8000043c: 000100b7 lui ra,0x10 + 80000440: f010809b addiw ra,ra,-255 + 80000444: 01009093 slli ra,ra,0x10 + 80000448: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000044c: 0020f733 and a4,ra,sp + 80000450: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000454: 00200293 li t0,2 + 80000458: fc521ce3 bne tp,t0,80000430 + 8000045c: 0f0013b7 lui t2,0xf001 + 80000460: f003839b addiw t2,t2,-256 + 80000464: 01500193 li gp,21 + 80000468: 0e771863 bne a4,t2,80000558 + +000000008000046c : + 8000046c: 00000213 li tp,0 + 80000470: 000f1137 lui sp,0xf1 + 80000474: f0f1011b addiw sp,sp,-241 + 80000478: 00c11113 slli sp,sp,0xc + 8000047c: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000480: 00000013 nop + 80000484: 0ff010b7 lui ra,0xff01 + 80000488: ff00809b addiw ra,ra,-16 + 8000048c: 00000013 nop + 80000490: 0020f733 and a4,ra,sp + 80000494: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000498: 00200293 li t0,2 + 8000049c: fc521ae3 bne tp,t0,80000470 + 800004a0: 00f003b7 lui t2,0xf00 + 800004a4: 0f03839b addiw t2,t2,240 + 800004a8: 01600193 li gp,22 + 800004ac: 0a771663 bne a4,t2,80000558 + +00000000800004b0 : + 800004b0: 00000213 li tp,0 + 800004b4: 0f0f1137 lui sp,0xf0f1 + 800004b8: f0f1011b addiw sp,sp,-241 + 800004bc: 00000013 nop + 800004c0: 00000013 nop + 800004c4: 00ff00b7 lui ra,0xff0 + 800004c8: 0ff0809b addiw ra,ra,255 + 800004cc: 0020f733 and a4,ra,sp + 800004d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004d4: 00200293 li t0,2 + 800004d8: fc521ee3 bne tp,t0,800004b4 + 800004dc: 000f03b7 lui t2,0xf0 + 800004e0: 00f3839b addiw t2,t2,15 + 800004e4: 01700193 li gp,23 + 800004e8: 06771863 bne a4,t2,80000558 + +00000000800004ec : + 800004ec: 000100b7 lui ra,0x10 + 800004f0: f010809b addiw ra,ra,-255 + 800004f4: 01009093 slli ra,ra,0x10 + 800004f8: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800004fc: 00107133 and sp,zero,ra + 80000500: 00000393 li t2,0 + 80000504: 01800193 li gp,24 + 80000508: 04711863 bne sp,t2,80000558 + +000000008000050c : + 8000050c: 00ff00b7 lui ra,0xff0 + 80000510: 0ff0809b addiw ra,ra,255 + 80000514: 0000f133 and sp,ra,zero + 80000518: 00000393 li t2,0 + 8000051c: 01900193 li gp,25 + 80000520: 02711c63 bne sp,t2,80000558 + +0000000080000524 : + 80000524: 000070b3 and ra,zero,zero + 80000528: 00000393 li t2,0 + 8000052c: 01a00193 li gp,26 + 80000530: 02709463 bne ra,t2,80000558 + +0000000080000534 : + 80000534: 111110b7 lui ra,0x11111 + 80000538: 1110809b addiw ra,ra,273 + 8000053c: 22222137 lui sp,0x22222 + 80000540: 2221011b addiw sp,sp,546 + 80000544: 0020f033 and zero,ra,sp + 80000548: 00000393 li t2,0 + 8000054c: 01b00193 li gp,27 + 80000550: 00701463 bne zero,t2,80000558 + 80000554: 00301663 bne zero,gp,80000560 + +0000000080000558 : + 80000558: 00100513 li a0,1 + 8000055c: 0280006f j 80000584 + +0000000080000560 : + 80000560: 00000513 li a0,0 + 80000564: 0200006f j 80000584 + 80000568: c0001073 unimp + ... + +0000000080000584 : + 80000584: 00050513 mv a0,a0 + 80000588: 0000006b 0x6b + 8000058c: 0000006f j 8000058c diff --git a/bin/non-output/riscv-tests/andi-riscv-tests.bin b/bin/non-output/riscv-tests/andi-riscv-tests.bin new file mode 100755 index 0000000..27ffa0c Binary files /dev/null and b/bin/non-output/riscv-tests/andi-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/andi-riscv-tests.elf b/bin/non-output/riscv-tests/andi-riscv-tests.elf new file mode 100755 index 0000000..caa4ac4 Binary files /dev/null and b/bin/non-output/riscv-tests/andi-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/andi-riscv-tests.txt b/bin/non-output/riscv-tests/andi-riscv-tests.txt new file mode 100755 index 0000000..4196dc8 --- /dev/null +++ b/bin/non-output/riscv-tests/andi-riscv-tests.txt @@ -0,0 +1,172 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/andi-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 000100b7 lui ra,0x10 + 80000004: f010809b addiw ra,ra,-255 + 80000008: 01009093 slli ra,ra,0x10 + 8000000c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000010: f0f0f713 andi a4,ra,-241 + 80000014: 000103b7 lui t2,0x10 + 80000018: f013839b addiw t2,t2,-255 + 8000001c: 01039393 slli t2,t2,0x10 + 80000020: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000024: 00200193 li gp,2 + 80000028: 1c771863 bne a4,t2,800001f8 + +000000008000002c : + 8000002c: 0ff010b7 lui ra,0xff01 + 80000030: ff00809b addiw ra,ra,-16 + 80000034: 0f00f713 andi a4,ra,240 + 80000038: 0f000393 li t2,240 + 8000003c: 00300193 li gp,3 + 80000040: 1a771c63 bne a4,t2,800001f8 + +0000000080000044 : + 80000044: 00ff00b7 lui ra,0xff0 + 80000048: 0ff0809b addiw ra,ra,255 + 8000004c: 70f0f713 andi a4,ra,1807 + 80000050: 00f00393 li t2,15 + 80000054: 00400193 li gp,4 + 80000058: 1a771063 bne a4,t2,800001f8 + +000000008000005c : + 8000005c: 000f00b7 lui ra,0xf0 + 80000060: 0ff0809b addiw ra,ra,255 + 80000064: 00c09093 slli ra,ra,0xc + 80000068: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 8000006c: 0f00f713 andi a4,ra,240 + 80000070: 00000393 li t2,0 + 80000074: 00500193 li gp,5 + 80000078: 18771063 bne a4,t2,800001f8 + +000000008000007c : + 8000007c: 000100b7 lui ra,0x10 + 80000080: f010809b addiw ra,ra,-255 + 80000084: 01009093 slli ra,ra,0x10 + 80000088: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000008c: 0f00f093 andi ra,ra,240 + 80000090: 00000393 li t2,0 + 80000094: 00600193 li gp,6 + 80000098: 16709063 bne ra,t2,800001f8 + +000000008000009c : + 8000009c: 00000213 li tp,0 + 800000a0: 0ff010b7 lui ra,0xff01 + 800000a4: ff00809b addiw ra,ra,-16 + 800000a8: 70f0f713 andi a4,ra,1807 + 800000ac: 00070313 mv t1,a4 + 800000b0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000b4: 00200293 li t0,2 + 800000b8: fe5214e3 bne tp,t0,800000a0 + 800000bc: 70000393 li t2,1792 + 800000c0: 00700193 li gp,7 + 800000c4: 12731a63 bne t1,t2,800001f8 + +00000000800000c8 : + 800000c8: 00000213 li tp,0 + 800000cc: 00ff00b7 lui ra,0xff0 + 800000d0: 0ff0809b addiw ra,ra,255 + 800000d4: 0f00f713 andi a4,ra,240 + 800000d8: 00000013 nop + 800000dc: 00070313 mv t1,a4 + 800000e0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000e4: 00200293 li t0,2 + 800000e8: fe5212e3 bne tp,t0,800000cc + 800000ec: 0f000393 li t2,240 + 800000f0: 00800193 li gp,8 + 800000f4: 10731263 bne t1,t2,800001f8 + +00000000800000f8 : + 800000f8: 00000213 li tp,0 + 800000fc: 000f00b7 lui ra,0xf0 + 80000100: 0ff0809b addiw ra,ra,255 + 80000104: 00c09093 slli ra,ra,0xc + 80000108: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 8000010c: f0f0f713 andi a4,ra,-241 + 80000110: 00000013 nop + 80000114: 00000013 nop + 80000118: 00070313 mv t1,a4 + 8000011c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000120: 00200293 li t0,2 + 80000124: fc521ce3 bne tp,t0,800000fc + 80000128: 000f03b7 lui t2,0xf0 + 8000012c: 0ff3839b addiw t2,t2,255 + 80000130: 00c39393 slli t2,t2,0xc + 80000134: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000138: 00900193 li gp,9 + 8000013c: 0a731e63 bne t1,t2,800001f8 + +0000000080000140 : + 80000140: 00000213 li tp,0 + 80000144: 0ff010b7 lui ra,0xff01 + 80000148: ff00809b addiw ra,ra,-16 + 8000014c: 70f0f713 andi a4,ra,1807 + 80000150: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000154: 00200293 li t0,2 + 80000158: fe5216e3 bne tp,t0,80000144 + 8000015c: 70000393 li t2,1792 + 80000160: 00a00193 li gp,10 + 80000164: 08771a63 bne a4,t2,800001f8 + +0000000080000168 : + 80000168: 00000213 li tp,0 + 8000016c: 00ff00b7 lui ra,0xff0 + 80000170: 0ff0809b addiw ra,ra,255 + 80000174: 00000013 nop + 80000178: 0f00f713 andi a4,ra,240 + 8000017c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000180: 00200293 li t0,2 + 80000184: fe5214e3 bne tp,t0,8000016c + 80000188: 0f000393 li t2,240 + 8000018c: 00b00193 li gp,11 + 80000190: 06771463 bne a4,t2,800001f8 + +0000000080000194 : + 80000194: 00000213 li tp,0 + 80000198: 000f00b7 lui ra,0xf0 + 8000019c: 0ff0809b addiw ra,ra,255 + 800001a0: 00c09093 slli ra,ra,0xc + 800001a4: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 800001a8: 00000013 nop + 800001ac: 00000013 nop + 800001b0: 70f0f713 andi a4,ra,1807 + 800001b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001b8: 00200293 li t0,2 + 800001bc: fc521ee3 bne tp,t0,80000198 + 800001c0: 00f00393 li t2,15 + 800001c4: 00c00193 li gp,12 + 800001c8: 02771863 bne a4,t2,800001f8 + +00000000800001cc : + 800001cc: 0f007093 andi ra,zero,240 + 800001d0: 00000393 li t2,0 + 800001d4: 00d00193 li gp,13 + 800001d8: 02709063 bne ra,t2,800001f8 + +00000000800001dc : + 800001dc: 00ff00b7 lui ra,0xff0 + 800001e0: 0ff0809b addiw ra,ra,255 + 800001e4: 70f0f013 andi zero,ra,1807 + 800001e8: 00000393 li t2,0 + 800001ec: 00e00193 li gp,14 + 800001f0: 00701463 bne zero,t2,800001f8 + 800001f4: 00301663 bne zero,gp,80000200 + +00000000800001f8 : + 800001f8: 00100513 li a0,1 + 800001fc: 0480006f j 80000244 + +0000000080000200 : + 80000200: 00000513 li a0,0 + 80000204: 0400006f j 80000244 + 80000208: c0001073 unimp + ... + +0000000080000244 : + 80000244: 00050513 mv a0,a0 + 80000248: 0000006b 0x6b + 8000024c: 0000006f j 8000024c diff --git a/bin/non-output/riscv-tests/auipc-riscv-tests.bin b/bin/non-output/riscv-tests/auipc-riscv-tests.bin new file mode 100755 index 0000000..9a41825 Binary files /dev/null and b/bin/non-output/riscv-tests/auipc-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/auipc-riscv-tests.elf b/bin/non-output/riscv-tests/auipc-riscv-tests.elf new file mode 100755 index 0000000..975a211 Binary files /dev/null and b/bin/non-output/riscv-tests/auipc-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/auipc-riscv-tests.txt b/bin/non-output/riscv-tests/auipc-riscv-tests.txt new file mode 100755 index 0000000..3be2a39 --- /dev/null +++ b/bin/non-output/riscv-tests/auipc-riscv-tests.txt @@ -0,0 +1,41 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/auipc-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00002517 auipc a0,0x2 + 80000004: 71c50513 addi a0,a0,1820 # 8000271c <_stack_top+0x171c> + 80000008: 004005ef jal a1,8000000c <_start+0xc> + 8000000c: 40b50533 sub a0,a0,a1 + 80000010: 000023b7 lui t2,0x2 + 80000014: 7103839b addiw t2,t2,1808 + 80000018: 00200193 li gp,2 + 8000001c: 02751463 bne a0,t2,80000044 + +0000000080000020 : + 80000020: ffffe517 auipc a0,0xffffe + 80000024: 8fc50513 addi a0,a0,-1796 # 7fffd91c <_entry_offset+0x7fffd91c> + 80000028: 004005ef jal a1,8000002c + 8000002c: 40b50533 sub a0,a0,a1 + 80000030: ffffe3b7 lui t2,0xffffe + 80000034: 8f03839b addiw t2,t2,-1808 + 80000038: 00300193 li gp,3 + 8000003c: 00751463 bne a0,t2,80000044 + 80000040: 00301663 bne zero,gp,8000004c + +0000000080000044 : + 80000044: 00100513 li a0,1 + 80000048: 0340006f j 8000007c + +000000008000004c : + 8000004c: 00000513 li a0,0 + 80000050: 02c0006f j 8000007c + 80000054: c0001073 unimp + ... + +000000008000007c : + 8000007c: 00050513 mv a0,a0 + 80000080: 0000006b 0x6b + 80000084: 0000006f j 80000084 diff --git a/bin/non-output/riscv-tests/beq-riscv-tests.bin b/bin/non-output/riscv-tests/beq-riscv-tests.bin new file mode 100755 index 0000000..0a5a50b Binary files /dev/null and b/bin/non-output/riscv-tests/beq-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/beq-riscv-tests.elf b/bin/non-output/riscv-tests/beq-riscv-tests.elf new file mode 100755 index 0000000..6809987 Binary files /dev/null and b/bin/non-output/riscv-tests/beq-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/beq-riscv-tests.txt b/bin/non-output/riscv-tests/beq-riscv-tests.txt new file mode 100755 index 0000000..7c9d077 --- /dev/null +++ b/bin/non-output/riscv-tests/beq-riscv-tests.txt @@ -0,0 +1,236 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/beq-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00000113 li sp,0 + 8000000c: 00208663 beq ra,sp,80000018 <_start+0x18> + 80000010: 2a301863 bne zero,gp,800002c0 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe208ee3 beq ra,sp,80000014 <_start+0x14> + 8000001c: 2a301263 bne zero,gp,800002c0 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: 00100093 li ra,1 + 80000028: 00100113 li sp,1 + 8000002c: 00208663 beq ra,sp,80000038 + 80000030: 28301863 bne zero,gp,800002c0 + 80000034: 00301663 bne zero,gp,80000040 + 80000038: fe208ee3 beq ra,sp,80000034 + 8000003c: 28301263 bne zero,gp,800002c0 + +0000000080000040 : + 80000040: 00400193 li gp,4 + 80000044: fff00093 li ra,-1 + 80000048: fff00113 li sp,-1 + 8000004c: 00208663 beq ra,sp,80000058 + 80000050: 26301863 bne zero,gp,800002c0 + 80000054: 00301663 bne zero,gp,80000060 + 80000058: fe208ee3 beq ra,sp,80000054 + 8000005c: 26301263 bne zero,gp,800002c0 + +0000000080000060 : + 80000060: 00500193 li gp,5 + 80000064: 00000093 li ra,0 + 80000068: 00100113 li sp,1 + 8000006c: 00208463 beq ra,sp,80000074 + 80000070: 00301463 bne zero,gp,80000078 + 80000074: 24301663 bne zero,gp,800002c0 + 80000078: fe208ee3 beq ra,sp,80000074 + +000000008000007c : + 8000007c: 00600193 li gp,6 + 80000080: 00100093 li ra,1 + 80000084: 00000113 li sp,0 + 80000088: 00208463 beq ra,sp,80000090 + 8000008c: 00301463 bne zero,gp,80000094 + 80000090: 22301863 bne zero,gp,800002c0 + 80000094: fe208ee3 beq ra,sp,80000090 + +0000000080000098 : + 80000098: 00700193 li gp,7 + 8000009c: fff00093 li ra,-1 + 800000a0: 00100113 li sp,1 + 800000a4: 00208463 beq ra,sp,800000ac + 800000a8: 00301463 bne zero,gp,800000b0 + 800000ac: 20301a63 bne zero,gp,800002c0 + 800000b0: fe208ee3 beq ra,sp,800000ac + +00000000800000b4 : + 800000b4: 00800193 li gp,8 + 800000b8: 00100093 li ra,1 + 800000bc: fff00113 li sp,-1 + 800000c0: 00208463 beq ra,sp,800000c8 + 800000c4: 00301463 bne zero,gp,800000cc + 800000c8: 1e301c63 bne zero,gp,800002c0 + 800000cc: fe208ee3 beq ra,sp,800000c8 + +00000000800000d0 : + 800000d0: 00900193 li gp,9 + 800000d4: 00000213 li tp,0 + 800000d8: 00000093 li ra,0 + 800000dc: fff00113 li sp,-1 + 800000e0: 1e208063 beq ra,sp,800002c0 + 800000e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000e8: 00200293 li t0,2 + 800000ec: fe5216e3 bne tp,t0,800000d8 + +00000000800000f0 : + 800000f0: 00a00193 li gp,10 + 800000f4: 00000213 li tp,0 + 800000f8: 00000093 li ra,0 + 800000fc: fff00113 li sp,-1 + 80000100: 00000013 nop + 80000104: 1a208e63 beq ra,sp,800002c0 + 80000108: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000010c: 00200293 li t0,2 + 80000110: fe5214e3 bne tp,t0,800000f8 + +0000000080000114 : + 80000114: 00b00193 li gp,11 + 80000118: 00000213 li tp,0 + 8000011c: 00000093 li ra,0 + 80000120: fff00113 li sp,-1 + 80000124: 00000013 nop + 80000128: 00000013 nop + 8000012c: 18208a63 beq ra,sp,800002c0 + 80000130: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000134: 00200293 li t0,2 + 80000138: fe5212e3 bne tp,t0,8000011c + +000000008000013c : + 8000013c: 00c00193 li gp,12 + 80000140: 00000213 li tp,0 + 80000144: 00000093 li ra,0 + 80000148: 00000013 nop + 8000014c: fff00113 li sp,-1 + 80000150: 16208863 beq ra,sp,800002c0 + 80000154: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000158: 00200293 li t0,2 + 8000015c: fe5214e3 bne tp,t0,80000144 + +0000000080000160 : + 80000160: 00d00193 li gp,13 + 80000164: 00000213 li tp,0 + 80000168: 00000093 li ra,0 + 8000016c: 00000013 nop + 80000170: fff00113 li sp,-1 + 80000174: 00000013 nop + 80000178: 14208463 beq ra,sp,800002c0 + 8000017c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000180: 00200293 li t0,2 + 80000184: fe5212e3 bne tp,t0,80000168 + +0000000080000188 : + 80000188: 00e00193 li gp,14 + 8000018c: 00000213 li tp,0 + 80000190: 00000093 li ra,0 + 80000194: 00000013 nop + 80000198: 00000013 nop + 8000019c: fff00113 li sp,-1 + 800001a0: 12208063 beq ra,sp,800002c0 + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5212e3 bne tp,t0,80000190 + +00000000800001b0 : + 800001b0: 00f00193 li gp,15 + 800001b4: 00000213 li tp,0 + 800001b8: 00000093 li ra,0 + 800001bc: fff00113 li sp,-1 + 800001c0: 10208063 beq ra,sp,800002c0 + 800001c4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001c8: 00200293 li t0,2 + 800001cc: fe5216e3 bne tp,t0,800001b8 + +00000000800001d0 : + 800001d0: 01000193 li gp,16 + 800001d4: 00000213 li tp,0 + 800001d8: 00000093 li ra,0 + 800001dc: fff00113 li sp,-1 + 800001e0: 00000013 nop + 800001e4: 0c208e63 beq ra,sp,800002c0 + 800001e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001ec: 00200293 li t0,2 + 800001f0: fe5214e3 bne tp,t0,800001d8 + +00000000800001f4 : + 800001f4: 01100193 li gp,17 + 800001f8: 00000213 li tp,0 + 800001fc: 00000093 li ra,0 + 80000200: fff00113 li sp,-1 + 80000204: 00000013 nop + 80000208: 00000013 nop + 8000020c: 0a208a63 beq ra,sp,800002c0 + 80000210: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000214: 00200293 li t0,2 + 80000218: fe5212e3 bne tp,t0,800001fc + +000000008000021c : + 8000021c: 01200193 li gp,18 + 80000220: 00000213 li tp,0 + 80000224: 00000093 li ra,0 + 80000228: 00000013 nop + 8000022c: fff00113 li sp,-1 + 80000230: 08208863 beq ra,sp,800002c0 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fe5214e3 bne tp,t0,80000224 + +0000000080000240 : + 80000240: 01300193 li gp,19 + 80000244: 00000213 li tp,0 + 80000248: 00000093 li ra,0 + 8000024c: 00000013 nop + 80000250: fff00113 li sp,-1 + 80000254: 00000013 nop + 80000258: 06208463 beq ra,sp,800002c0 + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fe5212e3 bne tp,t0,80000248 + +0000000080000268 : + 80000268: 01400193 li gp,20 + 8000026c: 00000213 li tp,0 + 80000270: 00000093 li ra,0 + 80000274: 00000013 nop + 80000278: 00000013 nop + 8000027c: fff00113 li sp,-1 + 80000280: 04208063 beq ra,sp,800002c0 + 80000284: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000288: 00200293 li t0,2 + 8000028c: fe5212e3 bne tp,t0,80000270 + +0000000080000290 : + 80000290: 00100093 li ra,1 + 80000294: 00000a63 beqz zero,800002a8 + 80000298: 00108093 addi ra,ra,1 + 8000029c: 00108093 addi ra,ra,1 + 800002a0: 00108093 addi ra,ra,1 + 800002a4: 00108093 addi ra,ra,1 + 800002a8: 00108093 addi ra,ra,1 + 800002ac: 00108093 addi ra,ra,1 + 800002b0: 00300393 li t2,3 + 800002b4: 01500193 li gp,21 + 800002b8: 00709463 bne ra,t2,800002c0 + 800002bc: 00301663 bne zero,gp,800002c8 + +00000000800002c0 : + 800002c0: 00100513 li a0,1 + 800002c4: 0400006f j 80000304 + +00000000800002c8 : + 800002c8: 00000513 li a0,0 + 800002cc: 0380006f j 80000304 + 800002d0: c0001073 unimp + ... + +0000000080000304 : + 80000304: 00050513 mv a0,a0 + 80000308: 0000006b 0x6b + 8000030c: 0000006f j 8000030c diff --git a/bin/non-output/riscv-tests/bge-riscv-tests.bin b/bin/non-output/riscv-tests/bge-riscv-tests.bin new file mode 100755 index 0000000..4e59f19 Binary files /dev/null and b/bin/non-output/riscv-tests/bge-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/bge-riscv-tests.elf b/bin/non-output/riscv-tests/bge-riscv-tests.elf new file mode 100755 index 0000000..728ba50 Binary files /dev/null and b/bin/non-output/riscv-tests/bge-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/bge-riscv-tests.txt b/bin/non-output/riscv-tests/bge-riscv-tests.txt new file mode 100755 index 0000000..b0a13c8 --- /dev/null +++ b/bin/non-output/riscv-tests/bge-riscv-tests.txt @@ -0,0 +1,266 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/bge-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00000113 li sp,0 + 8000000c: 0020d663 bge ra,sp,80000018 <_start+0x18> + 80000010: 30301863 bne zero,gp,80000320 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe20dee3 bge ra,sp,80000014 <_start+0x14> + 8000001c: 30301263 bne zero,gp,80000320 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: 00100093 li ra,1 + 80000028: 00100113 li sp,1 + 8000002c: 0020d663 bge ra,sp,80000038 + 80000030: 2e301863 bne zero,gp,80000320 + 80000034: 00301663 bne zero,gp,80000040 + 80000038: fe20dee3 bge ra,sp,80000034 + 8000003c: 2e301263 bne zero,gp,80000320 + +0000000080000040 : + 80000040: 00400193 li gp,4 + 80000044: fff00093 li ra,-1 + 80000048: fff00113 li sp,-1 + 8000004c: 0020d663 bge ra,sp,80000058 + 80000050: 2c301863 bne zero,gp,80000320 + 80000054: 00301663 bne zero,gp,80000060 + 80000058: fe20dee3 bge ra,sp,80000054 + 8000005c: 2c301263 bne zero,gp,80000320 + +0000000080000060 : + 80000060: 00500193 li gp,5 + 80000064: 00100093 li ra,1 + 80000068: 00000113 li sp,0 + 8000006c: 0020d663 bge ra,sp,80000078 + 80000070: 2a301863 bne zero,gp,80000320 + 80000074: 00301663 bne zero,gp,80000080 + 80000078: fe20dee3 bge ra,sp,80000074 + 8000007c: 2a301263 bne zero,gp,80000320 + +0000000080000080 : + 80000080: 00600193 li gp,6 + 80000084: 00100093 li ra,1 + 80000088: fff00113 li sp,-1 + 8000008c: 0020d663 bge ra,sp,80000098 + 80000090: 28301863 bne zero,gp,80000320 + 80000094: 00301663 bne zero,gp,800000a0 + 80000098: fe20dee3 bge ra,sp,80000094 + 8000009c: 28301263 bne zero,gp,80000320 + +00000000800000a0 : + 800000a0: 00700193 li gp,7 + 800000a4: fff00093 li ra,-1 + 800000a8: ffe00113 li sp,-2 + 800000ac: 0020d663 bge ra,sp,800000b8 + 800000b0: 26301863 bne zero,gp,80000320 + 800000b4: 00301663 bne zero,gp,800000c0 + 800000b8: fe20dee3 bge ra,sp,800000b4 + 800000bc: 26301263 bne zero,gp,80000320 + +00000000800000c0 : + 800000c0: 00800193 li gp,8 + 800000c4: 00000093 li ra,0 + 800000c8: 00100113 li sp,1 + 800000cc: 0020d463 bge ra,sp,800000d4 + 800000d0: 00301463 bne zero,gp,800000d8 + 800000d4: 24301663 bne zero,gp,80000320 + 800000d8: fe20dee3 bge ra,sp,800000d4 + +00000000800000dc : + 800000dc: 00900193 li gp,9 + 800000e0: fff00093 li ra,-1 + 800000e4: 00100113 li sp,1 + 800000e8: 0020d463 bge ra,sp,800000f0 + 800000ec: 00301463 bne zero,gp,800000f4 + 800000f0: 22301863 bne zero,gp,80000320 + 800000f4: fe20dee3 bge ra,sp,800000f0 + +00000000800000f8 : + 800000f8: 00a00193 li gp,10 + 800000fc: ffe00093 li ra,-2 + 80000100: fff00113 li sp,-1 + 80000104: 0020d463 bge ra,sp,8000010c + 80000108: 00301463 bne zero,gp,80000110 + 8000010c: 20301a63 bne zero,gp,80000320 + 80000110: fe20dee3 bge ra,sp,8000010c + +0000000080000114 : + 80000114: 00b00193 li gp,11 + 80000118: ffe00093 li ra,-2 + 8000011c: 00100113 li sp,1 + 80000120: 0020d463 bge ra,sp,80000128 + 80000124: 00301463 bne zero,gp,8000012c + 80000128: 1e301c63 bne zero,gp,80000320 + 8000012c: fe20dee3 bge ra,sp,80000128 + +0000000080000130 : + 80000130: 00c00193 li gp,12 + 80000134: 00000213 li tp,0 + 80000138: fff00093 li ra,-1 + 8000013c: 00000113 li sp,0 + 80000140: 1e20d063 bge ra,sp,80000320 + 80000144: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000148: 00200293 li t0,2 + 8000014c: fe5216e3 bne tp,t0,80000138 + +0000000080000150 : + 80000150: 00d00193 li gp,13 + 80000154: 00000213 li tp,0 + 80000158: fff00093 li ra,-1 + 8000015c: 00000113 li sp,0 + 80000160: 00000013 nop + 80000164: 1a20de63 bge ra,sp,80000320 + 80000168: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000016c: 00200293 li t0,2 + 80000170: fe5214e3 bne tp,t0,80000158 + +0000000080000174 : + 80000174: 00e00193 li gp,14 + 80000178: 00000213 li tp,0 + 8000017c: fff00093 li ra,-1 + 80000180: 00000113 li sp,0 + 80000184: 00000013 nop + 80000188: 00000013 nop + 8000018c: 1820da63 bge ra,sp,80000320 + 80000190: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000194: 00200293 li t0,2 + 80000198: fe5212e3 bne tp,t0,8000017c + +000000008000019c : + 8000019c: 00f00193 li gp,15 + 800001a0: 00000213 li tp,0 + 800001a4: fff00093 li ra,-1 + 800001a8: 00000013 nop + 800001ac: 00000113 li sp,0 + 800001b0: 1620d863 bge ra,sp,80000320 + 800001b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001b8: 00200293 li t0,2 + 800001bc: fe5214e3 bne tp,t0,800001a4 + +00000000800001c0 : + 800001c0: 01000193 li gp,16 + 800001c4: 00000213 li tp,0 + 800001c8: fff00093 li ra,-1 + 800001cc: 00000013 nop + 800001d0: 00000113 li sp,0 + 800001d4: 00000013 nop + 800001d8: 1420d463 bge ra,sp,80000320 + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5212e3 bne tp,t0,800001c8 + +00000000800001e8 : + 800001e8: 01100193 li gp,17 + 800001ec: 00000213 li tp,0 + 800001f0: fff00093 li ra,-1 + 800001f4: 00000013 nop + 800001f8: 00000013 nop + 800001fc: 00000113 li sp,0 + 80000200: 1220d063 bge ra,sp,80000320 + 80000204: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000208: 00200293 li t0,2 + 8000020c: fe5212e3 bne tp,t0,800001f0 + +0000000080000210 : + 80000210: 01200193 li gp,18 + 80000214: 00000213 li tp,0 + 80000218: fff00093 li ra,-1 + 8000021c: 00000113 li sp,0 + 80000220: 1020d063 bge ra,sp,80000320 + 80000224: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000228: 00200293 li t0,2 + 8000022c: fe5216e3 bne tp,t0,80000218 + +0000000080000230 : + 80000230: 01300193 li gp,19 + 80000234: 00000213 li tp,0 + 80000238: fff00093 li ra,-1 + 8000023c: 00000113 li sp,0 + 80000240: 00000013 nop + 80000244: 0c20de63 bge ra,sp,80000320 + 80000248: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000024c: 00200293 li t0,2 + 80000250: fe5214e3 bne tp,t0,80000238 + +0000000080000254 : + 80000254: 01400193 li gp,20 + 80000258: 00000213 li tp,0 + 8000025c: fff00093 li ra,-1 + 80000260: 00000113 li sp,0 + 80000264: 00000013 nop + 80000268: 00000013 nop + 8000026c: 0a20da63 bge ra,sp,80000320 + 80000270: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000274: 00200293 li t0,2 + 80000278: fe5212e3 bne tp,t0,8000025c + +000000008000027c : + 8000027c: 01500193 li gp,21 + 80000280: 00000213 li tp,0 + 80000284: fff00093 li ra,-1 + 80000288: 00000013 nop + 8000028c: 00000113 li sp,0 + 80000290: 0820d863 bge ra,sp,80000320 + 80000294: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000298: 00200293 li t0,2 + 8000029c: fe5214e3 bne tp,t0,80000284 + +00000000800002a0 : + 800002a0: 01600193 li gp,22 + 800002a4: 00000213 li tp,0 + 800002a8: fff00093 li ra,-1 + 800002ac: 00000013 nop + 800002b0: 00000113 li sp,0 + 800002b4: 00000013 nop + 800002b8: 0620d463 bge ra,sp,80000320 + 800002bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c0: 00200293 li t0,2 + 800002c4: fe5212e3 bne tp,t0,800002a8 + +00000000800002c8 : + 800002c8: 01700193 li gp,23 + 800002cc: 00000213 li tp,0 + 800002d0: fff00093 li ra,-1 + 800002d4: 00000013 nop + 800002d8: 00000013 nop + 800002dc: 00000113 li sp,0 + 800002e0: 0420d063 bge ra,sp,80000320 + 800002e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002e8: 00200293 li t0,2 + 800002ec: fe5212e3 bne tp,t0,800002d0 + +00000000800002f0 : + 800002f0: 00100093 li ra,1 + 800002f4: 0000da63 bgez ra,80000308 + 800002f8: 00108093 addi ra,ra,1 + 800002fc: 00108093 addi ra,ra,1 + 80000300: 00108093 addi ra,ra,1 + 80000304: 00108093 addi ra,ra,1 + 80000308: 00108093 addi ra,ra,1 + 8000030c: 00108093 addi ra,ra,1 + 80000310: 00300393 li t2,3 + 80000314: 01800193 li gp,24 + 80000318: 00709463 bne ra,t2,80000320 + 8000031c: 00301663 bne zero,gp,80000328 + +0000000080000320 : + 80000320: 00100513 li a0,1 + 80000324: 0200006f j 80000344 + +0000000080000328 : + 80000328: 00000513 li a0,0 + 8000032c: 0180006f j 80000344 + 80000330: c0001073 unimp + ... + +0000000080000344 : + 80000344: 00050513 mv a0,a0 + 80000348: 0000006b 0x6b + 8000034c: 0000006f j 8000034c diff --git a/bin/non-output/riscv-tests/bgeu-riscv-tests.bin b/bin/non-output/riscv-tests/bgeu-riscv-tests.bin new file mode 100755 index 0000000..69f87b2 Binary files /dev/null and b/bin/non-output/riscv-tests/bgeu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/bgeu-riscv-tests.elf b/bin/non-output/riscv-tests/bgeu-riscv-tests.elf new file mode 100755 index 0000000..2c54404 Binary files /dev/null and b/bin/non-output/riscv-tests/bgeu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/bgeu-riscv-tests.txt b/bin/non-output/riscv-tests/bgeu-riscv-tests.txt new file mode 100755 index 0000000..d3d2365 --- /dev/null +++ b/bin/non-output/riscv-tests/bgeu-riscv-tests.txt @@ -0,0 +1,320 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/bgeu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00000113 li sp,0 + 8000000c: 0020f663 bgeu ra,sp,80000018 <_start+0x18> + 80000010: 3e301463 bne zero,gp,800003f8 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe20fee3 bgeu ra,sp,80000014 <_start+0x14> + 8000001c: 3c301e63 bne zero,gp,800003f8 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: 00100093 li ra,1 + 80000028: 00100113 li sp,1 + 8000002c: 0020f663 bgeu ra,sp,80000038 + 80000030: 3c301463 bne zero,gp,800003f8 + 80000034: 00301663 bne zero,gp,80000040 + 80000038: fe20fee3 bgeu ra,sp,80000034 + 8000003c: 3a301e63 bne zero,gp,800003f8 + +0000000080000040 : + 80000040: 00400193 li gp,4 + 80000044: 0010009b addiw ra,zero,1 + 80000048: 02009093 slli ra,ra,0x20 + 8000004c: fff08093 addi ra,ra,-1 + 80000050: 0010011b addiw sp,zero,1 + 80000054: 02011113 slli sp,sp,0x20 + 80000058: fff10113 addi sp,sp,-1 + 8000005c: 0020f663 bgeu ra,sp,80000068 + 80000060: 38301c63 bne zero,gp,800003f8 + 80000064: 00301663 bne zero,gp,80000070 + 80000068: fe20fee3 bgeu ra,sp,80000064 + 8000006c: 38301663 bne zero,gp,800003f8 + +0000000080000070 : + 80000070: 00500193 li gp,5 + 80000074: 00100093 li ra,1 + 80000078: 00000113 li sp,0 + 8000007c: 0020f663 bgeu ra,sp,80000088 + 80000080: 36301c63 bne zero,gp,800003f8 + 80000084: 00301663 bne zero,gp,80000090 + 80000088: fe20fee3 bgeu ra,sp,80000084 + 8000008c: 36301663 bne zero,gp,800003f8 + +0000000080000090 : + 80000090: 00600193 li gp,6 + 80000094: 0010009b addiw ra,zero,1 + 80000098: 02009093 slli ra,ra,0x20 + 8000009c: fff08093 addi ra,ra,-1 + 800000a0: 0010011b addiw sp,zero,1 + 800000a4: 02011113 slli sp,sp,0x20 + 800000a8: ffe10113 addi sp,sp,-2 + 800000ac: 0020f663 bgeu ra,sp,800000b8 + 800000b0: 34301463 bne zero,gp,800003f8 + 800000b4: 00301663 bne zero,gp,800000c0 + 800000b8: fe20fee3 bgeu ra,sp,800000b4 + 800000bc: 32301e63 bne zero,gp,800003f8 + +00000000800000c0 : + 800000c0: 00700193 li gp,7 + 800000c4: 0010009b addiw ra,zero,1 + 800000c8: 02009093 slli ra,ra,0x20 + 800000cc: fff08093 addi ra,ra,-1 + 800000d0: 00000113 li sp,0 + 800000d4: 0020f663 bgeu ra,sp,800000e0 + 800000d8: 32301063 bne zero,gp,800003f8 + 800000dc: 00301663 bne zero,gp,800000e8 + 800000e0: fe20fee3 bgeu ra,sp,800000dc + 800000e4: 30301a63 bne zero,gp,800003f8 + +00000000800000e8 : + 800000e8: 00800193 li gp,8 + 800000ec: 00000093 li ra,0 + 800000f0: 00100113 li sp,1 + 800000f4: 0020f463 bgeu ra,sp,800000fc + 800000f8: 00301463 bne zero,gp,80000100 + 800000fc: 2e301e63 bne zero,gp,800003f8 + 80000100: fe20fee3 bgeu ra,sp,800000fc + +0000000080000104 : + 80000104: 00900193 li gp,9 + 80000108: 0010009b addiw ra,zero,1 + 8000010c: 02009093 slli ra,ra,0x20 + 80000110: ffe08093 addi ra,ra,-2 + 80000114: 0010011b addiw sp,zero,1 + 80000118: 02011113 slli sp,sp,0x20 + 8000011c: fff10113 addi sp,sp,-1 + 80000120: 0020f463 bgeu ra,sp,80000128 + 80000124: 00301463 bne zero,gp,8000012c + 80000128: 2c301863 bne zero,gp,800003f8 + 8000012c: fe20fee3 bgeu ra,sp,80000128 + +0000000080000130 : + 80000130: 00a00193 li gp,10 + 80000134: 00000093 li ra,0 + 80000138: 0010011b addiw sp,zero,1 + 8000013c: 02011113 slli sp,sp,0x20 + 80000140: fff10113 addi sp,sp,-1 + 80000144: 0020f463 bgeu ra,sp,8000014c + 80000148: 00301463 bne zero,gp,80000150 + 8000014c: 2a301663 bne zero,gp,800003f8 + 80000150: fe20fee3 bgeu ra,sp,8000014c + +0000000080000154 : + 80000154: 00b00193 li gp,11 + 80000158: 800000b7 lui ra,0x80000 + 8000015c: fff0809b addiw ra,ra,-1 + 80000160: 0010011b addiw sp,zero,1 + 80000164: 01f11113 slli sp,sp,0x1f + 80000168: 0020f463 bgeu ra,sp,80000170 + 8000016c: 00301463 bne zero,gp,80000174 + 80000170: 28301463 bne zero,gp,800003f8 + 80000174: fe20fee3 bgeu ra,sp,80000170 + +0000000080000178 : + 80000178: 00c00193 li gp,12 + 8000017c: 00000213 li tp,0 + 80000180: 00f0009b addiw ra,zero,15 + 80000184: 01c09093 slli ra,ra,0x1c + 80000188: fff08093 addi ra,ra,-1 # ffffffff7fffffff <_end+0xfffffffeffff6fff> + 8000018c: 00f0011b addiw sp,zero,15 + 80000190: 01c11113 slli sp,sp,0x1c + 80000194: 2620f263 bgeu ra,sp,800003f8 + 80000198: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000019c: 00200293 li t0,2 + 800001a0: fe5210e3 bne tp,t0,80000180 + +00000000800001a4 : + 800001a4: 00d00193 li gp,13 + 800001a8: 00000213 li tp,0 + 800001ac: 00f0009b addiw ra,zero,15 + 800001b0: 01c09093 slli ra,ra,0x1c + 800001b4: fff08093 addi ra,ra,-1 + 800001b8: 00f0011b addiw sp,zero,15 + 800001bc: 01c11113 slli sp,sp,0x1c + 800001c0: 00000013 nop + 800001c4: 2220fa63 bgeu ra,sp,800003f8 + 800001c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001cc: 00200293 li t0,2 + 800001d0: fc521ee3 bne tp,t0,800001ac + +00000000800001d4 : + 800001d4: 00e00193 li gp,14 + 800001d8: 00000213 li tp,0 + 800001dc: 00f0009b addiw ra,zero,15 + 800001e0: 01c09093 slli ra,ra,0x1c + 800001e4: fff08093 addi ra,ra,-1 + 800001e8: 00f0011b addiw sp,zero,15 + 800001ec: 01c11113 slli sp,sp,0x1c + 800001f0: 00000013 nop + 800001f4: 00000013 nop + 800001f8: 2020f063 bgeu ra,sp,800003f8 + 800001fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000200: 00200293 li t0,2 + 80000204: fc521ce3 bne tp,t0,800001dc + +0000000080000208 : + 80000208: 00f00193 li gp,15 + 8000020c: 00000213 li tp,0 + 80000210: 00f0009b addiw ra,zero,15 + 80000214: 01c09093 slli ra,ra,0x1c + 80000218: fff08093 addi ra,ra,-1 + 8000021c: 00000013 nop + 80000220: 00f0011b addiw sp,zero,15 + 80000224: 01c11113 slli sp,sp,0x1c + 80000228: 1c20f863 bgeu ra,sp,800003f8 + 8000022c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000230: 00200293 li t0,2 + 80000234: fc521ee3 bne tp,t0,80000210 + +0000000080000238 : + 80000238: 01000193 li gp,16 + 8000023c: 00000213 li tp,0 + 80000240: 00f0009b addiw ra,zero,15 + 80000244: 01c09093 slli ra,ra,0x1c + 80000248: fff08093 addi ra,ra,-1 + 8000024c: 00000013 nop + 80000250: 00f0011b addiw sp,zero,15 + 80000254: 01c11113 slli sp,sp,0x1c + 80000258: 00000013 nop + 8000025c: 1820fe63 bgeu ra,sp,800003f8 + 80000260: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000264: 00200293 li t0,2 + 80000268: fc521ce3 bne tp,t0,80000240 + +000000008000026c : + 8000026c: 01100193 li gp,17 + 80000270: 00000213 li tp,0 + 80000274: 00f0009b addiw ra,zero,15 + 80000278: 01c09093 slli ra,ra,0x1c + 8000027c: fff08093 addi ra,ra,-1 + 80000280: 00000013 nop + 80000284: 00000013 nop + 80000288: 00f0011b addiw sp,zero,15 + 8000028c: 01c11113 slli sp,sp,0x1c + 80000290: 1620f463 bgeu ra,sp,800003f8 + 80000294: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000298: 00200293 li t0,2 + 8000029c: fc521ce3 bne tp,t0,80000274 + +00000000800002a0 : + 800002a0: 01200193 li gp,18 + 800002a4: 00000213 li tp,0 + 800002a8: 00f0009b addiw ra,zero,15 + 800002ac: 01c09093 slli ra,ra,0x1c + 800002b0: fff08093 addi ra,ra,-1 + 800002b4: 00f0011b addiw sp,zero,15 + 800002b8: 01c11113 slli sp,sp,0x1c + 800002bc: 1220fe63 bgeu ra,sp,800003f8 + 800002c0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c4: 00200293 li t0,2 + 800002c8: fe5210e3 bne tp,t0,800002a8 + +00000000800002cc : + 800002cc: 01300193 li gp,19 + 800002d0: 00000213 li tp,0 + 800002d4: 00f0009b addiw ra,zero,15 + 800002d8: 01c09093 slli ra,ra,0x1c + 800002dc: fff08093 addi ra,ra,-1 + 800002e0: 00f0011b addiw sp,zero,15 + 800002e4: 01c11113 slli sp,sp,0x1c + 800002e8: 00000013 nop + 800002ec: 1020f663 bgeu ra,sp,800003f8 + 800002f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f4: 00200293 li t0,2 + 800002f8: fc521ee3 bne tp,t0,800002d4 + +00000000800002fc : + 800002fc: 01400193 li gp,20 + 80000300: 00000213 li tp,0 + 80000304: 00f0009b addiw ra,zero,15 + 80000308: 01c09093 slli ra,ra,0x1c + 8000030c: fff08093 addi ra,ra,-1 + 80000310: 00f0011b addiw sp,zero,15 + 80000314: 01c11113 slli sp,sp,0x1c + 80000318: 00000013 nop + 8000031c: 00000013 nop + 80000320: 0c20fc63 bgeu ra,sp,800003f8 + 80000324: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000328: 00200293 li t0,2 + 8000032c: fc521ce3 bne tp,t0,80000304 + +0000000080000330 : + 80000330: 01500193 li gp,21 + 80000334: 00000213 li tp,0 + 80000338: 00f0009b addiw ra,zero,15 + 8000033c: 01c09093 slli ra,ra,0x1c + 80000340: fff08093 addi ra,ra,-1 + 80000344: 00000013 nop + 80000348: 00f0011b addiw sp,zero,15 + 8000034c: 01c11113 slli sp,sp,0x1c + 80000350: 0a20f463 bgeu ra,sp,800003f8 + 80000354: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000358: 00200293 li t0,2 + 8000035c: fc521ee3 bne tp,t0,80000338 + +0000000080000360 : + 80000360: 01600193 li gp,22 + 80000364: 00000213 li tp,0 + 80000368: 00f0009b addiw ra,zero,15 + 8000036c: 01c09093 slli ra,ra,0x1c + 80000370: fff08093 addi ra,ra,-1 + 80000374: 00000013 nop + 80000378: 00f0011b addiw sp,zero,15 + 8000037c: 01c11113 slli sp,sp,0x1c + 80000380: 00000013 nop + 80000384: 0620fa63 bgeu ra,sp,800003f8 + 80000388: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000038c: 00200293 li t0,2 + 80000390: fc521ce3 bne tp,t0,80000368 + +0000000080000394 : + 80000394: 01700193 li gp,23 + 80000398: 00000213 li tp,0 + 8000039c: 00f0009b addiw ra,zero,15 + 800003a0: 01c09093 slli ra,ra,0x1c + 800003a4: fff08093 addi ra,ra,-1 + 800003a8: 00000013 nop + 800003ac: 00000013 nop + 800003b0: 00f0011b addiw sp,zero,15 + 800003b4: 01c11113 slli sp,sp,0x1c + 800003b8: 0420f063 bgeu ra,sp,800003f8 + 800003bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003c0: 00200293 li t0,2 + 800003c4: fc521ce3 bne tp,t0,8000039c + +00000000800003c8 : + 800003c8: 00100093 li ra,1 + 800003cc: 0000fa63 bgeu ra,zero,800003e0 + 800003d0: 00108093 addi ra,ra,1 + 800003d4: 00108093 addi ra,ra,1 + 800003d8: 00108093 addi ra,ra,1 + 800003dc: 00108093 addi ra,ra,1 + 800003e0: 00108093 addi ra,ra,1 + 800003e4: 00108093 addi ra,ra,1 + 800003e8: 00300393 li t2,3 + 800003ec: 01800193 li gp,24 + 800003f0: 00709463 bne ra,t2,800003f8 + 800003f4: 00301663 bne zero,gp,80000400 + +00000000800003f8 : + 800003f8: 00100513 li a0,1 + 800003fc: 0480006f j 80000444 + +0000000080000400 : + 80000400: 00000513 li a0,0 + 80000404: 0400006f j 80000444 + 80000408: c0001073 unimp + ... + +0000000080000444 : + 80000444: 00050513 mv a0,a0 + 80000448: 0000006b 0x6b + 8000044c: 0000006f j 8000044c diff --git a/bin/non-output/riscv-tests/blt-riscv-tests.bin b/bin/non-output/riscv-tests/blt-riscv-tests.bin new file mode 100755 index 0000000..170e06e Binary files /dev/null and b/bin/non-output/riscv-tests/blt-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/blt-riscv-tests.elf b/bin/non-output/riscv-tests/blt-riscv-tests.elf new file mode 100755 index 0000000..35ff0b1 Binary files /dev/null and b/bin/non-output/riscv-tests/blt-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/blt-riscv-tests.txt b/bin/non-output/riscv-tests/blt-riscv-tests.txt new file mode 100755 index 0000000..86bc939 --- /dev/null +++ b/bin/non-output/riscv-tests/blt-riscv-tests.txt @@ -0,0 +1,236 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/blt-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00100113 li sp,1 + 8000000c: 0020c663 blt ra,sp,80000018 <_start+0x18> + 80000010: 2a301863 bne zero,gp,800002c0 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe20cee3 blt ra,sp,80000014 <_start+0x14> + 8000001c: 2a301263 bne zero,gp,800002c0 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: fff00093 li ra,-1 + 80000028: 00100113 li sp,1 + 8000002c: 0020c663 blt ra,sp,80000038 + 80000030: 28301863 bne zero,gp,800002c0 + 80000034: 00301663 bne zero,gp,80000040 + 80000038: fe20cee3 blt ra,sp,80000034 + 8000003c: 28301263 bne zero,gp,800002c0 + +0000000080000040 : + 80000040: 00400193 li gp,4 + 80000044: ffe00093 li ra,-2 + 80000048: fff00113 li sp,-1 + 8000004c: 0020c663 blt ra,sp,80000058 + 80000050: 26301863 bne zero,gp,800002c0 + 80000054: 00301663 bne zero,gp,80000060 + 80000058: fe20cee3 blt ra,sp,80000054 + 8000005c: 26301263 bne zero,gp,800002c0 + +0000000080000060 : + 80000060: 00500193 li gp,5 + 80000064: 00100093 li ra,1 + 80000068: 00000113 li sp,0 + 8000006c: 0020c463 blt ra,sp,80000074 + 80000070: 00301463 bne zero,gp,80000078 + 80000074: 24301663 bne zero,gp,800002c0 + 80000078: fe20cee3 blt ra,sp,80000074 + +000000008000007c : + 8000007c: 00600193 li gp,6 + 80000080: 00100093 li ra,1 + 80000084: fff00113 li sp,-1 + 80000088: 0020c463 blt ra,sp,80000090 + 8000008c: 00301463 bne zero,gp,80000094 + 80000090: 22301863 bne zero,gp,800002c0 + 80000094: fe20cee3 blt ra,sp,80000090 + +0000000080000098 : + 80000098: 00700193 li gp,7 + 8000009c: fff00093 li ra,-1 + 800000a0: ffe00113 li sp,-2 + 800000a4: 0020c463 blt ra,sp,800000ac + 800000a8: 00301463 bne zero,gp,800000b0 + 800000ac: 20301a63 bne zero,gp,800002c0 + 800000b0: fe20cee3 blt ra,sp,800000ac + +00000000800000b4 : + 800000b4: 00800193 li gp,8 + 800000b8: 00100093 li ra,1 + 800000bc: ffe00113 li sp,-2 + 800000c0: 0020c463 blt ra,sp,800000c8 + 800000c4: 00301463 bne zero,gp,800000cc + 800000c8: 1e301c63 bne zero,gp,800002c0 + 800000cc: fe20cee3 blt ra,sp,800000c8 + +00000000800000d0 : + 800000d0: 00900193 li gp,9 + 800000d4: 00000213 li tp,0 + 800000d8: 00000093 li ra,0 + 800000dc: fff00113 li sp,-1 + 800000e0: 1e20c063 blt ra,sp,800002c0 + 800000e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000e8: 00200293 li t0,2 + 800000ec: fe5216e3 bne tp,t0,800000d8 + +00000000800000f0 : + 800000f0: 00a00193 li gp,10 + 800000f4: 00000213 li tp,0 + 800000f8: 00000093 li ra,0 + 800000fc: fff00113 li sp,-1 + 80000100: 00000013 nop + 80000104: 1a20ce63 blt ra,sp,800002c0 + 80000108: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000010c: 00200293 li t0,2 + 80000110: fe5214e3 bne tp,t0,800000f8 + +0000000080000114 : + 80000114: 00b00193 li gp,11 + 80000118: 00000213 li tp,0 + 8000011c: 00000093 li ra,0 + 80000120: fff00113 li sp,-1 + 80000124: 00000013 nop + 80000128: 00000013 nop + 8000012c: 1820ca63 blt ra,sp,800002c0 + 80000130: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000134: 00200293 li t0,2 + 80000138: fe5212e3 bne tp,t0,8000011c + +000000008000013c : + 8000013c: 00c00193 li gp,12 + 80000140: 00000213 li tp,0 + 80000144: 00000093 li ra,0 + 80000148: 00000013 nop + 8000014c: fff00113 li sp,-1 + 80000150: 1620c863 blt ra,sp,800002c0 + 80000154: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000158: 00200293 li t0,2 + 8000015c: fe5214e3 bne tp,t0,80000144 + +0000000080000160 : + 80000160: 00d00193 li gp,13 + 80000164: 00000213 li tp,0 + 80000168: 00000093 li ra,0 + 8000016c: 00000013 nop + 80000170: fff00113 li sp,-1 + 80000174: 00000013 nop + 80000178: 1420c463 blt ra,sp,800002c0 + 8000017c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000180: 00200293 li t0,2 + 80000184: fe5212e3 bne tp,t0,80000168 + +0000000080000188 : + 80000188: 00e00193 li gp,14 + 8000018c: 00000213 li tp,0 + 80000190: 00000093 li ra,0 + 80000194: 00000013 nop + 80000198: 00000013 nop + 8000019c: fff00113 li sp,-1 + 800001a0: 1220c063 blt ra,sp,800002c0 + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5212e3 bne tp,t0,80000190 + +00000000800001b0 : + 800001b0: 00f00193 li gp,15 + 800001b4: 00000213 li tp,0 + 800001b8: 00000093 li ra,0 + 800001bc: fff00113 li sp,-1 + 800001c0: 1020c063 blt ra,sp,800002c0 + 800001c4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001c8: 00200293 li t0,2 + 800001cc: fe5216e3 bne tp,t0,800001b8 + +00000000800001d0 : + 800001d0: 01000193 li gp,16 + 800001d4: 00000213 li tp,0 + 800001d8: 00000093 li ra,0 + 800001dc: fff00113 li sp,-1 + 800001e0: 00000013 nop + 800001e4: 0c20ce63 blt ra,sp,800002c0 + 800001e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001ec: 00200293 li t0,2 + 800001f0: fe5214e3 bne tp,t0,800001d8 + +00000000800001f4 : + 800001f4: 01100193 li gp,17 + 800001f8: 00000213 li tp,0 + 800001fc: 00000093 li ra,0 + 80000200: fff00113 li sp,-1 + 80000204: 00000013 nop + 80000208: 00000013 nop + 8000020c: 0a20ca63 blt ra,sp,800002c0 + 80000210: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000214: 00200293 li t0,2 + 80000218: fe5212e3 bne tp,t0,800001fc + +000000008000021c : + 8000021c: 01200193 li gp,18 + 80000220: 00000213 li tp,0 + 80000224: 00000093 li ra,0 + 80000228: 00000013 nop + 8000022c: fff00113 li sp,-1 + 80000230: 0820c863 blt ra,sp,800002c0 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fe5214e3 bne tp,t0,80000224 + +0000000080000240 : + 80000240: 01300193 li gp,19 + 80000244: 00000213 li tp,0 + 80000248: 00000093 li ra,0 + 8000024c: 00000013 nop + 80000250: fff00113 li sp,-1 + 80000254: 00000013 nop + 80000258: 0620c463 blt ra,sp,800002c0 + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fe5212e3 bne tp,t0,80000248 + +0000000080000268 : + 80000268: 01400193 li gp,20 + 8000026c: 00000213 li tp,0 + 80000270: 00000093 li ra,0 + 80000274: 00000013 nop + 80000278: 00000013 nop + 8000027c: fff00113 li sp,-1 + 80000280: 0420c063 blt ra,sp,800002c0 + 80000284: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000288: 00200293 li t0,2 + 8000028c: fe5212e3 bne tp,t0,80000270 + +0000000080000290 : + 80000290: 00100093 li ra,1 + 80000294: 00104a63 bgtz ra,800002a8 + 80000298: 00108093 addi ra,ra,1 + 8000029c: 00108093 addi ra,ra,1 + 800002a0: 00108093 addi ra,ra,1 + 800002a4: 00108093 addi ra,ra,1 + 800002a8: 00108093 addi ra,ra,1 + 800002ac: 00108093 addi ra,ra,1 + 800002b0: 00300393 li t2,3 + 800002b4: 01500193 li gp,21 + 800002b8: 00709463 bne ra,t2,800002c0 + 800002bc: 00301663 bne zero,gp,800002c8 + +00000000800002c0 : + 800002c0: 00100513 li a0,1 + 800002c4: 0400006f j 80000304 + +00000000800002c8 : + 800002c8: 00000513 li a0,0 + 800002cc: 0380006f j 80000304 + 800002d0: c0001073 unimp + ... + +0000000080000304 : + 80000304: 00050513 mv a0,a0 + 80000308: 0000006b 0x6b + 8000030c: 0000006f j 8000030c diff --git a/bin/non-output/riscv-tests/bltu-riscv-tests.bin b/bin/non-output/riscv-tests/bltu-riscv-tests.bin new file mode 100755 index 0000000..3f8185e Binary files /dev/null and b/bin/non-output/riscv-tests/bltu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/bltu-riscv-tests.elf b/bin/non-output/riscv-tests/bltu-riscv-tests.elf new file mode 100755 index 0000000..de82294 Binary files /dev/null and b/bin/non-output/riscv-tests/bltu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/bltu-riscv-tests.txt b/bin/non-output/riscv-tests/bltu-riscv-tests.txt new file mode 100755 index 0000000..81eafe2 --- /dev/null +++ b/bin/non-output/riscv-tests/bltu-riscv-tests.txt @@ -0,0 +1,286 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/bltu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00100113 li sp,1 + 8000000c: 0020e663 bltu ra,sp,80000018 <_start+0x18> + 80000010: 36301c63 bne zero,gp,80000388 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe20eee3 bltu ra,sp,80000014 <_start+0x14> + 8000001c: 36301663 bne zero,gp,80000388 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: 0010009b addiw ra,zero,1 + 80000028: 02009093 slli ra,ra,0x20 + 8000002c: ffe08093 addi ra,ra,-2 + 80000030: 0010011b addiw sp,zero,1 + 80000034: 02011113 slli sp,sp,0x20 + 80000038: fff10113 addi sp,sp,-1 + 8000003c: 0020e663 bltu ra,sp,80000048 + 80000040: 34301463 bne zero,gp,80000388 + 80000044: 00301663 bne zero,gp,80000050 + 80000048: fe20eee3 bltu ra,sp,80000044 + 8000004c: 32301e63 bne zero,gp,80000388 + +0000000080000050 : + 80000050: 00400193 li gp,4 + 80000054: 00000093 li ra,0 + 80000058: 0010011b addiw sp,zero,1 + 8000005c: 02011113 slli sp,sp,0x20 + 80000060: fff10113 addi sp,sp,-1 + 80000064: 0020e663 bltu ra,sp,80000070 + 80000068: 32301063 bne zero,gp,80000388 + 8000006c: 00301663 bne zero,gp,80000078 + 80000070: fe20eee3 bltu ra,sp,8000006c + 80000074: 30301a63 bne zero,gp,80000388 + +0000000080000078 : + 80000078: 00500193 li gp,5 + 8000007c: 00100093 li ra,1 + 80000080: 00000113 li sp,0 + 80000084: 0020e463 bltu ra,sp,8000008c + 80000088: 00301463 bne zero,gp,80000090 + 8000008c: 2e301e63 bne zero,gp,80000388 + 80000090: fe20eee3 bltu ra,sp,8000008c + +0000000080000094 : + 80000094: 00600193 li gp,6 + 80000098: 0010009b addiw ra,zero,1 + 8000009c: 02009093 slli ra,ra,0x20 + 800000a0: fff08093 addi ra,ra,-1 + 800000a4: 0010011b addiw sp,zero,1 + 800000a8: 02011113 slli sp,sp,0x20 + 800000ac: ffe10113 addi sp,sp,-2 + 800000b0: 0020e463 bltu ra,sp,800000b8 + 800000b4: 00301463 bne zero,gp,800000bc + 800000b8: 2c301863 bne zero,gp,80000388 + 800000bc: fe20eee3 bltu ra,sp,800000b8 + +00000000800000c0 : + 800000c0: 00700193 li gp,7 + 800000c4: 0010009b addiw ra,zero,1 + 800000c8: 02009093 slli ra,ra,0x20 + 800000cc: fff08093 addi ra,ra,-1 + 800000d0: 00000113 li sp,0 + 800000d4: 0020e463 bltu ra,sp,800000dc + 800000d8: 00301463 bne zero,gp,800000e0 + 800000dc: 2a301663 bne zero,gp,80000388 + 800000e0: fe20eee3 bltu ra,sp,800000dc + +00000000800000e4 : + 800000e4: 00800193 li gp,8 + 800000e8: 0010009b addiw ra,zero,1 + 800000ec: 01f09093 slli ra,ra,0x1f + 800000f0: 80000137 lui sp,0x80000 + 800000f4: fff1011b addiw sp,sp,-1 + 800000f8: 0020e463 bltu ra,sp,80000100 + 800000fc: 00301463 bne zero,gp,80000104 + 80000100: 28301463 bne zero,gp,80000388 + 80000104: fe20eee3 bltu ra,sp,80000100 + +0000000080000108 : + 80000108: 00900193 li gp,9 + 8000010c: 00000213 li tp,0 + 80000110: 00f0009b addiw ra,zero,15 + 80000114: 01c09093 slli ra,ra,0x1c + 80000118: 00f0011b addiw sp,zero,15 + 8000011c: 01c11113 slli sp,sp,0x1c + 80000120: fff10113 addi sp,sp,-1 # ffffffff7fffffff <_end+0xfffffffeffff6fff> + 80000124: 2620e263 bltu ra,sp,80000388 + 80000128: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000012c: 00200293 li t0,2 + 80000130: fe5210e3 bne tp,t0,80000110 + +0000000080000134 : + 80000134: 00a00193 li gp,10 + 80000138: 00000213 li tp,0 + 8000013c: 00f0009b addiw ra,zero,15 + 80000140: 01c09093 slli ra,ra,0x1c + 80000144: 00f0011b addiw sp,zero,15 + 80000148: 01c11113 slli sp,sp,0x1c + 8000014c: fff10113 addi sp,sp,-1 + 80000150: 00000013 nop + 80000154: 2220ea63 bltu ra,sp,80000388 + 80000158: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000015c: 00200293 li t0,2 + 80000160: fc521ee3 bne tp,t0,8000013c + +0000000080000164 : + 80000164: 00b00193 li gp,11 + 80000168: 00000213 li tp,0 + 8000016c: 00f0009b addiw ra,zero,15 + 80000170: 01c09093 slli ra,ra,0x1c + 80000174: 00f0011b addiw sp,zero,15 + 80000178: 01c11113 slli sp,sp,0x1c + 8000017c: fff10113 addi sp,sp,-1 + 80000180: 00000013 nop + 80000184: 00000013 nop + 80000188: 2020e063 bltu ra,sp,80000388 + 8000018c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000190: 00200293 li t0,2 + 80000194: fc521ce3 bne tp,t0,8000016c + +0000000080000198 : + 80000198: 00c00193 li gp,12 + 8000019c: 00000213 li tp,0 + 800001a0: 00f0009b addiw ra,zero,15 + 800001a4: 01c09093 slli ra,ra,0x1c + 800001a8: 00000013 nop + 800001ac: 00f0011b addiw sp,zero,15 + 800001b0: 01c11113 slli sp,sp,0x1c + 800001b4: fff10113 addi sp,sp,-1 + 800001b8: 1c20e863 bltu ra,sp,80000388 + 800001bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001c0: 00200293 li t0,2 + 800001c4: fc521ee3 bne tp,t0,800001a0 + +00000000800001c8 : + 800001c8: 00d00193 li gp,13 + 800001cc: 00000213 li tp,0 + 800001d0: 00f0009b addiw ra,zero,15 + 800001d4: 01c09093 slli ra,ra,0x1c + 800001d8: 00000013 nop + 800001dc: 00f0011b addiw sp,zero,15 + 800001e0: 01c11113 slli sp,sp,0x1c + 800001e4: fff10113 addi sp,sp,-1 + 800001e8: 00000013 nop + 800001ec: 1820ee63 bltu ra,sp,80000388 + 800001f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f4: 00200293 li t0,2 + 800001f8: fc521ce3 bne tp,t0,800001d0 + +00000000800001fc : + 800001fc: 00e00193 li gp,14 + 80000200: 00000213 li tp,0 + 80000204: 00f0009b addiw ra,zero,15 + 80000208: 01c09093 slli ra,ra,0x1c + 8000020c: 00000013 nop + 80000210: 00000013 nop + 80000214: 00f0011b addiw sp,zero,15 + 80000218: 01c11113 slli sp,sp,0x1c + 8000021c: fff10113 addi sp,sp,-1 + 80000220: 1620e463 bltu ra,sp,80000388 + 80000224: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000228: 00200293 li t0,2 + 8000022c: fc521ce3 bne tp,t0,80000204 + +0000000080000230 : + 80000230: 00f00193 li gp,15 + 80000234: 00000213 li tp,0 + 80000238: 00f0009b addiw ra,zero,15 + 8000023c: 01c09093 slli ra,ra,0x1c + 80000240: 00f0011b addiw sp,zero,15 + 80000244: 01c11113 slli sp,sp,0x1c + 80000248: fff10113 addi sp,sp,-1 + 8000024c: 1220ee63 bltu ra,sp,80000388 + 80000250: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000254: 00200293 li t0,2 + 80000258: fe5210e3 bne tp,t0,80000238 + +000000008000025c : + 8000025c: 01000193 li gp,16 + 80000260: 00000213 li tp,0 + 80000264: 00f0009b addiw ra,zero,15 + 80000268: 01c09093 slli ra,ra,0x1c + 8000026c: 00f0011b addiw sp,zero,15 + 80000270: 01c11113 slli sp,sp,0x1c + 80000274: fff10113 addi sp,sp,-1 + 80000278: 00000013 nop + 8000027c: 1020e663 bltu ra,sp,80000388 + 80000280: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000284: 00200293 li t0,2 + 80000288: fc521ee3 bne tp,t0,80000264 + +000000008000028c : + 8000028c: 01100193 li gp,17 + 80000290: 00000213 li tp,0 + 80000294: 00f0009b addiw ra,zero,15 + 80000298: 01c09093 slli ra,ra,0x1c + 8000029c: 00f0011b addiw sp,zero,15 + 800002a0: 01c11113 slli sp,sp,0x1c + 800002a4: fff10113 addi sp,sp,-1 + 800002a8: 00000013 nop + 800002ac: 00000013 nop + 800002b0: 0c20ec63 bltu ra,sp,80000388 + 800002b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002b8: 00200293 li t0,2 + 800002bc: fc521ce3 bne tp,t0,80000294 + +00000000800002c0 : + 800002c0: 01200193 li gp,18 + 800002c4: 00000213 li tp,0 + 800002c8: 00f0009b addiw ra,zero,15 + 800002cc: 01c09093 slli ra,ra,0x1c + 800002d0: 00000013 nop + 800002d4: 00f0011b addiw sp,zero,15 + 800002d8: 01c11113 slli sp,sp,0x1c + 800002dc: fff10113 addi sp,sp,-1 + 800002e0: 0a20e463 bltu ra,sp,80000388 + 800002e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002e8: 00200293 li t0,2 + 800002ec: fc521ee3 bne tp,t0,800002c8 + +00000000800002f0 : + 800002f0: 01300193 li gp,19 + 800002f4: 00000213 li tp,0 + 800002f8: 00f0009b addiw ra,zero,15 + 800002fc: 01c09093 slli ra,ra,0x1c + 80000300: 00000013 nop + 80000304: 00f0011b addiw sp,zero,15 + 80000308: 01c11113 slli sp,sp,0x1c + 8000030c: fff10113 addi sp,sp,-1 + 80000310: 00000013 nop + 80000314: 0620ea63 bltu ra,sp,80000388 + 80000318: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000031c: 00200293 li t0,2 + 80000320: fc521ce3 bne tp,t0,800002f8 + +0000000080000324 : + 80000324: 01400193 li gp,20 + 80000328: 00000213 li tp,0 + 8000032c: 00f0009b addiw ra,zero,15 + 80000330: 01c09093 slli ra,ra,0x1c + 80000334: 00000013 nop + 80000338: 00000013 nop + 8000033c: 00f0011b addiw sp,zero,15 + 80000340: 01c11113 slli sp,sp,0x1c + 80000344: fff10113 addi sp,sp,-1 + 80000348: 0420e063 bltu ra,sp,80000388 + 8000034c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000350: 00200293 li t0,2 + 80000354: fc521ce3 bne tp,t0,8000032c + +0000000080000358 : + 80000358: 00100093 li ra,1 + 8000035c: 00106a63 bltu zero,ra,80000370 + 80000360: 00108093 addi ra,ra,1 + 80000364: 00108093 addi ra,ra,1 + 80000368: 00108093 addi ra,ra,1 + 8000036c: 00108093 addi ra,ra,1 + 80000370: 00108093 addi ra,ra,1 + 80000374: 00108093 addi ra,ra,1 + 80000378: 00300393 li t2,3 + 8000037c: 01500193 li gp,21 + 80000380: 00709463 bne ra,t2,80000388 + 80000384: 00301663 bne zero,gp,80000390 + +0000000080000388 : + 80000388: 00100513 li a0,1 + 8000038c: 0380006f j 800003c4 + +0000000080000390 : + 80000390: 00000513 li a0,0 + 80000394: 0300006f j 800003c4 + 80000398: c0001073 unimp + ... + +00000000800003c4 : + 800003c4: 00050513 mv a0,a0 + 800003c8: 0000006b 0x6b + 800003cc: 0000006f j 800003cc diff --git a/bin/non-output/riscv-tests/bne-riscv-tests.bin b/bin/non-output/riscv-tests/bne-riscv-tests.bin new file mode 100755 index 0000000..8c113da Binary files /dev/null and b/bin/non-output/riscv-tests/bne-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/bne-riscv-tests.elf b/bin/non-output/riscv-tests/bne-riscv-tests.elf new file mode 100755 index 0000000..f4c445f Binary files /dev/null and b/bin/non-output/riscv-tests/bne-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/bne-riscv-tests.txt b/bin/non-output/riscv-tests/bne-riscv-tests.txt new file mode 100755 index 0000000..5269920 --- /dev/null +++ b/bin/non-output/riscv-tests/bne-riscv-tests.txt @@ -0,0 +1,237 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/bne-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 00100113 li sp,1 + 8000000c: 00209663 bne ra,sp,80000018 <_start+0x18> + 80000010: 2a301a63 bne zero,gp,800002c4 + 80000014: 00301663 bne zero,gp,80000020 + 80000018: fe209ee3 bne ra,sp,80000014 <_start+0x14> + 8000001c: 2a301463 bne zero,gp,800002c4 + +0000000080000020 : + 80000020: 00300193 li gp,3 + 80000024: 00100093 li ra,1 + 80000028: 00000113 li sp,0 + 8000002c: 00209663 bne ra,sp,80000038 + 80000030: 28301a63 bne zero,gp,800002c4 + 80000034: 00301663 bne zero,gp,80000040 + 80000038: fe209ee3 bne ra,sp,80000034 + 8000003c: 28301463 bne zero,gp,800002c4 + +0000000080000040 : + 80000040: 00400193 li gp,4 + 80000044: fff00093 li ra,-1 + 80000048: 00100113 li sp,1 + 8000004c: 00209663 bne ra,sp,80000058 + 80000050: 26301a63 bne zero,gp,800002c4 + 80000054: 00301663 bne zero,gp,80000060 + 80000058: fe209ee3 bne ra,sp,80000054 + 8000005c: 26301463 bne zero,gp,800002c4 + +0000000080000060 : + 80000060: 00500193 li gp,5 + 80000064: 00100093 li ra,1 + 80000068: fff00113 li sp,-1 + 8000006c: 00209663 bne ra,sp,80000078 + 80000070: 24301a63 bne zero,gp,800002c4 + 80000074: 00301663 bne zero,gp,80000080 + 80000078: fe209ee3 bne ra,sp,80000074 + 8000007c: 24301463 bne zero,gp,800002c4 + +0000000080000080 : + 80000080: 00600193 li gp,6 + 80000084: 00000093 li ra,0 + 80000088: 00000113 li sp,0 + 8000008c: 00209463 bne ra,sp,80000094 + 80000090: 00301463 bne zero,gp,80000098 + 80000094: 22301863 bne zero,gp,800002c4 + 80000098: fe209ee3 bne ra,sp,80000094 + +000000008000009c : + 8000009c: 00700193 li gp,7 + 800000a0: 00100093 li ra,1 + 800000a4: 00100113 li sp,1 + 800000a8: 00209463 bne ra,sp,800000b0 + 800000ac: 00301463 bne zero,gp,800000b4 + 800000b0: 20301a63 bne zero,gp,800002c4 + 800000b4: fe209ee3 bne ra,sp,800000b0 + +00000000800000b8 : + 800000b8: 00800193 li gp,8 + 800000bc: fff00093 li ra,-1 + 800000c0: fff00113 li sp,-1 + 800000c4: 00209463 bne ra,sp,800000cc + 800000c8: 00301463 bne zero,gp,800000d0 + 800000cc: 1e301c63 bne zero,gp,800002c4 + 800000d0: fe209ee3 bne ra,sp,800000cc + +00000000800000d4 : + 800000d4: 00900193 li gp,9 + 800000d8: 00000213 li tp,0 + 800000dc: 00000093 li ra,0 + 800000e0: 00000113 li sp,0 + 800000e4: 1e209063 bne ra,sp,800002c4 + 800000e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000ec: 00200293 li t0,2 + 800000f0: fe5216e3 bne tp,t0,800000dc + +00000000800000f4 : + 800000f4: 00a00193 li gp,10 + 800000f8: 00000213 li tp,0 + 800000fc: 00000093 li ra,0 + 80000100: 00000113 li sp,0 + 80000104: 00000013 nop + 80000108: 1a209e63 bne ra,sp,800002c4 + 8000010c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000110: 00200293 li t0,2 + 80000114: fe5214e3 bne tp,t0,800000fc + +0000000080000118 : + 80000118: 00b00193 li gp,11 + 8000011c: 00000213 li tp,0 + 80000120: 00000093 li ra,0 + 80000124: 00000113 li sp,0 + 80000128: 00000013 nop + 8000012c: 00000013 nop + 80000130: 18209a63 bne ra,sp,800002c4 + 80000134: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000138: 00200293 li t0,2 + 8000013c: fe5212e3 bne tp,t0,80000120 + +0000000080000140 : + 80000140: 00c00193 li gp,12 + 80000144: 00000213 li tp,0 + 80000148: 00000093 li ra,0 + 8000014c: 00000013 nop + 80000150: 00000113 li sp,0 + 80000154: 16209863 bne ra,sp,800002c4 + 80000158: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000015c: 00200293 li t0,2 + 80000160: fe5214e3 bne tp,t0,80000148 + +0000000080000164 : + 80000164: 00d00193 li gp,13 + 80000168: 00000213 li tp,0 + 8000016c: 00000093 li ra,0 + 80000170: 00000013 nop + 80000174: 00000113 li sp,0 + 80000178: 00000013 nop + 8000017c: 14209463 bne ra,sp,800002c4 + 80000180: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000184: 00200293 li t0,2 + 80000188: fe5212e3 bne tp,t0,8000016c + +000000008000018c : + 8000018c: 00e00193 li gp,14 + 80000190: 00000213 li tp,0 + 80000194: 00000093 li ra,0 + 80000198: 00000013 nop + 8000019c: 00000013 nop + 800001a0: 00000113 li sp,0 + 800001a4: 12209063 bne ra,sp,800002c4 + 800001a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001ac: 00200293 li t0,2 + 800001b0: fe5212e3 bne tp,t0,80000194 + +00000000800001b4 : + 800001b4: 00f00193 li gp,15 + 800001b8: 00000213 li tp,0 + 800001bc: 00000093 li ra,0 + 800001c0: 00000113 li sp,0 + 800001c4: 10209063 bne ra,sp,800002c4 + 800001c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001cc: 00200293 li t0,2 + 800001d0: fe5216e3 bne tp,t0,800001bc + +00000000800001d4 : + 800001d4: 01000193 li gp,16 + 800001d8: 00000213 li tp,0 + 800001dc: 00000093 li ra,0 + 800001e0: 00000113 li sp,0 + 800001e4: 00000013 nop + 800001e8: 0c209e63 bne ra,sp,800002c4 + 800001ec: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f0: 00200293 li t0,2 + 800001f4: fe5214e3 bne tp,t0,800001dc + +00000000800001f8 : + 800001f8: 01100193 li gp,17 + 800001fc: 00000213 li tp,0 + 80000200: 00000093 li ra,0 + 80000204: 00000113 li sp,0 + 80000208: 00000013 nop + 8000020c: 00000013 nop + 80000210: 0a209a63 bne ra,sp,800002c4 + 80000214: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000218: 00200293 li t0,2 + 8000021c: fe5212e3 bne tp,t0,80000200 + +0000000080000220 : + 80000220: 01200193 li gp,18 + 80000224: 00000213 li tp,0 + 80000228: 00000093 li ra,0 + 8000022c: 00000013 nop + 80000230: 00000113 li sp,0 + 80000234: 08209863 bne ra,sp,800002c4 + 80000238: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000023c: 00200293 li t0,2 + 80000240: fe5214e3 bne tp,t0,80000228 + +0000000080000244 : + 80000244: 01300193 li gp,19 + 80000248: 00000213 li tp,0 + 8000024c: 00000093 li ra,0 + 80000250: 00000013 nop + 80000254: 00000113 li sp,0 + 80000258: 00000013 nop + 8000025c: 06209463 bne ra,sp,800002c4 + 80000260: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000264: 00200293 li t0,2 + 80000268: fe5212e3 bne tp,t0,8000024c + +000000008000026c : + 8000026c: 01400193 li gp,20 + 80000270: 00000213 li tp,0 + 80000274: 00000093 li ra,0 + 80000278: 00000013 nop + 8000027c: 00000013 nop + 80000280: 00000113 li sp,0 + 80000284: 04209063 bne ra,sp,800002c4 + 80000288: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000028c: 00200293 li t0,2 + 80000290: fe5212e3 bne tp,t0,80000274 + +0000000080000294 : + 80000294: 00100093 li ra,1 + 80000298: 00009a63 bnez ra,800002ac + 8000029c: 00108093 addi ra,ra,1 + 800002a0: 00108093 addi ra,ra,1 + 800002a4: 00108093 addi ra,ra,1 + 800002a8: 00108093 addi ra,ra,1 + 800002ac: 00108093 addi ra,ra,1 + 800002b0: 00108093 addi ra,ra,1 + 800002b4: 00300393 li t2,3 + 800002b8: 01500193 li gp,21 + 800002bc: 00709463 bne ra,t2,800002c4 + 800002c0: 00301663 bne zero,gp,800002cc + +00000000800002c4 : + 800002c4: 00100513 li a0,1 + 800002c8: 03c0006f j 80000304 + +00000000800002cc : + 800002cc: 00000513 li a0,0 + 800002d0: 0340006f j 80000304 + 800002d4: c0001073 unimp + ... + +0000000080000304 : + 80000304: 00050513 mv a0,a0 + 80000308: 0000006b 0x6b + 8000030c: 0000006f j 8000030c diff --git a/bin/non-output/riscv-tests/jal-riscv-tests.bin b/bin/non-output/riscv-tests/jal-riscv-tests.bin new file mode 100755 index 0000000..495fa7f Binary files /dev/null and b/bin/non-output/riscv-tests/jal-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/jal-riscv-tests.elf b/bin/non-output/riscv-tests/jal-riscv-tests.elf new file mode 100755 index 0000000..efe9df9 Binary files /dev/null and b/bin/non-output/riscv-tests/jal-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/jal-riscv-tests.txt b/bin/non-output/riscv-tests/jal-riscv-tests.txt new file mode 100755 index 0000000..7e196f5 --- /dev/null +++ b/bin/non-output/riscv-tests/jal-riscv-tests.txt @@ -0,0 +1,49 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/jal-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000093 li ra,0 + 80000008: 0100026f jal tp,80000018 + +000000008000000c : + 8000000c: 00000013 nop + 80000010: 00000013 nop + 80000014: 0400006f j 80000054 + +0000000080000018 : + 80000018: 00000117 auipc sp,0x0 + 8000001c: ff410113 addi sp,sp,-12 # 8000000c + 80000020: 02411a63 bne sp,tp,80000054 + +0000000080000024 : + 80000024: 00100093 li ra,1 + 80000028: 0140006f j 8000003c + 8000002c: 00108093 addi ra,ra,1 + 80000030: 00108093 addi ra,ra,1 + 80000034: 00108093 addi ra,ra,1 + 80000038: 00108093 addi ra,ra,1 + 8000003c: 00108093 addi ra,ra,1 + 80000040: 00108093 addi ra,ra,1 + 80000044: 00300393 li t2,3 + 80000048: 00300193 li gp,3 + 8000004c: 00709463 bne ra,t2,80000054 + 80000050: 00301663 bne zero,gp,8000005c + +0000000080000054 : + 80000054: 00100513 li a0,1 + 80000058: 02c0006f j 80000084 + +000000008000005c : + 8000005c: 00000513 li a0,0 + 80000060: 0240006f j 80000084 + 80000064: c0001073 unimp + ... + +0000000080000084 : + 80000084: 00050513 mv a0,a0 + 80000088: 0000006b 0x6b + 8000008c: 0000006f j 8000008c diff --git a/bin/non-output/riscv-tests/jalr-riscv-tests.bin b/bin/non-output/riscv-tests/jalr-riscv-tests.bin new file mode 100755 index 0000000..0e87a54 Binary files /dev/null and b/bin/non-output/riscv-tests/jalr-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/jalr-riscv-tests.elf b/bin/non-output/riscv-tests/jalr-riscv-tests.elf new file mode 100755 index 0000000..771b7c2 Binary files /dev/null and b/bin/non-output/riscv-tests/jalr-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/jalr-riscv-tests.txt b/bin/non-output/riscv-tests/jalr-riscv-tests.txt new file mode 100755 index 0000000..5eceb21 --- /dev/null +++ b/bin/non-output/riscv-tests/jalr-riscv-tests.txt @@ -0,0 +1,101 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/jalr-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00200193 li gp,2 + 80000004: 00000293 li t0,0 + 80000008: 00000317 auipc t1,0x0 + 8000000c: 01030313 addi t1,t1,16 # 80000018 + 80000010: 000302e7 jalr t0,t1 + +0000000080000014 : + 80000014: 0e00006f j 800000f4 + +0000000080000018 : + 80000018: 00000317 auipc t1,0x0 + 8000001c: ffc30313 addi t1,t1,-4 # 80000014 + 80000020: 0c629a63 bne t0,t1,800000f4 + +0000000080000024 : + 80000024: 00300193 li gp,3 + 80000028: 00000297 auipc t0,0x0 + 8000002c: 01028293 addi t0,t0,16 # 80000038 + 80000030: 000282e7 jalr t0,t0 + +0000000080000034 : + 80000034: 0c00006f j 800000f4 + +0000000080000038 : + 80000038: 00000317 auipc t1,0x0 + 8000003c: ffc30313 addi t1,t1,-4 # 80000034 + 80000040: 0a629a63 bne t0,t1,800000f4 + +0000000080000044 : + 80000044: 00400193 li gp,4 + 80000048: 00000213 li tp,0 + 8000004c: 00000317 auipc t1,0x0 + 80000050: 01030313 addi t1,t1,16 # 8000005c + 80000054: 000306e7 jalr a3,t1 + 80000058: 08301e63 bne zero,gp,800000f4 + 8000005c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000060: 00200293 li t0,2 + 80000064: fe5214e3 bne tp,t0,8000004c + +0000000080000068 : + 80000068: 00500193 li gp,5 + 8000006c: 00000213 li tp,0 + 80000070: 00000317 auipc t1,0x0 + 80000074: 01430313 addi t1,t1,20 # 80000084 + 80000078: 00000013 nop + 8000007c: 000306e7 jalr a3,t1 + 80000080: 06301a63 bne zero,gp,800000f4 + 80000084: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000088: 00200293 li t0,2 + 8000008c: fe5212e3 bne tp,t0,80000070 + +0000000080000090 : + 80000090: 00600193 li gp,6 + 80000094: 00000213 li tp,0 + 80000098: 00000317 auipc t1,0x0 + 8000009c: 01830313 addi t1,t1,24 # 800000b0 + 800000a0: 00000013 nop + 800000a4: 00000013 nop + 800000a8: 000306e7 jalr a3,t1 + 800000ac: 04301463 bne zero,gp,800000f4 + 800000b0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000b4: 00200293 li t0,2 + 800000b8: fe5210e3 bne tp,t0,80000098 + +00000000800000bc : + 800000bc: 00100293 li t0,1 + 800000c0: 00000317 auipc t1,0x0 + 800000c4: 01c30313 addi t1,t1,28 # 800000dc + 800000c8: ffc30067 jr -4(t1) + 800000cc: 00128293 addi t0,t0,1 + 800000d0: 00128293 addi t0,t0,1 + 800000d4: 00128293 addi t0,t0,1 + 800000d8: 00128293 addi t0,t0,1 + 800000dc: 00128293 addi t0,t0,1 + 800000e0: 00128293 addi t0,t0,1 + 800000e4: 00400393 li t2,4 + 800000e8: 00700193 li gp,7 + 800000ec: 00729463 bne t0,t2,800000f4 + 800000f0: 00301663 bne zero,gp,800000fc + +00000000800000f4 : + 800000f4: 00100513 li a0,1 + 800000f8: 04c0006f j 80000144 + +00000000800000fc : + 800000fc: 00000513 li a0,0 + 80000100: 0440006f j 80000144 + 80000104: c0001073 unimp + ... + +0000000080000144 : + 80000144: 00050513 mv a0,a0 + 80000148: 0000006b 0x6b + 8000014c: 0000006f j 8000014c diff --git a/bin/non-output/riscv-tests/lb-riscv-tests.bin b/bin/non-output/riscv-tests/lb-riscv-tests.bin new file mode 100755 index 0000000..7ca3eaf Binary files /dev/null and b/bin/non-output/riscv-tests/lb-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lb-riscv-tests.elf b/bin/non-output/riscv-tests/lb-riscv-tests.elf new file mode 100755 index 0000000..416bc65 Binary files /dev/null and b/bin/non-output/riscv-tests/lb-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lb-riscv-tests.txt b/bin/non-output/riscv-tests/lb-riscv-tests.txt new file mode 100755 index 0000000..3670db2 --- /dev/null +++ b/bin/non-output/riscv-tests/lb-riscv-tests.txt @@ -0,0 +1,203 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lb-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 29008093 addi ra,ra,656 # 80000290 <_etext> + 80000008: 00008703 lb a4,0(ra) + 8000000c: fff00393 li t2,-1 + 80000010: 00200193 li gp,2 + 80000014: 22771c63 bne a4,t2,8000024c + +0000000080000018 : + 80000018: 00000097 auipc ra,0x0 + 8000001c: 27808093 addi ra,ra,632 # 80000290 <_etext> + 80000020: 00108703 lb a4,1(ra) + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 22771063 bne a4,t2,8000024c + +0000000080000030 : + 80000030: 00000097 auipc ra,0x0 + 80000034: 26008093 addi ra,ra,608 # 80000290 <_etext> + 80000038: 00208703 lb a4,2(ra) + 8000003c: ff000393 li t2,-16 + 80000040: 00400193 li gp,4 + 80000044: 20771463 bne a4,t2,8000024c + +0000000080000048 : + 80000048: 00000097 auipc ra,0x0 + 8000004c: 24808093 addi ra,ra,584 # 80000290 <_etext> + 80000050: 00308703 lb a4,3(ra) + 80000054: 00f00393 li t2,15 + 80000058: 00500193 li gp,5 + 8000005c: 1e771863 bne a4,t2,8000024c + +0000000080000060 : + 80000060: 00000097 auipc ra,0x0 + 80000064: 23308093 addi ra,ra,563 # 80000293 + 80000068: ffd08703 lb a4,-3(ra) + 8000006c: fff00393 li t2,-1 + 80000070: 00600193 li gp,6 + 80000074: 1c771c63 bne a4,t2,8000024c + +0000000080000078 : + 80000078: 00000097 auipc ra,0x0 + 8000007c: 21b08093 addi ra,ra,539 # 80000293 + 80000080: ffe08703 lb a4,-2(ra) + 80000084: 00000393 li t2,0 + 80000088: 00700193 li gp,7 + 8000008c: 1c771063 bne a4,t2,8000024c + +0000000080000090 : + 80000090: 00000097 auipc ra,0x0 + 80000094: 20308093 addi ra,ra,515 # 80000293 + 80000098: fff08703 lb a4,-1(ra) + 8000009c: ff000393 li t2,-16 + 800000a0: 00800193 li gp,8 + 800000a4: 1a771463 bne a4,t2,8000024c + +00000000800000a8 : + 800000a8: 00000097 auipc ra,0x0 + 800000ac: 1eb08093 addi ra,ra,491 # 80000293 + 800000b0: 00008703 lb a4,0(ra) + 800000b4: 00f00393 li t2,15 + 800000b8: 00900193 li gp,9 + 800000bc: 18771863 bne a4,t2,8000024c + +00000000800000c0 : + 800000c0: 00000097 auipc ra,0x0 + 800000c4: 1d008093 addi ra,ra,464 # 80000290 <_etext> + 800000c8: fe008093 addi ra,ra,-32 + 800000cc: 02008283 lb t0,32(ra) + 800000d0: fff00393 li t2,-1 + 800000d4: 00a00193 li gp,10 + 800000d8: 16729a63 bne t0,t2,8000024c + +00000000800000dc : + 800000dc: 00000097 auipc ra,0x0 + 800000e0: 1b408093 addi ra,ra,436 # 80000290 <_etext> + 800000e4: ffa08093 addi ra,ra,-6 + 800000e8: 00708283 lb t0,7(ra) + 800000ec: 00000393 li t2,0 + 800000f0: 00b00193 li gp,11 + 800000f4: 14729c63 bne t0,t2,8000024c + +00000000800000f8 : + 800000f8: 00c00193 li gp,12 + 800000fc: 00000213 li tp,0 + 80000100: 00000097 auipc ra,0x0 + 80000104: 19108093 addi ra,ra,401 # 80000291 + 80000108: 00108703 lb a4,1(ra) + 8000010c: 00070313 mv t1,a4 + 80000110: ff000393 li t2,-16 + 80000114: 12731c63 bne t1,t2,8000024c + 80000118: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000011c: 00200293 li t0,2 + 80000120: fe5210e3 bne tp,t0,80000100 + +0000000080000124 : + 80000124: 00d00193 li gp,13 + 80000128: 00000213 li tp,0 + 8000012c: 00000097 auipc ra,0x0 + 80000130: 16608093 addi ra,ra,358 # 80000292 + 80000134: 00108703 lb a4,1(ra) + 80000138: 00000013 nop + 8000013c: 00070313 mv t1,a4 + 80000140: 00f00393 li t2,15 + 80000144: 10731463 bne t1,t2,8000024c + 80000148: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000014c: 00200293 li t0,2 + 80000150: fc521ee3 bne tp,t0,8000012c + +0000000080000154 : + 80000154: 00e00193 li gp,14 + 80000158: 00000213 li tp,0 + 8000015c: 00000097 auipc ra,0x0 + 80000160: 13408093 addi ra,ra,308 # 80000290 <_etext> + 80000164: 00108703 lb a4,1(ra) + 80000168: 00000013 nop + 8000016c: 00000013 nop + 80000170: 00070313 mv t1,a4 + 80000174: 00000393 li t2,0 + 80000178: 0c731a63 bne t1,t2,8000024c + 8000017c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000180: 00200293 li t0,2 + 80000184: fc521ce3 bne tp,t0,8000015c + +0000000080000188 : + 80000188: 00f00193 li gp,15 + 8000018c: 00000213 li tp,0 + 80000190: 00000097 auipc ra,0x0 + 80000194: 10108093 addi ra,ra,257 # 80000291 + 80000198: 00108703 lb a4,1(ra) + 8000019c: ff000393 li t2,-16 + 800001a0: 0a771663 bne a4,t2,8000024c + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5212e3 bne tp,t0,80000190 + +00000000800001b0 : + 800001b0: 01000193 li gp,16 + 800001b4: 00000213 li tp,0 + 800001b8: 00000097 auipc ra,0x0 + 800001bc: 0da08093 addi ra,ra,218 # 80000292 + 800001c0: 00000013 nop + 800001c4: 00108703 lb a4,1(ra) + 800001c8: 00f00393 li t2,15 + 800001cc: 08771063 bne a4,t2,8000024c + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5210e3 bne tp,t0,800001b8 + +00000000800001dc : + 800001dc: 01100193 li gp,17 + 800001e0: 00000213 li tp,0 + 800001e4: 00000097 auipc ra,0x0 + 800001e8: 0ac08093 addi ra,ra,172 # 80000290 <_etext> + 800001ec: 00000013 nop + 800001f0: 00000013 nop + 800001f4: 00108703 lb a4,1(ra) + 800001f8: 00000393 li t2,0 + 800001fc: 04771863 bne a4,t2,8000024c + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fc521ee3 bne tp,t0,800001e4 + +000000008000020c : + 8000020c: 00000297 auipc t0,0x0 + 80000210: 08428293 addi t0,t0,132 # 80000290 <_etext> + 80000214: 00028103 lb sp,0(t0) + 80000218: 00200113 li sp,2 + 8000021c: 00200393 li t2,2 + 80000220: 01200193 li gp,18 + 80000224: 02711463 bne sp,t2,8000024c + +0000000080000228 : + 80000228: 00000297 auipc t0,0x0 + 8000022c: 06828293 addi t0,t0,104 # 80000290 <_etext> + 80000230: 00028103 lb sp,0(t0) + 80000234: 00000013 nop + 80000238: 00200113 li sp,2 + 8000023c: 00200393 li t2,2 + 80000240: 01300193 li gp,19 + 80000244: 00711463 bne sp,t2,8000024c + 80000248: 00301663 bne zero,gp,80000254 + +000000008000024c : + 8000024c: 00100513 li a0,1 + 80000250: 0340006f j 80000284 + +0000000080000254 : + 80000254: 00000513 li a0,0 + 80000258: 02c0006f j 80000284 + 8000025c: c0001073 unimp + ... + +0000000080000284 : + 80000284: 00050513 mv a0,a0 + 80000288: 0000006b 0x6b + 8000028c: 0000006f j 8000028c diff --git a/bin/non-output/riscv-tests/lbu-riscv-tests.bin b/bin/non-output/riscv-tests/lbu-riscv-tests.bin new file mode 100755 index 0000000..e48e468 Binary files /dev/null and b/bin/non-output/riscv-tests/lbu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lbu-riscv-tests.elf b/bin/non-output/riscv-tests/lbu-riscv-tests.elf new file mode 100755 index 0000000..b75952b Binary files /dev/null and b/bin/non-output/riscv-tests/lbu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lbu-riscv-tests.txt b/bin/non-output/riscv-tests/lbu-riscv-tests.txt new file mode 100755 index 0000000..15b6488 --- /dev/null +++ b/bin/non-output/riscv-tests/lbu-riscv-tests.txt @@ -0,0 +1,203 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lbu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 29008093 addi ra,ra,656 # 80000290 <_etext> + 80000008: 0000c703 lbu a4,0(ra) + 8000000c: 0ff00393 li t2,255 + 80000010: 00200193 li gp,2 + 80000014: 22771c63 bne a4,t2,8000024c + +0000000080000018 : + 80000018: 00000097 auipc ra,0x0 + 8000001c: 27808093 addi ra,ra,632 # 80000290 <_etext> + 80000020: 0010c703 lbu a4,1(ra) + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 22771063 bne a4,t2,8000024c + +0000000080000030 : + 80000030: 00000097 auipc ra,0x0 + 80000034: 26008093 addi ra,ra,608 # 80000290 <_etext> + 80000038: 0020c703 lbu a4,2(ra) + 8000003c: 0f000393 li t2,240 + 80000040: 00400193 li gp,4 + 80000044: 20771463 bne a4,t2,8000024c + +0000000080000048 : + 80000048: 00000097 auipc ra,0x0 + 8000004c: 24808093 addi ra,ra,584 # 80000290 <_etext> + 80000050: 0030c703 lbu a4,3(ra) + 80000054: 00f00393 li t2,15 + 80000058: 00500193 li gp,5 + 8000005c: 1e771863 bne a4,t2,8000024c + +0000000080000060 : + 80000060: 00000097 auipc ra,0x0 + 80000064: 23308093 addi ra,ra,563 # 80000293 + 80000068: ffd0c703 lbu a4,-3(ra) + 8000006c: 0ff00393 li t2,255 + 80000070: 00600193 li gp,6 + 80000074: 1c771c63 bne a4,t2,8000024c + +0000000080000078 : + 80000078: 00000097 auipc ra,0x0 + 8000007c: 21b08093 addi ra,ra,539 # 80000293 + 80000080: ffe0c703 lbu a4,-2(ra) + 80000084: 00000393 li t2,0 + 80000088: 00700193 li gp,7 + 8000008c: 1c771063 bne a4,t2,8000024c + +0000000080000090 : + 80000090: 00000097 auipc ra,0x0 + 80000094: 20308093 addi ra,ra,515 # 80000293 + 80000098: fff0c703 lbu a4,-1(ra) + 8000009c: 0f000393 li t2,240 + 800000a0: 00800193 li gp,8 + 800000a4: 1a771463 bne a4,t2,8000024c + +00000000800000a8 : + 800000a8: 00000097 auipc ra,0x0 + 800000ac: 1eb08093 addi ra,ra,491 # 80000293 + 800000b0: 0000c703 lbu a4,0(ra) + 800000b4: 00f00393 li t2,15 + 800000b8: 00900193 li gp,9 + 800000bc: 18771863 bne a4,t2,8000024c + +00000000800000c0 : + 800000c0: 00000097 auipc ra,0x0 + 800000c4: 1d008093 addi ra,ra,464 # 80000290 <_etext> + 800000c8: fe008093 addi ra,ra,-32 + 800000cc: 0200c283 lbu t0,32(ra) + 800000d0: 0ff00393 li t2,255 + 800000d4: 00a00193 li gp,10 + 800000d8: 16729a63 bne t0,t2,8000024c + +00000000800000dc : + 800000dc: 00000097 auipc ra,0x0 + 800000e0: 1b408093 addi ra,ra,436 # 80000290 <_etext> + 800000e4: ffa08093 addi ra,ra,-6 + 800000e8: 0070c283 lbu t0,7(ra) + 800000ec: 00000393 li t2,0 + 800000f0: 00b00193 li gp,11 + 800000f4: 14729c63 bne t0,t2,8000024c + +00000000800000f8 : + 800000f8: 00c00193 li gp,12 + 800000fc: 00000213 li tp,0 + 80000100: 00000097 auipc ra,0x0 + 80000104: 19108093 addi ra,ra,401 # 80000291 + 80000108: 0010c703 lbu a4,1(ra) + 8000010c: 00070313 mv t1,a4 + 80000110: 0f000393 li t2,240 + 80000114: 12731c63 bne t1,t2,8000024c + 80000118: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000011c: 00200293 li t0,2 + 80000120: fe5210e3 bne tp,t0,80000100 + +0000000080000124 : + 80000124: 00d00193 li gp,13 + 80000128: 00000213 li tp,0 + 8000012c: 00000097 auipc ra,0x0 + 80000130: 16608093 addi ra,ra,358 # 80000292 + 80000134: 0010c703 lbu a4,1(ra) + 80000138: 00000013 nop + 8000013c: 00070313 mv t1,a4 + 80000140: 00f00393 li t2,15 + 80000144: 10731463 bne t1,t2,8000024c + 80000148: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000014c: 00200293 li t0,2 + 80000150: fc521ee3 bne tp,t0,8000012c + +0000000080000154 : + 80000154: 00e00193 li gp,14 + 80000158: 00000213 li tp,0 + 8000015c: 00000097 auipc ra,0x0 + 80000160: 13408093 addi ra,ra,308 # 80000290 <_etext> + 80000164: 0010c703 lbu a4,1(ra) + 80000168: 00000013 nop + 8000016c: 00000013 nop + 80000170: 00070313 mv t1,a4 + 80000174: 00000393 li t2,0 + 80000178: 0c731a63 bne t1,t2,8000024c + 8000017c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000180: 00200293 li t0,2 + 80000184: fc521ce3 bne tp,t0,8000015c + +0000000080000188 : + 80000188: 00f00193 li gp,15 + 8000018c: 00000213 li tp,0 + 80000190: 00000097 auipc ra,0x0 + 80000194: 10108093 addi ra,ra,257 # 80000291 + 80000198: 0010c703 lbu a4,1(ra) + 8000019c: 0f000393 li t2,240 + 800001a0: 0a771663 bne a4,t2,8000024c + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5212e3 bne tp,t0,80000190 + +00000000800001b0 : + 800001b0: 01000193 li gp,16 + 800001b4: 00000213 li tp,0 + 800001b8: 00000097 auipc ra,0x0 + 800001bc: 0da08093 addi ra,ra,218 # 80000292 + 800001c0: 00000013 nop + 800001c4: 0010c703 lbu a4,1(ra) + 800001c8: 00f00393 li t2,15 + 800001cc: 08771063 bne a4,t2,8000024c + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5210e3 bne tp,t0,800001b8 + +00000000800001dc : + 800001dc: 01100193 li gp,17 + 800001e0: 00000213 li tp,0 + 800001e4: 00000097 auipc ra,0x0 + 800001e8: 0ac08093 addi ra,ra,172 # 80000290 <_etext> + 800001ec: 00000013 nop + 800001f0: 00000013 nop + 800001f4: 0010c703 lbu a4,1(ra) + 800001f8: 00000393 li t2,0 + 800001fc: 04771863 bne a4,t2,8000024c + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fc521ee3 bne tp,t0,800001e4 + +000000008000020c : + 8000020c: 00000297 auipc t0,0x0 + 80000210: 08428293 addi t0,t0,132 # 80000290 <_etext> + 80000214: 0002c103 lbu sp,0(t0) + 80000218: 00200113 li sp,2 + 8000021c: 00200393 li t2,2 + 80000220: 01200193 li gp,18 + 80000224: 02711463 bne sp,t2,8000024c + +0000000080000228 : + 80000228: 00000297 auipc t0,0x0 + 8000022c: 06828293 addi t0,t0,104 # 80000290 <_etext> + 80000230: 0002c103 lbu sp,0(t0) + 80000234: 00000013 nop + 80000238: 00200113 li sp,2 + 8000023c: 00200393 li t2,2 + 80000240: 01300193 li gp,19 + 80000244: 00711463 bne sp,t2,8000024c + 80000248: 00301663 bne zero,gp,80000254 + +000000008000024c : + 8000024c: 00100513 li a0,1 + 80000250: 0340006f j 80000284 + +0000000080000254 : + 80000254: 00000513 li a0,0 + 80000258: 02c0006f j 80000284 + 8000025c: c0001073 unimp + ... + +0000000080000284 : + 80000284: 00050513 mv a0,a0 + 80000288: 0000006b 0x6b + 8000028c: 0000006f j 8000028c diff --git a/bin/non-output/riscv-tests/ld-riscv-tests.bin b/bin/non-output/riscv-tests/ld-riscv-tests.bin new file mode 100755 index 0000000..9af38b6 Binary files /dev/null and b/bin/non-output/riscv-tests/ld-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/ld-riscv-tests.elf b/bin/non-output/riscv-tests/ld-riscv-tests.elf new file mode 100755 index 0000000..d799118 Binary files /dev/null and b/bin/non-output/riscv-tests/ld-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/ld-riscv-tests.txt b/bin/non-output/riscv-tests/ld-riscv-tests.txt new file mode 100755 index 0000000..10da233 --- /dev/null +++ b/bin/non-output/riscv-tests/ld-riscv-tests.txt @@ -0,0 +1,299 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/ld-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 41008093 addi ra,ra,1040 # 80000410 <_etext> + 80000008: 0000b703 ld a4,0(ra) + 8000000c: 00ff03b7 lui t2,0xff0 + 80000010: 0ff3839b addiw t2,t2,255 + 80000014: 01039393 slli t2,t2,0x10 + 80000018: 0ff38393 addi t2,t2,255 # ff00ff <_entry_offset+0xff00ff> + 8000001c: 01039393 slli t2,t2,0x10 + 80000020: 0ff38393 addi t2,t2,255 + 80000024: 00200193 li gp,2 + 80000028: 3a771263 bne a4,t2,800003cc + +000000008000002c : + 8000002c: 00000097 auipc ra,0x0 + 80000030: 3e408093 addi ra,ra,996 # 80000410 <_etext> + 80000034: 0080b703 ld a4,8(ra) + 80000038: ff0103b7 lui t2,0xff010 + 8000003c: f013839b addiw t2,t2,-255 + 80000040: 01039393 slli t2,t2,0x10 + 80000044: f0138393 addi t2,t2,-255 # ffffffffff00ff01 <_end+0xffffffff7f006f01> + 80000048: 01039393 slli t2,t2,0x10 + 8000004c: f0038393 addi t2,t2,-256 + 80000050: 00300193 li gp,3 + 80000054: 36771c63 bne a4,t2,800003cc + +0000000080000058 : + 80000058: 00000097 auipc ra,0x0 + 8000005c: 3b808093 addi ra,ra,952 # 80000410 <_etext> + 80000060: 0100b703 ld a4,16(ra) + 80000064: 000103b7 lui t2,0x10 + 80000068: f013839b addiw t2,t2,-255 + 8000006c: 01039393 slli t2,t2,0x10 + 80000070: f0138393 addi t2,t2,-255 # ff01 <_entry_offset+0xff01> + 80000074: 01039393 slli t2,t2,0x10 + 80000078: f0138393 addi t2,t2,-255 + 8000007c: 00c39393 slli t2,t2,0xc + 80000080: ff038393 addi t2,t2,-16 + 80000084: 00400193 li gp,4 + 80000088: 34771263 bne a4,t2,800003cc + +000000008000008c : + 8000008c: 00000097 auipc ra,0x0 + 80000090: 38408093 addi ra,ra,900 # 80000410 <_etext> + 80000094: 0180b703 ld a4,24(ra) + 80000098: ffff03b7 lui t2,0xffff0 + 8000009c: 0ff3839b addiw t2,t2,255 + 800000a0: 01039393 slli t2,t2,0x10 + 800000a4: 0ff38393 addi t2,t2,255 # ffffffffffff00ff <_end+0xffffffff7ffe70ff> + 800000a8: 01039393 slli t2,t2,0x10 + 800000ac: 0ff38393 addi t2,t2,255 + 800000b0: 00c39393 slli t2,t2,0xc + 800000b4: 00f38393 addi t2,t2,15 + 800000b8: 00500193 li gp,5 + 800000bc: 30771863 bne a4,t2,800003cc + +00000000800000c0 : + 800000c0: 00000097 auipc ra,0x0 + 800000c4: 36808093 addi ra,ra,872 # 80000428 + 800000c8: fe80b703 ld a4,-24(ra) + 800000cc: 00ff03b7 lui t2,0xff0 + 800000d0: 0ff3839b addiw t2,t2,255 + 800000d4: 01039393 slli t2,t2,0x10 + 800000d8: 0ff38393 addi t2,t2,255 # ff00ff <_entry_offset+0xff00ff> + 800000dc: 01039393 slli t2,t2,0x10 + 800000e0: 0ff38393 addi t2,t2,255 + 800000e4: 00600193 li gp,6 + 800000e8: 2e771263 bne a4,t2,800003cc + +00000000800000ec : + 800000ec: 00000097 auipc ra,0x0 + 800000f0: 33c08093 addi ra,ra,828 # 80000428 + 800000f4: ff00b703 ld a4,-16(ra) + 800000f8: ff0103b7 lui t2,0xff010 + 800000fc: f013839b addiw t2,t2,-255 + 80000100: 01039393 slli t2,t2,0x10 + 80000104: f0138393 addi t2,t2,-255 # ffffffffff00ff01 <_end+0xffffffff7f006f01> + 80000108: 01039393 slli t2,t2,0x10 + 8000010c: f0038393 addi t2,t2,-256 + 80000110: 00700193 li gp,7 + 80000114: 2a771c63 bne a4,t2,800003cc + +0000000080000118 : + 80000118: 00000097 auipc ra,0x0 + 8000011c: 31008093 addi ra,ra,784 # 80000428 + 80000120: ff80b703 ld a4,-8(ra) + 80000124: 000103b7 lui t2,0x10 + 80000128: f013839b addiw t2,t2,-255 + 8000012c: 01039393 slli t2,t2,0x10 + 80000130: f0138393 addi t2,t2,-255 # ff01 <_entry_offset+0xff01> + 80000134: 01039393 slli t2,t2,0x10 + 80000138: f0138393 addi t2,t2,-255 + 8000013c: 00c39393 slli t2,t2,0xc + 80000140: ff038393 addi t2,t2,-16 + 80000144: 00800193 li gp,8 + 80000148: 28771263 bne a4,t2,800003cc + +000000008000014c : + 8000014c: 00000097 auipc ra,0x0 + 80000150: 2dc08093 addi ra,ra,732 # 80000428 + 80000154: 0000b703 ld a4,0(ra) + 80000158: ffff03b7 lui t2,0xffff0 + 8000015c: 0ff3839b addiw t2,t2,255 + 80000160: 01039393 slli t2,t2,0x10 + 80000164: 0ff38393 addi t2,t2,255 # ffffffffffff00ff <_end+0xffffffff7ffe70ff> + 80000168: 01039393 slli t2,t2,0x10 + 8000016c: 0ff38393 addi t2,t2,255 + 80000170: 00c39393 slli t2,t2,0xc + 80000174: 00f38393 addi t2,t2,15 + 80000178: 00900193 li gp,9 + 8000017c: 24771863 bne a4,t2,800003cc + +0000000080000180 : + 80000180: 00000097 auipc ra,0x0 + 80000184: 29008093 addi ra,ra,656 # 80000410 <_etext> + 80000188: fe008093 addi ra,ra,-32 + 8000018c: 0200b283 ld t0,32(ra) + 80000190: 00ff03b7 lui t2,0xff0 + 80000194: 0ff3839b addiw t2,t2,255 + 80000198: 01039393 slli t2,t2,0x10 + 8000019c: 0ff38393 addi t2,t2,255 # ff00ff <_entry_offset+0xff00ff> + 800001a0: 01039393 slli t2,t2,0x10 + 800001a4: 0ff38393 addi t2,t2,255 + 800001a8: 00a00193 li gp,10 + 800001ac: 22729063 bne t0,t2,800003cc + +00000000800001b0 : + 800001b0: 00000097 auipc ra,0x0 + 800001b4: 26008093 addi ra,ra,608 # 80000410 <_etext> + 800001b8: ffd08093 addi ra,ra,-3 + 800001bc: 00b0b283 ld t0,11(ra) + 800001c0: ff0103b7 lui t2,0xff010 + 800001c4: f013839b addiw t2,t2,-255 + 800001c8: 01039393 slli t2,t2,0x10 + 800001cc: f0138393 addi t2,t2,-255 # ffffffffff00ff01 <_end+0xffffffff7f006f01> + 800001d0: 01039393 slli t2,t2,0x10 + 800001d4: f0038393 addi t2,t2,-256 + 800001d8: 00b00193 li gp,11 + 800001dc: 1e729863 bne t0,t2,800003cc + +00000000800001e0 : + 800001e0: 00c00193 li gp,12 + 800001e4: 00000213 li tp,0 + 800001e8: 00000097 auipc ra,0x0 + 800001ec: 23008093 addi ra,ra,560 # 80000418 + 800001f0: 0080b703 ld a4,8(ra) + 800001f4: 00070313 mv t1,a4 + 800001f8: 000103b7 lui t2,0x10 + 800001fc: f013839b addiw t2,t2,-255 + 80000200: 01039393 slli t2,t2,0x10 + 80000204: f0138393 addi t2,t2,-255 # ff01 <_entry_offset+0xff01> + 80000208: 01039393 slli t2,t2,0x10 + 8000020c: f0138393 addi t2,t2,-255 + 80000210: 00c39393 slli t2,t2,0xc + 80000214: ff038393 addi t2,t2,-16 + 80000218: 1a731a63 bne t1,t2,800003cc + 8000021c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000220: 00200293 li t0,2 + 80000224: fc5212e3 bne tp,t0,800001e8 + +0000000080000228 : + 80000228: 00d00193 li gp,13 + 8000022c: 00000213 li tp,0 + 80000230: 00000097 auipc ra,0x0 + 80000234: 1f008093 addi ra,ra,496 # 80000420 + 80000238: 0080b703 ld a4,8(ra) + 8000023c: 00000013 nop + 80000240: 00070313 mv t1,a4 + 80000244: ffff03b7 lui t2,0xffff0 + 80000248: 0ff3839b addiw t2,t2,255 + 8000024c: 01039393 slli t2,t2,0x10 + 80000250: 0ff38393 addi t2,t2,255 # ffffffffffff00ff <_end+0xffffffff7ffe70ff> + 80000254: 01039393 slli t2,t2,0x10 + 80000258: 0ff38393 addi t2,t2,255 + 8000025c: 00c39393 slli t2,t2,0xc + 80000260: 00f38393 addi t2,t2,15 + 80000264: 16731463 bne t1,t2,800003cc + 80000268: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000026c: 00200293 li t0,2 + 80000270: fc5210e3 bne tp,t0,80000230 + +0000000080000274 : + 80000274: 00e00193 li gp,14 + 80000278: 00000213 li tp,0 + 8000027c: 00000097 auipc ra,0x0 + 80000280: 19408093 addi ra,ra,404 # 80000410 <_etext> + 80000284: 0080b703 ld a4,8(ra) + 80000288: 00000013 nop + 8000028c: 00000013 nop + 80000290: 00070313 mv t1,a4 + 80000294: ff0103b7 lui t2,0xff010 + 80000298: f013839b addiw t2,t2,-255 + 8000029c: 01039393 slli t2,t2,0x10 + 800002a0: f0138393 addi t2,t2,-255 # ffffffffff00ff01 <_end+0xffffffff7f006f01> + 800002a4: 01039393 slli t2,t2,0x10 + 800002a8: f0038393 addi t2,t2,-256 + 800002ac: 12731063 bne t1,t2,800003cc + 800002b0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002b4: 00200293 li t0,2 + 800002b8: fc5212e3 bne tp,t0,8000027c + +00000000800002bc : + 800002bc: 00f00193 li gp,15 + 800002c0: 00000213 li tp,0 + 800002c4: 00000097 auipc ra,0x0 + 800002c8: 15408093 addi ra,ra,340 # 80000418 + 800002cc: 0080b703 ld a4,8(ra) + 800002d0: 000103b7 lui t2,0x10 + 800002d4: f013839b addiw t2,t2,-255 + 800002d8: 01039393 slli t2,t2,0x10 + 800002dc: f0138393 addi t2,t2,-255 # ff01 <_entry_offset+0xff01> + 800002e0: 01039393 slli t2,t2,0x10 + 800002e4: f0138393 addi t2,t2,-255 + 800002e8: 00c39393 slli t2,t2,0xc + 800002ec: ff038393 addi t2,t2,-16 + 800002f0: 0c771e63 bne a4,t2,800003cc + 800002f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f8: 00200293 li t0,2 + 800002fc: fc5214e3 bne tp,t0,800002c4 + +0000000080000300 : + 80000300: 01000193 li gp,16 + 80000304: 00000213 li tp,0 + 80000308: 00000097 auipc ra,0x0 + 8000030c: 11808093 addi ra,ra,280 # 80000420 + 80000310: 00000013 nop + 80000314: 0080b703 ld a4,8(ra) + 80000318: ffff03b7 lui t2,0xffff0 + 8000031c: 0ff3839b addiw t2,t2,255 + 80000320: 01039393 slli t2,t2,0x10 + 80000324: 0ff38393 addi t2,t2,255 # ffffffffffff00ff <_end+0xffffffff7ffe70ff> + 80000328: 01039393 slli t2,t2,0x10 + 8000032c: 0ff38393 addi t2,t2,255 + 80000330: 00c39393 slli t2,t2,0xc + 80000334: 00f38393 addi t2,t2,15 + 80000338: 08771a63 bne a4,t2,800003cc + 8000033c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000340: 00200293 li t0,2 + 80000344: fc5212e3 bne tp,t0,80000308 + +0000000080000348 : + 80000348: 01100193 li gp,17 + 8000034c: 00000213 li tp,0 + 80000350: 00000097 auipc ra,0x0 + 80000354: 0c008093 addi ra,ra,192 # 80000410 <_etext> + 80000358: 00000013 nop + 8000035c: 00000013 nop + 80000360: 0080b703 ld a4,8(ra) + 80000364: ff0103b7 lui t2,0xff010 + 80000368: f013839b addiw t2,t2,-255 + 8000036c: 01039393 slli t2,t2,0x10 + 80000370: f0138393 addi t2,t2,-255 # ffffffffff00ff01 <_end+0xffffffff7f006f01> + 80000374: 01039393 slli t2,t2,0x10 + 80000378: f0038393 addi t2,t2,-256 + 8000037c: 04771863 bne a4,t2,800003cc + 80000380: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000384: 00200293 li t0,2 + 80000388: fc5214e3 bne tp,t0,80000350 + +000000008000038c : + 8000038c: 00000297 auipc t0,0x0 + 80000390: 08428293 addi t0,t0,132 # 80000410 <_etext> + 80000394: 0002b103 ld sp,0(t0) + 80000398: 00200113 li sp,2 + 8000039c: 00200393 li t2,2 + 800003a0: 01200193 li gp,18 + 800003a4: 02711463 bne sp,t2,800003cc + +00000000800003a8 : + 800003a8: 00000297 auipc t0,0x0 + 800003ac: 06828293 addi t0,t0,104 # 80000410 <_etext> + 800003b0: 0002b103 ld sp,0(t0) + 800003b4: 00000013 nop + 800003b8: 00200113 li sp,2 + 800003bc: 00200393 li t2,2 + 800003c0: 01300193 li gp,19 + 800003c4: 00711463 bne sp,t2,800003cc + 800003c8: 00301663 bne zero,gp,800003d4 + +00000000800003cc : + 800003cc: 00100513 li a0,1 + 800003d0: 0340006f j 80000404 + +00000000800003d4 : + 800003d4: 00000513 li a0,0 + 800003d8: 02c0006f j 80000404 + 800003dc: c0001073 unimp + ... + +0000000080000404 : + 80000404: 00050513 mv a0,a0 + 80000408: 0000006b 0x6b + 8000040c: 0000006f j 8000040c diff --git a/bin/non-output/riscv-tests/lh-riscv-tests.bin b/bin/non-output/riscv-tests/lh-riscv-tests.bin new file mode 100755 index 0000000..9625062 Binary files /dev/null and b/bin/non-output/riscv-tests/lh-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lh-riscv-tests.elf b/bin/non-output/riscv-tests/lh-riscv-tests.elf new file mode 100755 index 0000000..02fd5b7 Binary files /dev/null and b/bin/non-output/riscv-tests/lh-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lh-riscv-tests.txt b/bin/non-output/riscv-tests/lh-riscv-tests.txt new file mode 100755 index 0000000..d035d80 --- /dev/null +++ b/bin/non-output/riscv-tests/lh-riscv-tests.txt @@ -0,0 +1,212 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lh-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 29008093 addi ra,ra,656 # 80000290 <_etext> + 80000008: 00009703 lh a4,0(ra) + 8000000c: 0ff00393 li t2,255 + 80000010: 00200193 li gp,2 + 80000014: 24771c63 bne a4,t2,8000026c + +0000000080000018 : + 80000018: 00000097 auipc ra,0x0 + 8000001c: 27808093 addi ra,ra,632 # 80000290 <_etext> + 80000020: 00209703 lh a4,2(ra) + 80000024: f0000393 li t2,-256 + 80000028: 00300193 li gp,3 + 8000002c: 24771063 bne a4,t2,8000026c + +0000000080000030 : + 80000030: 00000097 auipc ra,0x0 + 80000034: 26008093 addi ra,ra,608 # 80000290 <_etext> + 80000038: 00409703 lh a4,4(ra) + 8000003c: 000013b7 lui t2,0x1 + 80000040: ff03839b addiw t2,t2,-16 + 80000044: 00400193 li gp,4 + 80000048: 22771263 bne a4,t2,8000026c + +000000008000004c : + 8000004c: 00000097 auipc ra,0x0 + 80000050: 24408093 addi ra,ra,580 # 80000290 <_etext> + 80000054: 00609703 lh a4,6(ra) + 80000058: fffff3b7 lui t2,0xfffff + 8000005c: 00f3839b addiw t2,t2,15 + 80000060: 00500193 li gp,5 + 80000064: 20771463 bne a4,t2,8000026c + +0000000080000068 : + 80000068: 00000097 auipc ra,0x0 + 8000006c: 22e08093 addi ra,ra,558 # 80000296 + 80000070: ffa09703 lh a4,-6(ra) + 80000074: 0ff00393 li t2,255 + 80000078: 00600193 li gp,6 + 8000007c: 1e771863 bne a4,t2,8000026c + +0000000080000080 : + 80000080: 00000097 auipc ra,0x0 + 80000084: 21608093 addi ra,ra,534 # 80000296 + 80000088: ffc09703 lh a4,-4(ra) + 8000008c: f0000393 li t2,-256 + 80000090: 00700193 li gp,7 + 80000094: 1c771c63 bne a4,t2,8000026c + +0000000080000098 : + 80000098: 00000097 auipc ra,0x0 + 8000009c: 1fe08093 addi ra,ra,510 # 80000296 + 800000a0: ffe09703 lh a4,-2(ra) + 800000a4: 000013b7 lui t2,0x1 + 800000a8: ff03839b addiw t2,t2,-16 + 800000ac: 00800193 li gp,8 + 800000b0: 1a771e63 bne a4,t2,8000026c + +00000000800000b4 : + 800000b4: 00000097 auipc ra,0x0 + 800000b8: 1e208093 addi ra,ra,482 # 80000296 + 800000bc: 00009703 lh a4,0(ra) + 800000c0: fffff3b7 lui t2,0xfffff + 800000c4: 00f3839b addiw t2,t2,15 + 800000c8: 00900193 li gp,9 + 800000cc: 1a771063 bne a4,t2,8000026c + +00000000800000d0 : + 800000d0: 00000097 auipc ra,0x0 + 800000d4: 1c008093 addi ra,ra,448 # 80000290 <_etext> + 800000d8: fe008093 addi ra,ra,-32 + 800000dc: 02009283 lh t0,32(ra) + 800000e0: 0ff00393 li t2,255 + 800000e4: 00a00193 li gp,10 + 800000e8: 18729263 bne t0,t2,8000026c + +00000000800000ec : + 800000ec: 00000097 auipc ra,0x0 + 800000f0: 1a408093 addi ra,ra,420 # 80000290 <_etext> + 800000f4: ffb08093 addi ra,ra,-5 + 800000f8: 00709283 lh t0,7(ra) + 800000fc: f0000393 li t2,-256 + 80000100: 00b00193 li gp,11 + 80000104: 16729463 bne t0,t2,8000026c + +0000000080000108 : + 80000108: 00c00193 li gp,12 + 8000010c: 00000213 li tp,0 + 80000110: 00000097 auipc ra,0x0 + 80000114: 18208093 addi ra,ra,386 # 80000292 + 80000118: 00209703 lh a4,2(ra) + 8000011c: 00070313 mv t1,a4 + 80000120: 000013b7 lui t2,0x1 + 80000124: ff03839b addiw t2,t2,-16 + 80000128: 14731263 bne t1,t2,8000026c + 8000012c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000130: 00200293 li t0,2 + 80000134: fc521ee3 bne tp,t0,80000110 + +0000000080000138 : + 80000138: 00d00193 li gp,13 + 8000013c: 00000213 li tp,0 + 80000140: 00000097 auipc ra,0x0 + 80000144: 15408093 addi ra,ra,340 # 80000294 + 80000148: 00209703 lh a4,2(ra) + 8000014c: 00000013 nop + 80000150: 00070313 mv t1,a4 + 80000154: fffff3b7 lui t2,0xfffff + 80000158: 00f3839b addiw t2,t2,15 + 8000015c: 10731863 bne t1,t2,8000026c + 80000160: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000164: 00200293 li t0,2 + 80000168: fc521ce3 bne tp,t0,80000140 + +000000008000016c : + 8000016c: 00e00193 li gp,14 + 80000170: 00000213 li tp,0 + 80000174: 00000097 auipc ra,0x0 + 80000178: 11c08093 addi ra,ra,284 # 80000290 <_etext> + 8000017c: 00209703 lh a4,2(ra) + 80000180: 00000013 nop + 80000184: 00000013 nop + 80000188: 00070313 mv t1,a4 + 8000018c: f0000393 li t2,-256 + 80000190: 0c731e63 bne t1,t2,8000026c + 80000194: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000198: 00200293 li t0,2 + 8000019c: fc521ce3 bne tp,t0,80000174 + +00000000800001a0 : + 800001a0: 00f00193 li gp,15 + 800001a4: 00000213 li tp,0 + 800001a8: 00000097 auipc ra,0x0 + 800001ac: 0ea08093 addi ra,ra,234 # 80000292 + 800001b0: 00209703 lh a4,2(ra) + 800001b4: 000013b7 lui t2,0x1 + 800001b8: ff03839b addiw t2,t2,-16 + 800001bc: 0a771863 bne a4,t2,8000026c + 800001c0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001c4: 00200293 li t0,2 + 800001c8: fe5210e3 bne tp,t0,800001a8 + +00000000800001cc : + 800001cc: 01000193 li gp,16 + 800001d0: 00000213 li tp,0 + 800001d4: 00000097 auipc ra,0x0 + 800001d8: 0c008093 addi ra,ra,192 # 80000294 + 800001dc: 00000013 nop + 800001e0: 00209703 lh a4,2(ra) + 800001e4: fffff3b7 lui t2,0xfffff + 800001e8: 00f3839b addiw t2,t2,15 + 800001ec: 08771063 bne a4,t2,8000026c + 800001f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f4: 00200293 li t0,2 + 800001f8: fc521ee3 bne tp,t0,800001d4 + +00000000800001fc : + 800001fc: 01100193 li gp,17 + 80000200: 00000213 li tp,0 + 80000204: 00000097 auipc ra,0x0 + 80000208: 08c08093 addi ra,ra,140 # 80000290 <_etext> + 8000020c: 00000013 nop + 80000210: 00000013 nop + 80000214: 00209703 lh a4,2(ra) + 80000218: f0000393 li t2,-256 + 8000021c: 04771863 bne a4,t2,8000026c + 80000220: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000224: 00200293 li t0,2 + 80000228: fc521ee3 bne tp,t0,80000204 + +000000008000022c : + 8000022c: 00000297 auipc t0,0x0 + 80000230: 06428293 addi t0,t0,100 # 80000290 <_etext> + 80000234: 00029103 lh sp,0(t0) + 80000238: 00200113 li sp,2 + 8000023c: 00200393 li t2,2 + 80000240: 01200193 li gp,18 + 80000244: 02711463 bne sp,t2,8000026c + +0000000080000248 : + 80000248: 00000297 auipc t0,0x0 + 8000024c: 04828293 addi t0,t0,72 # 80000290 <_etext> + 80000250: 00029103 lh sp,0(t0) + 80000254: 00000013 nop + 80000258: 00200113 li sp,2 + 8000025c: 00200393 li t2,2 + 80000260: 01300193 li gp,19 + 80000264: 00711463 bne sp,t2,8000026c + 80000268: 00301663 bne zero,gp,80000274 + +000000008000026c : + 8000026c: 00100513 li a0,1 + 80000270: 0140006f j 80000284 + +0000000080000274 : + 80000274: 00000513 li a0,0 + 80000278: 00c0006f j 80000284 + 8000027c: c0001073 unimp + 80000280: 0000 unimp + ... + +0000000080000284 : + 80000284: 00050513 mv a0,a0 + 80000288: 0000006b 0x6b + 8000028c: 0000006f j 8000028c diff --git a/bin/non-output/riscv-tests/lhu-riscv-tests.bin b/bin/non-output/riscv-tests/lhu-riscv-tests.bin new file mode 100755 index 0000000..9f50497 Binary files /dev/null and b/bin/non-output/riscv-tests/lhu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lhu-riscv-tests.elf b/bin/non-output/riscv-tests/lhu-riscv-tests.elf new file mode 100755 index 0000000..36b3183 Binary files /dev/null and b/bin/non-output/riscv-tests/lhu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lhu-riscv-tests.txt b/bin/non-output/riscv-tests/lhu-riscv-tests.txt new file mode 100755 index 0000000..57531fa --- /dev/null +++ b/bin/non-output/riscv-tests/lhu-riscv-tests.txt @@ -0,0 +1,216 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lhu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 2d008093 addi ra,ra,720 # 800002d0 <_etext> + 80000008: 0000d703 lhu a4,0(ra) + 8000000c: 0ff00393 li t2,255 + 80000010: 00200193 li gp,2 + 80000014: 26771663 bne a4,t2,80000280 + +0000000080000018 : + 80000018: 00000097 auipc ra,0x0 + 8000001c: 2b808093 addi ra,ra,696 # 800002d0 <_etext> + 80000020: 0020d703 lhu a4,2(ra) + 80000024: 000103b7 lui t2,0x10 + 80000028: f003839b addiw t2,t2,-256 + 8000002c: 00300193 li gp,3 + 80000030: 24771863 bne a4,t2,80000280 + +0000000080000034 : + 80000034: 00000097 auipc ra,0x0 + 80000038: 29c08093 addi ra,ra,668 # 800002d0 <_etext> + 8000003c: 0040d703 lhu a4,4(ra) + 80000040: 000013b7 lui t2,0x1 + 80000044: ff03839b addiw t2,t2,-16 + 80000048: 00400193 li gp,4 + 8000004c: 22771a63 bne a4,t2,80000280 + +0000000080000050 : + 80000050: 00000097 auipc ra,0x0 + 80000054: 28008093 addi ra,ra,640 # 800002d0 <_etext> + 80000058: 0060d703 lhu a4,6(ra) + 8000005c: 0000f3b7 lui t2,0xf + 80000060: 00f3839b addiw t2,t2,15 + 80000064: 00500193 li gp,5 + 80000068: 20771c63 bne a4,t2,80000280 + +000000008000006c : + 8000006c: 00000097 auipc ra,0x0 + 80000070: 26a08093 addi ra,ra,618 # 800002d6 + 80000074: ffa0d703 lhu a4,-6(ra) + 80000078: 0ff00393 li t2,255 + 8000007c: 00600193 li gp,6 + 80000080: 20771063 bne a4,t2,80000280 + +0000000080000084 : + 80000084: 00000097 auipc ra,0x0 + 80000088: 25208093 addi ra,ra,594 # 800002d6 + 8000008c: ffc0d703 lhu a4,-4(ra) + 80000090: 000103b7 lui t2,0x10 + 80000094: f003839b addiw t2,t2,-256 + 80000098: 00700193 li gp,7 + 8000009c: 1e771263 bne a4,t2,80000280 + +00000000800000a0 : + 800000a0: 00000097 auipc ra,0x0 + 800000a4: 23608093 addi ra,ra,566 # 800002d6 + 800000a8: ffe0d703 lhu a4,-2(ra) + 800000ac: 000013b7 lui t2,0x1 + 800000b0: ff03839b addiw t2,t2,-16 + 800000b4: 00800193 li gp,8 + 800000b8: 1c771463 bne a4,t2,80000280 + +00000000800000bc : + 800000bc: 00000097 auipc ra,0x0 + 800000c0: 21a08093 addi ra,ra,538 # 800002d6 + 800000c4: 0000d703 lhu a4,0(ra) + 800000c8: 0000f3b7 lui t2,0xf + 800000cc: 00f3839b addiw t2,t2,15 + 800000d0: 00900193 li gp,9 + 800000d4: 1a771663 bne a4,t2,80000280 + +00000000800000d8 : + 800000d8: 00000097 auipc ra,0x0 + 800000dc: 1f808093 addi ra,ra,504 # 800002d0 <_etext> + 800000e0: fe008093 addi ra,ra,-32 + 800000e4: 0200d283 lhu t0,32(ra) + 800000e8: 0ff00393 li t2,255 + 800000ec: 00a00193 li gp,10 + 800000f0: 18729863 bne t0,t2,80000280 + +00000000800000f4 : + 800000f4: 00000097 auipc ra,0x0 + 800000f8: 1dc08093 addi ra,ra,476 # 800002d0 <_etext> + 800000fc: ffb08093 addi ra,ra,-5 + 80000100: 0070d283 lhu t0,7(ra) + 80000104: 000103b7 lui t2,0x10 + 80000108: f003839b addiw t2,t2,-256 + 8000010c: 00b00193 li gp,11 + 80000110: 16729863 bne t0,t2,80000280 + +0000000080000114 : + 80000114: 00c00193 li gp,12 + 80000118: 00000213 li tp,0 + 8000011c: 00000097 auipc ra,0x0 + 80000120: 1b608093 addi ra,ra,438 # 800002d2 + 80000124: 0020d703 lhu a4,2(ra) + 80000128: 00070313 mv t1,a4 + 8000012c: 000013b7 lui t2,0x1 + 80000130: ff03839b addiw t2,t2,-16 + 80000134: 14731663 bne t1,t2,80000280 + 80000138: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000013c: 00200293 li t0,2 + 80000140: fc521ee3 bne tp,t0,8000011c + +0000000080000144 : + 80000144: 00d00193 li gp,13 + 80000148: 00000213 li tp,0 + 8000014c: 00000097 auipc ra,0x0 + 80000150: 18808093 addi ra,ra,392 # 800002d4 + 80000154: 0020d703 lhu a4,2(ra) + 80000158: 00000013 nop + 8000015c: 00070313 mv t1,a4 + 80000160: 0000f3b7 lui t2,0xf + 80000164: 00f3839b addiw t2,t2,15 + 80000168: 10731c63 bne t1,t2,80000280 + 8000016c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000170: 00200293 li t0,2 + 80000174: fc521ce3 bne tp,t0,8000014c + +0000000080000178 : + 80000178: 00e00193 li gp,14 + 8000017c: 00000213 li tp,0 + 80000180: 00000097 auipc ra,0x0 + 80000184: 15008093 addi ra,ra,336 # 800002d0 <_etext> + 80000188: 0020d703 lhu a4,2(ra) + 8000018c: 00000013 nop + 80000190: 00000013 nop + 80000194: 00070313 mv t1,a4 + 80000198: 000103b7 lui t2,0x10 + 8000019c: f003839b addiw t2,t2,-256 + 800001a0: 0e731063 bne t1,t2,80000280 + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fc521ae3 bne tp,t0,80000180 + +00000000800001b0 : + 800001b0: 00f00193 li gp,15 + 800001b4: 00000213 li tp,0 + 800001b8: 00000097 auipc ra,0x0 + 800001bc: 11a08093 addi ra,ra,282 # 800002d2 + 800001c0: 0020d703 lhu a4,2(ra) + 800001c4: 000013b7 lui t2,0x1 + 800001c8: ff03839b addiw t2,t2,-16 + 800001cc: 0a771a63 bne a4,t2,80000280 + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5210e3 bne tp,t0,800001b8 + +00000000800001dc : + 800001dc: 01000193 li gp,16 + 800001e0: 00000213 li tp,0 + 800001e4: 00000097 auipc ra,0x0 + 800001e8: 0f008093 addi ra,ra,240 # 800002d4 + 800001ec: 00000013 nop + 800001f0: 0020d703 lhu a4,2(ra) + 800001f4: 0000f3b7 lui t2,0xf + 800001f8: 00f3839b addiw t2,t2,15 + 800001fc: 08771263 bne a4,t2,80000280 + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fc521ee3 bne tp,t0,800001e4 + +000000008000020c : + 8000020c: 01100193 li gp,17 + 80000210: 00000213 li tp,0 + 80000214: 00000097 auipc ra,0x0 + 80000218: 0bc08093 addi ra,ra,188 # 800002d0 <_etext> + 8000021c: 00000013 nop + 80000220: 00000013 nop + 80000224: 0020d703 lhu a4,2(ra) + 80000228: 000103b7 lui t2,0x10 + 8000022c: f003839b addiw t2,t2,-256 + 80000230: 04771863 bne a4,t2,80000280 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fc521ce3 bne tp,t0,80000214 + +0000000080000240 : + 80000240: 00000297 auipc t0,0x0 + 80000244: 09028293 addi t0,t0,144 # 800002d0 <_etext> + 80000248: 0002d103 lhu sp,0(t0) + 8000024c: 00200113 li sp,2 + 80000250: 00200393 li t2,2 + 80000254: 01200193 li gp,18 + 80000258: 02711463 bne sp,t2,80000280 + +000000008000025c : + 8000025c: 00000297 auipc t0,0x0 + 80000260: 07428293 addi t0,t0,116 # 800002d0 <_etext> + 80000264: 0002d103 lhu sp,0(t0) + 80000268: 00000013 nop + 8000026c: 00200113 li sp,2 + 80000270: 00200393 li t2,2 + 80000274: 01300193 li gp,19 + 80000278: 00711463 bne sp,t2,80000280 + 8000027c: 00301663 bne zero,gp,80000288 + +0000000080000280 : + 80000280: 00100513 li a0,1 + 80000284: 0400006f j 800002c4 + +0000000080000288 : + 80000288: 00000513 li a0,0 + 8000028c: 0380006f j 800002c4 + 80000290: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/lui-riscv-tests.bin b/bin/non-output/riscv-tests/lui-riscv-tests.bin new file mode 100755 index 0000000..109777c Binary files /dev/null and b/bin/non-output/riscv-tests/lui-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lui-riscv-tests.elf b/bin/non-output/riscv-tests/lui-riscv-tests.elf new file mode 100755 index 0000000..914453f Binary files /dev/null and b/bin/non-output/riscv-tests/lui-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lui-riscv-tests.txt b/bin/non-output/riscv-tests/lui-riscv-tests.txt new file mode 100755 index 0000000..34a3484 --- /dev/null +++ b/bin/non-output/riscv-tests/lui-riscv-tests.txt @@ -0,0 +1,54 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lui-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 000000b7 lui ra,0x0 + 80000004: 00000393 li t2,0 + 80000008: 00200193 li gp,2 + 8000000c: 04709a63 bne ra,t2,80000060 + +0000000080000010 : + 80000010: fffff0b7 lui ra,0xfffff + 80000014: 4010d093 srai ra,ra,0x1 + 80000018: 80000393 li t2,-2048 + 8000001c: 00300193 li gp,3 + 80000020: 04709063 bne ra,t2,80000060 + +0000000080000024 : + 80000024: 7ffff0b7 lui ra,0x7ffff + 80000028: 4140d093 srai ra,ra,0x14 + 8000002c: 7ff00393 li t2,2047 + 80000030: 00400193 li gp,4 + 80000034: 02709663 bne ra,t2,80000060 + +0000000080000038 : + 80000038: 800000b7 lui ra,0x80000 + 8000003c: 4140d093 srai ra,ra,0x14 + 80000040: 80000393 li t2,-2048 + 80000044: 00500193 li gp,5 + 80000048: 00709c63 bne ra,t2,80000060 + +000000008000004c : + 8000004c: 80000037 lui zero,0x80000 + 80000050: 00000393 li t2,0 + 80000054: 00600193 li gp,6 + 80000058: 00701463 bne zero,t2,80000060 + 8000005c: 00301663 bne zero,gp,80000068 + +0000000080000060 : + 80000060: 00100513 li a0,1 + 80000064: 0200006f j 80000084 + +0000000080000068 : + 80000068: 00000513 li a0,0 + 8000006c: 0180006f j 80000084 + 80000070: c0001073 unimp + ... + +0000000080000084 : + 80000084: 00050513 mv a0,a0 + 80000088: 0000006b 0x6b + 8000008c: 0000006f j 8000008c diff --git a/bin/non-output/riscv-tests/lw-riscv-tests.bin b/bin/non-output/riscv-tests/lw-riscv-tests.bin new file mode 100755 index 0000000..d341eda Binary files /dev/null and b/bin/non-output/riscv-tests/lw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lw-riscv-tests.elf b/bin/non-output/riscv-tests/lw-riscv-tests.elf new file mode 100755 index 0000000..6e7cda9 Binary files /dev/null and b/bin/non-output/riscv-tests/lw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lw-riscv-tests.txt b/bin/non-output/riscv-tests/lw-riscv-tests.txt new file mode 100755 index 0000000..be0d1fa --- /dev/null +++ b/bin/non-output/riscv-tests/lw-riscv-tests.txt @@ -0,0 +1,219 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 2d008093 addi ra,ra,720 # 800002d0 <_etext> + 80000008: 0000a703 lw a4,0(ra) + 8000000c: 00ff03b7 lui t2,0xff0 + 80000010: 0ff3839b addiw t2,t2,255 + 80000014: 00200193 li gp,2 + 80000018: 26771a63 bne a4,t2,8000028c + +000000008000001c : + 8000001c: 00000097 auipc ra,0x0 + 80000020: 2b408093 addi ra,ra,692 # 800002d0 <_etext> + 80000024: 0040a703 lw a4,4(ra) + 80000028: ff0103b7 lui t2,0xff010 + 8000002c: f003839b addiw t2,t2,-256 + 80000030: 00300193 li gp,3 + 80000034: 24771c63 bne a4,t2,8000028c + +0000000080000038 : + 80000038: 00000097 auipc ra,0x0 + 8000003c: 29808093 addi ra,ra,664 # 800002d0 <_etext> + 80000040: 0080a703 lw a4,8(ra) + 80000044: 0ff013b7 lui t2,0xff01 + 80000048: ff03839b addiw t2,t2,-16 + 8000004c: 00400193 li gp,4 + 80000050: 22771e63 bne a4,t2,8000028c + +0000000080000054 : + 80000054: 00000097 auipc ra,0x0 + 80000058: 27c08093 addi ra,ra,636 # 800002d0 <_etext> + 8000005c: 00c0a703 lw a4,12(ra) + 80000060: f00ff3b7 lui t2,0xf00ff + 80000064: 00f3839b addiw t2,t2,15 + 80000068: 00500193 li gp,5 + 8000006c: 22771063 bne a4,t2,8000028c + +0000000080000070 : + 80000070: 00000097 auipc ra,0x0 + 80000074: 26c08093 addi ra,ra,620 # 800002dc + 80000078: ff40a703 lw a4,-12(ra) + 8000007c: 00ff03b7 lui t2,0xff0 + 80000080: 0ff3839b addiw t2,t2,255 + 80000084: 00600193 li gp,6 + 80000088: 20771263 bne a4,t2,8000028c + +000000008000008c : + 8000008c: 00000097 auipc ra,0x0 + 80000090: 25008093 addi ra,ra,592 # 800002dc + 80000094: ff80a703 lw a4,-8(ra) + 80000098: ff0103b7 lui t2,0xff010 + 8000009c: f003839b addiw t2,t2,-256 + 800000a0: 00700193 li gp,7 + 800000a4: 1e771463 bne a4,t2,8000028c + +00000000800000a8 : + 800000a8: 00000097 auipc ra,0x0 + 800000ac: 23408093 addi ra,ra,564 # 800002dc + 800000b0: ffc0a703 lw a4,-4(ra) + 800000b4: 0ff013b7 lui t2,0xff01 + 800000b8: ff03839b addiw t2,t2,-16 + 800000bc: 00800193 li gp,8 + 800000c0: 1c771663 bne a4,t2,8000028c + +00000000800000c4 : + 800000c4: 00000097 auipc ra,0x0 + 800000c8: 21808093 addi ra,ra,536 # 800002dc + 800000cc: 0000a703 lw a4,0(ra) + 800000d0: f00ff3b7 lui t2,0xf00ff + 800000d4: 00f3839b addiw t2,t2,15 + 800000d8: 00900193 li gp,9 + 800000dc: 1a771863 bne a4,t2,8000028c + +00000000800000e0 : + 800000e0: 00000097 auipc ra,0x0 + 800000e4: 1f008093 addi ra,ra,496 # 800002d0 <_etext> + 800000e8: fe008093 addi ra,ra,-32 + 800000ec: 0200a283 lw t0,32(ra) + 800000f0: 00ff03b7 lui t2,0xff0 + 800000f4: 0ff3839b addiw t2,t2,255 + 800000f8: 00a00193 li gp,10 + 800000fc: 18729863 bne t0,t2,8000028c + +0000000080000100 : + 80000100: 00000097 auipc ra,0x0 + 80000104: 1d008093 addi ra,ra,464 # 800002d0 <_etext> + 80000108: ffd08093 addi ra,ra,-3 + 8000010c: 0070a283 lw t0,7(ra) + 80000110: ff0103b7 lui t2,0xff010 + 80000114: f003839b addiw t2,t2,-256 + 80000118: 00b00193 li gp,11 + 8000011c: 16729863 bne t0,t2,8000028c + +0000000080000120 : + 80000120: 00c00193 li gp,12 + 80000124: 00000213 li tp,0 + 80000128: 00000097 auipc ra,0x0 + 8000012c: 1ac08093 addi ra,ra,428 # 800002d4 + 80000130: 0040a703 lw a4,4(ra) + 80000134: 00070313 mv t1,a4 + 80000138: 0ff013b7 lui t2,0xff01 + 8000013c: ff03839b addiw t2,t2,-16 + 80000140: 14731663 bne t1,t2,8000028c + 80000144: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000148: 00200293 li t0,2 + 8000014c: fc521ee3 bne tp,t0,80000128 + +0000000080000150 : + 80000150: 00d00193 li gp,13 + 80000154: 00000213 li tp,0 + 80000158: 00000097 auipc ra,0x0 + 8000015c: 18008093 addi ra,ra,384 # 800002d8 + 80000160: 0040a703 lw a4,4(ra) + 80000164: 00000013 nop + 80000168: 00070313 mv t1,a4 + 8000016c: f00ff3b7 lui t2,0xf00ff + 80000170: 00f3839b addiw t2,t2,15 + 80000174: 10731c63 bne t1,t2,8000028c + 80000178: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000017c: 00200293 li t0,2 + 80000180: fc521ce3 bne tp,t0,80000158 + +0000000080000184 : + 80000184: 00e00193 li gp,14 + 80000188: 00000213 li tp,0 + 8000018c: 00000097 auipc ra,0x0 + 80000190: 14408093 addi ra,ra,324 # 800002d0 <_etext> + 80000194: 0040a703 lw a4,4(ra) + 80000198: 00000013 nop + 8000019c: 00000013 nop + 800001a0: 00070313 mv t1,a4 + 800001a4: ff0103b7 lui t2,0xff010 + 800001a8: f003839b addiw t2,t2,-256 + 800001ac: 0e731063 bne t1,t2,8000028c + 800001b0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001b4: 00200293 li t0,2 + 800001b8: fc521ae3 bne tp,t0,8000018c + +00000000800001bc : + 800001bc: 00f00193 li gp,15 + 800001c0: 00000213 li tp,0 + 800001c4: 00000097 auipc ra,0x0 + 800001c8: 11008093 addi ra,ra,272 # 800002d4 + 800001cc: 0040a703 lw a4,4(ra) + 800001d0: 0ff013b7 lui t2,0xff01 + 800001d4: ff03839b addiw t2,t2,-16 + 800001d8: 0a771a63 bne a4,t2,8000028c + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5210e3 bne tp,t0,800001c4 + +00000000800001e8 : + 800001e8: 01000193 li gp,16 + 800001ec: 00000213 li tp,0 + 800001f0: 00000097 auipc ra,0x0 + 800001f4: 0e808093 addi ra,ra,232 # 800002d8 + 800001f8: 00000013 nop + 800001fc: 0040a703 lw a4,4(ra) + 80000200: f00ff3b7 lui t2,0xf00ff + 80000204: 00f3839b addiw t2,t2,15 + 80000208: 08771263 bne a4,t2,8000028c + 8000020c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000210: 00200293 li t0,2 + 80000214: fc521ee3 bne tp,t0,800001f0 + +0000000080000218 : + 80000218: 01100193 li gp,17 + 8000021c: 00000213 li tp,0 + 80000220: 00000097 auipc ra,0x0 + 80000224: 0b008093 addi ra,ra,176 # 800002d0 <_etext> + 80000228: 00000013 nop + 8000022c: 00000013 nop + 80000230: 0040a703 lw a4,4(ra) + 80000234: ff0103b7 lui t2,0xff010 + 80000238: f003839b addiw t2,t2,-256 + 8000023c: 04771863 bne a4,t2,8000028c + 80000240: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000244: 00200293 li t0,2 + 80000248: fc521ce3 bne tp,t0,80000220 + +000000008000024c : + 8000024c: 00000297 auipc t0,0x0 + 80000250: 08428293 addi t0,t0,132 # 800002d0 <_etext> + 80000254: 0002a103 lw sp,0(t0) + 80000258: 00200113 li sp,2 + 8000025c: 00200393 li t2,2 + 80000260: 01200193 li gp,18 + 80000264: 02711463 bne sp,t2,8000028c + +0000000080000268 : + 80000268: 00000297 auipc t0,0x0 + 8000026c: 06828293 addi t0,t0,104 # 800002d0 <_etext> + 80000270: 0002a103 lw sp,0(t0) + 80000274: 00000013 nop + 80000278: 00200113 li sp,2 + 8000027c: 00200393 li t2,2 + 80000280: 01300193 li gp,19 + 80000284: 00711463 bne sp,t2,8000028c + 80000288: 00301663 bne zero,gp,80000294 + +000000008000028c : + 8000028c: 00100513 li a0,1 + 80000290: 0340006f j 800002c4 + +0000000080000294 : + 80000294: 00000513 li a0,0 + 80000298: 02c0006f j 800002c4 + 8000029c: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/lwu-riscv-tests.bin b/bin/non-output/riscv-tests/lwu-riscv-tests.bin new file mode 100755 index 0000000..575b2fc Binary files /dev/null and b/bin/non-output/riscv-tests/lwu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/lwu-riscv-tests.elf b/bin/non-output/riscv-tests/lwu-riscv-tests.elf new file mode 100755 index 0000000..840eed3 Binary files /dev/null and b/bin/non-output/riscv-tests/lwu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/lwu-riscv-tests.txt b/bin/non-output/riscv-tests/lwu-riscv-tests.txt new file mode 100755 index 0000000..76e9451 --- /dev/null +++ b/bin/non-output/riscv-tests/lwu-riscv-tests.txt @@ -0,0 +1,237 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/lwu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 31008093 addi ra,ra,784 # 80000310 <_etext> + 80000008: 0000e703 lwu a4,0(ra) + 8000000c: 00ff03b7 lui t2,0xff0 + 80000010: 0ff3839b addiw t2,t2,255 + 80000014: 00200193 li gp,2 + 80000018: 2a771e63 bne a4,t2,800002d4 + +000000008000001c : + 8000001c: 00000097 auipc ra,0x0 + 80000020: 2f408093 addi ra,ra,756 # 80000310 <_etext> + 80000024: 0040e703 lwu a4,4(ra) + 80000028: 000103b7 lui t2,0x10 + 8000002c: f013839b addiw t2,t2,-255 + 80000030: 01039393 slli t2,t2,0x10 + 80000034: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000038: 00300193 li gp,3 + 8000003c: 28771c63 bne a4,t2,800002d4 + +0000000080000040 : + 80000040: 00000097 auipc ra,0x0 + 80000044: 2d008093 addi ra,ra,720 # 80000310 <_etext> + 80000048: 0080e703 lwu a4,8(ra) + 8000004c: 0ff013b7 lui t2,0xff01 + 80000050: ff03839b addiw t2,t2,-16 + 80000054: 00400193 li gp,4 + 80000058: 26771e63 bne a4,t2,800002d4 + +000000008000005c : + 8000005c: 00000097 auipc ra,0x0 + 80000060: 2b408093 addi ra,ra,692 # 80000310 <_etext> + 80000064: 00c0e703 lwu a4,12(ra) + 80000068: 000f03b7 lui t2,0xf0 + 8000006c: 0ff3839b addiw t2,t2,255 + 80000070: 00c39393 slli t2,t2,0xc + 80000074: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000078: 00500193 li gp,5 + 8000007c: 24771c63 bne a4,t2,800002d4 + +0000000080000080 : + 80000080: 00000097 auipc ra,0x0 + 80000084: 29c08093 addi ra,ra,668 # 8000031c + 80000088: ff40e703 lwu a4,-12(ra) + 8000008c: 00ff03b7 lui t2,0xff0 + 80000090: 0ff3839b addiw t2,t2,255 + 80000094: 00600193 li gp,6 + 80000098: 22771e63 bne a4,t2,800002d4 + +000000008000009c : + 8000009c: 00000097 auipc ra,0x0 + 800000a0: 28008093 addi ra,ra,640 # 8000031c + 800000a4: ff80e703 lwu a4,-8(ra) + 800000a8: 000103b7 lui t2,0x10 + 800000ac: f013839b addiw t2,t2,-255 + 800000b0: 01039393 slli t2,t2,0x10 + 800000b4: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 800000b8: 00700193 li gp,7 + 800000bc: 20771c63 bne a4,t2,800002d4 + +00000000800000c0 : + 800000c0: 00000097 auipc ra,0x0 + 800000c4: 25c08093 addi ra,ra,604 # 8000031c + 800000c8: ffc0e703 lwu a4,-4(ra) + 800000cc: 0ff013b7 lui t2,0xff01 + 800000d0: ff03839b addiw t2,t2,-16 + 800000d4: 00800193 li gp,8 + 800000d8: 1e771e63 bne a4,t2,800002d4 + +00000000800000dc : + 800000dc: 00000097 auipc ra,0x0 + 800000e0: 24008093 addi ra,ra,576 # 8000031c + 800000e4: 0000e703 lwu a4,0(ra) + 800000e8: 000f03b7 lui t2,0xf0 + 800000ec: 0ff3839b addiw t2,t2,255 + 800000f0: 00c39393 slli t2,t2,0xc + 800000f4: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 800000f8: 00900193 li gp,9 + 800000fc: 1c771c63 bne a4,t2,800002d4 + +0000000080000100 : + 80000100: 00000097 auipc ra,0x0 + 80000104: 21008093 addi ra,ra,528 # 80000310 <_etext> + 80000108: fe008093 addi ra,ra,-32 + 8000010c: 0200e283 lwu t0,32(ra) + 80000110: 00ff03b7 lui t2,0xff0 + 80000114: 0ff3839b addiw t2,t2,255 + 80000118: 00a00193 li gp,10 + 8000011c: 1a729c63 bne t0,t2,800002d4 + +0000000080000120 : + 80000120: 00000097 auipc ra,0x0 + 80000124: 1f008093 addi ra,ra,496 # 80000310 <_etext> + 80000128: ffd08093 addi ra,ra,-3 + 8000012c: 0070e283 lwu t0,7(ra) + 80000130: 000103b7 lui t2,0x10 + 80000134: f013839b addiw t2,t2,-255 + 80000138: 01039393 slli t2,t2,0x10 + 8000013c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000140: 00b00193 li gp,11 + 80000144: 18729863 bne t0,t2,800002d4 + +0000000080000148 : + 80000148: 00c00193 li gp,12 + 8000014c: 00000213 li tp,0 + 80000150: 00000097 auipc ra,0x0 + 80000154: 1c408093 addi ra,ra,452 # 80000314 + 80000158: 0040e703 lwu a4,4(ra) + 8000015c: 00070313 mv t1,a4 + 80000160: 0ff013b7 lui t2,0xff01 + 80000164: ff03839b addiw t2,t2,-16 + 80000168: 16731663 bne t1,t2,800002d4 + 8000016c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000170: 00200293 li t0,2 + 80000174: fc521ee3 bne tp,t0,80000150 + +0000000080000178 : + 80000178: 00d00193 li gp,13 + 8000017c: 00000213 li tp,0 + 80000180: 00000097 auipc ra,0x0 + 80000184: 19808093 addi ra,ra,408 # 80000318 + 80000188: 0040e703 lwu a4,4(ra) + 8000018c: 00000013 nop + 80000190: 00070313 mv t1,a4 + 80000194: 000f03b7 lui t2,0xf0 + 80000198: 0ff3839b addiw t2,t2,255 + 8000019c: 00c39393 slli t2,t2,0xc + 800001a0: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 800001a4: 12731863 bne t1,t2,800002d4 + 800001a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001ac: 00200293 li t0,2 + 800001b0: fc5218e3 bne tp,t0,80000180 + +00000000800001b4 : + 800001b4: 00e00193 li gp,14 + 800001b8: 00000213 li tp,0 + 800001bc: 00000097 auipc ra,0x0 + 800001c0: 15408093 addi ra,ra,340 # 80000310 <_etext> + 800001c4: 0040e703 lwu a4,4(ra) + 800001c8: 00000013 nop + 800001cc: 00000013 nop + 800001d0: 00070313 mv t1,a4 + 800001d4: 000103b7 lui t2,0x10 + 800001d8: f013839b addiw t2,t2,-255 + 800001dc: 01039393 slli t2,t2,0x10 + 800001e0: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 800001e4: 0e731863 bne t1,t2,800002d4 + 800001e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001ec: 00200293 li t0,2 + 800001f0: fc5216e3 bne tp,t0,800001bc + +00000000800001f4 : + 800001f4: 00f00193 li gp,15 + 800001f8: 00000213 li tp,0 + 800001fc: 00000097 auipc ra,0x0 + 80000200: 11808093 addi ra,ra,280 # 80000314 + 80000204: 0040e703 lwu a4,4(ra) + 80000208: 0ff013b7 lui t2,0xff01 + 8000020c: ff03839b addiw t2,t2,-16 + 80000210: 0c771263 bne a4,t2,800002d4 + 80000214: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000218: 00200293 li t0,2 + 8000021c: fe5210e3 bne tp,t0,800001fc + +0000000080000220 : + 80000220: 01000193 li gp,16 + 80000224: 00000213 li tp,0 + 80000228: 00000097 auipc ra,0x0 + 8000022c: 0f008093 addi ra,ra,240 # 80000318 + 80000230: 00000013 nop + 80000234: 0040e703 lwu a4,4(ra) + 80000238: 000f03b7 lui t2,0xf0 + 8000023c: 0ff3839b addiw t2,t2,255 + 80000240: 00c39393 slli t2,t2,0xc + 80000244: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000248: 08771663 bne a4,t2,800002d4 + 8000024c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000250: 00200293 li t0,2 + 80000254: fc521ae3 bne tp,t0,80000228 + +0000000080000258 : + 80000258: 01100193 li gp,17 + 8000025c: 00000213 li tp,0 + 80000260: 00000097 auipc ra,0x0 + 80000264: 0b008093 addi ra,ra,176 # 80000310 <_etext> + 80000268: 00000013 nop + 8000026c: 00000013 nop + 80000270: 0040e703 lwu a4,4(ra) + 80000274: 000103b7 lui t2,0x10 + 80000278: f013839b addiw t2,t2,-255 + 8000027c: 01039393 slli t2,t2,0x10 + 80000280: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000284: 04771863 bne a4,t2,800002d4 + 80000288: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000028c: 00200293 li t0,2 + 80000290: fc5218e3 bne tp,t0,80000260 + +0000000080000294 : + 80000294: 00000297 auipc t0,0x0 + 80000298: 07c28293 addi t0,t0,124 # 80000310 <_etext> + 8000029c: 0002e103 lwu sp,0(t0) + 800002a0: 00200113 li sp,2 + 800002a4: 00200393 li t2,2 + 800002a8: 01200193 li gp,18 + 800002ac: 02711463 bne sp,t2,800002d4 + +00000000800002b0 : + 800002b0: 00000297 auipc t0,0x0 + 800002b4: 06028293 addi t0,t0,96 # 80000310 <_etext> + 800002b8: 0002e103 lwu sp,0(t0) + 800002bc: 00000013 nop + 800002c0: 00200113 li sp,2 + 800002c4: 00200393 li t2,2 + 800002c8: 01300193 li gp,19 + 800002cc: 00711463 bne sp,t2,800002d4 + 800002d0: 00301663 bne zero,gp,800002dc + +00000000800002d4 : + 800002d4: 00100513 li a0,1 + 800002d8: 02c0006f j 80000304 + +00000000800002dc : + 800002dc: 00000513 li a0,0 + 800002e0: 0240006f j 80000304 + 800002e4: c0001073 unimp + ... + +0000000080000304 : + 80000304: 00050513 mv a0,a0 + 80000308: 0000006b 0x6b + 8000030c: 0000006f j 8000030c diff --git a/bin/non-output/riscv-tests/or-riscv-tests.bin b/bin/non-output/riscv-tests/or-riscv-tests.bin new file mode 100755 index 0000000..f6cf336 Binary files /dev/null and b/bin/non-output/riscv-tests/or-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/or-riscv-tests.elf b/bin/non-output/riscv-tests/or-riscv-tests.elf new file mode 100755 index 0000000..8321aed Binary files /dev/null and b/bin/non-output/riscv-tests/or-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/or-riscv-tests.txt b/bin/non-output/riscv-tests/or-riscv-tests.txt new file mode 100755 index 0000000..551a7a6 --- /dev/null +++ b/bin/non-output/riscv-tests/or-riscv-tests.txt @@ -0,0 +1,447 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/or-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 000100b7 lui ra,0x10 + 80000004: f010809b addiw ra,ra,-255 + 80000008: 01009093 slli ra,ra,0x10 + 8000000c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000010: 0f0f1137 lui sp,0xf0f1 + 80000014: f0f1011b addiw sp,sp,-241 + 80000018: 0020e733 or a4,ra,sp + 8000001c: 000013b7 lui t2,0x1 + 80000020: ff13839b addiw t2,t2,-15 + 80000024: 01439393 slli t2,t2,0x14 + 80000028: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 8000002c: 00200193 li gp,2 + 80000030: 5a771663 bne a4,t2,800005dc + +0000000080000034 : + 80000034: 0ff010b7 lui ra,0xff01 + 80000038: ff00809b addiw ra,ra,-16 + 8000003c: 000f1137 lui sp,0xf1 + 80000040: f0f1011b addiw sp,sp,-241 + 80000044: 00c11113 slli sp,sp,0xc + 80000048: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000004c: 0020e733 or a4,ra,sp + 80000050: 000103b7 lui t2,0x10 + 80000054: ff13839b addiw t2,t2,-15 + 80000058: 01039393 slli t2,t2,0x10 + 8000005c: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 80000060: 00300193 li gp,3 + 80000064: 56771c63 bne a4,t2,800005dc + +0000000080000068 : + 80000068: 00ff00b7 lui ra,0xff0 + 8000006c: 0ff0809b addiw ra,ra,255 + 80000070: 0f0f1137 lui sp,0xf0f1 + 80000074: f0f1011b addiw sp,sp,-241 + 80000078: 0020e733 or a4,ra,sp + 8000007c: 0fff13b7 lui t2,0xfff1 + 80000080: fff3839b addiw t2,t2,-1 + 80000084: 00400193 li gp,4 + 80000088: 54771a63 bne a4,t2,800005dc + +000000008000008c : + 8000008c: 000f00b7 lui ra,0xf0 + 80000090: 0ff0809b addiw ra,ra,255 + 80000094: 00c09093 slli ra,ra,0xc + 80000098: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 8000009c: 000f1137 lui sp,0xf1 + 800000a0: f0f1011b addiw sp,sp,-241 + 800000a4: 00c11113 slli sp,sp,0xc + 800000a8: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800000ac: 0020e733 or a4,ra,sp + 800000b0: 000f13b7 lui t2,0xf1 + 800000b4: fff3839b addiw t2,t2,-1 + 800000b8: 00c39393 slli t2,t2,0xc + 800000bc: 0ff38393 addi t2,t2,255 # f10ff <_entry_offset+0xf10ff> + 800000c0: 00500193 li gp,5 + 800000c4: 50771c63 bne a4,t2,800005dc + +00000000800000c8 : + 800000c8: 000100b7 lui ra,0x10 + 800000cc: f010809b addiw ra,ra,-255 + 800000d0: 01009093 slli ra,ra,0x10 + 800000d4: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800000d8: 0f0f1137 lui sp,0xf0f1 + 800000dc: f0f1011b addiw sp,sp,-241 + 800000e0: 0020e0b3 or ra,ra,sp + 800000e4: 000013b7 lui t2,0x1 + 800000e8: ff13839b addiw t2,t2,-15 + 800000ec: 01439393 slli t2,t2,0x14 + 800000f0: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 800000f4: 00600193 li gp,6 + 800000f8: 4e709263 bne ra,t2,800005dc + +00000000800000fc : + 800000fc: 000100b7 lui ra,0x10 + 80000100: f010809b addiw ra,ra,-255 + 80000104: 01009093 slli ra,ra,0x10 + 80000108: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000010c: 0f0f1137 lui sp,0xf0f1 + 80000110: f0f1011b addiw sp,sp,-241 + 80000114: 0020e133 or sp,ra,sp + 80000118: 000013b7 lui t2,0x1 + 8000011c: ff13839b addiw t2,t2,-15 + 80000120: 01439393 slli t2,t2,0x14 + 80000124: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 80000128: 00700193 li gp,7 + 8000012c: 4a711863 bne sp,t2,800005dc + +0000000080000130 : + 80000130: 000100b7 lui ra,0x10 + 80000134: f010809b addiw ra,ra,-255 + 80000138: 01009093 slli ra,ra,0x10 + 8000013c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000140: 0010e0b3 or ra,ra,ra + 80000144: 000103b7 lui t2,0x10 + 80000148: f013839b addiw t2,t2,-255 + 8000014c: 01039393 slli t2,t2,0x10 + 80000150: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000154: 00800193 li gp,8 + 80000158: 48709263 bne ra,t2,800005dc + +000000008000015c : + 8000015c: 00000213 li tp,0 + 80000160: 000100b7 lui ra,0x10 + 80000164: f010809b addiw ra,ra,-255 + 80000168: 01009093 slli ra,ra,0x10 + 8000016c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000170: 0f0f1137 lui sp,0xf0f1 + 80000174: f0f1011b addiw sp,sp,-241 + 80000178: 0020e733 or a4,ra,sp + 8000017c: 00070313 mv t1,a4 + 80000180: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000184: 00200293 li t0,2 + 80000188: fc521ce3 bne tp,t0,80000160 + 8000018c: 000013b7 lui t2,0x1 + 80000190: ff13839b addiw t2,t2,-15 + 80000194: 01439393 slli t2,t2,0x14 + 80000198: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 8000019c: 00900193 li gp,9 + 800001a0: 42731e63 bne t1,t2,800005dc + +00000000800001a4 : + 800001a4: 00000213 li tp,0 + 800001a8: 0ff010b7 lui ra,0xff01 + 800001ac: ff00809b addiw ra,ra,-16 + 800001b0: 000f1137 lui sp,0xf1 + 800001b4: f0f1011b addiw sp,sp,-241 + 800001b8: 00c11113 slli sp,sp,0xc + 800001bc: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800001c0: 0020e733 or a4,ra,sp + 800001c4: 00000013 nop + 800001c8: 00070313 mv t1,a4 + 800001cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d0: 00200293 li t0,2 + 800001d4: fc521ae3 bne tp,t0,800001a8 + 800001d8: 000103b7 lui t2,0x10 + 800001dc: ff13839b addiw t2,t2,-15 + 800001e0: 01039393 slli t2,t2,0x10 + 800001e4: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 800001e8: 00a00193 li gp,10 + 800001ec: 3e731863 bne t1,t2,800005dc + +00000000800001f0 : + 800001f0: 00000213 li tp,0 + 800001f4: 00ff00b7 lui ra,0xff0 + 800001f8: 0ff0809b addiw ra,ra,255 + 800001fc: 0f0f1137 lui sp,0xf0f1 + 80000200: f0f1011b addiw sp,sp,-241 + 80000204: 0020e733 or a4,ra,sp + 80000208: 00000013 nop + 8000020c: 00000013 nop + 80000210: 00070313 mv t1,a4 + 80000214: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000218: 00200293 li t0,2 + 8000021c: fc521ce3 bne tp,t0,800001f4 + 80000220: 0fff13b7 lui t2,0xfff1 + 80000224: fff3839b addiw t2,t2,-1 + 80000228: 00b00193 li gp,11 + 8000022c: 3a731863 bne t1,t2,800005dc + +0000000080000230 : + 80000230: 00000213 li tp,0 + 80000234: 000100b7 lui ra,0x10 + 80000238: f010809b addiw ra,ra,-255 + 8000023c: 01009093 slli ra,ra,0x10 + 80000240: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000244: 0f0f1137 lui sp,0xf0f1 + 80000248: f0f1011b addiw sp,sp,-241 + 8000024c: 0020e733 or a4,ra,sp + 80000250: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000254: 00200293 li t0,2 + 80000258: fc521ee3 bne tp,t0,80000234 + 8000025c: 000013b7 lui t2,0x1 + 80000260: ff13839b addiw t2,t2,-15 + 80000264: 01439393 slli t2,t2,0x14 + 80000268: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 8000026c: 00c00193 li gp,12 + 80000270: 36771663 bne a4,t2,800005dc + +0000000080000274 : + 80000274: 00000213 li tp,0 + 80000278: 0ff010b7 lui ra,0xff01 + 8000027c: ff00809b addiw ra,ra,-16 + 80000280: 000f1137 lui sp,0xf1 + 80000284: f0f1011b addiw sp,sp,-241 + 80000288: 00c11113 slli sp,sp,0xc + 8000028c: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000290: 00000013 nop + 80000294: 0020e733 or a4,ra,sp + 80000298: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000029c: 00200293 li t0,2 + 800002a0: fc521ce3 bne tp,t0,80000278 + 800002a4: 000103b7 lui t2,0x10 + 800002a8: ff13839b addiw t2,t2,-15 + 800002ac: 01039393 slli t2,t2,0x10 + 800002b0: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 800002b4: 00d00193 li gp,13 + 800002b8: 32771263 bne a4,t2,800005dc + +00000000800002bc : + 800002bc: 00000213 li tp,0 + 800002c0: 00ff00b7 lui ra,0xff0 + 800002c4: 0ff0809b addiw ra,ra,255 + 800002c8: 0f0f1137 lui sp,0xf0f1 + 800002cc: f0f1011b addiw sp,sp,-241 + 800002d0: 00000013 nop + 800002d4: 00000013 nop + 800002d8: 0020e733 or a4,ra,sp + 800002dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002e0: 00200293 li t0,2 + 800002e4: fc521ee3 bne tp,t0,800002c0 + 800002e8: 0fff13b7 lui t2,0xfff1 + 800002ec: fff3839b addiw t2,t2,-1 + 800002f0: 00e00193 li gp,14 + 800002f4: 2e771463 bne a4,t2,800005dc + +00000000800002f8 : + 800002f8: 00000213 li tp,0 + 800002fc: 000100b7 lui ra,0x10 + 80000300: f010809b addiw ra,ra,-255 + 80000304: 01009093 slli ra,ra,0x10 + 80000308: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000030c: 00000013 nop + 80000310: 0f0f1137 lui sp,0xf0f1 + 80000314: f0f1011b addiw sp,sp,-241 + 80000318: 0020e733 or a4,ra,sp + 8000031c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000320: 00200293 li t0,2 + 80000324: fc521ce3 bne tp,t0,800002fc + 80000328: 000013b7 lui t2,0x1 + 8000032c: ff13839b addiw t2,t2,-15 + 80000330: 01439393 slli t2,t2,0x14 + 80000334: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 80000338: 00f00193 li gp,15 + 8000033c: 2a771063 bne a4,t2,800005dc + +0000000080000340 : + 80000340: 00000213 li tp,0 + 80000344: 0ff010b7 lui ra,0xff01 + 80000348: ff00809b addiw ra,ra,-16 + 8000034c: 00000013 nop + 80000350: 000f1137 lui sp,0xf1 + 80000354: f0f1011b addiw sp,sp,-241 + 80000358: 00c11113 slli sp,sp,0xc + 8000035c: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000360: 00000013 nop + 80000364: 0020e733 or a4,ra,sp + 80000368: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000036c: 00200293 li t0,2 + 80000370: fc521ae3 bne tp,t0,80000344 + 80000374: 000103b7 lui t2,0x10 + 80000378: ff13839b addiw t2,t2,-15 + 8000037c: 01039393 slli t2,t2,0x10 + 80000380: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 80000384: 01000193 li gp,16 + 80000388: 24771a63 bne a4,t2,800005dc + +000000008000038c : + 8000038c: 00000213 li tp,0 + 80000390: 00ff00b7 lui ra,0xff0 + 80000394: 0ff0809b addiw ra,ra,255 + 80000398: 00000013 nop + 8000039c: 00000013 nop + 800003a0: 0f0f1137 lui sp,0xf0f1 + 800003a4: f0f1011b addiw sp,sp,-241 + 800003a8: 0020e733 or a4,ra,sp + 800003ac: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003b0: 00200293 li t0,2 + 800003b4: fc521ee3 bne tp,t0,80000390 + 800003b8: 0fff13b7 lui t2,0xfff1 + 800003bc: fff3839b addiw t2,t2,-1 + 800003c0: 01100193 li gp,17 + 800003c4: 20771c63 bne a4,t2,800005dc + +00000000800003c8 : + 800003c8: 00000213 li tp,0 + 800003cc: 0f0f1137 lui sp,0xf0f1 + 800003d0: f0f1011b addiw sp,sp,-241 + 800003d4: 000100b7 lui ra,0x10 + 800003d8: f010809b addiw ra,ra,-255 + 800003dc: 01009093 slli ra,ra,0x10 + 800003e0: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800003e4: 0020e733 or a4,ra,sp + 800003e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003ec: 00200293 li t0,2 + 800003f0: fc521ee3 bne tp,t0,800003cc + 800003f4: 000013b7 lui t2,0x1 + 800003f8: ff13839b addiw t2,t2,-15 + 800003fc: 01439393 slli t2,t2,0x14 + 80000400: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 80000404: 01200193 li gp,18 + 80000408: 1c771a63 bne a4,t2,800005dc + +000000008000040c : + 8000040c: 00000213 li tp,0 + 80000410: 000f1137 lui sp,0xf1 + 80000414: f0f1011b addiw sp,sp,-241 + 80000418: 00c11113 slli sp,sp,0xc + 8000041c: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 80000420: 0ff010b7 lui ra,0xff01 + 80000424: ff00809b addiw ra,ra,-16 + 80000428: 00000013 nop + 8000042c: 0020e733 or a4,ra,sp + 80000430: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000434: 00200293 li t0,2 + 80000438: fc521ce3 bne tp,t0,80000410 + 8000043c: 000103b7 lui t2,0x10 + 80000440: ff13839b addiw t2,t2,-15 + 80000444: 01039393 slli t2,t2,0x10 + 80000448: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 8000044c: 01300193 li gp,19 + 80000450: 18771663 bne a4,t2,800005dc + +0000000080000454 : + 80000454: 00000213 li tp,0 + 80000458: 0f0f1137 lui sp,0xf0f1 + 8000045c: f0f1011b addiw sp,sp,-241 + 80000460: 00ff00b7 lui ra,0xff0 + 80000464: 0ff0809b addiw ra,ra,255 + 80000468: 00000013 nop + 8000046c: 00000013 nop + 80000470: 0020e733 or a4,ra,sp + 80000474: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000478: 00200293 li t0,2 + 8000047c: fc521ee3 bne tp,t0,80000458 + 80000480: 0fff13b7 lui t2,0xfff1 + 80000484: fff3839b addiw t2,t2,-1 + 80000488: 01400193 li gp,20 + 8000048c: 14771863 bne a4,t2,800005dc + +0000000080000490 : + 80000490: 00000213 li tp,0 + 80000494: 0f0f1137 lui sp,0xf0f1 + 80000498: f0f1011b addiw sp,sp,-241 + 8000049c: 00000013 nop + 800004a0: 000100b7 lui ra,0x10 + 800004a4: f010809b addiw ra,ra,-255 + 800004a8: 01009093 slli ra,ra,0x10 + 800004ac: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800004b0: 0020e733 or a4,ra,sp + 800004b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004b8: 00200293 li t0,2 + 800004bc: fc521ce3 bne tp,t0,80000494 + 800004c0: 000013b7 lui t2,0x1 + 800004c4: ff13839b addiw t2,t2,-15 + 800004c8: 01439393 slli t2,t2,0x14 + 800004cc: f0f38393 addi t2,t2,-241 # f0f <_entry_offset+0xf0f> + 800004d0: 01500193 li gp,21 + 800004d4: 10771463 bne a4,t2,800005dc + +00000000800004d8 : + 800004d8: 00000213 li tp,0 + 800004dc: 000f1137 lui sp,0xf1 + 800004e0: f0f1011b addiw sp,sp,-241 + 800004e4: 00c11113 slli sp,sp,0xc + 800004e8: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800004ec: 00000013 nop + 800004f0: 0ff010b7 lui ra,0xff01 + 800004f4: ff00809b addiw ra,ra,-16 + 800004f8: 00000013 nop + 800004fc: 0020e733 or a4,ra,sp + 80000500: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000504: 00200293 li t0,2 + 80000508: fc521ae3 bne tp,t0,800004dc + 8000050c: 000103b7 lui t2,0x10 + 80000510: ff13839b addiw t2,t2,-15 + 80000514: 01039393 slli t2,t2,0x10 + 80000518: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 8000051c: 01600193 li gp,22 + 80000520: 0a771e63 bne a4,t2,800005dc + +0000000080000524 : + 80000524: 00000213 li tp,0 + 80000528: 0f0f1137 lui sp,0xf0f1 + 8000052c: f0f1011b addiw sp,sp,-241 + 80000530: 00000013 nop + 80000534: 00000013 nop + 80000538: 00ff00b7 lui ra,0xff0 + 8000053c: 0ff0809b addiw ra,ra,255 + 80000540: 0020e733 or a4,ra,sp + 80000544: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000548: 00200293 li t0,2 + 8000054c: fc521ee3 bne tp,t0,80000528 + 80000550: 0fff13b7 lui t2,0xfff1 + 80000554: fff3839b addiw t2,t2,-1 + 80000558: 01700193 li gp,23 + 8000055c: 08771063 bne a4,t2,800005dc + +0000000080000560 : + 80000560: 000100b7 lui ra,0x10 + 80000564: f010809b addiw ra,ra,-255 + 80000568: 01009093 slli ra,ra,0x10 + 8000056c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000570: 00106133 or sp,zero,ra + 80000574: 000103b7 lui t2,0x10 + 80000578: f013839b addiw t2,t2,-255 + 8000057c: 01039393 slli t2,t2,0x10 + 80000580: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000584: 01800193 li gp,24 + 80000588: 04711a63 bne sp,t2,800005dc + +000000008000058c : + 8000058c: 00ff00b7 lui ra,0xff0 + 80000590: 0ff0809b addiw ra,ra,255 + 80000594: 0000e133 or sp,ra,zero + 80000598: 00ff03b7 lui t2,0xff0 + 8000059c: 0ff3839b addiw t2,t2,255 + 800005a0: 01900193 li gp,25 + 800005a4: 02711c63 bne sp,t2,800005dc + +00000000800005a8 : + 800005a8: 000060b3 or ra,zero,zero + 800005ac: 00000393 li t2,0 + 800005b0: 01a00193 li gp,26 + 800005b4: 02709463 bne ra,t2,800005dc + +00000000800005b8 : + 800005b8: 111110b7 lui ra,0x11111 + 800005bc: 1110809b addiw ra,ra,273 + 800005c0: 22222137 lui sp,0x22222 + 800005c4: 2221011b addiw sp,sp,546 + 800005c8: 0020e033 or zero,ra,sp + 800005cc: 00000393 li t2,0 + 800005d0: 01b00193 li gp,27 + 800005d4: 00701463 bne zero,t2,800005dc + 800005d8: 00301663 bne zero,gp,800005e4 + +00000000800005dc : + 800005dc: 00100513 li a0,1 + 800005e0: 0240006f j 80000604 + +00000000800005e4 : + 800005e4: 00000513 li a0,0 + 800005e8: 01c0006f j 80000604 + 800005ec: c0001073 unimp + ... + +0000000080000604 : + 80000604: 00050513 mv a0,a0 + 80000608: 0000006b 0x6b + 8000060c: 0000006f j 8000060c diff --git a/bin/non-output/riscv-tests/ori-riscv-tests.bin b/bin/non-output/riscv-tests/ori-riscv-tests.bin new file mode 100755 index 0000000..057e52e Binary files /dev/null and b/bin/non-output/riscv-tests/ori-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/ori-riscv-tests.elf b/bin/non-output/riscv-tests/ori-riscv-tests.elf new file mode 100755 index 0000000..80619f9 Binary files /dev/null and b/bin/non-output/riscv-tests/ori-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/ori-riscv-tests.txt b/bin/non-output/riscv-tests/ori-riscv-tests.txt new file mode 100755 index 0000000..88887f0 --- /dev/null +++ b/bin/non-output/riscv-tests/ori-riscv-tests.txt @@ -0,0 +1,170 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/ori-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: ff0100b7 lui ra,0xff010 + 80000004: f000809b addiw ra,ra,-256 + 80000008: f0f0e713 ori a4,ra,-241 + 8000000c: f0f00393 li t2,-241 + 80000010: 00200193 li gp,2 + 80000014: 1c771c63 bne a4,t2,800001ec + +0000000080000018 : + 80000018: 0ff010b7 lui ra,0xff01 + 8000001c: ff00809b addiw ra,ra,-16 + 80000020: 0f00e713 ori a4,ra,240 + 80000024: 0ff013b7 lui t2,0xff01 + 80000028: ff03839b addiw t2,t2,-16 + 8000002c: 00300193 li gp,3 + 80000030: 1a771e63 bne a4,t2,800001ec + +0000000080000034 : + 80000034: 00ff00b7 lui ra,0xff0 + 80000038: 0ff0809b addiw ra,ra,255 + 8000003c: 70f0e713 ori a4,ra,1807 + 80000040: 00ff03b7 lui t2,0xff0 + 80000044: 7ff3839b addiw t2,t2,2047 + 80000048: 00400193 li gp,4 + 8000004c: 1a771063 bne a4,t2,800001ec + +0000000080000050 : + 80000050: f00ff0b7 lui ra,0xf00ff + 80000054: 00f0809b addiw ra,ra,15 + 80000058: 0f00e713 ori a4,ra,240 + 8000005c: f00ff3b7 lui t2,0xf00ff + 80000060: 0ff3839b addiw t2,t2,255 + 80000064: 00500193 li gp,5 + 80000068: 18771263 bne a4,t2,800001ec + +000000008000006c : + 8000006c: 000100b7 lui ra,0x10 + 80000070: f010809b addiw ra,ra,-255 + 80000074: 01009093 slli ra,ra,0x10 + 80000078: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000007c: 0f00e093 ori ra,ra,240 + 80000080: 000103b7 lui t2,0x10 + 80000084: f013839b addiw t2,t2,-255 + 80000088: 01039393 slli t2,t2,0x10 + 8000008c: ff038393 addi t2,t2,-16 # fff0 <_entry_offset+0xfff0> + 80000090: 00600193 li gp,6 + 80000094: 14709c63 bne ra,t2,800001ec + +0000000080000098 : + 80000098: 00000213 li tp,0 + 8000009c: 0ff010b7 lui ra,0xff01 + 800000a0: ff00809b addiw ra,ra,-16 + 800000a4: 0f00e713 ori a4,ra,240 + 800000a8: 00070313 mv t1,a4 + 800000ac: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000b0: 00200293 li t0,2 + 800000b4: fe5214e3 bne tp,t0,8000009c + 800000b8: 0ff013b7 lui t2,0xff01 + 800000bc: ff03839b addiw t2,t2,-16 + 800000c0: 00700193 li gp,7 + 800000c4: 12731463 bne t1,t2,800001ec + +00000000800000c8 : + 800000c8: 00000213 li tp,0 + 800000cc: 00ff00b7 lui ra,0xff0 + 800000d0: 0ff0809b addiw ra,ra,255 + 800000d4: 70f0e713 ori a4,ra,1807 + 800000d8: 00000013 nop + 800000dc: 00070313 mv t1,a4 + 800000e0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000e4: 00200293 li t0,2 + 800000e8: fe5212e3 bne tp,t0,800000cc + 800000ec: 00ff03b7 lui t2,0xff0 + 800000f0: 7ff3839b addiw t2,t2,2047 + 800000f4: 00800193 li gp,8 + 800000f8: 0e731a63 bne t1,t2,800001ec + +00000000800000fc : + 800000fc: 00000213 li tp,0 + 80000100: f00ff0b7 lui ra,0xf00ff + 80000104: 00f0809b addiw ra,ra,15 + 80000108: 0f00e713 ori a4,ra,240 + 8000010c: 00000013 nop + 80000110: 00000013 nop + 80000114: 00070313 mv t1,a4 + 80000118: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000011c: 00200293 li t0,2 + 80000120: fe5210e3 bne tp,t0,80000100 + 80000124: f00ff3b7 lui t2,0xf00ff + 80000128: 0ff3839b addiw t2,t2,255 + 8000012c: 00900193 li gp,9 + 80000130: 0a731e63 bne t1,t2,800001ec + +0000000080000134 : + 80000134: 00000213 li tp,0 + 80000138: 0ff010b7 lui ra,0xff01 + 8000013c: ff00809b addiw ra,ra,-16 + 80000140: 0f00e713 ori a4,ra,240 + 80000144: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000148: 00200293 li t0,2 + 8000014c: fe5216e3 bne tp,t0,80000138 + 80000150: 0ff013b7 lui t2,0xff01 + 80000154: ff03839b addiw t2,t2,-16 + 80000158: 00a00193 li gp,10 + 8000015c: 08771863 bne a4,t2,800001ec + +0000000080000160 : + 80000160: 00000213 li tp,0 + 80000164: 00ff00b7 lui ra,0xff0 + 80000168: 0ff0809b addiw ra,ra,255 + 8000016c: 00000013 nop + 80000170: f0f0e713 ori a4,ra,-241 + 80000174: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000178: 00200293 li t0,2 + 8000017c: fe5214e3 bne tp,t0,80000164 + 80000180: fff00393 li t2,-1 + 80000184: 00b00193 li gp,11 + 80000188: 06771263 bne a4,t2,800001ec + +000000008000018c : + 8000018c: 00000213 li tp,0 + 80000190: f00ff0b7 lui ra,0xf00ff + 80000194: 00f0809b addiw ra,ra,15 + 80000198: 00000013 nop + 8000019c: 00000013 nop + 800001a0: 0f00e713 ori a4,ra,240 + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5212e3 bne tp,t0,80000190 + 800001b0: f00ff3b7 lui t2,0xf00ff + 800001b4: 0ff3839b addiw t2,t2,255 + 800001b8: 00c00193 li gp,12 + 800001bc: 02771863 bne a4,t2,800001ec + +00000000800001c0 : + 800001c0: 0f006093 ori ra,zero,240 + 800001c4: 0f000393 li t2,240 + 800001c8: 00d00193 li gp,13 + 800001cc: 02709063 bne ra,t2,800001ec + +00000000800001d0 : + 800001d0: 00ff00b7 lui ra,0xff0 + 800001d4: 0ff0809b addiw ra,ra,255 + 800001d8: 70f0e013 ori zero,ra,1807 + 800001dc: 00000393 li t2,0 + 800001e0: 00e00193 li gp,14 + 800001e4: 00701463 bne zero,t2,800001ec + 800001e8: 00301663 bne zero,gp,800001f4 + +00000000800001ec : + 800001ec: 00100513 li a0,1 + 800001f0: 0140006f j 80000204 + +00000000800001f4 : + 800001f4: 00000513 li a0,0 + 800001f8: 00c0006f j 80000204 + 800001fc: c0001073 unimp + 80000200: 0000 unimp + ... + +0000000080000204 : + 80000204: 00050513 mv a0,a0 + 80000208: 0000006b 0x6b + 8000020c: 0000006f j 8000020c diff --git a/bin/non-output/riscv-tests/sb-riscv-tests.bin b/bin/non-output/riscv-tests/sb-riscv-tests.bin new file mode 100755 index 0000000..0578cfe Binary files /dev/null and b/bin/non-output/riscv-tests/sb-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sb-riscv-tests.elf b/bin/non-output/riscv-tests/sb-riscv-tests.elf new file mode 100755 index 0000000..9bd9906 Binary files /dev/null and b/bin/non-output/riscv-tests/sb-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sb-riscv-tests.txt b/bin/non-output/riscv-tests/sb-riscv-tests.txt new file mode 100755 index 0000000..4ba3cd1 --- /dev/null +++ b/bin/non-output/riscv-tests/sb-riscv-tests.txt @@ -0,0 +1,317 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sb-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 45008093 addi ra,ra,1104 # 80000450 <_etext> + 80000008: faa00113 li sp,-86 + 8000000c: 00208023 sb sp,0(ra) + 80000010: 00008703 lb a4,0(ra) + 80000014: faa00393 li t2,-86 + 80000018: 00200193 li gp,2 + 8000001c: 3c771c63 bne a4,t2,800003f4 + +0000000080000020 : + 80000020: 00000097 auipc ra,0x0 + 80000024: 43008093 addi ra,ra,1072 # 80000450 <_etext> + 80000028: 00000113 li sp,0 + 8000002c: 002080a3 sb sp,1(ra) + 80000030: 00108703 lb a4,1(ra) + 80000034: 00000393 li t2,0 + 80000038: 00300193 li gp,3 + 8000003c: 3a771c63 bne a4,t2,800003f4 + +0000000080000040 : + 80000040: 00000097 auipc ra,0x0 + 80000044: 41008093 addi ra,ra,1040 # 80000450 <_etext> + 80000048: fffff137 lui sp,0xfffff + 8000004c: fa01011b addiw sp,sp,-96 + 80000050: 00208123 sb sp,2(ra) + 80000054: 00209703 lh a4,2(ra) + 80000058: fffff3b7 lui t2,0xfffff + 8000005c: fa03839b addiw t2,t2,-96 + 80000060: 00400193 li gp,4 + 80000064: 38771863 bne a4,t2,800003f4 + +0000000080000068 : + 80000068: 00000097 auipc ra,0x0 + 8000006c: 3e808093 addi ra,ra,1000 # 80000450 <_etext> + 80000070: 00a00113 li sp,10 + 80000074: 002081a3 sb sp,3(ra) + 80000078: 00308703 lb a4,3(ra) + 8000007c: 00a00393 li t2,10 + 80000080: 00500193 li gp,5 + 80000084: 36771863 bne a4,t2,800003f4 + +0000000080000088 : + 80000088: 00000097 auipc ra,0x0 + 8000008c: 3cf08093 addi ra,ra,975 # 80000457 + 80000090: faa00113 li sp,-86 + 80000094: fe208ea3 sb sp,-3(ra) + 80000098: ffd08703 lb a4,-3(ra) + 8000009c: faa00393 li t2,-86 + 800000a0: 00600193 li gp,6 + 800000a4: 34771863 bne a4,t2,800003f4 + +00000000800000a8 : + 800000a8: 00000097 auipc ra,0x0 + 800000ac: 3af08093 addi ra,ra,943 # 80000457 + 800000b0: 00000113 li sp,0 + 800000b4: fe208f23 sb sp,-2(ra) + 800000b8: ffe08703 lb a4,-2(ra) + 800000bc: 00000393 li t2,0 + 800000c0: 00700193 li gp,7 + 800000c4: 32771863 bne a4,t2,800003f4 + +00000000800000c8 : + 800000c8: 00000097 auipc ra,0x0 + 800000cc: 38f08093 addi ra,ra,911 # 80000457 + 800000d0: fa000113 li sp,-96 + 800000d4: fe208fa3 sb sp,-1(ra) + 800000d8: fff08703 lb a4,-1(ra) + 800000dc: fa000393 li t2,-96 + 800000e0: 00800193 li gp,8 + 800000e4: 30771863 bne a4,t2,800003f4 + +00000000800000e8 : + 800000e8: 00000097 auipc ra,0x0 + 800000ec: 36f08093 addi ra,ra,879 # 80000457 + 800000f0: 00a00113 li sp,10 + 800000f4: 00208023 sb sp,0(ra) + 800000f8: 00008703 lb a4,0(ra) + 800000fc: 00a00393 li t2,10 + 80000100: 00900193 li gp,9 + 80000104: 2e771863 bne a4,t2,800003f4 + +0000000080000108 : + 80000108: 00000097 auipc ra,0x0 + 8000010c: 35008093 addi ra,ra,848 # 80000458 + 80000110: 12345137 lui sp,0x12345 + 80000114: 6781011b addiw sp,sp,1656 + 80000118: fe008213 addi tp,ra,-32 + 8000011c: 02220023 sb sp,32(tp) # 20 <_entry_offset+0x20> + 80000120: 00008283 lb t0,0(ra) + 80000124: 07800393 li t2,120 + 80000128: 00a00193 li gp,10 + 8000012c: 2c729463 bne t0,t2,800003f4 + +0000000080000130 : + 80000130: 00000097 auipc ra,0x0 + 80000134: 32808093 addi ra,ra,808 # 80000458 + 80000138: 00003137 lui sp,0x3 + 8000013c: 0981011b addiw sp,sp,152 + 80000140: ffa08093 addi ra,ra,-6 + 80000144: 002083a3 sb sp,7(ra) + 80000148: 00000217 auipc tp,0x0 + 8000014c: 31120213 addi tp,tp,785 # 80000459 + 80000150: 00020283 lb t0,0(tp) # 0 <_entry_offset> + 80000154: f9800393 li t2,-104 + 80000158: 00b00193 li gp,11 + 8000015c: 28729c63 bne t0,t2,800003f4 + +0000000080000160 : + 80000160: 00c00193 li gp,12 + 80000164: 00000213 li tp,0 + 80000168: fdd00093 li ra,-35 + 8000016c: 00000117 auipc sp,0x0 + 80000170: 2e410113 addi sp,sp,740 # 80000450 <_etext> + 80000174: 00110023 sb ra,0(sp) + 80000178: 00010703 lb a4,0(sp) + 8000017c: fdd00393 li t2,-35 + 80000180: 26771a63 bne a4,t2,800003f4 + 80000184: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000188: 00200293 li t0,2 + 8000018c: fc521ee3 bne tp,t0,80000168 + +0000000080000190 : + 80000190: 00d00193 li gp,13 + 80000194: 00000213 li tp,0 + 80000198: fcd00093 li ra,-51 + 8000019c: 00000117 auipc sp,0x0 + 800001a0: 2b410113 addi sp,sp,692 # 80000450 <_etext> + 800001a4: 00000013 nop + 800001a8: 001100a3 sb ra,1(sp) + 800001ac: 00110703 lb a4,1(sp) + 800001b0: fcd00393 li t2,-51 + 800001b4: 24771063 bne a4,t2,800003f4 + 800001b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001bc: 00200293 li t0,2 + 800001c0: fc521ce3 bne tp,t0,80000198 + +00000000800001c4 : + 800001c4: 00e00193 li gp,14 + 800001c8: 00000213 li tp,0 + 800001cc: fcc00093 li ra,-52 + 800001d0: 00000117 auipc sp,0x0 + 800001d4: 28010113 addi sp,sp,640 # 80000450 <_etext> + 800001d8: 00000013 nop + 800001dc: 00000013 nop + 800001e0: 00110123 sb ra,2(sp) + 800001e4: 00210703 lb a4,2(sp) + 800001e8: fcc00393 li t2,-52 + 800001ec: 20771463 bne a4,t2,800003f4 + 800001f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001f4: 00200293 li t0,2 + 800001f8: fc521ae3 bne tp,t0,800001cc + +00000000800001fc : + 800001fc: 00f00193 li gp,15 + 80000200: 00000213 li tp,0 + 80000204: fbc00093 li ra,-68 + 80000208: 00000013 nop + 8000020c: 00000117 auipc sp,0x0 + 80000210: 24410113 addi sp,sp,580 # 80000450 <_etext> + 80000214: 001101a3 sb ra,3(sp) + 80000218: 00310703 lb a4,3(sp) + 8000021c: fbc00393 li t2,-68 + 80000220: 1c771a63 bne a4,t2,800003f4 + 80000224: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000228: 00200293 li t0,2 + 8000022c: fc521ce3 bne tp,t0,80000204 + +0000000080000230 : + 80000230: 01000193 li gp,16 + 80000234: 00000213 li tp,0 + 80000238: fbb00093 li ra,-69 + 8000023c: 00000013 nop + 80000240: 00000117 auipc sp,0x0 + 80000244: 21010113 addi sp,sp,528 # 80000450 <_etext> + 80000248: 00000013 nop + 8000024c: 00110223 sb ra,4(sp) + 80000250: 00410703 lb a4,4(sp) + 80000254: fbb00393 li t2,-69 + 80000258: 18771e63 bne a4,t2,800003f4 + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fc521ae3 bne tp,t0,80000238 + +0000000080000268 : + 80000268: 01100193 li gp,17 + 8000026c: 00000213 li tp,0 + 80000270: fab00093 li ra,-85 + 80000274: 00000013 nop + 80000278: 00000013 nop + 8000027c: 00000117 auipc sp,0x0 + 80000280: 1d410113 addi sp,sp,468 # 80000450 <_etext> + 80000284: 001102a3 sb ra,5(sp) + 80000288: 00510703 lb a4,5(sp) + 8000028c: fab00393 li t2,-85 + 80000290: 16771263 bne a4,t2,800003f4 + 80000294: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000298: 00200293 li t0,2 + 8000029c: fc521ae3 bne tp,t0,80000270 + +00000000800002a0 : + 800002a0: 01200193 li gp,18 + 800002a4: 00000213 li tp,0 + 800002a8: 00000117 auipc sp,0x0 + 800002ac: 1a810113 addi sp,sp,424 # 80000450 <_etext> + 800002b0: 03300093 li ra,51 + 800002b4: 00110023 sb ra,0(sp) + 800002b8: 00010703 lb a4,0(sp) + 800002bc: 03300393 li t2,51 + 800002c0: 12771a63 bne a4,t2,800003f4 + 800002c4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c8: 00200293 li t0,2 + 800002cc: fc521ee3 bne tp,t0,800002a8 + +00000000800002d0 : + 800002d0: 01300193 li gp,19 + 800002d4: 00000213 li tp,0 + 800002d8: 00000117 auipc sp,0x0 + 800002dc: 17810113 addi sp,sp,376 # 80000450 <_etext> + 800002e0: 02300093 li ra,35 + 800002e4: 00000013 nop + 800002e8: 001100a3 sb ra,1(sp) + 800002ec: 00110703 lb a4,1(sp) + 800002f0: 02300393 li t2,35 + 800002f4: 10771063 bne a4,t2,800003f4 + 800002f8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002fc: 00200293 li t0,2 + 80000300: fc521ce3 bne tp,t0,800002d8 + +0000000080000304 : + 80000304: 01400193 li gp,20 + 80000308: 00000213 li tp,0 + 8000030c: 00000117 auipc sp,0x0 + 80000310: 14410113 addi sp,sp,324 # 80000450 <_etext> + 80000314: 02200093 li ra,34 + 80000318: 00000013 nop + 8000031c: 00000013 nop + 80000320: 00110123 sb ra,2(sp) + 80000324: 00210703 lb a4,2(sp) + 80000328: 02200393 li t2,34 + 8000032c: 0c771463 bne a4,t2,800003f4 + 80000330: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000334: 00200293 li t0,2 + 80000338: fc521ae3 bne tp,t0,8000030c + +000000008000033c : + 8000033c: 01500193 li gp,21 + 80000340: 00000213 li tp,0 + 80000344: 00000117 auipc sp,0x0 + 80000348: 10c10113 addi sp,sp,268 # 80000450 <_etext> + 8000034c: 00000013 nop + 80000350: 01200093 li ra,18 + 80000354: 001101a3 sb ra,3(sp) + 80000358: 00310703 lb a4,3(sp) + 8000035c: 01200393 li t2,18 + 80000360: 08771a63 bne a4,t2,800003f4 + 80000364: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000368: 00200293 li t0,2 + 8000036c: fc521ce3 bne tp,t0,80000344 + +0000000080000370 : + 80000370: 01600193 li gp,22 + 80000374: 00000213 li tp,0 + 80000378: 00000117 auipc sp,0x0 + 8000037c: 0d810113 addi sp,sp,216 # 80000450 <_etext> + 80000380: 00000013 nop + 80000384: 01100093 li ra,17 + 80000388: 00000013 nop + 8000038c: 00110223 sb ra,4(sp) + 80000390: 00410703 lb a4,4(sp) + 80000394: 01100393 li t2,17 + 80000398: 04771e63 bne a4,t2,800003f4 + 8000039c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003a0: 00200293 li t0,2 + 800003a4: fc521ae3 bne tp,t0,80000378 + +00000000800003a8 : + 800003a8: 01700193 li gp,23 + 800003ac: 00000213 li tp,0 + 800003b0: 00000117 auipc sp,0x0 + 800003b4: 0a010113 addi sp,sp,160 # 80000450 <_etext> + 800003b8: 00000013 nop + 800003bc: 00000013 nop + 800003c0: 00100093 li ra,1 + 800003c4: 001102a3 sb ra,5(sp) + 800003c8: 00510703 lb a4,5(sp) + 800003cc: 00100393 li t2,1 + 800003d0: 02771263 bne a4,t2,800003f4 + 800003d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d8: 00200293 li t0,2 + 800003dc: fc521ae3 bne tp,t0,800003b0 + 800003e0: 0ef00513 li a0,239 + 800003e4: 00000597 auipc a1,0x0 + 800003e8: 06c58593 addi a1,a1,108 # 80000450 <_etext> + 800003ec: 00a581a3 sb a0,3(a1) + 800003f0: 00301663 bne zero,gp,800003fc + +00000000800003f4 : + 800003f4: 00100513 li a0,1 + 800003f8: 04c0006f j 80000444 + +00000000800003fc : + 800003fc: 00000513 li a0,0 + 80000400: 0440006f j 80000444 + 80000404: c0001073 unimp + ... + +0000000080000444 : + 80000444: 00050513 mv a0,a0 + 80000448: 0000006b 0x6b + 8000044c: 0000006f j 8000044c diff --git a/bin/non-output/riscv-tests/sd-riscv-tests.bin b/bin/non-output/riscv-tests/sd-riscv-tests.bin new file mode 100755 index 0000000..85372d8 Binary files /dev/null and b/bin/non-output/riscv-tests/sd-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sd-riscv-tests.elf b/bin/non-output/riscv-tests/sd-riscv-tests.elf new file mode 100755 index 0000000..6303cee Binary files /dev/null and b/bin/non-output/riscv-tests/sd-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sd-riscv-tests.txt b/bin/non-output/riscv-tests/sd-riscv-tests.txt new file mode 100755 index 0000000..12fc157 --- /dev/null +++ b/bin/non-output/riscv-tests/sd-riscv-tests.txt @@ -0,0 +1,465 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sd-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 69008093 addi ra,ra,1680 # 80000690 <_etext> + 80000008: 00550137 lui sp,0x550 + 8000000c: 0551011b addiw sp,sp,85 + 80000010: 01011113 slli sp,sp,0x10 + 80000014: 05510113 addi sp,sp,85 # 550055 <_entry_offset+0x550055> + 80000018: 01111113 slli sp,sp,0x11 + 8000001c: 0aa10113 addi sp,sp,170 + 80000020: 0020b023 sd sp,0(ra) + 80000024: 0000b703 ld a4,0(ra) + 80000028: 005503b7 lui t2,0x550 + 8000002c: 0553839b addiw t2,t2,85 + 80000030: 01039393 slli t2,t2,0x10 + 80000034: 05538393 addi t2,t2,85 # 550055 <_entry_offset+0x550055> + 80000038: 01139393 slli t2,t2,0x11 + 8000003c: 0aa38393 addi t2,t2,170 + 80000040: 00200193 li gp,2 + 80000044: 60771063 bne a4,t2,80000644 + +0000000080000048 : + 80000048: 00000097 auipc ra,0x0 + 8000004c: 64808093 addi ra,ra,1608 # 80000690 <_etext> + 80000050: ffd50137 lui sp,0xffd50 + 80000054: 0551011b addiw sp,sp,85 + 80000058: 01011113 slli sp,sp,0x10 + 8000005c: 05510113 addi sp,sp,85 # ffffffffffd50055 <_end+0xffffffff7fd47055> + 80000060: 00d11113 slli sp,sp,0xd + 80000064: 00b10113 addi sp,sp,11 + 80000068: 00c11113 slli sp,sp,0xc + 8000006c: a0010113 addi sp,sp,-1536 + 80000070: 0020b423 sd sp,8(ra) + 80000074: 0080b703 ld a4,8(ra) + 80000078: ffd503b7 lui t2,0xffd50 + 8000007c: 0553839b addiw t2,t2,85 + 80000080: 01039393 slli t2,t2,0x10 + 80000084: 05538393 addi t2,t2,85 # ffffffffffd50055 <_end+0xffffffff7fd47055> + 80000088: 00d39393 slli t2,t2,0xd + 8000008c: 00b38393 addi t2,t2,11 + 80000090: 00c39393 slli t2,t2,0xc + 80000094: a0038393 addi t2,t2,-1536 + 80000098: 00300193 li gp,3 + 8000009c: 5a771463 bne a4,t2,80000644 + +00000000800000a0 : + 800000a0: 00000097 auipc ra,0x0 + 800000a4: 5f008093 addi ra,ra,1520 # 80000690 <_etext> + 800000a8: 00550137 lui sp,0x550 + 800000ac: 0551011b addiw sp,sp,85 + 800000b0: 00d11113 slli sp,sp,0xd + 800000b4: 00b10113 addi sp,sp,11 # 55000b <_entry_offset+0x55000b> + 800000b8: 00c11113 slli sp,sp,0xc + 800000bc: a0110113 addi sp,sp,-1535 + 800000c0: 00c11113 slli sp,sp,0xc + 800000c4: aa010113 addi sp,sp,-1376 + 800000c8: 0020b823 sd sp,16(ra) + 800000cc: 0100b703 ld a4,16(ra) + 800000d0: 005503b7 lui t2,0x550 + 800000d4: 0553839b addiw t2,t2,85 + 800000d8: 00d39393 slli t2,t2,0xd + 800000dc: 00b38393 addi t2,t2,11 # 55000b <_entry_offset+0x55000b> + 800000e0: 00c39393 slli t2,t2,0xc + 800000e4: a0138393 addi t2,t2,-1535 + 800000e8: 00c39393 slli t2,t2,0xc + 800000ec: aa038393 addi t2,t2,-1376 + 800000f0: 00400193 li gp,4 + 800000f4: 54771863 bne a4,t2,80000644 + +00000000800000f8 : + 800000f8: 00000097 auipc ra,0x0 + 800000fc: 59808093 addi ra,ra,1432 # 80000690 <_etext> + 80000100: fffd0137 lui sp,0xfffd0 + 80000104: 0551011b addiw sp,sp,85 + 80000108: 01011113 slli sp,sp,0x10 + 8000010c: 05510113 addi sp,sp,85 # fffffffffffd0055 <_end+0xffffffff7ffc7055> + 80000110: 01011113 slli sp,sp,0x10 + 80000114: 05510113 addi sp,sp,85 + 80000118: 00d11113 slli sp,sp,0xd + 8000011c: 00a10113 addi sp,sp,10 + 80000120: 0020bc23 sd sp,24(ra) + 80000124: 0180b703 ld a4,24(ra) + 80000128: fffd03b7 lui t2,0xfffd0 + 8000012c: 0553839b addiw t2,t2,85 + 80000130: 01039393 slli t2,t2,0x10 + 80000134: 05538393 addi t2,t2,85 # fffffffffffd0055 <_end+0xffffffff7ffc7055> + 80000138: 01039393 slli t2,t2,0x10 + 8000013c: 05538393 addi t2,t2,85 + 80000140: 00d39393 slli t2,t2,0xd + 80000144: 00a38393 addi t2,t2,10 + 80000148: 00500193 li gp,5 + 8000014c: 4e771c63 bne a4,t2,80000644 + +0000000080000150 : + 80000150: 00000097 auipc ra,0x0 + 80000154: 57808093 addi ra,ra,1400 # 800006c8 + 80000158: 00550137 lui sp,0x550 + 8000015c: 0551011b addiw sp,sp,85 + 80000160: 01011113 slli sp,sp,0x10 + 80000164: 05510113 addi sp,sp,85 # 550055 <_entry_offset+0x550055> + 80000168: 01111113 slli sp,sp,0x11 + 8000016c: 0aa10113 addi sp,sp,170 + 80000170: fe20b423 sd sp,-24(ra) + 80000174: fe80b703 ld a4,-24(ra) + 80000178: 005503b7 lui t2,0x550 + 8000017c: 0553839b addiw t2,t2,85 + 80000180: 01039393 slli t2,t2,0x10 + 80000184: 05538393 addi t2,t2,85 # 550055 <_entry_offset+0x550055> + 80000188: 01139393 slli t2,t2,0x11 + 8000018c: 0aa38393 addi t2,t2,170 + 80000190: 00600193 li gp,6 + 80000194: 4a771863 bne a4,t2,80000644 + +0000000080000198 : + 80000198: 00000097 auipc ra,0x0 + 8000019c: 53008093 addi ra,ra,1328 # 800006c8 + 800001a0: ffd50137 lui sp,0xffd50 + 800001a4: 0551011b addiw sp,sp,85 + 800001a8: 01011113 slli sp,sp,0x10 + 800001ac: 05510113 addi sp,sp,85 # ffffffffffd50055 <_end+0xffffffff7fd47055> + 800001b0: 00d11113 slli sp,sp,0xd + 800001b4: 00b10113 addi sp,sp,11 + 800001b8: 00c11113 slli sp,sp,0xc + 800001bc: a0010113 addi sp,sp,-1536 + 800001c0: fe20b823 sd sp,-16(ra) + 800001c4: ff00b703 ld a4,-16(ra) + 800001c8: ffd503b7 lui t2,0xffd50 + 800001cc: 0553839b addiw t2,t2,85 + 800001d0: 01039393 slli t2,t2,0x10 + 800001d4: 05538393 addi t2,t2,85 # ffffffffffd50055 <_end+0xffffffff7fd47055> + 800001d8: 00d39393 slli t2,t2,0xd + 800001dc: 00b38393 addi t2,t2,11 + 800001e0: 00c39393 slli t2,t2,0xc + 800001e4: a0038393 addi t2,t2,-1536 + 800001e8: 00700193 li gp,7 + 800001ec: 44771c63 bne a4,t2,80000644 + +00000000800001f0 : + 800001f0: 00000097 auipc ra,0x0 + 800001f4: 4d808093 addi ra,ra,1240 # 800006c8 + 800001f8: 00550137 lui sp,0x550 + 800001fc: 0551011b addiw sp,sp,85 + 80000200: 00d11113 slli sp,sp,0xd + 80000204: 00b10113 addi sp,sp,11 # 55000b <_entry_offset+0x55000b> + 80000208: 00c11113 slli sp,sp,0xc + 8000020c: a0110113 addi sp,sp,-1535 + 80000210: 00c11113 slli sp,sp,0xc + 80000214: aa010113 addi sp,sp,-1376 + 80000218: fe20bc23 sd sp,-8(ra) + 8000021c: ff80b703 ld a4,-8(ra) + 80000220: 005503b7 lui t2,0x550 + 80000224: 0553839b addiw t2,t2,85 + 80000228: 00d39393 slli t2,t2,0xd + 8000022c: 00b38393 addi t2,t2,11 # 55000b <_entry_offset+0x55000b> + 80000230: 00c39393 slli t2,t2,0xc + 80000234: a0138393 addi t2,t2,-1535 + 80000238: 00c39393 slli t2,t2,0xc + 8000023c: aa038393 addi t2,t2,-1376 + 80000240: 00800193 li gp,8 + 80000244: 40771063 bne a4,t2,80000644 + +0000000080000248 : + 80000248: 00000097 auipc ra,0x0 + 8000024c: 48008093 addi ra,ra,1152 # 800006c8 + 80000250: fffd0137 lui sp,0xfffd0 + 80000254: 0551011b addiw sp,sp,85 + 80000258: 01011113 slli sp,sp,0x10 + 8000025c: 05510113 addi sp,sp,85 # fffffffffffd0055 <_end+0xffffffff7ffc7055> + 80000260: 01011113 slli sp,sp,0x10 + 80000264: 05510113 addi sp,sp,85 + 80000268: 00d11113 slli sp,sp,0xd + 8000026c: 00a10113 addi sp,sp,10 + 80000270: 0020b023 sd sp,0(ra) + 80000274: 0000b703 ld a4,0(ra) + 80000278: fffd03b7 lui t2,0xfffd0 + 8000027c: 0553839b addiw t2,t2,85 + 80000280: 01039393 slli t2,t2,0x10 + 80000284: 05538393 addi t2,t2,85 # fffffffffffd0055 <_end+0xffffffff7ffc7055> + 80000288: 01039393 slli t2,t2,0x10 + 8000028c: 05538393 addi t2,t2,85 + 80000290: 00d39393 slli t2,t2,0xd + 80000294: 00a38393 addi t2,t2,10 + 80000298: 00900193 li gp,9 + 8000029c: 3a771463 bne a4,t2,80000644 + +00000000800002a0 : + 800002a0: 00000097 auipc ra,0x0 + 800002a4: 43008093 addi ra,ra,1072 # 800006d0 + 800002a8: 00247137 lui sp,0x247 + 800002ac: 8ad1011b addiw sp,sp,-1875 + 800002b0: 00e11113 slli sp,sp,0xe + 800002b4: c0910113 addi sp,sp,-1015 # 246c09 <_entry_offset+0x246c09> + 800002b8: 00d11113 slli sp,sp,0xd + 800002bc: 34510113 addi sp,sp,837 + 800002c0: 00c11113 slli sp,sp,0xc + 800002c4: 67810113 addi sp,sp,1656 + 800002c8: fe008213 addi tp,ra,-32 + 800002cc: 02223023 sd sp,32(tp) # 20 <_entry_offset+0x20> + 800002d0: 0000b283 ld t0,0(ra) + 800002d4: 002473b7 lui t2,0x247 + 800002d8: 8ad3839b addiw t2,t2,-1875 + 800002dc: 00e39393 slli t2,t2,0xe + 800002e0: c0938393 addi t2,t2,-1015 # 246c09 <_entry_offset+0x246c09> + 800002e4: 00d39393 slli t2,t2,0xd + 800002e8: 34538393 addi t2,t2,837 + 800002ec: 00c39393 slli t2,t2,0xc + 800002f0: 67838393 addi t2,t2,1656 + 800002f4: 00a00193 li gp,10 + 800002f8: 34729663 bne t0,t2,80000644 + +00000000800002fc : + 800002fc: 00000097 auipc ra,0x0 + 80000300: 3d408093 addi ra,ra,980 # 800006d0 + 80000304: 00b04137 lui sp,0xb04 + 80000308: 2611011b addiw sp,sp,609 + 8000030c: 00c11113 slli sp,sp,0xc + 80000310: 30b10113 addi sp,sp,779 # b0430b <_entry_offset+0xb0430b> + 80000314: 00f11113 slli sp,sp,0xf + 80000318: 21310113 addi sp,sp,531 + 8000031c: 00c11113 slli sp,sp,0xc + 80000320: 09810113 addi sp,sp,152 + 80000324: ffd08093 addi ra,ra,-3 + 80000328: 0020b5a3 sd sp,11(ra) + 8000032c: 00000217 auipc tp,0x0 + 80000330: 3ac20213 addi tp,tp,940 # 800006d8 + 80000334: 00023283 ld t0,0(tp) # 0 <_entry_offset> + 80000338: 00b043b7 lui t2,0xb04 + 8000033c: 2613839b addiw t2,t2,609 + 80000340: 00c39393 slli t2,t2,0xc + 80000344: 30b38393 addi t2,t2,779 # b0430b <_entry_offset+0xb0430b> + 80000348: 00f39393 slli t2,t2,0xf + 8000034c: 21338393 addi t2,t2,531 + 80000350: 00c39393 slli t2,t2,0xc + 80000354: 09838393 addi t2,t2,152 + 80000358: 00b00193 li gp,11 + 8000035c: 2e729463 bne t0,t2,80000644 + +0000000080000360 : + 80000360: 00c00193 li gp,12 + 80000364: 00000213 li tp,0 + 80000368: 0abbd0b7 lui ra,0xabbd + 8000036c: cdd0809b addiw ra,ra,-803 + 80000370: 00000117 auipc sp,0x0 + 80000374: 32010113 addi sp,sp,800 # 80000690 <_etext> + 80000378: 00113023 sd ra,0(sp) + 8000037c: 00013703 ld a4,0(sp) + 80000380: 0abbd3b7 lui t2,0xabbd + 80000384: cdd3839b addiw t2,t2,-803 + 80000388: 2a771e63 bne a4,t2,80000644 + 8000038c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000390: 00200293 li t0,2 + 80000394: fc521ae3 bne tp,t0,80000368 + +0000000080000398 : + 80000398: 00d00193 li gp,13 + 8000039c: 00000213 li tp,0 + 800003a0: 0aabc0b7 lui ra,0xaabc + 800003a4: ccd0809b addiw ra,ra,-819 + 800003a8: 00000117 auipc sp,0x0 + 800003ac: 2e810113 addi sp,sp,744 # 80000690 <_etext> + 800003b0: 00000013 nop + 800003b4: 00113423 sd ra,8(sp) + 800003b8: 00813703 ld a4,8(sp) + 800003bc: 0aabc3b7 lui t2,0xaabc + 800003c0: ccd3839b addiw t2,t2,-819 + 800003c4: 28771063 bne a4,t2,80000644 + 800003c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003cc: 00200293 li t0,2 + 800003d0: fc5218e3 bne tp,t0,800003a0 + +00000000800003d4 : + 800003d4: 00e00193 li gp,14 + 800003d8: 00000213 li tp,0 + 800003dc: 0daac0b7 lui ra,0xdaac + 800003e0: bcc0809b addiw ra,ra,-1076 + 800003e4: 00000117 auipc sp,0x0 + 800003e8: 2ac10113 addi sp,sp,684 # 80000690 <_etext> + 800003ec: 00000013 nop + 800003f0: 00000013 nop + 800003f4: 00113823 sd ra,16(sp) + 800003f8: 01013703 ld a4,16(sp) + 800003fc: 0daac3b7 lui t2,0xdaac + 80000400: bcc3839b addiw t2,t2,-1076 + 80000404: 24771063 bne a4,t2,80000644 + 80000408: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000040c: 00200293 li t0,2 + 80000410: fc5216e3 bne tp,t0,800003dc + +0000000080000414 : + 80000414: 00f00193 li gp,15 + 80000418: 00000213 li tp,0 + 8000041c: 0ddab0b7 lui ra,0xddab + 80000420: bbc0809b addiw ra,ra,-1092 + 80000424: 00000013 nop + 80000428: 00000117 auipc sp,0x0 + 8000042c: 26810113 addi sp,sp,616 # 80000690 <_etext> + 80000430: 00113c23 sd ra,24(sp) + 80000434: 01813703 ld a4,24(sp) + 80000438: 0ddab3b7 lui t2,0xddab + 8000043c: bbc3839b addiw t2,t2,-1092 + 80000440: 20771263 bne a4,t2,80000644 + 80000444: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000448: 00200293 li t0,2 + 8000044c: fc5218e3 bne tp,t0,8000041c + +0000000080000450 : + 80000450: 01000193 li gp,16 + 80000454: 00000213 li tp,0 + 80000458: 0cddb0b7 lui ra,0xcddb + 8000045c: abb0809b addiw ra,ra,-1349 + 80000460: 00000013 nop + 80000464: 00000117 auipc sp,0x0 + 80000468: 22c10113 addi sp,sp,556 # 80000690 <_etext> + 8000046c: 00000013 nop + 80000470: 02113023 sd ra,32(sp) + 80000474: 02013703 ld a4,32(sp) + 80000478: 0cddb3b7 lui t2,0xcddb + 8000047c: abb3839b addiw t2,t2,-1349 + 80000480: 1c771263 bne a4,t2,80000644 + 80000484: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000488: 00200293 li t0,2 + 8000048c: fc5216e3 bne tp,t0,80000458 + +0000000080000490 : + 80000490: 01100193 li gp,17 + 80000494: 00000213 li tp,0 + 80000498: 0ccde0b7 lui ra,0xccde + 8000049c: aab0809b addiw ra,ra,-1365 + 800004a0: 00000013 nop + 800004a4: 00000013 nop + 800004a8: 00000117 auipc sp,0x0 + 800004ac: 1e810113 addi sp,sp,488 # 80000690 <_etext> + 800004b0: 02113423 sd ra,40(sp) + 800004b4: 02813703 ld a4,40(sp) + 800004b8: 0ccde3b7 lui t2,0xccde + 800004bc: aab3839b addiw t2,t2,-1365 + 800004c0: 18771263 bne a4,t2,80000644 + 800004c4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004c8: 00200293 li t0,2 + 800004cc: fc5216e3 bne tp,t0,80000498 + +00000000800004d0 : + 800004d0: 01200193 li gp,18 + 800004d4: 00000213 li tp,0 + 800004d8: 00000117 auipc sp,0x0 + 800004dc: 1b810113 addi sp,sp,440 # 80000690 <_etext> + 800004e0: 001120b7 lui ra,0x112 + 800004e4: 2330809b addiw ra,ra,563 + 800004e8: 00113023 sd ra,0(sp) + 800004ec: 00013703 ld a4,0(sp) + 800004f0: 001123b7 lui t2,0x112 + 800004f4: 2333839b addiw t2,t2,563 + 800004f8: 14771663 bne a4,t2,80000644 + 800004fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000500: 00200293 li t0,2 + 80000504: fc521ae3 bne tp,t0,800004d8 + +0000000080000508 : + 80000508: 01300193 li gp,19 + 8000050c: 00000213 li tp,0 + 80000510: 00000117 auipc sp,0x0 + 80000514: 18010113 addi sp,sp,384 # 80000690 <_etext> + 80000518: 300110b7 lui ra,0x30011 + 8000051c: 2230809b addiw ra,ra,547 + 80000520: 00000013 nop + 80000524: 00113423 sd ra,8(sp) + 80000528: 00813703 ld a4,8(sp) + 8000052c: 300113b7 lui t2,0x30011 + 80000530: 2233839b addiw t2,t2,547 + 80000534: 10771863 bne a4,t2,80000644 + 80000538: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000053c: 00200293 li t0,2 + 80000540: fc5218e3 bne tp,t0,80000510 + +0000000080000544 : + 80000544: 01400193 li gp,20 + 80000548: 00000213 li tp,0 + 8000054c: 00000117 auipc sp,0x0 + 80000550: 14410113 addi sp,sp,324 # 80000690 <_etext> + 80000554: 330010b7 lui ra,0x33001 + 80000558: 1220809b addiw ra,ra,290 + 8000055c: 00000013 nop + 80000560: 00000013 nop + 80000564: 00113823 sd ra,16(sp) + 80000568: 01013703 ld a4,16(sp) + 8000056c: 330013b7 lui t2,0x33001 + 80000570: 1223839b addiw t2,t2,290 + 80000574: 0c771863 bne a4,t2,80000644 + 80000578: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000057c: 00200293 li t0,2 + 80000580: fc5216e3 bne tp,t0,8000054c + +0000000080000584 : + 80000584: 01500193 li gp,21 + 80000588: 00000213 li tp,0 + 8000058c: 00000117 auipc sp,0x0 + 80000590: 10410113 addi sp,sp,260 # 80000690 <_etext> + 80000594: 00000013 nop + 80000598: 233000b7 lui ra,0x23300 + 8000059c: 1120809b addiw ra,ra,274 + 800005a0: 00113c23 sd ra,24(sp) + 800005a4: 01813703 ld a4,24(sp) + 800005a8: 233003b7 lui t2,0x23300 + 800005ac: 1123839b addiw t2,t2,274 + 800005b0: 08771a63 bne a4,t2,80000644 + 800005b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005b8: 00200293 li t0,2 + 800005bc: fc5218e3 bne tp,t0,8000058c + +00000000800005c0 : + 800005c0: 01600193 li gp,22 + 800005c4: 00000213 li tp,0 + 800005c8: 00000117 auipc sp,0x0 + 800005cc: 0c810113 addi sp,sp,200 # 80000690 <_etext> + 800005d0: 00000013 nop + 800005d4: 223300b7 lui ra,0x22330 + 800005d8: 0110809b addiw ra,ra,17 + 800005dc: 00000013 nop + 800005e0: 02113023 sd ra,32(sp) + 800005e4: 02013703 ld a4,32(sp) + 800005e8: 223303b7 lui t2,0x22330 + 800005ec: 0113839b addiw t2,t2,17 + 800005f0: 04771a63 bne a4,t2,80000644 + 800005f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005f8: 00200293 li t0,2 + 800005fc: fc5216e3 bne tp,t0,800005c8 + +0000000080000600 : + 80000600: 01700193 li gp,23 + 80000604: 00000213 li tp,0 + 80000608: 00000117 auipc sp,0x0 + 8000060c: 08810113 addi sp,sp,136 # 80000690 <_etext> + 80000610: 00000013 nop + 80000614: 00000013 nop + 80000618: 122330b7 lui ra,0x12233 + 8000061c: 0010809b addiw ra,ra,1 + 80000620: 02113423 sd ra,40(sp) + 80000624: 02813703 ld a4,40(sp) + 80000628: 122333b7 lui t2,0x12233 + 8000062c: 0013839b addiw t2,t2,1 + 80000630: 00771a63 bne a4,t2,80000644 + 80000634: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000638: 00200293 li t0,2 + 8000063c: fc5216e3 bne tp,t0,80000608 + 80000640: 00301663 bne zero,gp,8000064c + +0000000080000644 : + 80000644: 00100513 li a0,1 + 80000648: 03c0006f j 80000684 + +000000008000064c : + 8000064c: 00000513 li a0,0 + 80000650: 0340006f j 80000684 + 80000654: c0001073 unimp + ... + +0000000080000684 : + 80000684: 00050513 mv a0,a0 + 80000688: 0000006b 0x6b + 8000068c: 0000006f j 8000068c diff --git a/bin/non-output/riscv-tests/sh-riscv-tests.bin b/bin/non-output/riscv-tests/sh-riscv-tests.bin new file mode 100755 index 0000000..0571017 Binary files /dev/null and b/bin/non-output/riscv-tests/sh-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sh-riscv-tests.elf b/bin/non-output/riscv-tests/sh-riscv-tests.elf new file mode 100755 index 0000000..31a9340 Binary files /dev/null and b/bin/non-output/riscv-tests/sh-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sh-riscv-tests.txt b/bin/non-output/riscv-tests/sh-riscv-tests.txt new file mode 100755 index 0000000..b4f15eb --- /dev/null +++ b/bin/non-output/riscv-tests/sh-riscv-tests.txt @@ -0,0 +1,350 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sh-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 4d008093 addi ra,ra,1232 # 800004d0 <_etext> + 80000008: 0aa00113 li sp,170 + 8000000c: 00209023 sh sp,0(ra) + 80000010: 00009703 lh a4,0(ra) + 80000014: 0aa00393 li t2,170 + 80000018: 00200193 li gp,2 + 8000001c: 44771e63 bne a4,t2,80000478 + +0000000080000020 : + 80000020: 00000097 auipc ra,0x0 + 80000024: 4b008093 addi ra,ra,1200 # 800004d0 <_etext> + 80000028: ffffb137 lui sp,0xffffb + 8000002c: a001011b addiw sp,sp,-1536 + 80000030: 00209123 sh sp,2(ra) + 80000034: 00209703 lh a4,2(ra) + 80000038: ffffb3b7 lui t2,0xffffb + 8000003c: a003839b addiw t2,t2,-1536 + 80000040: 00300193 li gp,3 + 80000044: 42771a63 bne a4,t2,80000478 + +0000000080000048 : + 80000048: 00000097 auipc ra,0x0 + 8000004c: 48808093 addi ra,ra,1160 # 800004d0 <_etext> + 80000050: beef1137 lui sp,0xbeef1 + 80000054: aa01011b addiw sp,sp,-1376 + 80000058: 00209223 sh sp,4(ra) + 8000005c: 0040a703 lw a4,4(ra) + 80000060: beef13b7 lui t2,0xbeef1 + 80000064: aa03839b addiw t2,t2,-1376 + 80000068: 00400193 li gp,4 + 8000006c: 40771663 bne a4,t2,80000478 + +0000000080000070 : + 80000070: 00000097 auipc ra,0x0 + 80000074: 46008093 addi ra,ra,1120 # 800004d0 <_etext> + 80000078: ffffa137 lui sp,0xffffa + 8000007c: 00a1011b addiw sp,sp,10 + 80000080: 00209323 sh sp,6(ra) + 80000084: 00609703 lh a4,6(ra) + 80000088: ffffa3b7 lui t2,0xffffa + 8000008c: 00a3839b addiw t2,t2,10 + 80000090: 00500193 li gp,5 + 80000094: 3e771263 bne a4,t2,80000478 + +0000000080000098 : + 80000098: 00000097 auipc ra,0x0 + 8000009c: 44608093 addi ra,ra,1094 # 800004de + 800000a0: 0aa00113 li sp,170 + 800000a4: fe209d23 sh sp,-6(ra) + 800000a8: ffa09703 lh a4,-6(ra) + 800000ac: 0aa00393 li t2,170 + 800000b0: 00600193 li gp,6 + 800000b4: 3c771263 bne a4,t2,80000478 + +00000000800000b8 : + 800000b8: 00000097 auipc ra,0x0 + 800000bc: 42608093 addi ra,ra,1062 # 800004de + 800000c0: ffffb137 lui sp,0xffffb + 800000c4: a001011b addiw sp,sp,-1536 + 800000c8: fe209e23 sh sp,-4(ra) + 800000cc: ffc09703 lh a4,-4(ra) + 800000d0: ffffb3b7 lui t2,0xffffb + 800000d4: a003839b addiw t2,t2,-1536 + 800000d8: 00700193 li gp,7 + 800000dc: 38771e63 bne a4,t2,80000478 + +00000000800000e0 : + 800000e0: 00000097 auipc ra,0x0 + 800000e4: 3fe08093 addi ra,ra,1022 # 800004de + 800000e8: 00001137 lui sp,0x1 + 800000ec: aa01011b addiw sp,sp,-1376 + 800000f0: fe209f23 sh sp,-2(ra) + 800000f4: ffe09703 lh a4,-2(ra) + 800000f8: 000013b7 lui t2,0x1 + 800000fc: aa03839b addiw t2,t2,-1376 + 80000100: 00800193 li gp,8 + 80000104: 36771a63 bne a4,t2,80000478 + +0000000080000108 : + 80000108: 00000097 auipc ra,0x0 + 8000010c: 3d608093 addi ra,ra,982 # 800004de + 80000110: ffffa137 lui sp,0xffffa + 80000114: 00a1011b addiw sp,sp,10 + 80000118: 00209023 sh sp,0(ra) + 8000011c: 00009703 lh a4,0(ra) + 80000120: ffffa3b7 lui t2,0xffffa + 80000124: 00a3839b addiw t2,t2,10 + 80000128: 00900193 li gp,9 + 8000012c: 34771663 bne a4,t2,80000478 + +0000000080000130 : + 80000130: 00000097 auipc ra,0x0 + 80000134: 3b008093 addi ra,ra,944 # 800004e0 + 80000138: 12345137 lui sp,0x12345 + 8000013c: 6781011b addiw sp,sp,1656 + 80000140: fe008213 addi tp,ra,-32 + 80000144: 02221023 sh sp,32(tp) # 20 <_entry_offset+0x20> + 80000148: 00009283 lh t0,0(ra) + 8000014c: 000053b7 lui t2,0x5 + 80000150: 6783839b addiw t2,t2,1656 + 80000154: 00a00193 li gp,10 + 80000158: 32729063 bne t0,t2,80000478 + +000000008000015c : + 8000015c: 00000097 auipc ra,0x0 + 80000160: 38408093 addi ra,ra,900 # 800004e0 + 80000164: 00003137 lui sp,0x3 + 80000168: 0981011b addiw sp,sp,152 + 8000016c: ffb08093 addi ra,ra,-5 + 80000170: 002093a3 sh sp,7(ra) + 80000174: 00000217 auipc tp,0x0 + 80000178: 36e20213 addi tp,tp,878 # 800004e2 + 8000017c: 00021283 lh t0,0(tp) # 0 <_entry_offset> + 80000180: 000033b7 lui t2,0x3 + 80000184: 0983839b addiw t2,t2,152 + 80000188: 00b00193 li gp,11 + 8000018c: 2e729663 bne t0,t2,80000478 + +0000000080000190 : + 80000190: 00c00193 li gp,12 + 80000194: 00000213 li tp,0 + 80000198: ffffd0b7 lui ra,0xffffd + 8000019c: cdd0809b addiw ra,ra,-803 + 800001a0: 00000117 auipc sp,0x0 + 800001a4: 33010113 addi sp,sp,816 # 800004d0 <_etext> + 800001a8: 00111023 sh ra,0(sp) + 800001ac: 00011703 lh a4,0(sp) + 800001b0: ffffd3b7 lui t2,0xffffd + 800001b4: cdd3839b addiw t2,t2,-803 + 800001b8: 2c771063 bne a4,t2,80000478 + 800001bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001c0: 00200293 li t0,2 + 800001c4: fc521ae3 bne tp,t0,80000198 + +00000000800001c8 : + 800001c8: 00d00193 li gp,13 + 800001cc: 00000213 li tp,0 + 800001d0: ffffc0b7 lui ra,0xffffc + 800001d4: ccd0809b addiw ra,ra,-819 + 800001d8: 00000117 auipc sp,0x0 + 800001dc: 2f810113 addi sp,sp,760 # 800004d0 <_etext> + 800001e0: 00000013 nop + 800001e4: 00111123 sh ra,2(sp) + 800001e8: 00211703 lh a4,2(sp) + 800001ec: ffffc3b7 lui t2,0xffffc + 800001f0: ccd3839b addiw t2,t2,-819 + 800001f4: 28771263 bne a4,t2,80000478 + 800001f8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001fc: 00200293 li t0,2 + 80000200: fc5218e3 bne tp,t0,800001d0 + +0000000080000204 : + 80000204: 00e00193 li gp,14 + 80000208: 00000213 li tp,0 + 8000020c: ffffc0b7 lui ra,0xffffc + 80000210: bcc0809b addiw ra,ra,-1076 + 80000214: 00000117 auipc sp,0x0 + 80000218: 2bc10113 addi sp,sp,700 # 800004d0 <_etext> + 8000021c: 00000013 nop + 80000220: 00000013 nop + 80000224: 00111223 sh ra,4(sp) + 80000228: 00411703 lh a4,4(sp) + 8000022c: ffffc3b7 lui t2,0xffffc + 80000230: bcc3839b addiw t2,t2,-1076 + 80000234: 24771263 bne a4,t2,80000478 + 80000238: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000023c: 00200293 li t0,2 + 80000240: fc5216e3 bne tp,t0,8000020c + +0000000080000244 : + 80000244: 00f00193 li gp,15 + 80000248: 00000213 li tp,0 + 8000024c: ffffb0b7 lui ra,0xffffb + 80000250: bbc0809b addiw ra,ra,-1092 + 80000254: 00000013 nop + 80000258: 00000117 auipc sp,0x0 + 8000025c: 27810113 addi sp,sp,632 # 800004d0 <_etext> + 80000260: 00111323 sh ra,6(sp) + 80000264: 00611703 lh a4,6(sp) + 80000268: ffffb3b7 lui t2,0xffffb + 8000026c: bbc3839b addiw t2,t2,-1092 + 80000270: 20771463 bne a4,t2,80000478 + 80000274: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000278: 00200293 li t0,2 + 8000027c: fc5218e3 bne tp,t0,8000024c + +0000000080000280 : + 80000280: 01000193 li gp,16 + 80000284: 00000213 li tp,0 + 80000288: ffffb0b7 lui ra,0xffffb + 8000028c: abb0809b addiw ra,ra,-1349 + 80000290: 00000013 nop + 80000294: 00000117 auipc sp,0x0 + 80000298: 23c10113 addi sp,sp,572 # 800004d0 <_etext> + 8000029c: 00000013 nop + 800002a0: 00111423 sh ra,8(sp) + 800002a4: 00811703 lh a4,8(sp) + 800002a8: ffffb3b7 lui t2,0xffffb + 800002ac: abb3839b addiw t2,t2,-1349 + 800002b0: 1c771463 bne a4,t2,80000478 + 800002b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002b8: 00200293 li t0,2 + 800002bc: fc5216e3 bne tp,t0,80000288 + +00000000800002c0 : + 800002c0: 01100193 li gp,17 + 800002c4: 00000213 li tp,0 + 800002c8: ffffe0b7 lui ra,0xffffe + 800002cc: aab0809b addiw ra,ra,-1365 + 800002d0: 00000013 nop + 800002d4: 00000013 nop + 800002d8: 00000117 auipc sp,0x0 + 800002dc: 1f810113 addi sp,sp,504 # 800004d0 <_etext> + 800002e0: 00111523 sh ra,10(sp) + 800002e4: 00a11703 lh a4,10(sp) + 800002e8: ffffe3b7 lui t2,0xffffe + 800002ec: aab3839b addiw t2,t2,-1365 + 800002f0: 18771463 bne a4,t2,80000478 + 800002f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f8: 00200293 li t0,2 + 800002fc: fc5216e3 bne tp,t0,800002c8 + +0000000080000300 : + 80000300: 01200193 li gp,18 + 80000304: 00000213 li tp,0 + 80000308: 00000117 auipc sp,0x0 + 8000030c: 1c810113 addi sp,sp,456 # 800004d0 <_etext> + 80000310: 000020b7 lui ra,0x2 + 80000314: 2330809b addiw ra,ra,563 + 80000318: 00111023 sh ra,0(sp) + 8000031c: 00011703 lh a4,0(sp) + 80000320: 000023b7 lui t2,0x2 + 80000324: 2333839b addiw t2,t2,563 + 80000328: 14771863 bne a4,t2,80000478 + 8000032c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000330: 00200293 li t0,2 + 80000334: fc521ae3 bne tp,t0,80000308 + +0000000080000338 : + 80000338: 01300193 li gp,19 + 8000033c: 00000213 li tp,0 + 80000340: 00000117 auipc sp,0x0 + 80000344: 19010113 addi sp,sp,400 # 800004d0 <_etext> + 80000348: 000010b7 lui ra,0x1 + 8000034c: 2230809b addiw ra,ra,547 + 80000350: 00000013 nop + 80000354: 00111123 sh ra,2(sp) + 80000358: 00211703 lh a4,2(sp) + 8000035c: 000013b7 lui t2,0x1 + 80000360: 2233839b addiw t2,t2,547 + 80000364: 10771a63 bne a4,t2,80000478 + 80000368: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000036c: 00200293 li t0,2 + 80000370: fc5218e3 bne tp,t0,80000340 + +0000000080000374 : + 80000374: 01400193 li gp,20 + 80000378: 00000213 li tp,0 + 8000037c: 00000117 auipc sp,0x0 + 80000380: 15410113 addi sp,sp,340 # 800004d0 <_etext> + 80000384: 000010b7 lui ra,0x1 + 80000388: 1220809b addiw ra,ra,290 + 8000038c: 00000013 nop + 80000390: 00000013 nop + 80000394: 00111223 sh ra,4(sp) + 80000398: 00411703 lh a4,4(sp) + 8000039c: 000013b7 lui t2,0x1 + 800003a0: 1223839b addiw t2,t2,290 + 800003a4: 0c771a63 bne a4,t2,80000478 + 800003a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003ac: 00200293 li t0,2 + 800003b0: fc5216e3 bne tp,t0,8000037c + +00000000800003b4 : + 800003b4: 01500193 li gp,21 + 800003b8: 00000213 li tp,0 + 800003bc: 00000117 auipc sp,0x0 + 800003c0: 11410113 addi sp,sp,276 # 800004d0 <_etext> + 800003c4: 00000013 nop + 800003c8: 11200093 li ra,274 + 800003cc: 00111323 sh ra,6(sp) + 800003d0: 00611703 lh a4,6(sp) + 800003d4: 11200393 li t2,274 + 800003d8: 0a771063 bne a4,t2,80000478 + 800003dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003e0: 00200293 li t0,2 + 800003e4: fc521ce3 bne tp,t0,800003bc + +00000000800003e8 : + 800003e8: 01600193 li gp,22 + 800003ec: 00000213 li tp,0 + 800003f0: 00000117 auipc sp,0x0 + 800003f4: 0e010113 addi sp,sp,224 # 800004d0 <_etext> + 800003f8: 00000013 nop + 800003fc: 01100093 li ra,17 + 80000400: 00000013 nop + 80000404: 00111423 sh ra,8(sp) + 80000408: 00811703 lh a4,8(sp) + 8000040c: 01100393 li t2,17 + 80000410: 06771463 bne a4,t2,80000478 + 80000414: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000418: 00200293 li t0,2 + 8000041c: fc521ae3 bne tp,t0,800003f0 + +0000000080000420 : + 80000420: 01700193 li gp,23 + 80000424: 00000213 li tp,0 + 80000428: 00000117 auipc sp,0x0 + 8000042c: 0a810113 addi sp,sp,168 # 800004d0 <_etext> + 80000430: 00000013 nop + 80000434: 00000013 nop + 80000438: 000030b7 lui ra,0x3 + 8000043c: 0010809b addiw ra,ra,1 + 80000440: 00111523 sh ra,10(sp) + 80000444: 00a11703 lh a4,10(sp) + 80000448: 000033b7 lui t2,0x3 + 8000044c: 0013839b addiw t2,t2,1 + 80000450: 02771463 bne a4,t2,80000478 + 80000454: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000458: 00200293 li t0,2 + 8000045c: fc5216e3 bne tp,t0,80000428 + 80000460: 0000c537 lui a0,0xc + 80000464: eef5051b addiw a0,a0,-273 + 80000468: 00000597 auipc a1,0x0 + 8000046c: 06858593 addi a1,a1,104 # 800004d0 <_etext> + 80000470: 00a59323 sh a0,6(a1) + 80000474: 00301663 bne zero,gp,80000480 + +0000000080000478 : + 80000478: 00100513 li a0,1 + 8000047c: 0480006f j 800004c4 + +0000000080000480 : + 80000480: 00000513 li a0,0 + 80000484: 0400006f j 800004c4 + 80000488: c0001073 unimp + ... + +00000000800004c4 : + 800004c4: 00050513 mv a0,a0 + 800004c8: 0000006b 0x6b + 800004cc: 0000006f j 800004cc diff --git a/bin/non-output/riscv-tests/simple-riscv-tests.bin b/bin/non-output/riscv-tests/simple-riscv-tests.bin new file mode 100755 index 0000000..aac87a9 Binary files /dev/null and b/bin/non-output/riscv-tests/simple-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/simple-riscv-tests.elf b/bin/non-output/riscv-tests/simple-riscv-tests.elf new file mode 100755 index 0000000..a0b5257 Binary files /dev/null and b/bin/non-output/riscv-tests/simple-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/simple-riscv-tests.txt b/bin/non-output/riscv-tests/simple-riscv-tests.txt new file mode 100755 index 0000000..59c5787 --- /dev/null +++ b/bin/non-output/riscv-tests/simple-riscv-tests.txt @@ -0,0 +1,16 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/simple-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000513 li a0,0 + 80000004: 0400006f j 80000044 + 80000008: c0001073 unimp + ... + +0000000080000044 : + 80000044: 00050513 mv a0,a0 + 80000048: 0000006b 0x6b + 8000004c: 0000006f j 8000004c diff --git a/bin/non-output/riscv-tests/sll-riscv-tests.bin b/bin/non-output/riscv-tests/sll-riscv-tests.bin new file mode 100755 index 0000000..ff61ddc Binary files /dev/null and b/bin/non-output/riscv-tests/sll-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sll-riscv-tests.elf b/bin/non-output/riscv-tests/sll-riscv-tests.elf new file mode 100755 index 0000000..47b6fe3 Binary files /dev/null and b/bin/non-output/riscv-tests/sll-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sll-riscv-tests.txt b/bin/non-output/riscv-tests/sll-riscv-tests.txt new file mode 100755 index 0000000..427a09c --- /dev/null +++ b/bin/non-output/riscv-tests/sll-riscv-tests.txt @@ -0,0 +1,497 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sll-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00100093 li ra,1 + 80000004: 00000113 li sp,0 + 80000008: 00209733 sll a4,ra,sp + 8000000c: 00100393 li t2,1 + 80000010: 00200193 li gp,2 + 80000014: 5e771c63 bne a4,t2,8000060c + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 00209733 sll a4,ra,sp + 80000024: 00200393 li t2,2 + 80000028: 00300193 li gp,3 + 8000002c: 5e771063 bne a4,t2,8000060c + +0000000080000030 : + 80000030: 00100093 li ra,1 + 80000034: 00700113 li sp,7 + 80000038: 00209733 sll a4,ra,sp + 8000003c: 08000393 li t2,128 + 80000040: 00400193 li gp,4 + 80000044: 5c771463 bne a4,t2,8000060c + +0000000080000048 : + 80000048: 00100093 li ra,1 + 8000004c: 00e00113 li sp,14 + 80000050: 00209733 sll a4,ra,sp + 80000054: 000043b7 lui t2,0x4 + 80000058: 00500193 li gp,5 + 8000005c: 5a771863 bne a4,t2,8000060c + +0000000080000060 : + 80000060: 00100093 li ra,1 + 80000064: 01f00113 li sp,31 + 80000068: 00209733 sll a4,ra,sp + 8000006c: 0010039b addiw t2,zero,1 + 80000070: 01f39393 slli t2,t2,0x1f + 80000074: 00600193 li gp,6 + 80000078: 58771a63 bne a4,t2,8000060c + +000000008000007c : + 8000007c: fff00093 li ra,-1 + 80000080: 00000113 li sp,0 + 80000084: 00209733 sll a4,ra,sp + 80000088: fff00393 li t2,-1 + 8000008c: 00700193 li gp,7 + 80000090: 56771e63 bne a4,t2,8000060c + +0000000080000094 : + 80000094: fff00093 li ra,-1 + 80000098: 00100113 li sp,1 + 8000009c: 00209733 sll a4,ra,sp + 800000a0: ffe00393 li t2,-2 + 800000a4: 00800193 li gp,8 + 800000a8: 56771263 bne a4,t2,8000060c + +00000000800000ac : + 800000ac: fff00093 li ra,-1 + 800000b0: 00700113 li sp,7 + 800000b4: 00209733 sll a4,ra,sp + 800000b8: f8000393 li t2,-128 + 800000bc: 00900193 li gp,9 + 800000c0: 54771663 bne a4,t2,8000060c + +00000000800000c4 : + 800000c4: fff00093 li ra,-1 + 800000c8: 00e00113 li sp,14 + 800000cc: 00209733 sll a4,ra,sp + 800000d0: ffffc3b7 lui t2,0xffffc + 800000d4: 00a00193 li gp,10 + 800000d8: 52771a63 bne a4,t2,8000060c + +00000000800000dc : + 800000dc: fff00093 li ra,-1 + 800000e0: 01f00113 li sp,31 + 800000e4: 00209733 sll a4,ra,sp + 800000e8: 800003b7 lui t2,0x80000 + 800000ec: 00b00193 li gp,11 + 800000f0: 50771e63 bne a4,t2,8000060c + +00000000800000f4 : + 800000f4: 212120b7 lui ra,0x21212 + 800000f8: 1210809b addiw ra,ra,289 + 800000fc: 00000113 li sp,0 + 80000100: 00209733 sll a4,ra,sp + 80000104: 212123b7 lui t2,0x21212 + 80000108: 1213839b addiw t2,t2,289 + 8000010c: 00c00193 li gp,12 + 80000110: 4e771e63 bne a4,t2,8000060c + +0000000080000114 : + 80000114: 212120b7 lui ra,0x21212 + 80000118: 1210809b addiw ra,ra,289 + 8000011c: 00100113 li sp,1 + 80000120: 00209733 sll a4,ra,sp + 80000124: 424243b7 lui t2,0x42424 + 80000128: 2423839b addiw t2,t2,578 + 8000012c: 00d00193 li gp,13 + 80000130: 4c771e63 bne a4,t2,8000060c + +0000000080000134 : + 80000134: 212120b7 lui ra,0x21212 + 80000138: 1210809b addiw ra,ra,289 + 8000013c: 00700113 li sp,7 + 80000140: 00209733 sll a4,ra,sp + 80000144: 010913b7 lui t2,0x1091 + 80000148: 9093839b addiw t2,t2,-1783 + 8000014c: 00c39393 slli t2,t2,0xc + 80000150: 08038393 addi t2,t2,128 # 1091080 <_entry_offset+0x1091080> + 80000154: 00e00193 li gp,14 + 80000158: 4a771a63 bne a4,t2,8000060c + +000000008000015c : + 8000015c: 212120b7 lui ra,0x21212 + 80000160: 1210809b addiw ra,ra,289 + 80000164: 00e00113 li sp,14 + 80000168: 00209733 sll a4,ra,sp + 8000016c: 212123b7 lui t2,0x21212 + 80000170: 1213839b addiw t2,t2,289 + 80000174: 00e39393 slli t2,t2,0xe + 80000178: 00f00193 li gp,15 + 8000017c: 48771863 bne a4,t2,8000060c + +0000000080000180 : + 80000180: 212120b7 lui ra,0x21212 + 80000184: 1210809b addiw ra,ra,289 + 80000188: 01f00113 li sp,31 + 8000018c: 00209733 sll a4,ra,sp + 80000190: 212123b7 lui t2,0x21212 + 80000194: 1213839b addiw t2,t2,289 + 80000198: 01f39393 slli t2,t2,0x1f + 8000019c: 01000193 li gp,16 + 800001a0: 46771663 bne a4,t2,8000060c + +00000000800001a4 : + 800001a4: 212120b7 lui ra,0x21212 + 800001a8: 1210809b addiw ra,ra,289 + 800001ac: fc000113 li sp,-64 + 800001b0: 00209733 sll a4,ra,sp + 800001b4: 212123b7 lui t2,0x21212 + 800001b8: 1213839b addiw t2,t2,289 + 800001bc: 01100193 li gp,17 + 800001c0: 44771663 bne a4,t2,8000060c + +00000000800001c4 : + 800001c4: 212120b7 lui ra,0x21212 + 800001c8: 1210809b addiw ra,ra,289 + 800001cc: fc100113 li sp,-63 + 800001d0: 00209733 sll a4,ra,sp + 800001d4: 424243b7 lui t2,0x42424 + 800001d8: 2423839b addiw t2,t2,578 + 800001dc: 01200193 li gp,18 + 800001e0: 42771663 bne a4,t2,8000060c + +00000000800001e4 : + 800001e4: 212120b7 lui ra,0x21212 + 800001e8: 1210809b addiw ra,ra,289 + 800001ec: fc700113 li sp,-57 + 800001f0: 00209733 sll a4,ra,sp + 800001f4: 010913b7 lui t2,0x1091 + 800001f8: 9093839b addiw t2,t2,-1783 + 800001fc: 00c39393 slli t2,t2,0xc + 80000200: 08038393 addi t2,t2,128 # 1091080 <_entry_offset+0x1091080> + 80000204: 01300193 li gp,19 + 80000208: 40771263 bne a4,t2,8000060c + +000000008000020c : + 8000020c: 212120b7 lui ra,0x21212 + 80000210: 1210809b addiw ra,ra,289 + 80000214: fce00113 li sp,-50 + 80000218: 00209733 sll a4,ra,sp + 8000021c: 212123b7 lui t2,0x21212 + 80000220: 1213839b addiw t2,t2,289 + 80000224: 00e39393 slli t2,t2,0xe + 80000228: 01400193 li gp,20 + 8000022c: 3e771063 bne a4,t2,8000060c + +0000000080000230 : + 80000230: 212120b7 lui ra,0x21212 + 80000234: 1210809b addiw ra,ra,289 + 80000238: fff00113 li sp,-1 + 8000023c: 00209733 sll a4,ra,sp + 80000240: fff0039b addiw t2,zero,-1 + 80000244: 03f39393 slli t2,t2,0x3f + 80000248: 01500193 li gp,21 + 8000024c: 3c771063 bne a4,t2,8000060c + +0000000080000250 : + 80000250: 00100093 li ra,1 + 80000254: 03f00113 li sp,63 + 80000258: 00209733 sll a4,ra,sp + 8000025c: fff0039b addiw t2,zero,-1 + 80000260: 03f39393 slli t2,t2,0x3f + 80000264: 03200193 li gp,50 + 80000268: 3a771263 bne a4,t2,8000060c + +000000008000026c : + 8000026c: fff00093 li ra,-1 + 80000270: 02700113 li sp,39 + 80000274: 00209733 sll a4,ra,sp + 80000278: fff0039b addiw t2,zero,-1 + 8000027c: 02739393 slli t2,t2,0x27 + 80000280: 03300193 li gp,51 + 80000284: 38771463 bne a4,t2,8000060c + +0000000080000288 : + 80000288: 212120b7 lui ra,0x21212 + 8000028c: 1210809b addiw ra,ra,289 + 80000290: 02b00113 li sp,43 + 80000294: 00209733 sll a4,ra,sp + 80000298: 000123b7 lui t2,0x12 + 8000029c: 1213839b addiw t2,t2,289 + 800002a0: 02b39393 slli t2,t2,0x2b + 800002a4: 03400193 li gp,52 + 800002a8: 36771263 bne a4,t2,8000060c + +00000000800002ac : + 800002ac: 00100093 li ra,1 + 800002b0: 00700113 li sp,7 + 800002b4: 002090b3 sll ra,ra,sp + 800002b8: 08000393 li t2,128 + 800002bc: 01600193 li gp,22 + 800002c0: 34709663 bne ra,t2,8000060c + +00000000800002c4 : + 800002c4: 00100093 li ra,1 + 800002c8: 00e00113 li sp,14 + 800002cc: 00209133 sll sp,ra,sp + 800002d0: 000043b7 lui t2,0x4 + 800002d4: 01700193 li gp,23 + 800002d8: 32711a63 bne sp,t2,8000060c + +00000000800002dc : + 800002dc: 00300093 li ra,3 + 800002e0: 001090b3 sll ra,ra,ra + 800002e4: 01800393 li t2,24 + 800002e8: 01800193 li gp,24 + 800002ec: 32709063 bne ra,t2,8000060c + +00000000800002f0 : + 800002f0: 00000213 li tp,0 + 800002f4: 00100093 li ra,1 + 800002f8: 00700113 li sp,7 + 800002fc: 00209733 sll a4,ra,sp + 80000300: 00070313 mv t1,a4 + 80000304: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000308: 00200293 li t0,2 + 8000030c: fe5214e3 bne tp,t0,800002f4 + 80000310: 08000393 li t2,128 + 80000314: 01900193 li gp,25 + 80000318: 2e731a63 bne t1,t2,8000060c + +000000008000031c : + 8000031c: 00000213 li tp,0 + 80000320: 00100093 li ra,1 + 80000324: 00e00113 li sp,14 + 80000328: 00209733 sll a4,ra,sp + 8000032c: 00000013 nop + 80000330: 00070313 mv t1,a4 + 80000334: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000338: 00200293 li t0,2 + 8000033c: fe5212e3 bne tp,t0,80000320 + 80000340: 000043b7 lui t2,0x4 + 80000344: 01a00193 li gp,26 + 80000348: 2c731263 bne t1,t2,8000060c + +000000008000034c : + 8000034c: 00000213 li tp,0 + 80000350: 00100093 li ra,1 + 80000354: 01f00113 li sp,31 + 80000358: 00209733 sll a4,ra,sp + 8000035c: 00000013 nop + 80000360: 00000013 nop + 80000364: 00070313 mv t1,a4 + 80000368: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000036c: 00200293 li t0,2 + 80000370: fe5210e3 bne tp,t0,80000350 + 80000374: 0010039b addiw t2,zero,1 + 80000378: 01f39393 slli t2,t2,0x1f + 8000037c: 01b00193 li gp,27 + 80000380: 28731663 bne t1,t2,8000060c + +0000000080000384 : + 80000384: 00000213 li tp,0 + 80000388: 00100093 li ra,1 + 8000038c: 00700113 li sp,7 + 80000390: 00209733 sll a4,ra,sp + 80000394: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000398: 00200293 li t0,2 + 8000039c: fe5216e3 bne tp,t0,80000388 + 800003a0: 08000393 li t2,128 + 800003a4: 01c00193 li gp,28 + 800003a8: 26771263 bne a4,t2,8000060c + +00000000800003ac : + 800003ac: 00000213 li tp,0 + 800003b0: 00100093 li ra,1 + 800003b4: 00e00113 li sp,14 + 800003b8: 00000013 nop + 800003bc: 00209733 sll a4,ra,sp + 800003c0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003c4: 00200293 li t0,2 + 800003c8: fe5214e3 bne tp,t0,800003b0 + 800003cc: 000043b7 lui t2,0x4 + 800003d0: 01d00193 li gp,29 + 800003d4: 22771c63 bne a4,t2,8000060c + +00000000800003d8 : + 800003d8: 00000213 li tp,0 + 800003dc: 00100093 li ra,1 + 800003e0: 01f00113 li sp,31 + 800003e4: 00000013 nop + 800003e8: 00000013 nop + 800003ec: 00209733 sll a4,ra,sp + 800003f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003f4: 00200293 li t0,2 + 800003f8: fe5212e3 bne tp,t0,800003dc + 800003fc: 0010039b addiw t2,zero,1 + 80000400: 01f39393 slli t2,t2,0x1f + 80000404: 01e00193 li gp,30 + 80000408: 20771263 bne a4,t2,8000060c + +000000008000040c : + 8000040c: 00000213 li tp,0 + 80000410: 00100093 li ra,1 + 80000414: 00000013 nop + 80000418: 00700113 li sp,7 + 8000041c: 00209733 sll a4,ra,sp + 80000420: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000424: 00200293 li t0,2 + 80000428: fe5214e3 bne tp,t0,80000410 + 8000042c: 08000393 li t2,128 + 80000430: 01f00193 li gp,31 + 80000434: 1c771c63 bne a4,t2,8000060c + +0000000080000438 : + 80000438: 00000213 li tp,0 + 8000043c: 00100093 li ra,1 + 80000440: 00000013 nop + 80000444: 00e00113 li sp,14 + 80000448: 00000013 nop + 8000044c: 00209733 sll a4,ra,sp + 80000450: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000454: 00200293 li t0,2 + 80000458: fe5212e3 bne tp,t0,8000043c + 8000045c: 000043b7 lui t2,0x4 + 80000460: 02000193 li gp,32 + 80000464: 1a771463 bne a4,t2,8000060c + +0000000080000468 : + 80000468: 00000213 li tp,0 + 8000046c: 00100093 li ra,1 + 80000470: 00000013 nop + 80000474: 00000013 nop + 80000478: 01f00113 li sp,31 + 8000047c: 00209733 sll a4,ra,sp + 80000480: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000484: 00200293 li t0,2 + 80000488: fe5212e3 bne tp,t0,8000046c + 8000048c: 0010039b addiw t2,zero,1 + 80000490: 01f39393 slli t2,t2,0x1f + 80000494: 02100193 li gp,33 + 80000498: 16771a63 bne a4,t2,8000060c + +000000008000049c : + 8000049c: 00000213 li tp,0 + 800004a0: 00700113 li sp,7 + 800004a4: 00100093 li ra,1 + 800004a8: 00209733 sll a4,ra,sp + 800004ac: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004b0: 00200293 li t0,2 + 800004b4: fe5216e3 bne tp,t0,800004a0 + 800004b8: 08000393 li t2,128 + 800004bc: 02200193 li gp,34 + 800004c0: 14771663 bne a4,t2,8000060c + +00000000800004c4 : + 800004c4: 00000213 li tp,0 + 800004c8: 00e00113 li sp,14 + 800004cc: 00100093 li ra,1 + 800004d0: 00000013 nop + 800004d4: 00209733 sll a4,ra,sp + 800004d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004dc: 00200293 li t0,2 + 800004e0: fe5214e3 bne tp,t0,800004c8 + 800004e4: 000043b7 lui t2,0x4 + 800004e8: 02300193 li gp,35 + 800004ec: 12771063 bne a4,t2,8000060c + +00000000800004f0 : + 800004f0: 00000213 li tp,0 + 800004f4: 01f00113 li sp,31 + 800004f8: 00100093 li ra,1 + 800004fc: 00000013 nop + 80000500: 00000013 nop + 80000504: 00209733 sll a4,ra,sp + 80000508: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000050c: 00200293 li t0,2 + 80000510: fe5212e3 bne tp,t0,800004f4 + 80000514: 0010039b addiw t2,zero,1 + 80000518: 01f39393 slli t2,t2,0x1f + 8000051c: 02400193 li gp,36 + 80000520: 0e771663 bne a4,t2,8000060c + +0000000080000524 : + 80000524: 00000213 li tp,0 + 80000528: 00700113 li sp,7 + 8000052c: 00000013 nop + 80000530: 00100093 li ra,1 + 80000534: 00209733 sll a4,ra,sp + 80000538: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000053c: 00200293 li t0,2 + 80000540: fe5214e3 bne tp,t0,80000528 + 80000544: 08000393 li t2,128 + 80000548: 02500193 li gp,37 + 8000054c: 0c771063 bne a4,t2,8000060c + +0000000080000550 : + 80000550: 00000213 li tp,0 + 80000554: 00e00113 li sp,14 + 80000558: 00000013 nop + 8000055c: 00100093 li ra,1 + 80000560: 00000013 nop + 80000564: 00209733 sll a4,ra,sp + 80000568: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000056c: 00200293 li t0,2 + 80000570: fe5212e3 bne tp,t0,80000554 + 80000574: 000043b7 lui t2,0x4 + 80000578: 02600193 li gp,38 + 8000057c: 08771863 bne a4,t2,8000060c + +0000000080000580 : + 80000580: 00000213 li tp,0 + 80000584: 01f00113 li sp,31 + 80000588: 00000013 nop + 8000058c: 00000013 nop + 80000590: 00100093 li ra,1 + 80000594: 00209733 sll a4,ra,sp + 80000598: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000059c: 00200293 li t0,2 + 800005a0: fe5212e3 bne tp,t0,80000584 + 800005a4: 0010039b addiw t2,zero,1 + 800005a8: 01f39393 slli t2,t2,0x1f + 800005ac: 02700193 li gp,39 + 800005b0: 04771e63 bne a4,t2,8000060c + +00000000800005b4 : + 800005b4: 00f00093 li ra,15 + 800005b8: 00101133 sll sp,zero,ra + 800005bc: 00000393 li t2,0 + 800005c0: 02800193 li gp,40 + 800005c4: 04711463 bne sp,t2,8000060c + +00000000800005c8 : + 800005c8: 02000093 li ra,32 + 800005cc: 00009133 sll sp,ra,zero + 800005d0: 02000393 li t2,32 + 800005d4: 02900193 li gp,41 + 800005d8: 02711a63 bne sp,t2,8000060c + +00000000800005dc : + 800005dc: 000010b3 sll ra,zero,zero + 800005e0: 00000393 li t2,0 + 800005e4: 02a00193 li gp,42 + 800005e8: 02709263 bne ra,t2,8000060c + +00000000800005ec : + 800005ec: 40000093 li ra,1024 + 800005f0: 00001137 lui sp,0x1 + 800005f4: 8001011b addiw sp,sp,-2048 + 800005f8: 00209033 sll zero,ra,sp + 800005fc: 00000393 li t2,0 + 80000600: 02b00193 li gp,43 + 80000604: 00701463 bne zero,t2,8000060c + 80000608: 00301663 bne zero,gp,80000614 + +000000008000060c : + 8000060c: 00100513 li a0,1 + 80000610: 0340006f j 80000644 + +0000000080000614 : + 80000614: 00000513 li a0,0 + 80000618: 02c0006f j 80000644 + 8000061c: c0001073 unimp + ... + +0000000080000644 : + 80000644: 00050513 mv a0,a0 + 80000648: 0000006b 0x6b + 8000064c: 0000006f j 8000064c diff --git a/bin/non-output/riscv-tests/slli-riscv-tests.bin b/bin/non-output/riscv-tests/slli-riscv-tests.bin new file mode 100755 index 0000000..451a81b Binary files /dev/null and b/bin/non-output/riscv-tests/slli-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/slli-riscv-tests.elf b/bin/non-output/riscv-tests/slli-riscv-tests.elf new file mode 100755 index 0000000..5316a05 Binary files /dev/null and b/bin/non-output/riscv-tests/slli-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/slli-riscv-tests.txt b/bin/non-output/riscv-tests/slli-riscv-tests.txt new file mode 100755 index 0000000..3db1aad --- /dev/null +++ b/bin/non-output/riscv-tests/slli-riscv-tests.txt @@ -0,0 +1,264 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/slli-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00100093 li ra,1 + 80000004: 00009713 slli a4,ra,0x0 + 80000008: 00100393 li t2,1 + 8000000c: 00200193 li gp,2 + 80000010: 2e771463 bne a4,t2,800002f8 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 00109713 slli a4,ra,0x1 + 8000001c: 00200393 li t2,2 + 80000020: 00300193 li gp,3 + 80000024: 2c771a63 bne a4,t2,800002f8 + +0000000080000028 : + 80000028: 00100093 li ra,1 + 8000002c: 00709713 slli a4,ra,0x7 + 80000030: 08000393 li t2,128 + 80000034: 00400193 li gp,4 + 80000038: 2c771063 bne a4,t2,800002f8 + +000000008000003c : + 8000003c: 00100093 li ra,1 + 80000040: 00e09713 slli a4,ra,0xe + 80000044: 000043b7 lui t2,0x4 + 80000048: 00500193 li gp,5 + 8000004c: 2a771663 bne a4,t2,800002f8 + +0000000080000050 : + 80000050: 00100093 li ra,1 + 80000054: 01f09713 slli a4,ra,0x1f + 80000058: 0010039b addiw t2,zero,1 + 8000005c: 01f39393 slli t2,t2,0x1f + 80000060: 00600193 li gp,6 + 80000064: 28771a63 bne a4,t2,800002f8 + +0000000080000068 : + 80000068: fff00093 li ra,-1 + 8000006c: 00009713 slli a4,ra,0x0 + 80000070: fff00393 li t2,-1 + 80000074: 00700193 li gp,7 + 80000078: 28771063 bne a4,t2,800002f8 + +000000008000007c : + 8000007c: fff00093 li ra,-1 + 80000080: 00109713 slli a4,ra,0x1 + 80000084: ffe00393 li t2,-2 + 80000088: 00800193 li gp,8 + 8000008c: 26771663 bne a4,t2,800002f8 + +0000000080000090 : + 80000090: fff00093 li ra,-1 + 80000094: 00709713 slli a4,ra,0x7 + 80000098: f8000393 li t2,-128 + 8000009c: 00900193 li gp,9 + 800000a0: 24771c63 bne a4,t2,800002f8 + +00000000800000a4 : + 800000a4: fff00093 li ra,-1 + 800000a8: 00e09713 slli a4,ra,0xe + 800000ac: ffffc3b7 lui t2,0xffffc + 800000b0: 00a00193 li gp,10 + 800000b4: 24771263 bne a4,t2,800002f8 + +00000000800000b8 : + 800000b8: fff00093 li ra,-1 + 800000bc: 01f09713 slli a4,ra,0x1f + 800000c0: 800003b7 lui t2,0x80000 + 800000c4: 00b00193 li gp,11 + 800000c8: 22771863 bne a4,t2,800002f8 + +00000000800000cc : + 800000cc: 212120b7 lui ra,0x21212 + 800000d0: 1210809b addiw ra,ra,289 + 800000d4: 00009713 slli a4,ra,0x0 + 800000d8: 212123b7 lui t2,0x21212 + 800000dc: 1213839b addiw t2,t2,289 + 800000e0: 00c00193 li gp,12 + 800000e4: 20771a63 bne a4,t2,800002f8 + +00000000800000e8 : + 800000e8: 212120b7 lui ra,0x21212 + 800000ec: 1210809b addiw ra,ra,289 + 800000f0: 00109713 slli a4,ra,0x1 + 800000f4: 424243b7 lui t2,0x42424 + 800000f8: 2423839b addiw t2,t2,578 + 800000fc: 00d00193 li gp,13 + 80000100: 1e771c63 bne a4,t2,800002f8 + +0000000080000104 : + 80000104: 212120b7 lui ra,0x21212 + 80000108: 1210809b addiw ra,ra,289 + 8000010c: 00709713 slli a4,ra,0x7 + 80000110: 010913b7 lui t2,0x1091 + 80000114: 9093839b addiw t2,t2,-1783 + 80000118: 00c39393 slli t2,t2,0xc + 8000011c: 08038393 addi t2,t2,128 # 1091080 <_entry_offset+0x1091080> + 80000120: 00e00193 li gp,14 + 80000124: 1c771a63 bne a4,t2,800002f8 + +0000000080000128 : + 80000128: 212120b7 lui ra,0x21212 + 8000012c: 1210809b addiw ra,ra,289 + 80000130: 00e09713 slli a4,ra,0xe + 80000134: 212123b7 lui t2,0x21212 + 80000138: 1213839b addiw t2,t2,289 + 8000013c: 00e39393 slli t2,t2,0xe + 80000140: 00f00193 li gp,15 + 80000144: 1a771a63 bne a4,t2,800002f8 + +0000000080000148 : + 80000148: 212120b7 lui ra,0x21212 + 8000014c: 1210809b addiw ra,ra,289 + 80000150: 01f09713 slli a4,ra,0x1f + 80000154: 212123b7 lui t2,0x21212 + 80000158: 1213839b addiw t2,t2,289 + 8000015c: 01f39393 slli t2,t2,0x1f + 80000160: 01000193 li gp,16 + 80000164: 18771a63 bne a4,t2,800002f8 + +0000000080000168 : + 80000168: 00100093 li ra,1 + 8000016c: 03f09713 slli a4,ra,0x3f + 80000170: fff0039b addiw t2,zero,-1 + 80000174: 03f39393 slli t2,t2,0x3f + 80000178: 03200193 li gp,50 + 8000017c: 16771e63 bne a4,t2,800002f8 + +0000000080000180 : + 80000180: fff00093 li ra,-1 + 80000184: 02709713 slli a4,ra,0x27 + 80000188: fff0039b addiw t2,zero,-1 + 8000018c: 02739393 slli t2,t2,0x27 + 80000190: 03300193 li gp,51 + 80000194: 16771263 bne a4,t2,800002f8 + +0000000080000198 : + 80000198: 212120b7 lui ra,0x21212 + 8000019c: 1210809b addiw ra,ra,289 + 800001a0: 02b09713 slli a4,ra,0x2b + 800001a4: 000123b7 lui t2,0x12 + 800001a8: 1213839b addiw t2,t2,289 + 800001ac: 02b39393 slli t2,t2,0x2b + 800001b0: 03400193 li gp,52 + 800001b4: 14771263 bne a4,t2,800002f8 + +00000000800001b8 : + 800001b8: 00100093 li ra,1 + 800001bc: 00709093 slli ra,ra,0x7 + 800001c0: 08000393 li t2,128 + 800001c4: 01100193 li gp,17 + 800001c8: 12709863 bne ra,t2,800002f8 + +00000000800001cc : + 800001cc: 00000213 li tp,0 + 800001d0: 00100093 li ra,1 + 800001d4: 00709713 slli a4,ra,0x7 + 800001d8: 00070313 mv t1,a4 + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5216e3 bne tp,t0,800001d0 + 800001e8: 08000393 li t2,128 + 800001ec: 01200193 li gp,18 + 800001f0: 10731463 bne t1,t2,800002f8 + +00000000800001f4 : + 800001f4: 00000213 li tp,0 + 800001f8: 00100093 li ra,1 + 800001fc: 00e09713 slli a4,ra,0xe + 80000200: 00000013 nop + 80000204: 00070313 mv t1,a4 + 80000208: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000020c: 00200293 li t0,2 + 80000210: fe5214e3 bne tp,t0,800001f8 + 80000214: 000043b7 lui t2,0x4 + 80000218: 01300193 li gp,19 + 8000021c: 0c731e63 bne t1,t2,800002f8 + +0000000080000220 : + 80000220: 00000213 li tp,0 + 80000224: 00100093 li ra,1 + 80000228: 01f09713 slli a4,ra,0x1f + 8000022c: 00000013 nop + 80000230: 00000013 nop + 80000234: 00070313 mv t1,a4 + 80000238: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000023c: 00200293 li t0,2 + 80000240: fe5212e3 bne tp,t0,80000224 + 80000244: 0010039b addiw t2,zero,1 + 80000248: 01f39393 slli t2,t2,0x1f + 8000024c: 01400193 li gp,20 + 80000250: 0a731463 bne t1,t2,800002f8 + +0000000080000254 : + 80000254: 00000213 li tp,0 + 80000258: 00100093 li ra,1 + 8000025c: 00709713 slli a4,ra,0x7 + 80000260: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000264: 00200293 li t0,2 + 80000268: fe5218e3 bne tp,t0,80000258 + 8000026c: 08000393 li t2,128 + 80000270: 01500193 li gp,21 + 80000274: 08771263 bne a4,t2,800002f8 + +0000000080000278 : + 80000278: 00000213 li tp,0 + 8000027c: 00100093 li ra,1 + 80000280: 00000013 nop + 80000284: 00e09713 slli a4,ra,0xe + 80000288: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000028c: 00200293 li t0,2 + 80000290: fe5216e3 bne tp,t0,8000027c + 80000294: 000043b7 lui t2,0x4 + 80000298: 01600193 li gp,22 + 8000029c: 04771e63 bne a4,t2,800002f8 + +00000000800002a0 : + 800002a0: 00000213 li tp,0 + 800002a4: 00100093 li ra,1 + 800002a8: 00000013 nop + 800002ac: 00000013 nop + 800002b0: 01f09713 slli a4,ra,0x1f + 800002b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002b8: 00200293 li t0,2 + 800002bc: fe5214e3 bne tp,t0,800002a4 + 800002c0: 0010039b addiw t2,zero,1 + 800002c4: 01f39393 slli t2,t2,0x1f + 800002c8: 01700193 li gp,23 + 800002cc: 02771663 bne a4,t2,800002f8 + +00000000800002d0 : + 800002d0: 01f01093 slli ra,zero,0x1f + 800002d4: 00000393 li t2,0 + 800002d8: 01800193 li gp,24 + 800002dc: 00709e63 bne ra,t2,800002f8 + +00000000800002e0 : + 800002e0: 02100093 li ra,33 + 800002e4: 01409013 slli zero,ra,0x14 + 800002e8: 00000393 li t2,0 + 800002ec: 01900193 li gp,25 + 800002f0: 00701463 bne zero,t2,800002f8 + 800002f4: 00301663 bne zero,gp,80000300 + +00000000800002f8 : + 800002f8: 00100513 li a0,1 + 800002fc: 0480006f j 80000344 + +0000000080000300 : + 80000300: 00000513 li a0,0 + 80000304: 0400006f j 80000344 + 80000308: c0001073 unimp + ... + +0000000080000344 : + 80000344: 00050513 mv a0,a0 + 80000348: 0000006b 0x6b + 8000034c: 0000006f j 8000034c diff --git a/bin/non-output/riscv-tests/slliw-riscv-tests.bin b/bin/non-output/riscv-tests/slliw-riscv-tests.bin new file mode 100755 index 0000000..17ce471 Binary files /dev/null and b/bin/non-output/riscv-tests/slliw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/slliw-riscv-tests.elf b/bin/non-output/riscv-tests/slliw-riscv-tests.elf new file mode 100755 index 0000000..96f0789 Binary files /dev/null and b/bin/non-output/riscv-tests/slliw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/slliw-riscv-tests.txt b/bin/non-output/riscv-tests/slliw-riscv-tests.txt new file mode 100755 index 0000000..aede5ae --- /dev/null +++ b/bin/non-output/riscv-tests/slliw-riscv-tests.txt @@ -0,0 +1,273 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/slliw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00100093 li ra,1 + 80000004: 0000971b slliw a4,ra,0x0 + 80000008: 00100393 li t2,1 + 8000000c: 00200193 li gp,2 + 80000010: 30771263 bne a4,t2,80000314 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 0010971b slliw a4,ra,0x1 + 8000001c: 00200393 li t2,2 + 80000020: 00300193 li gp,3 + 80000024: 2e771863 bne a4,t2,80000314 + +0000000080000028 : + 80000028: 00100093 li ra,1 + 8000002c: 0070971b slliw a4,ra,0x7 + 80000030: 08000393 li t2,128 + 80000034: 00400193 li gp,4 + 80000038: 2c771e63 bne a4,t2,80000314 + +000000008000003c : + 8000003c: 00100093 li ra,1 + 80000040: 00e0971b slliw a4,ra,0xe + 80000044: 000043b7 lui t2,0x4 + 80000048: 00500193 li gp,5 + 8000004c: 2c771463 bne a4,t2,80000314 + +0000000080000050 : + 80000050: 00100093 li ra,1 + 80000054: 01f0971b slliw a4,ra,0x1f + 80000058: 800003b7 lui t2,0x80000 + 8000005c: 00600193 li gp,6 + 80000060: 2a771a63 bne a4,t2,80000314 + +0000000080000064 : + 80000064: fff00093 li ra,-1 + 80000068: 0000971b slliw a4,ra,0x0 + 8000006c: fff00393 li t2,-1 + 80000070: 00700193 li gp,7 + 80000074: 2a771063 bne a4,t2,80000314 + +0000000080000078 : + 80000078: fff00093 li ra,-1 + 8000007c: 0010971b slliw a4,ra,0x1 + 80000080: ffe00393 li t2,-2 + 80000084: 00800193 li gp,8 + 80000088: 28771663 bne a4,t2,80000314 + +000000008000008c : + 8000008c: fff00093 li ra,-1 + 80000090: 0070971b slliw a4,ra,0x7 + 80000094: f8000393 li t2,-128 + 80000098: 00900193 li gp,9 + 8000009c: 26771c63 bne a4,t2,80000314 + +00000000800000a0 : + 800000a0: fff00093 li ra,-1 + 800000a4: 00e0971b slliw a4,ra,0xe + 800000a8: ffffc3b7 lui t2,0xffffc + 800000ac: 00a00193 li gp,10 + 800000b0: 26771263 bne a4,t2,80000314 + +00000000800000b4 : + 800000b4: fff00093 li ra,-1 + 800000b8: 01f0971b slliw a4,ra,0x1f + 800000bc: 800003b7 lui t2,0x80000 + 800000c0: 00b00193 li gp,11 + 800000c4: 24771863 bne a4,t2,80000314 + +00000000800000c8 : + 800000c8: 212120b7 lui ra,0x21212 + 800000cc: 1210809b addiw ra,ra,289 + 800000d0: 0000971b slliw a4,ra,0x0 + 800000d4: 212123b7 lui t2,0x21212 + 800000d8: 1213839b addiw t2,t2,289 + 800000dc: 00c00193 li gp,12 + 800000e0: 22771a63 bne a4,t2,80000314 + +00000000800000e4 : + 800000e4: 212120b7 lui ra,0x21212 + 800000e8: 1210809b addiw ra,ra,289 + 800000ec: 0010971b slliw a4,ra,0x1 + 800000f0: 424243b7 lui t2,0x42424 + 800000f4: 2423839b addiw t2,t2,578 + 800000f8: 00d00193 li gp,13 + 800000fc: 20771c63 bne a4,t2,80000314 + +0000000080000100 : + 80000100: 212120b7 lui ra,0x21212 + 80000104: 1210809b addiw ra,ra,289 + 80000108: 0070971b slliw a4,ra,0x7 + 8000010c: 909093b7 lui t2,0x90909 + 80000110: 0803839b addiw t2,t2,128 + 80000114: 00e00193 li gp,14 + 80000118: 1e771e63 bne a4,t2,80000314 + +000000008000011c : + 8000011c: 212120b7 lui ra,0x21212 + 80000120: 1210809b addiw ra,ra,289 + 80000124: 00e0971b slliw a4,ra,0xe + 80000128: 484843b7 lui t2,0x48484 + 8000012c: 00f00193 li gp,15 + 80000130: 1e771263 bne a4,t2,80000314 + +0000000080000134 : + 80000134: 212120b7 lui ra,0x21212 + 80000138: 1210809b addiw ra,ra,289 + 8000013c: 01f0971b slliw a4,ra,0x1f + 80000140: 800003b7 lui t2,0x80000 + 80000144: 01000193 li gp,16 + 80000148: 1c771663 bne a4,t2,80000314 + +000000008000014c : + 8000014c: fff120b7 lui ra,0xfff12 + 80000150: 3450809b addiw ra,ra,837 + 80000154: 00c09093 slli ra,ra,0xc + 80000158: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 8000015c: 0000971b slliw a4,ra,0x0 + 80000160: 123453b7 lui t2,0x12345 + 80000164: 6783839b addiw t2,t2,1656 + 80000168: 02c00193 li gp,44 + 8000016c: 1a771463 bne a4,t2,80000314 + +0000000080000170 : + 80000170: fff120b7 lui ra,0xfff12 + 80000174: 3450809b addiw ra,ra,837 + 80000178: 00c09093 slli ra,ra,0xc + 8000017c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000180: 0040971b slliw a4,ra,0x4 + 80000184: 234563b7 lui t2,0x23456 + 80000188: 7803839b addiw t2,t2,1920 + 8000018c: 02d00193 li gp,45 + 80000190: 18771263 bne a4,t2,80000314 + +0000000080000194 : + 80000194: 000920b7 lui ra,0x92 + 80000198: 3450809b addiw ra,ra,837 + 8000019c: 00c09093 slli ra,ra,0xc + 800001a0: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800001a4: 0000971b slliw a4,ra,0x0 + 800001a8: 923453b7 lui t2,0x92345 + 800001ac: 6783839b addiw t2,t2,1656 + 800001b0: 02e00193 li gp,46 + 800001b4: 16771063 bne a4,t2,80000314 + +00000000800001b8 : + 800001b8: 000990b7 lui ra,0x99 + 800001bc: 3450809b addiw ra,ra,837 + 800001c0: 00c09093 slli ra,ra,0xc + 800001c4: 67808093 addi ra,ra,1656 # 99678 <_entry_offset+0x99678> + 800001c8: 0040971b slliw a4,ra,0x4 + 800001cc: 934563b7 lui t2,0x93456 + 800001d0: 7803839b addiw t2,t2,1920 + 800001d4: 02f00193 li gp,47 + 800001d8: 12771e63 bne a4,t2,80000314 + +00000000800001dc : + 800001dc: 00100093 li ra,1 + 800001e0: 0070909b slliw ra,ra,0x7 + 800001e4: 08000393 li t2,128 + 800001e8: 01100193 li gp,17 + 800001ec: 12709463 bne ra,t2,80000314 + +00000000800001f0 : + 800001f0: 00000213 li tp,0 + 800001f4: 00100093 li ra,1 + 800001f8: 0070971b slliw a4,ra,0x7 + 800001fc: 00070313 mv t1,a4 + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fe5216e3 bne tp,t0,800001f4 + 8000020c: 08000393 li t2,128 + 80000210: 01200193 li gp,18 + 80000214: 10731063 bne t1,t2,80000314 + +0000000080000218 : + 80000218: 00000213 li tp,0 + 8000021c: 00100093 li ra,1 + 80000220: 00e0971b slliw a4,ra,0xe + 80000224: 00000013 nop + 80000228: 00070313 mv t1,a4 + 8000022c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000230: 00200293 li t0,2 + 80000234: fe5214e3 bne tp,t0,8000021c + 80000238: 000043b7 lui t2,0x4 + 8000023c: 01300193 li gp,19 + 80000240: 0c731a63 bne t1,t2,80000314 + +0000000080000244 : + 80000244: 00000213 li tp,0 + 80000248: 00100093 li ra,1 + 8000024c: 01f0971b slliw a4,ra,0x1f + 80000250: 00000013 nop + 80000254: 00000013 nop + 80000258: 00070313 mv t1,a4 + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fe5212e3 bne tp,t0,80000248 + 80000268: 800003b7 lui t2,0x80000 + 8000026c: 01400193 li gp,20 + 80000270: 0a731263 bne t1,t2,80000314 + +0000000080000274 : + 80000274: 00000213 li tp,0 + 80000278: 00100093 li ra,1 + 8000027c: 0070971b slliw a4,ra,0x7 + 80000280: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000284: 00200293 li t0,2 + 80000288: fe5218e3 bne tp,t0,80000278 + 8000028c: 08000393 li t2,128 + 80000290: 01500193 li gp,21 + 80000294: 08771063 bne a4,t2,80000314 + +0000000080000298 : + 80000298: 00000213 li tp,0 + 8000029c: 00100093 li ra,1 + 800002a0: 00000013 nop + 800002a4: 00e0971b slliw a4,ra,0xe + 800002a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002ac: 00200293 li t0,2 + 800002b0: fe5216e3 bne tp,t0,8000029c + 800002b4: 000043b7 lui t2,0x4 + 800002b8: 01600193 li gp,22 + 800002bc: 04771c63 bne a4,t2,80000314 + +00000000800002c0 : + 800002c0: 00000213 li tp,0 + 800002c4: 00100093 li ra,1 + 800002c8: 00000013 nop + 800002cc: 00000013 nop + 800002d0: 01f0971b slliw a4,ra,0x1f + 800002d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002d8: 00200293 li t0,2 + 800002dc: fe5214e3 bne tp,t0,800002c4 + 800002e0: 800003b7 lui t2,0x80000 + 800002e4: 01700193 li gp,23 + 800002e8: 02771663 bne a4,t2,80000314 + +00000000800002ec : + 800002ec: 01f0109b slliw ra,zero,0x1f + 800002f0: 00000393 li t2,0 + 800002f4: 01800193 li gp,24 + 800002f8: 00709e63 bne ra,t2,80000314 + +00000000800002fc : + 800002fc: 01f00093 li ra,31 + 80000300: 01c0901b slliw zero,ra,0x1c + 80000304: 00000393 li t2,0 + 80000308: 01900193 li gp,25 + 8000030c: 00701463 bne zero,t2,80000314 + 80000310: 00301663 bne zero,gp,8000031c + +0000000080000314 : + 80000314: 00100513 li a0,1 + 80000318: 02c0006f j 80000344 + +000000008000031c : + 8000031c: 00000513 li a0,0 + 80000320: 0240006f j 80000344 + 80000324: c0001073 unimp + ... + +0000000080000344 : + 80000344: 00050513 mv a0,a0 + 80000348: 0000006b 0x6b + 8000034c: 0000006f j 8000034c diff --git a/bin/non-output/riscv-tests/sllw-riscv-tests.bin b/bin/non-output/riscv-tests/sllw-riscv-tests.bin new file mode 100755 index 0000000..bdcd340 Binary files /dev/null and b/bin/non-output/riscv-tests/sllw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sllw-riscv-tests.elf b/bin/non-output/riscv-tests/sllw-riscv-tests.elf new file mode 100755 index 0000000..1bf6a70 Binary files /dev/null and b/bin/non-output/riscv-tests/sllw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sllw-riscv-tests.txt b/bin/non-output/riscv-tests/sllw-riscv-tests.txt new file mode 100755 index 0000000..51a5d12 --- /dev/null +++ b/bin/non-output/riscv-tests/sllw-riscv-tests.txt @@ -0,0 +1,499 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sllw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00100093 li ra,1 + 80000004: 00000113 li sp,0 + 80000008: 0020973b sllw a4,ra,sp + 8000000c: 00100393 li t2,1 + 80000010: 00200193 li gp,2 + 80000014: 5e771c63 bne a4,t2,8000060c + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 0020973b sllw a4,ra,sp + 80000024: 00200393 li t2,2 + 80000028: 00300193 li gp,3 + 8000002c: 5e771063 bne a4,t2,8000060c + +0000000080000030 : + 80000030: 00100093 li ra,1 + 80000034: 00700113 li sp,7 + 80000038: 0020973b sllw a4,ra,sp + 8000003c: 08000393 li t2,128 + 80000040: 00400193 li gp,4 + 80000044: 5c771463 bne a4,t2,8000060c + +0000000080000048 : + 80000048: 00100093 li ra,1 + 8000004c: 00e00113 li sp,14 + 80000050: 0020973b sllw a4,ra,sp + 80000054: 000043b7 lui t2,0x4 + 80000058: 00500193 li gp,5 + 8000005c: 5a771863 bne a4,t2,8000060c + +0000000080000060 : + 80000060: 00100093 li ra,1 + 80000064: 01f00113 li sp,31 + 80000068: 0020973b sllw a4,ra,sp + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 00600193 li gp,6 + 80000074: 58771c63 bne a4,t2,8000060c + +0000000080000078 : + 80000078: fff00093 li ra,-1 + 8000007c: 00000113 li sp,0 + 80000080: 0020973b sllw a4,ra,sp + 80000084: fff00393 li t2,-1 + 80000088: 00700193 li gp,7 + 8000008c: 58771063 bne a4,t2,8000060c + +0000000080000090 : + 80000090: fff00093 li ra,-1 + 80000094: 00100113 li sp,1 + 80000098: 0020973b sllw a4,ra,sp + 8000009c: ffe00393 li t2,-2 + 800000a0: 00800193 li gp,8 + 800000a4: 56771463 bne a4,t2,8000060c + +00000000800000a8 : + 800000a8: fff00093 li ra,-1 + 800000ac: 00700113 li sp,7 + 800000b0: 0020973b sllw a4,ra,sp + 800000b4: f8000393 li t2,-128 + 800000b8: 00900193 li gp,9 + 800000bc: 54771863 bne a4,t2,8000060c + +00000000800000c0 : + 800000c0: fff00093 li ra,-1 + 800000c4: 00e00113 li sp,14 + 800000c8: 0020973b sllw a4,ra,sp + 800000cc: ffffc3b7 lui t2,0xffffc + 800000d0: 00a00193 li gp,10 + 800000d4: 52771c63 bne a4,t2,8000060c + +00000000800000d8 : + 800000d8: fff00093 li ra,-1 + 800000dc: 01f00113 li sp,31 + 800000e0: 0020973b sllw a4,ra,sp + 800000e4: 800003b7 lui t2,0x80000 + 800000e8: 00b00193 li gp,11 + 800000ec: 52771063 bne a4,t2,8000060c + +00000000800000f0 : + 800000f0: 212120b7 lui ra,0x21212 + 800000f4: 1210809b addiw ra,ra,289 + 800000f8: 00000113 li sp,0 + 800000fc: 0020973b sllw a4,ra,sp + 80000100: 212123b7 lui t2,0x21212 + 80000104: 1213839b addiw t2,t2,289 + 80000108: 00c00193 li gp,12 + 8000010c: 50771063 bne a4,t2,8000060c + +0000000080000110 : + 80000110: 212120b7 lui ra,0x21212 + 80000114: 1210809b addiw ra,ra,289 + 80000118: 00100113 li sp,1 + 8000011c: 0020973b sllw a4,ra,sp + 80000120: 424243b7 lui t2,0x42424 + 80000124: 2423839b addiw t2,t2,578 + 80000128: 00d00193 li gp,13 + 8000012c: 4e771063 bne a4,t2,8000060c + +0000000080000130 : + 80000130: 212120b7 lui ra,0x21212 + 80000134: 1210809b addiw ra,ra,289 + 80000138: 00700113 li sp,7 + 8000013c: 0020973b sllw a4,ra,sp + 80000140: 909093b7 lui t2,0x90909 + 80000144: 0803839b addiw t2,t2,128 + 80000148: 00e00193 li gp,14 + 8000014c: 4c771063 bne a4,t2,8000060c + +0000000080000150 : + 80000150: 212120b7 lui ra,0x21212 + 80000154: 1210809b addiw ra,ra,289 + 80000158: 00e00113 li sp,14 + 8000015c: 0020973b sllw a4,ra,sp + 80000160: 484843b7 lui t2,0x48484 + 80000164: 00f00193 li gp,15 + 80000168: 4a771263 bne a4,t2,8000060c + +000000008000016c : + 8000016c: 212120b7 lui ra,0x21212 + 80000170: 1210809b addiw ra,ra,289 + 80000174: 01f00113 li sp,31 + 80000178: 0020973b sllw a4,ra,sp + 8000017c: 800003b7 lui t2,0x80000 + 80000180: 01000193 li gp,16 + 80000184: 48771463 bne a4,t2,8000060c + +0000000080000188 : + 80000188: 212120b7 lui ra,0x21212 + 8000018c: 1210809b addiw ra,ra,289 + 80000190: fe000113 li sp,-32 + 80000194: 0020973b sllw a4,ra,sp + 80000198: 212123b7 lui t2,0x21212 + 8000019c: 1213839b addiw t2,t2,289 + 800001a0: 01100193 li gp,17 + 800001a4: 46771463 bne a4,t2,8000060c + +00000000800001a8 : + 800001a8: 212120b7 lui ra,0x21212 + 800001ac: 1210809b addiw ra,ra,289 + 800001b0: fe100113 li sp,-31 + 800001b4: 0020973b sllw a4,ra,sp + 800001b8: 424243b7 lui t2,0x42424 + 800001bc: 2423839b addiw t2,t2,578 + 800001c0: 01200193 li gp,18 + 800001c4: 44771463 bne a4,t2,8000060c + +00000000800001c8 : + 800001c8: 212120b7 lui ra,0x21212 + 800001cc: 1210809b addiw ra,ra,289 + 800001d0: fe700113 li sp,-25 + 800001d4: 0020973b sllw a4,ra,sp + 800001d8: 909093b7 lui t2,0x90909 + 800001dc: 0803839b addiw t2,t2,128 + 800001e0: 01300193 li gp,19 + 800001e4: 42771463 bne a4,t2,8000060c + +00000000800001e8 : + 800001e8: 212120b7 lui ra,0x21212 + 800001ec: 1210809b addiw ra,ra,289 + 800001f0: fee00113 li sp,-18 + 800001f4: 0020973b sllw a4,ra,sp + 800001f8: 484843b7 lui t2,0x48484 + 800001fc: 01400193 li gp,20 + 80000200: 40771663 bne a4,t2,8000060c + +0000000080000204 : + 80000204: 212120b7 lui ra,0x21212 + 80000208: 1210809b addiw ra,ra,289 + 8000020c: fff00113 li sp,-1 + 80000210: 0020973b sllw a4,ra,sp + 80000214: 800003b7 lui t2,0x80000 + 80000218: 01500193 li gp,21 + 8000021c: 3e771863 bne a4,t2,8000060c + +0000000080000220 : + 80000220: fff120b7 lui ra,0xfff12 + 80000224: 3450809b addiw ra,ra,837 + 80000228: 00c09093 slli ra,ra,0xc + 8000022c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000230: 00000113 li sp,0 + 80000234: 0020973b sllw a4,ra,sp + 80000238: 123453b7 lui t2,0x12345 + 8000023c: 6783839b addiw t2,t2,1656 + 80000240: 02c00193 li gp,44 + 80000244: 3c771463 bne a4,t2,8000060c + +0000000080000248 : + 80000248: fff120b7 lui ra,0xfff12 + 8000024c: 3450809b addiw ra,ra,837 + 80000250: 00c09093 slli ra,ra,0xc + 80000254: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000258: 00400113 li sp,4 + 8000025c: 0020973b sllw a4,ra,sp + 80000260: 234563b7 lui t2,0x23456 + 80000264: 7803839b addiw t2,t2,1920 + 80000268: 02d00193 li gp,45 + 8000026c: 3a771063 bne a4,t2,8000060c + +0000000080000270 : + 80000270: 000920b7 lui ra,0x92 + 80000274: 3450809b addiw ra,ra,837 + 80000278: 00c09093 slli ra,ra,0xc + 8000027c: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 80000280: 00000113 li sp,0 + 80000284: 0020973b sllw a4,ra,sp + 80000288: 923453b7 lui t2,0x92345 + 8000028c: 6783839b addiw t2,t2,1656 + 80000290: 02e00193 li gp,46 + 80000294: 36771c63 bne a4,t2,8000060c + +0000000080000298 : + 80000298: 000990b7 lui ra,0x99 + 8000029c: 3450809b addiw ra,ra,837 + 800002a0: 00c09093 slli ra,ra,0xc + 800002a4: 67808093 addi ra,ra,1656 # 99678 <_entry_offset+0x99678> + 800002a8: 00400113 li sp,4 + 800002ac: 0020973b sllw a4,ra,sp + 800002b0: 934563b7 lui t2,0x93456 + 800002b4: 7803839b addiw t2,t2,1920 + 800002b8: 02f00193 li gp,47 + 800002bc: 34771863 bne a4,t2,8000060c + +00000000800002c0 : + 800002c0: 00100093 li ra,1 + 800002c4: 00700113 li sp,7 + 800002c8: 002090bb sllw ra,ra,sp + 800002cc: 08000393 li t2,128 + 800002d0: 01600193 li gp,22 + 800002d4: 32709c63 bne ra,t2,8000060c + +00000000800002d8 : + 800002d8: 00100093 li ra,1 + 800002dc: 00e00113 li sp,14 + 800002e0: 0020913b sllw sp,ra,sp + 800002e4: 000043b7 lui t2,0x4 + 800002e8: 01700193 li gp,23 + 800002ec: 32711063 bne sp,t2,8000060c + +00000000800002f0 : + 800002f0: 00300093 li ra,3 + 800002f4: 001090bb sllw ra,ra,ra + 800002f8: 01800393 li t2,24 + 800002fc: 01800193 li gp,24 + 80000300: 30709663 bne ra,t2,8000060c + +0000000080000304 : + 80000304: 00000213 li tp,0 + 80000308: 00100093 li ra,1 + 8000030c: 00700113 li sp,7 + 80000310: 0020973b sllw a4,ra,sp + 80000314: 00070313 mv t1,a4 + 80000318: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000031c: 00200293 li t0,2 + 80000320: fe5214e3 bne tp,t0,80000308 + 80000324: 08000393 li t2,128 + 80000328: 01900193 li gp,25 + 8000032c: 2e731063 bne t1,t2,8000060c + +0000000080000330 : + 80000330: 00000213 li tp,0 + 80000334: 00100093 li ra,1 + 80000338: 00e00113 li sp,14 + 8000033c: 0020973b sllw a4,ra,sp + 80000340: 00000013 nop + 80000344: 00070313 mv t1,a4 + 80000348: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000034c: 00200293 li t0,2 + 80000350: fe5212e3 bne tp,t0,80000334 + 80000354: 000043b7 lui t2,0x4 + 80000358: 01a00193 li gp,26 + 8000035c: 2a731863 bne t1,t2,8000060c + +0000000080000360 : + 80000360: 00000213 li tp,0 + 80000364: 00100093 li ra,1 + 80000368: 01f00113 li sp,31 + 8000036c: 0020973b sllw a4,ra,sp + 80000370: 00000013 nop + 80000374: 00000013 nop + 80000378: 00070313 mv t1,a4 + 8000037c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000380: 00200293 li t0,2 + 80000384: fe5210e3 bne tp,t0,80000364 + 80000388: 800003b7 lui t2,0x80000 + 8000038c: 01b00193 li gp,27 + 80000390: 26731e63 bne t1,t2,8000060c + +0000000080000394 : + 80000394: 00000213 li tp,0 + 80000398: 00100093 li ra,1 + 8000039c: 00700113 li sp,7 + 800003a0: 0020973b sllw a4,ra,sp + 800003a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003a8: 00200293 li t0,2 + 800003ac: fe5216e3 bne tp,t0,80000398 + 800003b0: 08000393 li t2,128 + 800003b4: 01c00193 li gp,28 + 800003b8: 24771a63 bne a4,t2,8000060c + +00000000800003bc : + 800003bc: 00000213 li tp,0 + 800003c0: 00100093 li ra,1 + 800003c4: 00e00113 li sp,14 + 800003c8: 00000013 nop + 800003cc: 0020973b sllw a4,ra,sp + 800003d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d4: 00200293 li t0,2 + 800003d8: fe5214e3 bne tp,t0,800003c0 + 800003dc: 000043b7 lui t2,0x4 + 800003e0: 01d00193 li gp,29 + 800003e4: 22771463 bne a4,t2,8000060c + +00000000800003e8 : + 800003e8: 00000213 li tp,0 + 800003ec: 00100093 li ra,1 + 800003f0: 01f00113 li sp,31 + 800003f4: 00000013 nop + 800003f8: 00000013 nop + 800003fc: 0020973b sllw a4,ra,sp + 80000400: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000404: 00200293 li t0,2 + 80000408: fe5212e3 bne tp,t0,800003ec + 8000040c: 800003b7 lui t2,0x80000 + 80000410: 01e00193 li gp,30 + 80000414: 1e771c63 bne a4,t2,8000060c + +0000000080000418 : + 80000418: 00000213 li tp,0 + 8000041c: 00100093 li ra,1 + 80000420: 00000013 nop + 80000424: 00700113 li sp,7 + 80000428: 0020973b sllw a4,ra,sp + 8000042c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000430: 00200293 li t0,2 + 80000434: fe5214e3 bne tp,t0,8000041c + 80000438: 08000393 li t2,128 + 8000043c: 01f00193 li gp,31 + 80000440: 1c771663 bne a4,t2,8000060c + +0000000080000444 : + 80000444: 00000213 li tp,0 + 80000448: 00100093 li ra,1 + 8000044c: 00000013 nop + 80000450: 00e00113 li sp,14 + 80000454: 00000013 nop + 80000458: 0020973b sllw a4,ra,sp + 8000045c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000460: 00200293 li t0,2 + 80000464: fe5212e3 bne tp,t0,80000448 + 80000468: 000043b7 lui t2,0x4 + 8000046c: 02000193 li gp,32 + 80000470: 18771e63 bne a4,t2,8000060c + +0000000080000474 : + 80000474: 00000213 li tp,0 + 80000478: 00100093 li ra,1 + 8000047c: 00000013 nop + 80000480: 00000013 nop + 80000484: 01f00113 li sp,31 + 80000488: 0020973b sllw a4,ra,sp + 8000048c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000490: 00200293 li t0,2 + 80000494: fe5212e3 bne tp,t0,80000478 + 80000498: 800003b7 lui t2,0x80000 + 8000049c: 02100193 li gp,33 + 800004a0: 16771663 bne a4,t2,8000060c + +00000000800004a4 : + 800004a4: 00000213 li tp,0 + 800004a8: 00700113 li sp,7 + 800004ac: 00100093 li ra,1 + 800004b0: 0020973b sllw a4,ra,sp + 800004b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004b8: 00200293 li t0,2 + 800004bc: fe5216e3 bne tp,t0,800004a8 + 800004c0: 08000393 li t2,128 + 800004c4: 02200193 li gp,34 + 800004c8: 14771263 bne a4,t2,8000060c + +00000000800004cc : + 800004cc: 00000213 li tp,0 + 800004d0: 00e00113 li sp,14 + 800004d4: 00100093 li ra,1 + 800004d8: 00000013 nop + 800004dc: 0020973b sllw a4,ra,sp + 800004e0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004e4: 00200293 li t0,2 + 800004e8: fe5214e3 bne tp,t0,800004d0 + 800004ec: 000043b7 lui t2,0x4 + 800004f0: 02300193 li gp,35 + 800004f4: 10771c63 bne a4,t2,8000060c + +00000000800004f8 : + 800004f8: 00000213 li tp,0 + 800004fc: 01f00113 li sp,31 + 80000500: 00100093 li ra,1 + 80000504: 00000013 nop + 80000508: 00000013 nop + 8000050c: 0020973b sllw a4,ra,sp + 80000510: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000514: 00200293 li t0,2 + 80000518: fe5212e3 bne tp,t0,800004fc + 8000051c: 800003b7 lui t2,0x80000 + 80000520: 02400193 li gp,36 + 80000524: 0e771463 bne a4,t2,8000060c + +0000000080000528 : + 80000528: 00000213 li tp,0 + 8000052c: 00700113 li sp,7 + 80000530: 00000013 nop + 80000534: 00100093 li ra,1 + 80000538: 0020973b sllw a4,ra,sp + 8000053c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000540: 00200293 li t0,2 + 80000544: fe5214e3 bne tp,t0,8000052c + 80000548: 08000393 li t2,128 + 8000054c: 02500193 li gp,37 + 80000550: 0a771e63 bne a4,t2,8000060c + +0000000080000554 : + 80000554: 00000213 li tp,0 + 80000558: 00e00113 li sp,14 + 8000055c: 00000013 nop + 80000560: 00100093 li ra,1 + 80000564: 00000013 nop + 80000568: 0020973b sllw a4,ra,sp + 8000056c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000570: 00200293 li t0,2 + 80000574: fe5212e3 bne tp,t0,80000558 + 80000578: 000043b7 lui t2,0x4 + 8000057c: 02600193 li gp,38 + 80000580: 08771663 bne a4,t2,8000060c + +0000000080000584 : + 80000584: 00000213 li tp,0 + 80000588: 01f00113 li sp,31 + 8000058c: 00000013 nop + 80000590: 00000013 nop + 80000594: 00100093 li ra,1 + 80000598: 0020973b sllw a4,ra,sp + 8000059c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005a0: 00200293 li t0,2 + 800005a4: fe5212e3 bne tp,t0,80000588 + 800005a8: 800003b7 lui t2,0x80000 + 800005ac: 02700193 li gp,39 + 800005b0: 04771e63 bne a4,t2,8000060c + +00000000800005b4 : + 800005b4: 00f00093 li ra,15 + 800005b8: 0010113b sllw sp,zero,ra + 800005bc: 00000393 li t2,0 + 800005c0: 02800193 li gp,40 + 800005c4: 04711463 bne sp,t2,8000060c + +00000000800005c8 : + 800005c8: 02000093 li ra,32 + 800005cc: 0000913b sllw sp,ra,zero + 800005d0: 02000393 li t2,32 + 800005d4: 02900193 li gp,41 + 800005d8: 02711a63 bne sp,t2,8000060c + +00000000800005dc : + 800005dc: 000010bb sllw ra,zero,zero + 800005e0: 00000393 li t2,0 + 800005e4: 02a00193 li gp,42 + 800005e8: 02709263 bne ra,t2,8000060c + +00000000800005ec : + 800005ec: 40000093 li ra,1024 + 800005f0: 00001137 lui sp,0x1 + 800005f4: 8001011b addiw sp,sp,-2048 + 800005f8: 0020903b sllw zero,ra,sp + 800005fc: 00000393 li t2,0 + 80000600: 02b00193 li gp,43 + 80000604: 00701463 bne zero,t2,8000060c + 80000608: 00301663 bne zero,gp,80000614 + +000000008000060c : + 8000060c: 00100513 li a0,1 + 80000610: 0340006f j 80000644 + +0000000080000614 : + 80000614: 00000513 li a0,0 + 80000618: 02c0006f j 80000644 + 8000061c: c0001073 unimp + ... + +0000000080000644 : + 80000644: 00050513 mv a0,a0 + 80000648: 0000006b 0x6b + 8000064c: 0000006f j 8000064c diff --git a/bin/non-output/riscv-tests/slt-riscv-tests.bin b/bin/non-output/riscv-tests/slt-riscv-tests.bin new file mode 100755 index 0000000..366178d Binary files /dev/null and b/bin/non-output/riscv-tests/slt-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/slt-riscv-tests.elf b/bin/non-output/riscv-tests/slt-riscv-tests.elf new file mode 100755 index 0000000..89e051e Binary files /dev/null and b/bin/non-output/riscv-tests/slt-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/slt-riscv-tests.txt b/bin/non-output/riscv-tests/slt-riscv-tests.txt new file mode 100755 index 0000000..eb8fd5c --- /dev/null +++ b/bin/non-output/riscv-tests/slt-riscv-tests.txt @@ -0,0 +1,400 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/slt-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 0020a733 slt a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4a771a63 bne a4,t2,800004c8 + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 0020a733 slt a4,ra,sp + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 48771e63 bne a4,t2,800004c8 + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 0020a733 slt a4,ra,sp + 8000003c: 00100393 li t2,1 + 80000040: 00400193 li gp,4 + 80000044: 48771263 bne a4,t2,800004c8 + +0000000080000048 : + 80000048: 00700093 li ra,7 + 8000004c: 00300113 li sp,3 + 80000050: 0020a733 slt a4,ra,sp + 80000054: 00000393 li t2,0 + 80000058: 00500193 li gp,5 + 8000005c: 46771663 bne a4,t2,800004c8 + +0000000080000060 : + 80000060: 00000093 li ra,0 + 80000064: ffff8137 lui sp,0xffff8 + 80000068: 0020a733 slt a4,ra,sp + 8000006c: 00000393 li t2,0 + 80000070: 00600193 li gp,6 + 80000074: 44771a63 bne a4,t2,800004c8 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: 00000113 li sp,0 + 80000080: 0020a733 slt a4,ra,sp + 80000084: 00100393 li t2,1 + 80000088: 00700193 li gp,7 + 8000008c: 42771e63 bne a4,t2,800004c8 + +0000000080000090 : + 80000090: 800000b7 lui ra,0x80000 + 80000094: ffff8137 lui sp,0xffff8 + 80000098: 0020a733 slt a4,ra,sp + 8000009c: 00100393 li t2,1 + 800000a0: 00800193 li gp,8 + 800000a4: 42771263 bne a4,t2,800004c8 + +00000000800000a8 : + 800000a8: 00000093 li ra,0 + 800000ac: 00008137 lui sp,0x8 + 800000b0: fff1011b addiw sp,sp,-1 + 800000b4: 0020a733 slt a4,ra,sp + 800000b8: 00100393 li t2,1 + 800000bc: 00900193 li gp,9 + 800000c0: 40771463 bne a4,t2,800004c8 + +00000000800000c4 : + 800000c4: 800000b7 lui ra,0x80000 + 800000c8: fff0809b addiw ra,ra,-1 + 800000cc: 00000113 li sp,0 + 800000d0: 0020a733 slt a4,ra,sp + 800000d4: 00000393 li t2,0 + 800000d8: 00a00193 li gp,10 + 800000dc: 3e771663 bne a4,t2,800004c8 + +00000000800000e0 : + 800000e0: 800000b7 lui ra,0x80000 + 800000e4: fff0809b addiw ra,ra,-1 + 800000e8: 00008137 lui sp,0x8 + 800000ec: fff1011b addiw sp,sp,-1 + 800000f0: 0020a733 slt a4,ra,sp + 800000f4: 00000393 li t2,0 + 800000f8: 00b00193 li gp,11 + 800000fc: 3c771663 bne a4,t2,800004c8 + +0000000080000100 : + 80000100: 800000b7 lui ra,0x80000 + 80000104: 00008137 lui sp,0x8 + 80000108: fff1011b addiw sp,sp,-1 + 8000010c: 0020a733 slt a4,ra,sp + 80000110: 00100393 li t2,1 + 80000114: 00c00193 li gp,12 + 80000118: 3a771863 bne a4,t2,800004c8 + +000000008000011c : + 8000011c: 800000b7 lui ra,0x80000 + 80000120: fff0809b addiw ra,ra,-1 + 80000124: ffff8137 lui sp,0xffff8 + 80000128: 0020a733 slt a4,ra,sp + 8000012c: 00000393 li t2,0 + 80000130: 00d00193 li gp,13 + 80000134: 38771a63 bne a4,t2,800004c8 + +0000000080000138 : + 80000138: 00000093 li ra,0 + 8000013c: fff00113 li sp,-1 + 80000140: 0020a733 slt a4,ra,sp + 80000144: 00000393 li t2,0 + 80000148: 00e00193 li gp,14 + 8000014c: 36771e63 bne a4,t2,800004c8 + +0000000080000150 : + 80000150: fff00093 li ra,-1 + 80000154: 00100113 li sp,1 + 80000158: 0020a733 slt a4,ra,sp + 8000015c: 00100393 li t2,1 + 80000160: 00f00193 li gp,15 + 80000164: 36771263 bne a4,t2,800004c8 + +0000000080000168 : + 80000168: fff00093 li ra,-1 + 8000016c: fff00113 li sp,-1 + 80000170: 0020a733 slt a4,ra,sp + 80000174: 00000393 li t2,0 + 80000178: 01000193 li gp,16 + 8000017c: 34771663 bne a4,t2,800004c8 + +0000000080000180 : + 80000180: 00e00093 li ra,14 + 80000184: 00d00113 li sp,13 + 80000188: 0020a0b3 slt ra,ra,sp + 8000018c: 00000393 li t2,0 + 80000190: 01100193 li gp,17 + 80000194: 32709a63 bne ra,t2,800004c8 + +0000000080000198 : + 80000198: 00b00093 li ra,11 + 8000019c: 00d00113 li sp,13 + 800001a0: 0020a133 slt sp,ra,sp + 800001a4: 00100393 li t2,1 + 800001a8: 01200193 li gp,18 + 800001ac: 30711e63 bne sp,t2,800004c8 + +00000000800001b0 : + 800001b0: 00d00093 li ra,13 + 800001b4: 0010a0b3 slt ra,ra,ra + 800001b8: 00000393 li t2,0 + 800001bc: 01300193 li gp,19 + 800001c0: 30709463 bne ra,t2,800004c8 + +00000000800001c4 : + 800001c4: 00000213 li tp,0 + 800001c8: 00b00093 li ra,11 + 800001cc: 00d00113 li sp,13 + 800001d0: 0020a733 slt a4,ra,sp + 800001d4: 00070313 mv t1,a4 + 800001d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001dc: 00200293 li t0,2 + 800001e0: fe5214e3 bne tp,t0,800001c8 + 800001e4: 00100393 li t2,1 + 800001e8: 01400193 li gp,20 + 800001ec: 2c731e63 bne t1,t2,800004c8 + +00000000800001f0 : + 800001f0: 00000213 li tp,0 + 800001f4: 00e00093 li ra,14 + 800001f8: 00d00113 li sp,13 + 800001fc: 0020a733 slt a4,ra,sp + 80000200: 00000013 nop + 80000204: 00070313 mv t1,a4 + 80000208: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000020c: 00200293 li t0,2 + 80000210: fe5212e3 bne tp,t0,800001f4 + 80000214: 00000393 li t2,0 + 80000218: 01500193 li gp,21 + 8000021c: 2a731663 bne t1,t2,800004c8 + +0000000080000220 : + 80000220: 00000213 li tp,0 + 80000224: 00c00093 li ra,12 + 80000228: 00d00113 li sp,13 + 8000022c: 0020a733 slt a4,ra,sp + 80000230: 00000013 nop + 80000234: 00000013 nop + 80000238: 00070313 mv t1,a4 + 8000023c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000240: 00200293 li t0,2 + 80000244: fe5210e3 bne tp,t0,80000224 + 80000248: 00100393 li t2,1 + 8000024c: 01600193 li gp,22 + 80000250: 26731c63 bne t1,t2,800004c8 + +0000000080000254 : + 80000254: 00000213 li tp,0 + 80000258: 00e00093 li ra,14 + 8000025c: 00d00113 li sp,13 + 80000260: 0020a733 slt a4,ra,sp + 80000264: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000268: 00200293 li t0,2 + 8000026c: fe5216e3 bne tp,t0,80000258 + 80000270: 00000393 li t2,0 + 80000274: 01700193 li gp,23 + 80000278: 24771863 bne a4,t2,800004c8 + +000000008000027c : + 8000027c: 00000213 li tp,0 + 80000280: 00b00093 li ra,11 + 80000284: 00d00113 li sp,13 + 80000288: 00000013 nop + 8000028c: 0020a733 slt a4,ra,sp + 80000290: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000294: 00200293 li t0,2 + 80000298: fe5214e3 bne tp,t0,80000280 + 8000029c: 00100393 li t2,1 + 800002a0: 01800193 li gp,24 + 800002a4: 22771263 bne a4,t2,800004c8 + +00000000800002a8 : + 800002a8: 00000213 li tp,0 + 800002ac: 00f00093 li ra,15 + 800002b0: 00d00113 li sp,13 + 800002b4: 00000013 nop + 800002b8: 00000013 nop + 800002bc: 0020a733 slt a4,ra,sp + 800002c0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c4: 00200293 li t0,2 + 800002c8: fe5212e3 bne tp,t0,800002ac + 800002cc: 00000393 li t2,0 + 800002d0: 01900193 li gp,25 + 800002d4: 1e771a63 bne a4,t2,800004c8 + +00000000800002d8 : + 800002d8: 00000213 li tp,0 + 800002dc: 00a00093 li ra,10 + 800002e0: 00000013 nop + 800002e4: 00d00113 li sp,13 + 800002e8: 0020a733 slt a4,ra,sp + 800002ec: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f0: 00200293 li t0,2 + 800002f4: fe5214e3 bne tp,t0,800002dc + 800002f8: 00100393 li t2,1 + 800002fc: 01a00193 li gp,26 + 80000300: 1c771463 bne a4,t2,800004c8 + +0000000080000304 : + 80000304: 00000213 li tp,0 + 80000308: 01000093 li ra,16 + 8000030c: 00000013 nop + 80000310: 00d00113 li sp,13 + 80000314: 00000013 nop + 80000318: 0020a733 slt a4,ra,sp + 8000031c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000320: 00200293 li t0,2 + 80000324: fe5212e3 bne tp,t0,80000308 + 80000328: 00000393 li t2,0 + 8000032c: 01b00193 li gp,27 + 80000330: 18771c63 bne a4,t2,800004c8 + +0000000080000334 : + 80000334: 00000213 li tp,0 + 80000338: 00900093 li ra,9 + 8000033c: 00000013 nop + 80000340: 00000013 nop + 80000344: 00d00113 li sp,13 + 80000348: 0020a733 slt a4,ra,sp + 8000034c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000350: 00200293 li t0,2 + 80000354: fe5212e3 bne tp,t0,80000338 + 80000358: 00100393 li t2,1 + 8000035c: 01c00193 li gp,28 + 80000360: 16771463 bne a4,t2,800004c8 + +0000000080000364 : + 80000364: 00000213 li tp,0 + 80000368: 00d00113 li sp,13 + 8000036c: 01100093 li ra,17 + 80000370: 0020a733 slt a4,ra,sp + 80000374: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000378: 00200293 li t0,2 + 8000037c: fe5216e3 bne tp,t0,80000368 + 80000380: 00000393 li t2,0 + 80000384: 01d00193 li gp,29 + 80000388: 14771063 bne a4,t2,800004c8 + +000000008000038c : + 8000038c: 00000213 li tp,0 + 80000390: 00d00113 li sp,13 + 80000394: 00800093 li ra,8 + 80000398: 00000013 nop + 8000039c: 0020a733 slt a4,ra,sp + 800003a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003a4: 00200293 li t0,2 + 800003a8: fe5214e3 bne tp,t0,80000390 + 800003ac: 00100393 li t2,1 + 800003b0: 01e00193 li gp,30 + 800003b4: 10771a63 bne a4,t2,800004c8 + +00000000800003b8 : + 800003b8: 00000213 li tp,0 + 800003bc: 00d00113 li sp,13 + 800003c0: 01200093 li ra,18 + 800003c4: 00000013 nop + 800003c8: 00000013 nop + 800003cc: 0020a733 slt a4,ra,sp + 800003d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d4: 00200293 li t0,2 + 800003d8: fe5212e3 bne tp,t0,800003bc + 800003dc: 00000393 li t2,0 + 800003e0: 01f00193 li gp,31 + 800003e4: 0e771263 bne a4,t2,800004c8 + +00000000800003e8 : + 800003e8: 00000213 li tp,0 + 800003ec: 00d00113 li sp,13 + 800003f0: 00000013 nop + 800003f4: 00700093 li ra,7 + 800003f8: 0020a733 slt a4,ra,sp + 800003fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000400: 00200293 li t0,2 + 80000404: fe5214e3 bne tp,t0,800003ec + 80000408: 00100393 li t2,1 + 8000040c: 02000193 li gp,32 + 80000410: 0a771c63 bne a4,t2,800004c8 + +0000000080000414 : + 80000414: 00000213 li tp,0 + 80000418: 00d00113 li sp,13 + 8000041c: 00000013 nop + 80000420: 01300093 li ra,19 + 80000424: 00000013 nop + 80000428: 0020a733 slt a4,ra,sp + 8000042c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000430: 00200293 li t0,2 + 80000434: fe5212e3 bne tp,t0,80000418 + 80000438: 00000393 li t2,0 + 8000043c: 02100193 li gp,33 + 80000440: 08771463 bne a4,t2,800004c8 + +0000000080000444 : + 80000444: 00000213 li tp,0 + 80000448: 00d00113 li sp,13 + 8000044c: 00000013 nop + 80000450: 00000013 nop + 80000454: 00600093 li ra,6 + 80000458: 0020a733 slt a4,ra,sp + 8000045c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000460: 00200293 li t0,2 + 80000464: fe5212e3 bne tp,t0,80000448 + 80000468: 00100393 li t2,1 + 8000046c: 02200193 li gp,34 + 80000470: 04771c63 bne a4,t2,800004c8 + +0000000080000474 : + 80000474: fff00093 li ra,-1 + 80000478: 00102133 sgtz sp,ra + 8000047c: 00000393 li t2,0 + 80000480: 02300193 li gp,35 + 80000484: 04711263 bne sp,t2,800004c8 + +0000000080000488 : + 80000488: fff00093 li ra,-1 + 8000048c: 0000a133 sltz sp,ra + 80000490: 00100393 li t2,1 + 80000494: 02400193 li gp,36 + 80000498: 02711863 bne sp,t2,800004c8 + +000000008000049c : + 8000049c: 000020b3 sltz ra,zero + 800004a0: 00000393 li t2,0 + 800004a4: 02500193 li gp,37 + 800004a8: 02709063 bne ra,t2,800004c8 + +00000000800004ac : + 800004ac: 01000093 li ra,16 + 800004b0: 01e00113 li sp,30 + 800004b4: 0020a033 slt zero,ra,sp + 800004b8: 00000393 li t2,0 + 800004bc: 02600193 li gp,38 + 800004c0: 00701463 bne zero,t2,800004c8 + 800004c4: 00301663 bne zero,gp,800004d0 + +00000000800004c8 : + 800004c8: 00100513 li a0,1 + 800004cc: 0380006f j 80000504 + +00000000800004d0 : + 800004d0: 00000513 li a0,0 + 800004d4: 0300006f j 80000504 + 800004d8: c0001073 unimp + ... + +0000000080000504 : + 80000504: 00050513 mv a0,a0 + 80000508: 0000006b 0x6b + 8000050c: 0000006f j 8000050c diff --git a/bin/non-output/riscv-tests/slti-riscv-tests.bin b/bin/non-output/riscv-tests/slti-riscv-tests.bin new file mode 100755 index 0000000..20e6eae Binary files /dev/null and b/bin/non-output/riscv-tests/slti-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/slti-riscv-tests.elf b/bin/non-output/riscv-tests/slti-riscv-tests.elf new file mode 100755 index 0000000..0d315b4 Binary files /dev/null and b/bin/non-output/riscv-tests/slti-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/slti-riscv-tests.txt b/bin/non-output/riscv-tests/slti-riscv-tests.txt new file mode 100755 index 0000000..1289311 --- /dev/null +++ b/bin/non-output/riscv-tests/slti-riscv-tests.txt @@ -0,0 +1,225 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/slti-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 0000a713 slti a4,ra,0 + 80000008: 00000393 li t2,0 + 8000000c: 00200193 li gp,2 + 80000010: 26771263 bne a4,t2,80000274 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 0010a713 slti a4,ra,1 + 8000001c: 00000393 li t2,0 + 80000020: 00300193 li gp,3 + 80000024: 24771863 bne a4,t2,80000274 + +0000000080000028 : + 80000028: 00300093 li ra,3 + 8000002c: 0070a713 slti a4,ra,7 + 80000030: 00100393 li t2,1 + 80000034: 00400193 li gp,4 + 80000038: 22771e63 bne a4,t2,80000274 + +000000008000003c : + 8000003c: 00700093 li ra,7 + 80000040: 0030a713 slti a4,ra,3 + 80000044: 00000393 li t2,0 + 80000048: 00500193 li gp,5 + 8000004c: 22771463 bne a4,t2,80000274 + +0000000080000050 : + 80000050: 00000093 li ra,0 + 80000054: 8000a713 slti a4,ra,-2048 + 80000058: 00000393 li t2,0 + 8000005c: 00600193 li gp,6 + 80000060: 20771a63 bne a4,t2,80000274 + +0000000080000064 : + 80000064: 800000b7 lui ra,0x80000 + 80000068: 0000a713 slti a4,ra,0 + 8000006c: 00100393 li t2,1 + 80000070: 00700193 li gp,7 + 80000074: 20771063 bne a4,t2,80000274 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: 8000a713 slti a4,ra,-2048 + 80000080: 00100393 li t2,1 + 80000084: 00800193 li gp,8 + 80000088: 1e771663 bne a4,t2,80000274 + +000000008000008c : + 8000008c: 00000093 li ra,0 + 80000090: 7ff0a713 slti a4,ra,2047 + 80000094: 00100393 li t2,1 + 80000098: 00900193 li gp,9 + 8000009c: 1c771c63 bne a4,t2,80000274 + +00000000800000a0 : + 800000a0: 800000b7 lui ra,0x80000 + 800000a4: fff0809b addiw ra,ra,-1 + 800000a8: 0000a713 slti a4,ra,0 + 800000ac: 00000393 li t2,0 + 800000b0: 00a00193 li gp,10 + 800000b4: 1c771063 bne a4,t2,80000274 + +00000000800000b8 : + 800000b8: 800000b7 lui ra,0x80000 + 800000bc: fff0809b addiw ra,ra,-1 + 800000c0: 7ff0a713 slti a4,ra,2047 + 800000c4: 00000393 li t2,0 + 800000c8: 00b00193 li gp,11 + 800000cc: 1a771463 bne a4,t2,80000274 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: 7ff0a713 slti a4,ra,2047 + 800000d8: 00100393 li t2,1 + 800000dc: 00c00193 li gp,12 + 800000e0: 18771a63 bne a4,t2,80000274 + +00000000800000e4 : + 800000e4: 800000b7 lui ra,0x80000 + 800000e8: fff0809b addiw ra,ra,-1 + 800000ec: 8000a713 slti a4,ra,-2048 + 800000f0: 00000393 li t2,0 + 800000f4: 00d00193 li gp,13 + 800000f8: 16771e63 bne a4,t2,80000274 + +00000000800000fc : + 800000fc: 00000093 li ra,0 + 80000100: fff0a713 slti a4,ra,-1 + 80000104: 00000393 li t2,0 + 80000108: 00e00193 li gp,14 + 8000010c: 16771463 bne a4,t2,80000274 + +0000000080000110 : + 80000110: fff00093 li ra,-1 + 80000114: 0010a713 slti a4,ra,1 + 80000118: 00100393 li t2,1 + 8000011c: 00f00193 li gp,15 + 80000120: 14771a63 bne a4,t2,80000274 + +0000000080000124 : + 80000124: fff00093 li ra,-1 + 80000128: fff0a713 slti a4,ra,-1 + 8000012c: 00000393 li t2,0 + 80000130: 01000193 li gp,16 + 80000134: 14771063 bne a4,t2,80000274 + +0000000080000138 : + 80000138: 00b00093 li ra,11 + 8000013c: 00d0a093 slti ra,ra,13 + 80000140: 00100393 li t2,1 + 80000144: 01100193 li gp,17 + 80000148: 12709663 bne ra,t2,80000274 + +000000008000014c : + 8000014c: 00000213 li tp,0 + 80000150: 00f00093 li ra,15 + 80000154: 00a0a713 slti a4,ra,10 + 80000158: 00070313 mv t1,a4 + 8000015c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000160: 00200293 li t0,2 + 80000164: fe5216e3 bne tp,t0,80000150 + 80000168: 00000393 li t2,0 + 8000016c: 01200193 li gp,18 + 80000170: 10731263 bne t1,t2,80000274 + +0000000080000174 : + 80000174: 00000213 li tp,0 + 80000178: 00a00093 li ra,10 + 8000017c: 0100a713 slti a4,ra,16 + 80000180: 00000013 nop + 80000184: 00070313 mv t1,a4 + 80000188: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000018c: 00200293 li t0,2 + 80000190: fe5214e3 bne tp,t0,80000178 + 80000194: 00100393 li t2,1 + 80000198: 01300193 li gp,19 + 8000019c: 0c731c63 bne t1,t2,80000274 + +00000000800001a0 : + 800001a0: 00000213 li tp,0 + 800001a4: 01000093 li ra,16 + 800001a8: 0090a713 slti a4,ra,9 + 800001ac: 00000013 nop + 800001b0: 00000013 nop + 800001b4: 00070313 mv t1,a4 + 800001b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001bc: 00200293 li t0,2 + 800001c0: fe5212e3 bne tp,t0,800001a4 + 800001c4: 00000393 li t2,0 + 800001c8: 01400193 li gp,20 + 800001cc: 0a731463 bne t1,t2,80000274 + +00000000800001d0 : + 800001d0: 00000213 li tp,0 + 800001d4: 00b00093 li ra,11 + 800001d8: 00f0a713 slti a4,ra,15 + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5218e3 bne tp,t0,800001d4 + 800001e8: 00100393 li t2,1 + 800001ec: 01500193 li gp,21 + 800001f0: 08771263 bne a4,t2,80000274 + +00000000800001f4 : + 800001f4: 00000213 li tp,0 + 800001f8: 01100093 li ra,17 + 800001fc: 00000013 nop + 80000200: 0080a713 slti a4,ra,8 + 80000204: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000208: 00200293 li t0,2 + 8000020c: fe5216e3 bne tp,t0,800001f8 + 80000210: 00000393 li t2,0 + 80000214: 01600193 li gp,22 + 80000218: 04771e63 bne a4,t2,80000274 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 00c00093 li ra,12 + 80000224: 00000013 nop + 80000228: 00000013 nop + 8000022c: 00e0a713 slti a4,ra,14 + 80000230: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000234: 00200293 li t0,2 + 80000238: fe5214e3 bne tp,t0,80000220 + 8000023c: 00100393 li t2,1 + 80000240: 01700193 li gp,23 + 80000244: 02771863 bne a4,t2,80000274 + +0000000080000248 : + 80000248: fff02093 slti ra,zero,-1 + 8000024c: 00000393 li t2,0 + 80000250: 01800193 li gp,24 + 80000254: 02709063 bne ra,t2,80000274 + +0000000080000258 : + 80000258: 00ff00b7 lui ra,0xff0 + 8000025c: 0ff0809b addiw ra,ra,255 + 80000260: fff0a013 slti zero,ra,-1 + 80000264: 00000393 li t2,0 + 80000268: 01900193 li gp,25 + 8000026c: 00701463 bne zero,t2,80000274 + 80000270: 00301663 bne zero,gp,8000027c + +0000000080000274 : + 80000274: 00100513 li a0,1 + 80000278: 04c0006f j 800002c4 + +000000008000027c : + 8000027c: 00000513 li a0,0 + 80000280: 0440006f j 800002c4 + 80000284: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/sltiu-riscv-tests.bin b/bin/non-output/riscv-tests/sltiu-riscv-tests.bin new file mode 100755 index 0000000..1edad1d Binary files /dev/null and b/bin/non-output/riscv-tests/sltiu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sltiu-riscv-tests.elf b/bin/non-output/riscv-tests/sltiu-riscv-tests.elf new file mode 100755 index 0000000..ee49d1b Binary files /dev/null and b/bin/non-output/riscv-tests/sltiu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sltiu-riscv-tests.txt b/bin/non-output/riscv-tests/sltiu-riscv-tests.txt new file mode 100755 index 0000000..bdf1d38 --- /dev/null +++ b/bin/non-output/riscv-tests/sltiu-riscv-tests.txt @@ -0,0 +1,225 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sltiu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 0000b713 sltiu a4,ra,0 + 80000008: 00000393 li t2,0 + 8000000c: 00200193 li gp,2 + 80000010: 26771263 bne a4,t2,80000274 + +0000000080000014 : + 80000014: 00100093 li ra,1 + 80000018: 0010b713 seqz a4,ra + 8000001c: 00000393 li t2,0 + 80000020: 00300193 li gp,3 + 80000024: 24771863 bne a4,t2,80000274 + +0000000080000028 : + 80000028: 00300093 li ra,3 + 8000002c: 0070b713 sltiu a4,ra,7 + 80000030: 00100393 li t2,1 + 80000034: 00400193 li gp,4 + 80000038: 22771e63 bne a4,t2,80000274 + +000000008000003c : + 8000003c: 00700093 li ra,7 + 80000040: 0030b713 sltiu a4,ra,3 + 80000044: 00000393 li t2,0 + 80000048: 00500193 li gp,5 + 8000004c: 22771463 bne a4,t2,80000274 + +0000000080000050 : + 80000050: 00000093 li ra,0 + 80000054: 8000b713 sltiu a4,ra,-2048 + 80000058: 00100393 li t2,1 + 8000005c: 00600193 li gp,6 + 80000060: 20771a63 bne a4,t2,80000274 + +0000000080000064 : + 80000064: 800000b7 lui ra,0x80000 + 80000068: 0000b713 sltiu a4,ra,0 + 8000006c: 00000393 li t2,0 + 80000070: 00700193 li gp,7 + 80000074: 20771063 bne a4,t2,80000274 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: 8000b713 sltiu a4,ra,-2048 + 80000080: 00100393 li t2,1 + 80000084: 00800193 li gp,8 + 80000088: 1e771663 bne a4,t2,80000274 + +000000008000008c : + 8000008c: 00000093 li ra,0 + 80000090: 7ff0b713 sltiu a4,ra,2047 + 80000094: 00100393 li t2,1 + 80000098: 00900193 li gp,9 + 8000009c: 1c771c63 bne a4,t2,80000274 + +00000000800000a0 : + 800000a0: 800000b7 lui ra,0x80000 + 800000a4: fff0809b addiw ra,ra,-1 + 800000a8: 0000b713 sltiu a4,ra,0 + 800000ac: 00000393 li t2,0 + 800000b0: 00a00193 li gp,10 + 800000b4: 1c771063 bne a4,t2,80000274 + +00000000800000b8 : + 800000b8: 800000b7 lui ra,0x80000 + 800000bc: fff0809b addiw ra,ra,-1 + 800000c0: 7ff0b713 sltiu a4,ra,2047 + 800000c4: 00000393 li t2,0 + 800000c8: 00b00193 li gp,11 + 800000cc: 1a771463 bne a4,t2,80000274 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: 7ff0b713 sltiu a4,ra,2047 + 800000d8: 00000393 li t2,0 + 800000dc: 00c00193 li gp,12 + 800000e0: 18771a63 bne a4,t2,80000274 + +00000000800000e4 : + 800000e4: 800000b7 lui ra,0x80000 + 800000e8: fff0809b addiw ra,ra,-1 + 800000ec: 8000b713 sltiu a4,ra,-2048 + 800000f0: 00100393 li t2,1 + 800000f4: 00d00193 li gp,13 + 800000f8: 16771e63 bne a4,t2,80000274 + +00000000800000fc : + 800000fc: 00000093 li ra,0 + 80000100: fff0b713 sltiu a4,ra,-1 + 80000104: 00100393 li t2,1 + 80000108: 00e00193 li gp,14 + 8000010c: 16771463 bne a4,t2,80000274 + +0000000080000110 : + 80000110: fff00093 li ra,-1 + 80000114: 0010b713 seqz a4,ra + 80000118: 00000393 li t2,0 + 8000011c: 00f00193 li gp,15 + 80000120: 14771a63 bne a4,t2,80000274 + +0000000080000124 : + 80000124: fff00093 li ra,-1 + 80000128: fff0b713 sltiu a4,ra,-1 + 8000012c: 00000393 li t2,0 + 80000130: 01000193 li gp,16 + 80000134: 14771063 bne a4,t2,80000274 + +0000000080000138 : + 80000138: 00b00093 li ra,11 + 8000013c: 00d0b093 sltiu ra,ra,13 + 80000140: 00100393 li t2,1 + 80000144: 01100193 li gp,17 + 80000148: 12709663 bne ra,t2,80000274 + +000000008000014c : + 8000014c: 00000213 li tp,0 + 80000150: 00f00093 li ra,15 + 80000154: 00a0b713 sltiu a4,ra,10 + 80000158: 00070313 mv t1,a4 + 8000015c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000160: 00200293 li t0,2 + 80000164: fe5216e3 bne tp,t0,80000150 + 80000168: 00000393 li t2,0 + 8000016c: 01200193 li gp,18 + 80000170: 10731263 bne t1,t2,80000274 + +0000000080000174 : + 80000174: 00000213 li tp,0 + 80000178: 00a00093 li ra,10 + 8000017c: 0100b713 sltiu a4,ra,16 + 80000180: 00000013 nop + 80000184: 00070313 mv t1,a4 + 80000188: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000018c: 00200293 li t0,2 + 80000190: fe5214e3 bne tp,t0,80000178 + 80000194: 00100393 li t2,1 + 80000198: 01300193 li gp,19 + 8000019c: 0c731c63 bne t1,t2,80000274 + +00000000800001a0 : + 800001a0: 00000213 li tp,0 + 800001a4: 01000093 li ra,16 + 800001a8: 0090b713 sltiu a4,ra,9 + 800001ac: 00000013 nop + 800001b0: 00000013 nop + 800001b4: 00070313 mv t1,a4 + 800001b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001bc: 00200293 li t0,2 + 800001c0: fe5212e3 bne tp,t0,800001a4 + 800001c4: 00000393 li t2,0 + 800001c8: 01400193 li gp,20 + 800001cc: 0a731463 bne t1,t2,80000274 + +00000000800001d0 : + 800001d0: 00000213 li tp,0 + 800001d4: 00b00093 li ra,11 + 800001d8: 00f0b713 sltiu a4,ra,15 + 800001dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e0: 00200293 li t0,2 + 800001e4: fe5218e3 bne tp,t0,800001d4 + 800001e8: 00100393 li t2,1 + 800001ec: 01500193 li gp,21 + 800001f0: 08771263 bne a4,t2,80000274 + +00000000800001f4 : + 800001f4: 00000213 li tp,0 + 800001f8: 01100093 li ra,17 + 800001fc: 00000013 nop + 80000200: 0080b713 sltiu a4,ra,8 + 80000204: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000208: 00200293 li t0,2 + 8000020c: fe5216e3 bne tp,t0,800001f8 + 80000210: 00000393 li t2,0 + 80000214: 01600193 li gp,22 + 80000218: 04771e63 bne a4,t2,80000274 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 00c00093 li ra,12 + 80000224: 00000013 nop + 80000228: 00000013 nop + 8000022c: 00e0b713 sltiu a4,ra,14 + 80000230: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000234: 00200293 li t0,2 + 80000238: fe5214e3 bne tp,t0,80000220 + 8000023c: 00100393 li t2,1 + 80000240: 01700193 li gp,23 + 80000244: 02771863 bne a4,t2,80000274 + +0000000080000248 : + 80000248: fff03093 sltiu ra,zero,-1 + 8000024c: 00100393 li t2,1 + 80000250: 01800193 li gp,24 + 80000254: 02709063 bne ra,t2,80000274 + +0000000080000258 : + 80000258: 00ff00b7 lui ra,0xff0 + 8000025c: 0ff0809b addiw ra,ra,255 + 80000260: fff0b013 sltiu zero,ra,-1 + 80000264: 00000393 li t2,0 + 80000268: 01900193 li gp,25 + 8000026c: 00701463 bne zero,t2,80000274 + 80000270: 00301663 bne zero,gp,8000027c + +0000000080000274 : + 80000274: 00100513 li a0,1 + 80000278: 04c0006f j 800002c4 + +000000008000027c : + 8000027c: 00000513 li a0,0 + 80000280: 0440006f j 800002c4 + 80000284: c0001073 unimp + ... + +00000000800002c4 : + 800002c4: 00050513 mv a0,a0 + 800002c8: 0000006b 0x6b + 800002cc: 0000006f j 800002cc diff --git a/bin/non-output/riscv-tests/sltu-riscv-tests.bin b/bin/non-output/riscv-tests/sltu-riscv-tests.bin new file mode 100755 index 0000000..141c2da Binary files /dev/null and b/bin/non-output/riscv-tests/sltu-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sltu-riscv-tests.elf b/bin/non-output/riscv-tests/sltu-riscv-tests.elf new file mode 100755 index 0000000..ab14767 Binary files /dev/null and b/bin/non-output/riscv-tests/sltu-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sltu-riscv-tests.txt b/bin/non-output/riscv-tests/sltu-riscv-tests.txt new file mode 100755 index 0000000..592fcdd --- /dev/null +++ b/bin/non-output/riscv-tests/sltu-riscv-tests.txt @@ -0,0 +1,417 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sltu-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 0020b733 sltu a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4e771c63 bne a4,t2,8000050c + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 0020b733 sltu a4,ra,sp + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 4e771063 bne a4,t2,8000050c + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 0020b733 sltu a4,ra,sp + 8000003c: 00100393 li t2,1 + 80000040: 00400193 li gp,4 + 80000044: 4c771463 bne a4,t2,8000050c + +0000000080000048 : + 80000048: 00700093 li ra,7 + 8000004c: 00300113 li sp,3 + 80000050: 0020b733 sltu a4,ra,sp + 80000054: 00000393 li t2,0 + 80000058: 00500193 li gp,5 + 8000005c: 4a771863 bne a4,t2,8000050c + +0000000080000060 : + 80000060: 00000093 li ra,0 + 80000064: 00020137 lui sp,0x20 + 80000068: fff1011b addiw sp,sp,-1 + 8000006c: 00f11113 slli sp,sp,0xf + 80000070: 0020b733 sltu a4,ra,sp + 80000074: 00100393 li t2,1 + 80000078: 00600193 li gp,6 + 8000007c: 48771863 bne a4,t2,8000050c + +0000000080000080 : + 80000080: 0010009b addiw ra,zero,1 + 80000084: 01f09093 slli ra,ra,0x1f + 80000088: 00000113 li sp,0 + 8000008c: 0020b733 sltu a4,ra,sp + 80000090: 00000393 li t2,0 + 80000094: 00700193 li gp,7 + 80000098: 46771a63 bne a4,t2,8000050c + +000000008000009c : + 8000009c: 0010009b addiw ra,zero,1 + 800000a0: 01f09093 slli ra,ra,0x1f + 800000a4: 00020137 lui sp,0x20 + 800000a8: fff1011b addiw sp,sp,-1 + 800000ac: 00f11113 slli sp,sp,0xf + 800000b0: 0020b733 sltu a4,ra,sp + 800000b4: 00100393 li t2,1 + 800000b8: 00800193 li gp,8 + 800000bc: 44771863 bne a4,t2,8000050c + +00000000800000c0 : + 800000c0: 00000093 li ra,0 + 800000c4: 00008137 lui sp,0x8 + 800000c8: fff1011b addiw sp,sp,-1 + 800000cc: 0020b733 sltu a4,ra,sp + 800000d0: 00100393 li t2,1 + 800000d4: 00900193 li gp,9 + 800000d8: 42771a63 bne a4,t2,8000050c + +00000000800000dc : + 800000dc: 800000b7 lui ra,0x80000 + 800000e0: fff0809b addiw ra,ra,-1 + 800000e4: 00000113 li sp,0 + 800000e8: 0020b733 sltu a4,ra,sp + 800000ec: 00000393 li t2,0 + 800000f0: 00a00193 li gp,10 + 800000f4: 40771c63 bne a4,t2,8000050c + +00000000800000f8 : + 800000f8: 800000b7 lui ra,0x80000 + 800000fc: fff0809b addiw ra,ra,-1 + 80000100: 00008137 lui sp,0x8 + 80000104: fff1011b addiw sp,sp,-1 + 80000108: 0020b733 sltu a4,ra,sp + 8000010c: 00000393 li t2,0 + 80000110: 00b00193 li gp,11 + 80000114: 3e771c63 bne a4,t2,8000050c + +0000000080000118 : + 80000118: 0010009b addiw ra,zero,1 + 8000011c: 01f09093 slli ra,ra,0x1f + 80000120: 00008137 lui sp,0x8 + 80000124: fff1011b addiw sp,sp,-1 + 80000128: 0020b733 sltu a4,ra,sp + 8000012c: 00000393 li t2,0 + 80000130: 00c00193 li gp,12 + 80000134: 3c771c63 bne a4,t2,8000050c + +0000000080000138 : + 80000138: 800000b7 lui ra,0x80000 + 8000013c: fff0809b addiw ra,ra,-1 + 80000140: 00020137 lui sp,0x20 + 80000144: fff1011b addiw sp,sp,-1 + 80000148: 00f11113 slli sp,sp,0xf + 8000014c: 0020b733 sltu a4,ra,sp + 80000150: 00100393 li t2,1 + 80000154: 00d00193 li gp,13 + 80000158: 3a771a63 bne a4,t2,8000050c + +000000008000015c : + 8000015c: 00000093 li ra,0 + 80000160: 0010011b addiw sp,zero,1 + 80000164: 02011113 slli sp,sp,0x20 + 80000168: fff10113 addi sp,sp,-1 # 1ffff <_entry_offset+0x1ffff> + 8000016c: 0020b733 sltu a4,ra,sp + 80000170: 00100393 li t2,1 + 80000174: 00e00193 li gp,14 + 80000178: 38771a63 bne a4,t2,8000050c + +000000008000017c : + 8000017c: 0010009b addiw ra,zero,1 + 80000180: 02009093 slli ra,ra,0x20 + 80000184: fff08093 addi ra,ra,-1 # ffffffff7fffffff <_end+0xfffffffeffff6fff> + 80000188: 00100113 li sp,1 + 8000018c: 0020b733 sltu a4,ra,sp + 80000190: 00000393 li t2,0 + 80000194: 00f00193 li gp,15 + 80000198: 36771a63 bne a4,t2,8000050c + +000000008000019c : + 8000019c: 0010009b addiw ra,zero,1 + 800001a0: 02009093 slli ra,ra,0x20 + 800001a4: fff08093 addi ra,ra,-1 + 800001a8: 0010011b addiw sp,zero,1 + 800001ac: 02011113 slli sp,sp,0x20 + 800001b0: fff10113 addi sp,sp,-1 + 800001b4: 0020b733 sltu a4,ra,sp + 800001b8: 00000393 li t2,0 + 800001bc: 01000193 li gp,16 + 800001c0: 34771663 bne a4,t2,8000050c + +00000000800001c4 : + 800001c4: 00e00093 li ra,14 + 800001c8: 00d00113 li sp,13 + 800001cc: 0020b0b3 sltu ra,ra,sp + 800001d0: 00000393 li t2,0 + 800001d4: 01100193 li gp,17 + 800001d8: 32709a63 bne ra,t2,8000050c + +00000000800001dc : + 800001dc: 00b00093 li ra,11 + 800001e0: 00d00113 li sp,13 + 800001e4: 0020b133 sltu sp,ra,sp + 800001e8: 00100393 li t2,1 + 800001ec: 01200193 li gp,18 + 800001f0: 30711e63 bne sp,t2,8000050c + +00000000800001f4 : + 800001f4: 00d00093 li ra,13 + 800001f8: 0010b0b3 sltu ra,ra,ra + 800001fc: 00000393 li t2,0 + 80000200: 01300193 li gp,19 + 80000204: 30709463 bne ra,t2,8000050c + +0000000080000208 : + 80000208: 00000213 li tp,0 + 8000020c: 00b00093 li ra,11 + 80000210: 00d00113 li sp,13 + 80000214: 0020b733 sltu a4,ra,sp + 80000218: 00070313 mv t1,a4 + 8000021c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000220: 00200293 li t0,2 + 80000224: fe5214e3 bne tp,t0,8000020c + 80000228: 00100393 li t2,1 + 8000022c: 01400193 li gp,20 + 80000230: 2c731e63 bne t1,t2,8000050c + +0000000080000234 : + 80000234: 00000213 li tp,0 + 80000238: 00e00093 li ra,14 + 8000023c: 00d00113 li sp,13 + 80000240: 0020b733 sltu a4,ra,sp + 80000244: 00000013 nop + 80000248: 00070313 mv t1,a4 + 8000024c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000250: 00200293 li t0,2 + 80000254: fe5212e3 bne tp,t0,80000238 + 80000258: 00000393 li t2,0 + 8000025c: 01500193 li gp,21 + 80000260: 2a731663 bne t1,t2,8000050c + +0000000080000264 : + 80000264: 00000213 li tp,0 + 80000268: 00c00093 li ra,12 + 8000026c: 00d00113 li sp,13 + 80000270: 0020b733 sltu a4,ra,sp + 80000274: 00000013 nop + 80000278: 00000013 nop + 8000027c: 00070313 mv t1,a4 + 80000280: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000284: 00200293 li t0,2 + 80000288: fe5210e3 bne tp,t0,80000268 + 8000028c: 00100393 li t2,1 + 80000290: 01600193 li gp,22 + 80000294: 26731c63 bne t1,t2,8000050c + +0000000080000298 : + 80000298: 00000213 li tp,0 + 8000029c: 00e00093 li ra,14 + 800002a0: 00d00113 li sp,13 + 800002a4: 0020b733 sltu a4,ra,sp + 800002a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002ac: 00200293 li t0,2 + 800002b0: fe5216e3 bne tp,t0,8000029c + 800002b4: 00000393 li t2,0 + 800002b8: 01700193 li gp,23 + 800002bc: 24771863 bne a4,t2,8000050c + +00000000800002c0 : + 800002c0: 00000213 li tp,0 + 800002c4: 00b00093 li ra,11 + 800002c8: 00d00113 li sp,13 + 800002cc: 00000013 nop + 800002d0: 0020b733 sltu a4,ra,sp + 800002d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002d8: 00200293 li t0,2 + 800002dc: fe5214e3 bne tp,t0,800002c4 + 800002e0: 00100393 li t2,1 + 800002e4: 01800193 li gp,24 + 800002e8: 22771263 bne a4,t2,8000050c + +00000000800002ec : + 800002ec: 00000213 li tp,0 + 800002f0: 00f00093 li ra,15 + 800002f4: 00d00113 li sp,13 + 800002f8: 00000013 nop + 800002fc: 00000013 nop + 80000300: 0020b733 sltu a4,ra,sp + 80000304: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000308: 00200293 li t0,2 + 8000030c: fe5212e3 bne tp,t0,800002f0 + 80000310: 00000393 li t2,0 + 80000314: 01900193 li gp,25 + 80000318: 1e771a63 bne a4,t2,8000050c + +000000008000031c : + 8000031c: 00000213 li tp,0 + 80000320: 00a00093 li ra,10 + 80000324: 00000013 nop + 80000328: 00d00113 li sp,13 + 8000032c: 0020b733 sltu a4,ra,sp + 80000330: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000334: 00200293 li t0,2 + 80000338: fe5214e3 bne tp,t0,80000320 + 8000033c: 00100393 li t2,1 + 80000340: 01a00193 li gp,26 + 80000344: 1c771463 bne a4,t2,8000050c + +0000000080000348 : + 80000348: 00000213 li tp,0 + 8000034c: 01000093 li ra,16 + 80000350: 00000013 nop + 80000354: 00d00113 li sp,13 + 80000358: 00000013 nop + 8000035c: 0020b733 sltu a4,ra,sp + 80000360: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000364: 00200293 li t0,2 + 80000368: fe5212e3 bne tp,t0,8000034c + 8000036c: 00000393 li t2,0 + 80000370: 01b00193 li gp,27 + 80000374: 18771c63 bne a4,t2,8000050c + +0000000080000378 : + 80000378: 00000213 li tp,0 + 8000037c: 00900093 li ra,9 + 80000380: 00000013 nop + 80000384: 00000013 nop + 80000388: 00d00113 li sp,13 + 8000038c: 0020b733 sltu a4,ra,sp + 80000390: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000394: 00200293 li t0,2 + 80000398: fe5212e3 bne tp,t0,8000037c + 8000039c: 00100393 li t2,1 + 800003a0: 01c00193 li gp,28 + 800003a4: 16771463 bne a4,t2,8000050c + +00000000800003a8 : + 800003a8: 00000213 li tp,0 + 800003ac: 00d00113 li sp,13 + 800003b0: 01100093 li ra,17 + 800003b4: 0020b733 sltu a4,ra,sp + 800003b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003bc: 00200293 li t0,2 + 800003c0: fe5216e3 bne tp,t0,800003ac + 800003c4: 00000393 li t2,0 + 800003c8: 01d00193 li gp,29 + 800003cc: 14771063 bne a4,t2,8000050c + +00000000800003d0 : + 800003d0: 00000213 li tp,0 + 800003d4: 00d00113 li sp,13 + 800003d8: 00800093 li ra,8 + 800003dc: 00000013 nop + 800003e0: 0020b733 sltu a4,ra,sp + 800003e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003e8: 00200293 li t0,2 + 800003ec: fe5214e3 bne tp,t0,800003d4 + 800003f0: 00100393 li t2,1 + 800003f4: 01e00193 li gp,30 + 800003f8: 10771a63 bne a4,t2,8000050c + +00000000800003fc : + 800003fc: 00000213 li tp,0 + 80000400: 00d00113 li sp,13 + 80000404: 01200093 li ra,18 + 80000408: 00000013 nop + 8000040c: 00000013 nop + 80000410: 0020b733 sltu a4,ra,sp + 80000414: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000418: 00200293 li t0,2 + 8000041c: fe5212e3 bne tp,t0,80000400 + 80000420: 00000393 li t2,0 + 80000424: 01f00193 li gp,31 + 80000428: 0e771263 bne a4,t2,8000050c + +000000008000042c : + 8000042c: 00000213 li tp,0 + 80000430: 00d00113 li sp,13 + 80000434: 00000013 nop + 80000438: 00700093 li ra,7 + 8000043c: 0020b733 sltu a4,ra,sp + 80000440: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000444: 00200293 li t0,2 + 80000448: fe5214e3 bne tp,t0,80000430 + 8000044c: 00100393 li t2,1 + 80000450: 02000193 li gp,32 + 80000454: 0a771c63 bne a4,t2,8000050c + +0000000080000458 : + 80000458: 00000213 li tp,0 + 8000045c: 00d00113 li sp,13 + 80000460: 00000013 nop + 80000464: 01300093 li ra,19 + 80000468: 00000013 nop + 8000046c: 0020b733 sltu a4,ra,sp + 80000470: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000474: 00200293 li t0,2 + 80000478: fe5212e3 bne tp,t0,8000045c + 8000047c: 00000393 li t2,0 + 80000480: 02100193 li gp,33 + 80000484: 08771463 bne a4,t2,8000050c + +0000000080000488 : + 80000488: 00000213 li tp,0 + 8000048c: 00d00113 li sp,13 + 80000490: 00000013 nop + 80000494: 00000013 nop + 80000498: 00600093 li ra,6 + 8000049c: 0020b733 sltu a4,ra,sp + 800004a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004a4: 00200293 li t0,2 + 800004a8: fe5212e3 bne tp,t0,8000048c + 800004ac: 00100393 li t2,1 + 800004b0: 02200193 li gp,34 + 800004b4: 04771c63 bne a4,t2,8000050c + +00000000800004b8 : + 800004b8: fff00093 li ra,-1 + 800004bc: 00103133 snez sp,ra + 800004c0: 00100393 li t2,1 + 800004c4: 02300193 li gp,35 + 800004c8: 04711263 bne sp,t2,8000050c + +00000000800004cc : + 800004cc: fff00093 li ra,-1 + 800004d0: 0000b133 sltu sp,ra,zero + 800004d4: 00000393 li t2,0 + 800004d8: 02400193 li gp,36 + 800004dc: 02711863 bne sp,t2,8000050c + +00000000800004e0 : + 800004e0: 000030b3 snez ra,zero + 800004e4: 00000393 li t2,0 + 800004e8: 02500193 li gp,37 + 800004ec: 02709063 bne ra,t2,8000050c + +00000000800004f0 : + 800004f0: 01000093 li ra,16 + 800004f4: 01e00113 li sp,30 + 800004f8: 0020b033 sltu zero,ra,sp + 800004fc: 00000393 li t2,0 + 80000500: 02600193 li gp,38 + 80000504: 00701463 bne zero,t2,8000050c + 80000508: 00301663 bne zero,gp,80000514 + +000000008000050c : + 8000050c: 00100513 li a0,1 + 80000510: 0340006f j 80000544 + +0000000080000514 : + 80000514: 00000513 li a0,0 + 80000518: 02c0006f j 80000544 + 8000051c: c0001073 unimp + ... + +0000000080000544 : + 80000544: 00050513 mv a0,a0 + 80000548: 0000006b 0x6b + 8000054c: 0000006f j 8000054c diff --git a/bin/non-output/riscv-tests/sra-riscv-tests.bin b/bin/non-output/riscv-tests/sra-riscv-tests.bin new file mode 100755 index 0000000..7bf9761 Binary files /dev/null and b/bin/non-output/riscv-tests/sra-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sra-riscv-tests.elf b/bin/non-output/riscv-tests/sra-riscv-tests.elf new file mode 100755 index 0000000..4de3244 Binary files /dev/null and b/bin/non-output/riscv-tests/sra-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sra-riscv-tests.txt b/bin/non-output/riscv-tests/sra-riscv-tests.txt new file mode 100755 index 0000000..8983144 --- /dev/null +++ b/bin/non-output/riscv-tests/sra-riscv-tests.txt @@ -0,0 +1,463 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sra-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 00000113 li sp,0 + 80000008: 4020d733 sra a4,ra,sp + 8000000c: 800003b7 lui t2,0x80000 + 80000010: 00200193 li gp,2 + 80000014: 58771463 bne a4,t2,8000059c + +0000000080000018 : + 80000018: 800000b7 lui ra,0x80000 + 8000001c: 00100113 li sp,1 + 80000020: 4020d733 sra a4,ra,sp + 80000024: c00003b7 lui t2,0xc0000 + 80000028: 00300193 li gp,3 + 8000002c: 56771863 bne a4,t2,8000059c + +0000000080000030 : + 80000030: 800000b7 lui ra,0x80000 + 80000034: 00700113 li sp,7 + 80000038: 4020d733 sra a4,ra,sp + 8000003c: ff0003b7 lui t2,0xff000 + 80000040: 00400193 li gp,4 + 80000044: 54771c63 bne a4,t2,8000059c + +0000000080000048 : + 80000048: 800000b7 lui ra,0x80000 + 8000004c: 00e00113 li sp,14 + 80000050: 4020d733 sra a4,ra,sp + 80000054: fffe03b7 lui t2,0xfffe0 + 80000058: 00500193 li gp,5 + 8000005c: 54771063 bne a4,t2,8000059c + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 0010809b addiw ra,ra,1 + 80000068: 01f00113 li sp,31 + 8000006c: 4020d733 sra a4,ra,sp + 80000070: fff00393 li t2,-1 + 80000074: 00600193 li gp,6 + 80000078: 52771263 bne a4,t2,8000059c + +000000008000007c : + 8000007c: 800000b7 lui ra,0x80000 + 80000080: fff0809b addiw ra,ra,-1 + 80000084: 00000113 li sp,0 + 80000088: 4020d733 sra a4,ra,sp + 8000008c: 800003b7 lui t2,0x80000 + 80000090: fff3839b addiw t2,t2,-1 + 80000094: 00700193 li gp,7 + 80000098: 50771263 bne a4,t2,8000059c + +000000008000009c : + 8000009c: 800000b7 lui ra,0x80000 + 800000a0: fff0809b addiw ra,ra,-1 + 800000a4: 00100113 li sp,1 + 800000a8: 4020d733 sra a4,ra,sp + 800000ac: 400003b7 lui t2,0x40000 + 800000b0: fff3839b addiw t2,t2,-1 + 800000b4: 00800193 li gp,8 + 800000b8: 4e771263 bne a4,t2,8000059c + +00000000800000bc : + 800000bc: 800000b7 lui ra,0x80000 + 800000c0: fff0809b addiw ra,ra,-1 + 800000c4: 00700113 li sp,7 + 800000c8: 4020d733 sra a4,ra,sp + 800000cc: 010003b7 lui t2,0x1000 + 800000d0: fff3839b addiw t2,t2,-1 + 800000d4: 00900193 li gp,9 + 800000d8: 4c771263 bne a4,t2,8000059c + +00000000800000dc : + 800000dc: 800000b7 lui ra,0x80000 + 800000e0: fff0809b addiw ra,ra,-1 + 800000e4: 00e00113 li sp,14 + 800000e8: 4020d733 sra a4,ra,sp + 800000ec: 000203b7 lui t2,0x20 + 800000f0: fff3839b addiw t2,t2,-1 + 800000f4: 00a00193 li gp,10 + 800000f8: 4a771263 bne a4,t2,8000059c + +00000000800000fc : + 800000fc: 800000b7 lui ra,0x80000 + 80000100: fff0809b addiw ra,ra,-1 + 80000104: 01f00113 li sp,31 + 80000108: 4020d733 sra a4,ra,sp + 8000010c: 00000393 li t2,0 + 80000110: 00b00193 li gp,11 + 80000114: 48771463 bne a4,t2,8000059c + +0000000080000118 : + 80000118: 818180b7 lui ra,0x81818 + 8000011c: 1810809b addiw ra,ra,385 + 80000120: 00000113 li sp,0 + 80000124: 4020d733 sra a4,ra,sp + 80000128: 818183b7 lui t2,0x81818 + 8000012c: 1813839b addiw t2,t2,385 + 80000130: 00c00193 li gp,12 + 80000134: 46771463 bne a4,t2,8000059c + +0000000080000138 : + 80000138: 818180b7 lui ra,0x81818 + 8000013c: 1810809b addiw ra,ra,385 + 80000140: 00100113 li sp,1 + 80000144: 4020d733 sra a4,ra,sp + 80000148: c0c0c3b7 lui t2,0xc0c0c + 8000014c: 0c03839b addiw t2,t2,192 + 80000150: 00d00193 li gp,13 + 80000154: 44771463 bne a4,t2,8000059c + +0000000080000158 : + 80000158: 818180b7 lui ra,0x81818 + 8000015c: 1810809b addiw ra,ra,385 + 80000160: 00700113 li sp,7 + 80000164: 4020d733 sra a4,ra,sp + 80000168: ff0303b7 lui t2,0xff030 + 8000016c: 3033839b addiw t2,t2,771 + 80000170: 00e00193 li gp,14 + 80000174: 42771463 bne a4,t2,8000059c + +0000000080000178 : + 80000178: 818180b7 lui ra,0x81818 + 8000017c: 1810809b addiw ra,ra,385 + 80000180: 00e00113 li sp,14 + 80000184: 4020d733 sra a4,ra,sp + 80000188: fffe03b7 lui t2,0xfffe0 + 8000018c: 6063839b addiw t2,t2,1542 + 80000190: 00f00193 li gp,15 + 80000194: 40771463 bne a4,t2,8000059c + +0000000080000198 : + 80000198: 818180b7 lui ra,0x81818 + 8000019c: 1810809b addiw ra,ra,385 + 800001a0: 01f00113 li sp,31 + 800001a4: 4020d733 sra a4,ra,sp + 800001a8: fff00393 li t2,-1 + 800001ac: 01000193 li gp,16 + 800001b0: 3e771663 bne a4,t2,8000059c + +00000000800001b4 : + 800001b4: 818180b7 lui ra,0x81818 + 800001b8: 1810809b addiw ra,ra,385 + 800001bc: fc000113 li sp,-64 + 800001c0: 4020d733 sra a4,ra,sp + 800001c4: 818183b7 lui t2,0x81818 + 800001c8: 1813839b addiw t2,t2,385 + 800001cc: 01100193 li gp,17 + 800001d0: 3c771663 bne a4,t2,8000059c + +00000000800001d4 : + 800001d4: 818180b7 lui ra,0x81818 + 800001d8: 1810809b addiw ra,ra,385 + 800001dc: fc100113 li sp,-63 + 800001e0: 4020d733 sra a4,ra,sp + 800001e4: c0c0c3b7 lui t2,0xc0c0c + 800001e8: 0c03839b addiw t2,t2,192 + 800001ec: 01200193 li gp,18 + 800001f0: 3a771663 bne a4,t2,8000059c + +00000000800001f4 : + 800001f4: 818180b7 lui ra,0x81818 + 800001f8: 1810809b addiw ra,ra,385 + 800001fc: fc700113 li sp,-57 + 80000200: 4020d733 sra a4,ra,sp + 80000204: ff0303b7 lui t2,0xff030 + 80000208: 3033839b addiw t2,t2,771 + 8000020c: 01300193 li gp,19 + 80000210: 38771663 bne a4,t2,8000059c + +0000000080000214 : + 80000214: 818180b7 lui ra,0x81818 + 80000218: 1810809b addiw ra,ra,385 + 8000021c: fce00113 li sp,-50 + 80000220: 4020d733 sra a4,ra,sp + 80000224: fffe03b7 lui t2,0xfffe0 + 80000228: 6063839b addiw t2,t2,1542 + 8000022c: 01400193 li gp,20 + 80000230: 36771663 bne a4,t2,8000059c + +0000000080000234 : + 80000234: 818180b7 lui ra,0x81818 + 80000238: 1810809b addiw ra,ra,385 + 8000023c: fff00113 li sp,-1 + 80000240: 4020d733 sra a4,ra,sp + 80000244: fff00393 li t2,-1 + 80000248: 01500193 li gp,21 + 8000024c: 34771863 bne a4,t2,8000059c + +0000000080000250 : + 80000250: 800000b7 lui ra,0x80000 + 80000254: 00700113 li sp,7 + 80000258: 4020d0b3 sra ra,ra,sp + 8000025c: ff0003b7 lui t2,0xff000 + 80000260: 01600193 li gp,22 + 80000264: 32709c63 bne ra,t2,8000059c + +0000000080000268 : + 80000268: 800000b7 lui ra,0x80000 + 8000026c: 00e00113 li sp,14 + 80000270: 4020d133 sra sp,ra,sp + 80000274: fffe03b7 lui t2,0xfffe0 + 80000278: 01700193 li gp,23 + 8000027c: 32711063 bne sp,t2,8000059c + +0000000080000280 : + 80000280: 00700093 li ra,7 + 80000284: 4010d0b3 sra ra,ra,ra + 80000288: 00000393 li t2,0 + 8000028c: 01800193 li gp,24 + 80000290: 30709663 bne ra,t2,8000059c + +0000000080000294 : + 80000294: 00000213 li tp,0 + 80000298: 800000b7 lui ra,0x80000 + 8000029c: 00700113 li sp,7 + 800002a0: 4020d733 sra a4,ra,sp + 800002a4: 00070313 mv t1,a4 + 800002a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002ac: 00200293 li t0,2 + 800002b0: fe5214e3 bne tp,t0,80000298 + 800002b4: ff0003b7 lui t2,0xff000 + 800002b8: 01900193 li gp,25 + 800002bc: 2e731063 bne t1,t2,8000059c + +00000000800002c0 : + 800002c0: 00000213 li tp,0 + 800002c4: 800000b7 lui ra,0x80000 + 800002c8: 00e00113 li sp,14 + 800002cc: 4020d733 sra a4,ra,sp + 800002d0: 00000013 nop + 800002d4: 00070313 mv t1,a4 + 800002d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002dc: 00200293 li t0,2 + 800002e0: fe5212e3 bne tp,t0,800002c4 + 800002e4: fffe03b7 lui t2,0xfffe0 + 800002e8: 01a00193 li gp,26 + 800002ec: 2a731863 bne t1,t2,8000059c + +00000000800002f0 : + 800002f0: 00000213 li tp,0 + 800002f4: 800000b7 lui ra,0x80000 + 800002f8: 01f00113 li sp,31 + 800002fc: 4020d733 sra a4,ra,sp + 80000300: 00000013 nop + 80000304: 00000013 nop + 80000308: 00070313 mv t1,a4 + 8000030c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000310: 00200293 li t0,2 + 80000314: fe5210e3 bne tp,t0,800002f4 + 80000318: fff00393 li t2,-1 + 8000031c: 01b00193 li gp,27 + 80000320: 26731e63 bne t1,t2,8000059c + +0000000080000324 : + 80000324: 00000213 li tp,0 + 80000328: 800000b7 lui ra,0x80000 + 8000032c: 00700113 li sp,7 + 80000330: 4020d733 sra a4,ra,sp + 80000334: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000338: 00200293 li t0,2 + 8000033c: fe5216e3 bne tp,t0,80000328 + 80000340: ff0003b7 lui t2,0xff000 + 80000344: 01c00193 li gp,28 + 80000348: 24771a63 bne a4,t2,8000059c + +000000008000034c : + 8000034c: 00000213 li tp,0 + 80000350: 800000b7 lui ra,0x80000 + 80000354: 00e00113 li sp,14 + 80000358: 00000013 nop + 8000035c: 4020d733 sra a4,ra,sp + 80000360: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000364: 00200293 li t0,2 + 80000368: fe5214e3 bne tp,t0,80000350 + 8000036c: fffe03b7 lui t2,0xfffe0 + 80000370: 01d00193 li gp,29 + 80000374: 22771463 bne a4,t2,8000059c + +0000000080000378 : + 80000378: 00000213 li tp,0 + 8000037c: 800000b7 lui ra,0x80000 + 80000380: 01f00113 li sp,31 + 80000384: 00000013 nop + 80000388: 00000013 nop + 8000038c: 4020d733 sra a4,ra,sp + 80000390: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000394: 00200293 li t0,2 + 80000398: fe5212e3 bne tp,t0,8000037c + 8000039c: fff00393 li t2,-1 + 800003a0: 01e00193 li gp,30 + 800003a4: 1e771c63 bne a4,t2,8000059c + +00000000800003a8 : + 800003a8: 00000213 li tp,0 + 800003ac: 800000b7 lui ra,0x80000 + 800003b0: 00000013 nop + 800003b4: 00700113 li sp,7 + 800003b8: 4020d733 sra a4,ra,sp + 800003bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003c0: 00200293 li t0,2 + 800003c4: fe5214e3 bne tp,t0,800003ac + 800003c8: ff0003b7 lui t2,0xff000 + 800003cc: 01f00193 li gp,31 + 800003d0: 1c771663 bne a4,t2,8000059c + +00000000800003d4 : + 800003d4: 00000213 li tp,0 + 800003d8: 800000b7 lui ra,0x80000 + 800003dc: 00000013 nop + 800003e0: 00e00113 li sp,14 + 800003e4: 00000013 nop + 800003e8: 4020d733 sra a4,ra,sp + 800003ec: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003f0: 00200293 li t0,2 + 800003f4: fe5212e3 bne tp,t0,800003d8 + 800003f8: fffe03b7 lui t2,0xfffe0 + 800003fc: 02000193 li gp,32 + 80000400: 18771e63 bne a4,t2,8000059c + +0000000080000404 : + 80000404: 00000213 li tp,0 + 80000408: 800000b7 lui ra,0x80000 + 8000040c: 00000013 nop + 80000410: 00000013 nop + 80000414: 01f00113 li sp,31 + 80000418: 4020d733 sra a4,ra,sp + 8000041c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000420: 00200293 li t0,2 + 80000424: fe5212e3 bne tp,t0,80000408 + 80000428: fff00393 li t2,-1 + 8000042c: 02100193 li gp,33 + 80000430: 16771663 bne a4,t2,8000059c + +0000000080000434 : + 80000434: 00000213 li tp,0 + 80000438: 00700113 li sp,7 + 8000043c: 800000b7 lui ra,0x80000 + 80000440: 4020d733 sra a4,ra,sp + 80000444: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000448: 00200293 li t0,2 + 8000044c: fe5216e3 bne tp,t0,80000438 + 80000450: ff0003b7 lui t2,0xff000 + 80000454: 02200193 li gp,34 + 80000458: 14771263 bne a4,t2,8000059c + +000000008000045c : + 8000045c: 00000213 li tp,0 + 80000460: 00e00113 li sp,14 + 80000464: 800000b7 lui ra,0x80000 + 80000468: 00000013 nop + 8000046c: 4020d733 sra a4,ra,sp + 80000470: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000474: 00200293 li t0,2 + 80000478: fe5214e3 bne tp,t0,80000460 + 8000047c: fffe03b7 lui t2,0xfffe0 + 80000480: 02300193 li gp,35 + 80000484: 10771c63 bne a4,t2,8000059c + +0000000080000488 : + 80000488: 00000213 li tp,0 + 8000048c: 01f00113 li sp,31 + 80000490: 800000b7 lui ra,0x80000 + 80000494: 00000013 nop + 80000498: 00000013 nop + 8000049c: 4020d733 sra a4,ra,sp + 800004a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004a4: 00200293 li t0,2 + 800004a8: fe5212e3 bne tp,t0,8000048c + 800004ac: fff00393 li t2,-1 + 800004b0: 02400193 li gp,36 + 800004b4: 0e771463 bne a4,t2,8000059c + +00000000800004b8 : + 800004b8: 00000213 li tp,0 + 800004bc: 00700113 li sp,7 + 800004c0: 00000013 nop + 800004c4: 800000b7 lui ra,0x80000 + 800004c8: 4020d733 sra a4,ra,sp + 800004cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004d0: 00200293 li t0,2 + 800004d4: fe5214e3 bne tp,t0,800004bc + 800004d8: ff0003b7 lui t2,0xff000 + 800004dc: 02500193 li gp,37 + 800004e0: 0a771e63 bne a4,t2,8000059c + +00000000800004e4 : + 800004e4: 00000213 li tp,0 + 800004e8: 00e00113 li sp,14 + 800004ec: 00000013 nop + 800004f0: 800000b7 lui ra,0x80000 + 800004f4: 00000013 nop + 800004f8: 4020d733 sra a4,ra,sp + 800004fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000500: 00200293 li t0,2 + 80000504: fe5212e3 bne tp,t0,800004e8 + 80000508: fffe03b7 lui t2,0xfffe0 + 8000050c: 02600193 li gp,38 + 80000510: 08771663 bne a4,t2,8000059c + +0000000080000514 : + 80000514: 00000213 li tp,0 + 80000518: 01f00113 li sp,31 + 8000051c: 00000013 nop + 80000520: 00000013 nop + 80000524: 800000b7 lui ra,0x80000 + 80000528: 4020d733 sra a4,ra,sp + 8000052c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000530: 00200293 li t0,2 + 80000534: fe5212e3 bne tp,t0,80000518 + 80000538: fff00393 li t2,-1 + 8000053c: 02700193 li gp,39 + 80000540: 04771e63 bne a4,t2,8000059c + +0000000080000544 : + 80000544: 00f00093 li ra,15 + 80000548: 40105133 sra sp,zero,ra + 8000054c: 00000393 li t2,0 + 80000550: 02800193 li gp,40 + 80000554: 04711463 bne sp,t2,8000059c + +0000000080000558 : + 80000558: 02000093 li ra,32 + 8000055c: 4000d133 sra sp,ra,zero + 80000560: 02000393 li t2,32 + 80000564: 02900193 li gp,41 + 80000568: 02711a63 bne sp,t2,8000059c + +000000008000056c : + 8000056c: 400050b3 sra ra,zero,zero + 80000570: 00000393 li t2,0 + 80000574: 02a00193 li gp,42 + 80000578: 02709263 bne ra,t2,8000059c + +000000008000057c : + 8000057c: 40000093 li ra,1024 + 80000580: 00001137 lui sp,0x1 + 80000584: 8001011b addiw sp,sp,-2048 + 80000588: 4020d033 sra zero,ra,sp + 8000058c: 00000393 li t2,0 + 80000590: 02b00193 li gp,43 + 80000594: 00701463 bne zero,t2,8000059c + 80000598: 00301663 bne zero,gp,800005a4 + +000000008000059c : + 8000059c: 00100513 li a0,1 + 800005a0: 0240006f j 800005c4 + +00000000800005a4 : + 800005a4: 00000513 li a0,0 + 800005a8: 01c0006f j 800005c4 + 800005ac: c0001073 unimp + ... + +00000000800005c4 : + 800005c4: 00050513 mv a0,a0 + 800005c8: 0000006b 0x6b + 800005cc: 0000006f j 800005cc diff --git a/bin/non-output/riscv-tests/srai-riscv-tests.bin b/bin/non-output/riscv-tests/srai-riscv-tests.bin new file mode 100755 index 0000000..e4947f6 Binary files /dev/null and b/bin/non-output/riscv-tests/srai-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/srai-riscv-tests.elf b/bin/non-output/riscv-tests/srai-riscv-tests.elf new file mode 100755 index 0000000..ce26f29 Binary files /dev/null and b/bin/non-output/riscv-tests/srai-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/srai-riscv-tests.txt b/bin/non-output/riscv-tests/srai-riscv-tests.txt new file mode 100755 index 0000000..d0aecd1 --- /dev/null +++ b/bin/non-output/riscv-tests/srai-riscv-tests.txt @@ -0,0 +1,244 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/srai-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: fff0009b addiw ra,zero,-1 + 80000004: 02709093 slli ra,ra,0x27 + 80000008: 4000d713 srai a4,ra,0x0 + 8000000c: fff0039b addiw t2,zero,-1 + 80000010: 02739393 slli t2,t2,0x27 + 80000014: 00200193 li gp,2 + 80000018: 2a771463 bne a4,t2,800002c0 + +000000008000001c : + 8000001c: 800000b7 lui ra,0x80000 + 80000020: 4010d713 srai a4,ra,0x1 + 80000024: c00003b7 lui t2,0xc0000 + 80000028: 00300193 li gp,3 + 8000002c: 28771a63 bne a4,t2,800002c0 + +0000000080000030 : + 80000030: 800000b7 lui ra,0x80000 + 80000034: 4070d713 srai a4,ra,0x7 + 80000038: ff0003b7 lui t2,0xff000 + 8000003c: 00400193 li gp,4 + 80000040: 28771063 bne a4,t2,800002c0 + +0000000080000044 : + 80000044: 800000b7 lui ra,0x80000 + 80000048: 40e0d713 srai a4,ra,0xe + 8000004c: fffe03b7 lui t2,0xfffe0 + 80000050: 00500193 li gp,5 + 80000054: 26771663 bne a4,t2,800002c0 + +0000000080000058 : + 80000058: 800000b7 lui ra,0x80000 + 8000005c: 0010809b addiw ra,ra,1 + 80000060: 41f0d713 srai a4,ra,0x1f + 80000064: fff00393 li t2,-1 + 80000068: 00600193 li gp,6 + 8000006c: 24771a63 bne a4,t2,800002c0 + +0000000080000070 : + 80000070: 800000b7 lui ra,0x80000 + 80000074: fff0809b addiw ra,ra,-1 + 80000078: 4000d713 srai a4,ra,0x0 + 8000007c: 800003b7 lui t2,0x80000 + 80000080: fff3839b addiw t2,t2,-1 + 80000084: 00700193 li gp,7 + 80000088: 22771c63 bne a4,t2,800002c0 + +000000008000008c : + 8000008c: 800000b7 lui ra,0x80000 + 80000090: fff0809b addiw ra,ra,-1 + 80000094: 4010d713 srai a4,ra,0x1 + 80000098: 400003b7 lui t2,0x40000 + 8000009c: fff3839b addiw t2,t2,-1 + 800000a0: 00800193 li gp,8 + 800000a4: 20771e63 bne a4,t2,800002c0 + +00000000800000a8 : + 800000a8: 800000b7 lui ra,0x80000 + 800000ac: fff0809b addiw ra,ra,-1 + 800000b0: 4070d713 srai a4,ra,0x7 + 800000b4: 010003b7 lui t2,0x1000 + 800000b8: fff3839b addiw t2,t2,-1 + 800000bc: 00900193 li gp,9 + 800000c0: 20771063 bne a4,t2,800002c0 + +00000000800000c4 : + 800000c4: 800000b7 lui ra,0x80000 + 800000c8: fff0809b addiw ra,ra,-1 + 800000cc: 40e0d713 srai a4,ra,0xe + 800000d0: 000203b7 lui t2,0x20 + 800000d4: fff3839b addiw t2,t2,-1 + 800000d8: 00a00193 li gp,10 + 800000dc: 1e771263 bne a4,t2,800002c0 + +00000000800000e0 : + 800000e0: 800000b7 lui ra,0x80000 + 800000e4: fff0809b addiw ra,ra,-1 + 800000e8: 41f0d713 srai a4,ra,0x1f + 800000ec: 00000393 li t2,0 + 800000f0: 00b00193 li gp,11 + 800000f4: 1c771663 bne a4,t2,800002c0 + +00000000800000f8 : + 800000f8: 818180b7 lui ra,0x81818 + 800000fc: 1810809b addiw ra,ra,385 + 80000100: 4000d713 srai a4,ra,0x0 + 80000104: 818183b7 lui t2,0x81818 + 80000108: 1813839b addiw t2,t2,385 + 8000010c: 00c00193 li gp,12 + 80000110: 1a771863 bne a4,t2,800002c0 + +0000000080000114 : + 80000114: 818180b7 lui ra,0x81818 + 80000118: 1810809b addiw ra,ra,385 + 8000011c: 4010d713 srai a4,ra,0x1 + 80000120: c0c0c3b7 lui t2,0xc0c0c + 80000124: 0c03839b addiw t2,t2,192 + 80000128: 00d00193 li gp,13 + 8000012c: 18771a63 bne a4,t2,800002c0 + +0000000080000130 : + 80000130: 818180b7 lui ra,0x81818 + 80000134: 1810809b addiw ra,ra,385 + 80000138: 4070d713 srai a4,ra,0x7 + 8000013c: ff0303b7 lui t2,0xff030 + 80000140: 3033839b addiw t2,t2,771 + 80000144: 00e00193 li gp,14 + 80000148: 16771c63 bne a4,t2,800002c0 + +000000008000014c : + 8000014c: 818180b7 lui ra,0x81818 + 80000150: 1810809b addiw ra,ra,385 + 80000154: 40e0d713 srai a4,ra,0xe + 80000158: fffe03b7 lui t2,0xfffe0 + 8000015c: 6063839b addiw t2,t2,1542 + 80000160: 00f00193 li gp,15 + 80000164: 14771e63 bne a4,t2,800002c0 + +0000000080000168 : + 80000168: 818180b7 lui ra,0x81818 + 8000016c: 1810809b addiw ra,ra,385 + 80000170: 41f0d713 srai a4,ra,0x1f + 80000174: fff00393 li t2,-1 + 80000178: 01000193 li gp,16 + 8000017c: 14771263 bne a4,t2,800002c0 + +0000000080000180 : + 80000180: 800000b7 lui ra,0x80000 + 80000184: 4070d093 srai ra,ra,0x7 + 80000188: ff0003b7 lui t2,0xff000 + 8000018c: 01100193 li gp,17 + 80000190: 12709863 bne ra,t2,800002c0 + +0000000080000194 : + 80000194: 00000213 li tp,0 + 80000198: 800000b7 lui ra,0x80000 + 8000019c: 4070d713 srai a4,ra,0x7 + 800001a0: 00070313 mv t1,a4 + 800001a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a8: 00200293 li t0,2 + 800001ac: fe5216e3 bne tp,t0,80000198 + 800001b0: ff0003b7 lui t2,0xff000 + 800001b4: 01200193 li gp,18 + 800001b8: 10731463 bne t1,t2,800002c0 + +00000000800001bc : + 800001bc: 00000213 li tp,0 + 800001c0: 800000b7 lui ra,0x80000 + 800001c4: 40e0d713 srai a4,ra,0xe + 800001c8: 00000013 nop + 800001cc: 00070313 mv t1,a4 + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5214e3 bne tp,t0,800001c0 + 800001dc: fffe03b7 lui t2,0xfffe0 + 800001e0: 01300193 li gp,19 + 800001e4: 0c731e63 bne t1,t2,800002c0 + +00000000800001e8 : + 800001e8: 00000213 li tp,0 + 800001ec: 800000b7 lui ra,0x80000 + 800001f0: 0010809b addiw ra,ra,1 + 800001f4: 41f0d713 srai a4,ra,0x1f + 800001f8: 00000013 nop + 800001fc: 00000013 nop + 80000200: 00070313 mv t1,a4 + 80000204: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000208: 00200293 li t0,2 + 8000020c: fe5210e3 bne tp,t0,800001ec + 80000210: fff00393 li t2,-1 + 80000214: 01400193 li gp,20 + 80000218: 0a731463 bne t1,t2,800002c0 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 800000b7 lui ra,0x80000 + 80000224: 4070d713 srai a4,ra,0x7 + 80000228: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000022c: 00200293 li t0,2 + 80000230: fe5218e3 bne tp,t0,80000220 + 80000234: ff0003b7 lui t2,0xff000 + 80000238: 01500193 li gp,21 + 8000023c: 08771263 bne a4,t2,800002c0 + +0000000080000240 : + 80000240: 00000213 li tp,0 + 80000244: 800000b7 lui ra,0x80000 + 80000248: 00000013 nop + 8000024c: 40e0d713 srai a4,ra,0xe + 80000250: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000254: 00200293 li t0,2 + 80000258: fe5216e3 bne tp,t0,80000244 + 8000025c: fffe03b7 lui t2,0xfffe0 + 80000260: 01600193 li gp,22 + 80000264: 04771e63 bne a4,t2,800002c0 + +0000000080000268 : + 80000268: 00000213 li tp,0 + 8000026c: 800000b7 lui ra,0x80000 + 80000270: 0010809b addiw ra,ra,1 + 80000274: 00000013 nop + 80000278: 00000013 nop + 8000027c: 41f0d713 srai a4,ra,0x1f + 80000280: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000284: 00200293 li t0,2 + 80000288: fe5212e3 bne tp,t0,8000026c + 8000028c: fff00393 li t2,-1 + 80000290: 01700193 li gp,23 + 80000294: 02771663 bne a4,t2,800002c0 + +0000000080000298 : + 80000298: 40405093 srai ra,zero,0x4 + 8000029c: 00000393 li t2,0 + 800002a0: 01800193 li gp,24 + 800002a4: 00709e63 bne ra,t2,800002c0 + +00000000800002a8 : + 800002a8: 02100093 li ra,33 + 800002ac: 40a0d013 srai zero,ra,0xa + 800002b0: 00000393 li t2,0 + 800002b4: 01900193 li gp,25 + 800002b8: 00701463 bne zero,t2,800002c0 + 800002bc: 00301663 bne zero,gp,800002c8 + +00000000800002c0 : + 800002c0: 00100513 li a0,1 + 800002c4: 0400006f j 80000304 + +00000000800002c8 : + 800002c8: 00000513 li a0,0 + 800002cc: 0380006f j 80000304 + 800002d0: c0001073 unimp + ... + +0000000080000304 : + 80000304: 00050513 mv a0,a0 + 80000308: 0000006b 0x6b + 8000030c: 0000006f j 8000030c diff --git a/bin/non-output/riscv-tests/sraiw-riscv-tests.bin b/bin/non-output/riscv-tests/sraiw-riscv-tests.bin new file mode 100755 index 0000000..86eea84 Binary files /dev/null and b/bin/non-output/riscv-tests/sraiw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sraiw-riscv-tests.elf b/bin/non-output/riscv-tests/sraiw-riscv-tests.elf new file mode 100755 index 0000000..af95e7f Binary files /dev/null and b/bin/non-output/riscv-tests/sraiw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sraiw-riscv-tests.txt b/bin/non-output/riscv-tests/sraiw-riscv-tests.txt new file mode 100755 index 0000000..fe6dcec --- /dev/null +++ b/bin/non-output/riscv-tests/sraiw-riscv-tests.txt @@ -0,0 +1,302 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sraiw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 4000d71b sraiw a4,ra,0x0 + 80000008: 800003b7 lui t2,0x80000 + 8000000c: 00200193 li gp,2 + 80000010: 36771463 bne a4,t2,80000378 + +0000000080000014 : + 80000014: 800000b7 lui ra,0x80000 + 80000018: 4010d71b sraiw a4,ra,0x1 + 8000001c: c00003b7 lui t2,0xc0000 + 80000020: 00300193 li gp,3 + 80000024: 34771a63 bne a4,t2,80000378 + +0000000080000028 : + 80000028: 800000b7 lui ra,0x80000 + 8000002c: 4070d71b sraiw a4,ra,0x7 + 80000030: ff0003b7 lui t2,0xff000 + 80000034: 00400193 li gp,4 + 80000038: 34771063 bne a4,t2,80000378 + +000000008000003c : + 8000003c: 800000b7 lui ra,0x80000 + 80000040: 40e0d71b sraiw a4,ra,0xe + 80000044: fffe03b7 lui t2,0xfffe0 + 80000048: 00500193 li gp,5 + 8000004c: 32771663 bne a4,t2,80000378 + +0000000080000050 : + 80000050: 800000b7 lui ra,0x80000 + 80000054: 0010809b addiw ra,ra,1 + 80000058: 41f0d71b sraiw a4,ra,0x1f + 8000005c: fff00393 li t2,-1 + 80000060: 00600193 li gp,6 + 80000064: 30771a63 bne a4,t2,80000378 + +0000000080000068 : + 80000068: 800000b7 lui ra,0x80000 + 8000006c: fff0809b addiw ra,ra,-1 + 80000070: 4000d71b sraiw a4,ra,0x0 + 80000074: 800003b7 lui t2,0x80000 + 80000078: fff3839b addiw t2,t2,-1 + 8000007c: 00700193 li gp,7 + 80000080: 2e771c63 bne a4,t2,80000378 + +0000000080000084 : + 80000084: 800000b7 lui ra,0x80000 + 80000088: fff0809b addiw ra,ra,-1 + 8000008c: 4010d71b sraiw a4,ra,0x1 + 80000090: 400003b7 lui t2,0x40000 + 80000094: fff3839b addiw t2,t2,-1 + 80000098: 00800193 li gp,8 + 8000009c: 2c771e63 bne a4,t2,80000378 + +00000000800000a0 : + 800000a0: 800000b7 lui ra,0x80000 + 800000a4: fff0809b addiw ra,ra,-1 + 800000a8: 4070d71b sraiw a4,ra,0x7 + 800000ac: 010003b7 lui t2,0x1000 + 800000b0: fff3839b addiw t2,t2,-1 + 800000b4: 00900193 li gp,9 + 800000b8: 2c771063 bne a4,t2,80000378 + +00000000800000bc : + 800000bc: 800000b7 lui ra,0x80000 + 800000c0: fff0809b addiw ra,ra,-1 + 800000c4: 40e0d71b sraiw a4,ra,0xe + 800000c8: 000203b7 lui t2,0x20 + 800000cc: fff3839b addiw t2,t2,-1 + 800000d0: 00a00193 li gp,10 + 800000d4: 2a771263 bne a4,t2,80000378 + +00000000800000d8 : + 800000d8: 800000b7 lui ra,0x80000 + 800000dc: fff0809b addiw ra,ra,-1 + 800000e0: 41f0d71b sraiw a4,ra,0x1f + 800000e4: 00000393 li t2,0 + 800000e8: 00b00193 li gp,11 + 800000ec: 28771663 bne a4,t2,80000378 + +00000000800000f0 : + 800000f0: 818180b7 lui ra,0x81818 + 800000f4: 1810809b addiw ra,ra,385 + 800000f8: 4000d71b sraiw a4,ra,0x0 + 800000fc: 818183b7 lui t2,0x81818 + 80000100: 1813839b addiw t2,t2,385 + 80000104: 00c00193 li gp,12 + 80000108: 26771863 bne a4,t2,80000378 + +000000008000010c : + 8000010c: 818180b7 lui ra,0x81818 + 80000110: 1810809b addiw ra,ra,385 + 80000114: 4010d71b sraiw a4,ra,0x1 + 80000118: c0c0c3b7 lui t2,0xc0c0c + 8000011c: 0c03839b addiw t2,t2,192 + 80000120: 00d00193 li gp,13 + 80000124: 24771a63 bne a4,t2,80000378 + +0000000080000128 : + 80000128: 818180b7 lui ra,0x81818 + 8000012c: 1810809b addiw ra,ra,385 + 80000130: 4070d71b sraiw a4,ra,0x7 + 80000134: ff0303b7 lui t2,0xff030 + 80000138: 3033839b addiw t2,t2,771 + 8000013c: 00e00193 li gp,14 + 80000140: 22771c63 bne a4,t2,80000378 + +0000000080000144 : + 80000144: 818180b7 lui ra,0x81818 + 80000148: 1810809b addiw ra,ra,385 + 8000014c: 40e0d71b sraiw a4,ra,0xe + 80000150: fffe03b7 lui t2,0xfffe0 + 80000154: 6063839b addiw t2,t2,1542 + 80000158: 00f00193 li gp,15 + 8000015c: 20771e63 bne a4,t2,80000378 + +0000000080000160 : + 80000160: 818180b7 lui ra,0x81818 + 80000164: 1810809b addiw ra,ra,385 + 80000168: 41f0d71b sraiw a4,ra,0x1f + 8000016c: fff00393 li t2,-1 + 80000170: 01000193 li gp,16 + 80000174: 20771263 bne a4,t2,80000378 + +0000000080000178 : + 80000178: fff120b7 lui ra,0xfff12 + 8000017c: 3450809b addiw ra,ra,837 + 80000180: 00c09093 slli ra,ra,0xc + 80000184: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000188: 4000d71b sraiw a4,ra,0x0 + 8000018c: 123453b7 lui t2,0x12345 + 80000190: 6783839b addiw t2,t2,1656 + 80000194: 02c00193 li gp,44 + 80000198: 1e771063 bne a4,t2,80000378 + +000000008000019c : + 8000019c: fff120b7 lui ra,0xfff12 + 800001a0: 3450809b addiw ra,ra,837 + 800001a4: 00c09093 slli ra,ra,0xc + 800001a8: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 800001ac: 4040d71b sraiw a4,ra,0x4 + 800001b0: 012343b7 lui t2,0x1234 + 800001b4: 5673839b addiw t2,t2,1383 + 800001b8: 02d00193 li gp,45 + 800001bc: 1a771e63 bne a4,t2,80000378 + +00000000800001c0 : + 800001c0: 000920b7 lui ra,0x92 + 800001c4: 3450809b addiw ra,ra,837 + 800001c8: 00c09093 slli ra,ra,0xc + 800001cc: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800001d0: 4000d71b sraiw a4,ra,0x0 + 800001d4: 923453b7 lui t2,0x92345 + 800001d8: 6783839b addiw t2,t2,1656 + 800001dc: 02e00193 li gp,46 + 800001e0: 18771c63 bne a4,t2,80000378 + +00000000800001e4 : + 800001e4: 000920b7 lui ra,0x92 + 800001e8: 3450809b addiw ra,ra,837 + 800001ec: 00c09093 slli ra,ra,0xc + 800001f0: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800001f4: 4040d71b sraiw a4,ra,0x4 + 800001f8: f92343b7 lui t2,0xf9234 + 800001fc: 5673839b addiw t2,t2,1383 + 80000200: 02f00193 li gp,47 + 80000204: 16771a63 bne a4,t2,80000378 + +0000000080000208 : + 80000208: 800000b7 lui ra,0x80000 + 8000020c: 4070d09b sraiw ra,ra,0x7 + 80000210: ff0003b7 lui t2,0xff000 + 80000214: 01100193 li gp,17 + 80000218: 16709063 bne ra,t2,80000378 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 800000b7 lui ra,0x80000 + 80000224: 4070d71b sraiw a4,ra,0x7 + 80000228: 00070313 mv t1,a4 + 8000022c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000230: 00200293 li t0,2 + 80000234: fe5216e3 bne tp,t0,80000220 + 80000238: ff0003b7 lui t2,0xff000 + 8000023c: 01200193 li gp,18 + 80000240: 12731c63 bne t1,t2,80000378 + +0000000080000244 : + 80000244: 00000213 li tp,0 + 80000248: 800000b7 lui ra,0x80000 + 8000024c: 40e0d71b sraiw a4,ra,0xe + 80000250: 00000013 nop + 80000254: 00070313 mv t1,a4 + 80000258: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000025c: 00200293 li t0,2 + 80000260: fe5214e3 bne tp,t0,80000248 + 80000264: fffe03b7 lui t2,0xfffe0 + 80000268: 01300193 li gp,19 + 8000026c: 10731663 bne t1,t2,80000378 + +0000000080000270 : + 80000270: 00000213 li tp,0 + 80000274: 800000b7 lui ra,0x80000 + 80000278: 0010809b addiw ra,ra,1 + 8000027c: 41f0d71b sraiw a4,ra,0x1f + 80000280: 00000013 nop + 80000284: 00000013 nop + 80000288: 00070313 mv t1,a4 + 8000028c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000290: 00200293 li t0,2 + 80000294: fe5210e3 bne tp,t0,80000274 + 80000298: fff00393 li t2,-1 + 8000029c: 01400193 li gp,20 + 800002a0: 0c731c63 bne t1,t2,80000378 + +00000000800002a4 : + 800002a4: 00000213 li tp,0 + 800002a8: 800000b7 lui ra,0x80000 + 800002ac: 4070d71b sraiw a4,ra,0x7 + 800002b0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002b4: 00200293 li t0,2 + 800002b8: fe5218e3 bne tp,t0,800002a8 + 800002bc: ff0003b7 lui t2,0xff000 + 800002c0: 01500193 li gp,21 + 800002c4: 0a771a63 bne a4,t2,80000378 + +00000000800002c8 : + 800002c8: 00000213 li tp,0 + 800002cc: 800000b7 lui ra,0x80000 + 800002d0: 00000013 nop + 800002d4: 40e0d71b sraiw a4,ra,0xe + 800002d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002dc: 00200293 li t0,2 + 800002e0: fe5216e3 bne tp,t0,800002cc + 800002e4: fffe03b7 lui t2,0xfffe0 + 800002e8: 01600193 li gp,22 + 800002ec: 08771663 bne a4,t2,80000378 + +00000000800002f0 : + 800002f0: 00000213 li tp,0 + 800002f4: 800000b7 lui ra,0x80000 + 800002f8: 0010809b addiw ra,ra,1 + 800002fc: 00000013 nop + 80000300: 00000013 nop + 80000304: 41f0d71b sraiw a4,ra,0x1f + 80000308: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000030c: 00200293 li t0,2 + 80000310: fe5212e3 bne tp,t0,800002f4 + 80000314: fff00393 li t2,-1 + 80000318: 01700193 li gp,23 + 8000031c: 04771e63 bne a4,t2,80000378 + +0000000080000320 : + 80000320: 41f0509b sraiw ra,zero,0x1f + 80000324: 00000393 li t2,0 + 80000328: 01800193 li gp,24 + 8000032c: 04709663 bne ra,t2,80000378 + +0000000080000330 : + 80000330: 01f00093 li ra,31 + 80000334: 41c0d01b sraiw zero,ra,0x1c + 80000338: 00000393 li t2,0 + 8000033c: 01900193 li gp,25 + 80000340: 02701c63 bne zero,t2,80000378 + +0000000080000344 : + 80000344: 0070009b addiw ra,zero,7 + 80000348: 03509093 slli ra,ra,0x35 + 8000034c: 41c0d71b sraiw a4,ra,0x1c + 80000350: 00000393 li t2,0 + 80000354: 01a00193 li gp,26 + 80000358: 02771063 bne a4,t2,80000378 + +000000008000035c : + 8000035c: 00f0009b addiw ra,zero,15 + 80000360: 01c09093 slli ra,ra,0x1c + 80000364: 4040d71b sraiw a4,ra,0x4 + 80000368: ff0003b7 lui t2,0xff000 + 8000036c: 01b00193 li gp,27 + 80000370: 00771463 bne a4,t2,80000378 + 80000374: 00301663 bne zero,gp,80000380 + +0000000080000378 : + 80000378: 00100513 li a0,1 + 8000037c: 0480006f j 800003c4 + +0000000080000380 : + 80000380: 00000513 li a0,0 + 80000384: 0400006f j 800003c4 + 80000388: c0001073 unimp + ... + +00000000800003c4 : + 800003c4: 00050513 mv a0,a0 + 800003c8: 0000006b 0x6b + 800003cc: 0000006f j 800003cc diff --git a/bin/non-output/riscv-tests/sraw-riscv-tests.bin b/bin/non-output/riscv-tests/sraw-riscv-tests.bin new file mode 100755 index 0000000..1b10233 Binary files /dev/null and b/bin/non-output/riscv-tests/sraw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sraw-riscv-tests.elf b/bin/non-output/riscv-tests/sraw-riscv-tests.elf new file mode 100755 index 0000000..19383fa Binary files /dev/null and b/bin/non-output/riscv-tests/sraw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sraw-riscv-tests.txt b/bin/non-output/riscv-tests/sraw-riscv-tests.txt new file mode 100755 index 0000000..92f6a23 --- /dev/null +++ b/bin/non-output/riscv-tests/sraw-riscv-tests.txt @@ -0,0 +1,511 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sraw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 00000113 li sp,0 + 80000008: 4020d73b sraw a4,ra,sp + 8000000c: 800003b7 lui t2,0x80000 + 80000010: 00200193 li gp,2 + 80000014: 62771463 bne a4,t2,8000063c + +0000000080000018 : + 80000018: 800000b7 lui ra,0x80000 + 8000001c: 00100113 li sp,1 + 80000020: 4020d73b sraw a4,ra,sp + 80000024: c00003b7 lui t2,0xc0000 + 80000028: 00300193 li gp,3 + 8000002c: 60771863 bne a4,t2,8000063c + +0000000080000030 : + 80000030: 800000b7 lui ra,0x80000 + 80000034: 00700113 li sp,7 + 80000038: 4020d73b sraw a4,ra,sp + 8000003c: ff0003b7 lui t2,0xff000 + 80000040: 00400193 li gp,4 + 80000044: 5e771c63 bne a4,t2,8000063c + +0000000080000048 : + 80000048: 800000b7 lui ra,0x80000 + 8000004c: 00e00113 li sp,14 + 80000050: 4020d73b sraw a4,ra,sp + 80000054: fffe03b7 lui t2,0xfffe0 + 80000058: 00500193 li gp,5 + 8000005c: 5e771063 bne a4,t2,8000063c + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 0010809b addiw ra,ra,1 + 80000068: 01f00113 li sp,31 + 8000006c: 4020d73b sraw a4,ra,sp + 80000070: fff00393 li t2,-1 + 80000074: 00600193 li gp,6 + 80000078: 5c771263 bne a4,t2,8000063c + +000000008000007c : + 8000007c: 800000b7 lui ra,0x80000 + 80000080: fff0809b addiw ra,ra,-1 + 80000084: 00000113 li sp,0 + 80000088: 4020d73b sraw a4,ra,sp + 8000008c: 800003b7 lui t2,0x80000 + 80000090: fff3839b addiw t2,t2,-1 + 80000094: 00700193 li gp,7 + 80000098: 5a771263 bne a4,t2,8000063c + +000000008000009c : + 8000009c: 800000b7 lui ra,0x80000 + 800000a0: fff0809b addiw ra,ra,-1 + 800000a4: 00100113 li sp,1 + 800000a8: 4020d73b sraw a4,ra,sp + 800000ac: 400003b7 lui t2,0x40000 + 800000b0: fff3839b addiw t2,t2,-1 + 800000b4: 00800193 li gp,8 + 800000b8: 58771263 bne a4,t2,8000063c + +00000000800000bc : + 800000bc: 800000b7 lui ra,0x80000 + 800000c0: fff0809b addiw ra,ra,-1 + 800000c4: 00700113 li sp,7 + 800000c8: 4020d73b sraw a4,ra,sp + 800000cc: 010003b7 lui t2,0x1000 + 800000d0: fff3839b addiw t2,t2,-1 + 800000d4: 00900193 li gp,9 + 800000d8: 56771263 bne a4,t2,8000063c + +00000000800000dc : + 800000dc: 800000b7 lui ra,0x80000 + 800000e0: fff0809b addiw ra,ra,-1 + 800000e4: 00e00113 li sp,14 + 800000e8: 4020d73b sraw a4,ra,sp + 800000ec: 000203b7 lui t2,0x20 + 800000f0: fff3839b addiw t2,t2,-1 + 800000f4: 00a00193 li gp,10 + 800000f8: 54771263 bne a4,t2,8000063c + +00000000800000fc : + 800000fc: 800000b7 lui ra,0x80000 + 80000100: fff0809b addiw ra,ra,-1 + 80000104: 01f00113 li sp,31 + 80000108: 4020d73b sraw a4,ra,sp + 8000010c: 00000393 li t2,0 + 80000110: 00b00193 li gp,11 + 80000114: 52771463 bne a4,t2,8000063c + +0000000080000118 : + 80000118: 818180b7 lui ra,0x81818 + 8000011c: 1810809b addiw ra,ra,385 + 80000120: 00000113 li sp,0 + 80000124: 4020d73b sraw a4,ra,sp + 80000128: 818183b7 lui t2,0x81818 + 8000012c: 1813839b addiw t2,t2,385 + 80000130: 00c00193 li gp,12 + 80000134: 50771463 bne a4,t2,8000063c + +0000000080000138 : + 80000138: 818180b7 lui ra,0x81818 + 8000013c: 1810809b addiw ra,ra,385 + 80000140: 00100113 li sp,1 + 80000144: 4020d73b sraw a4,ra,sp + 80000148: c0c0c3b7 lui t2,0xc0c0c + 8000014c: 0c03839b addiw t2,t2,192 + 80000150: 00d00193 li gp,13 + 80000154: 4e771463 bne a4,t2,8000063c + +0000000080000158 : + 80000158: 818180b7 lui ra,0x81818 + 8000015c: 1810809b addiw ra,ra,385 + 80000160: 00700113 li sp,7 + 80000164: 4020d73b sraw a4,ra,sp + 80000168: ff0303b7 lui t2,0xff030 + 8000016c: 3033839b addiw t2,t2,771 + 80000170: 00e00193 li gp,14 + 80000174: 4c771463 bne a4,t2,8000063c + +0000000080000178 : + 80000178: 818180b7 lui ra,0x81818 + 8000017c: 1810809b addiw ra,ra,385 + 80000180: 00e00113 li sp,14 + 80000184: 4020d73b sraw a4,ra,sp + 80000188: fffe03b7 lui t2,0xfffe0 + 8000018c: 6063839b addiw t2,t2,1542 + 80000190: 00f00193 li gp,15 + 80000194: 4a771463 bne a4,t2,8000063c + +0000000080000198 : + 80000198: 818180b7 lui ra,0x81818 + 8000019c: 1810809b addiw ra,ra,385 + 800001a0: 01f00113 li sp,31 + 800001a4: 4020d73b sraw a4,ra,sp + 800001a8: fff00393 li t2,-1 + 800001ac: 01000193 li gp,16 + 800001b0: 48771663 bne a4,t2,8000063c + +00000000800001b4 : + 800001b4: 818180b7 lui ra,0x81818 + 800001b8: 1810809b addiw ra,ra,385 + 800001bc: fe000113 li sp,-32 + 800001c0: 4020d73b sraw a4,ra,sp + 800001c4: 818183b7 lui t2,0x81818 + 800001c8: 1813839b addiw t2,t2,385 + 800001cc: 01100193 li gp,17 + 800001d0: 46771663 bne a4,t2,8000063c + +00000000800001d4 : + 800001d4: 818180b7 lui ra,0x81818 + 800001d8: 1810809b addiw ra,ra,385 + 800001dc: fe100113 li sp,-31 + 800001e0: 4020d73b sraw a4,ra,sp + 800001e4: c0c0c3b7 lui t2,0xc0c0c + 800001e8: 0c03839b addiw t2,t2,192 + 800001ec: 01200193 li gp,18 + 800001f0: 44771663 bne a4,t2,8000063c + +00000000800001f4 : + 800001f4: 818180b7 lui ra,0x81818 + 800001f8: 1810809b addiw ra,ra,385 + 800001fc: fe700113 li sp,-25 + 80000200: 4020d73b sraw a4,ra,sp + 80000204: ff0303b7 lui t2,0xff030 + 80000208: 3033839b addiw t2,t2,771 + 8000020c: 01300193 li gp,19 + 80000210: 42771663 bne a4,t2,8000063c + +0000000080000214 : + 80000214: 818180b7 lui ra,0x81818 + 80000218: 1810809b addiw ra,ra,385 + 8000021c: fee00113 li sp,-18 + 80000220: 4020d73b sraw a4,ra,sp + 80000224: fffe03b7 lui t2,0xfffe0 + 80000228: 6063839b addiw t2,t2,1542 + 8000022c: 01400193 li gp,20 + 80000230: 40771663 bne a4,t2,8000063c + +0000000080000234 : + 80000234: 818180b7 lui ra,0x81818 + 80000238: 1810809b addiw ra,ra,385 + 8000023c: fff00113 li sp,-1 + 80000240: 4020d73b sraw a4,ra,sp + 80000244: fff00393 li t2,-1 + 80000248: 01500193 li gp,21 + 8000024c: 3e771863 bne a4,t2,8000063c + +0000000080000250 : + 80000250: fff120b7 lui ra,0xfff12 + 80000254: 3450809b addiw ra,ra,837 + 80000258: 00c09093 slli ra,ra,0xc + 8000025c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000260: 00000113 li sp,0 + 80000264: 4020d73b sraw a4,ra,sp + 80000268: 123453b7 lui t2,0x12345 + 8000026c: 6783839b addiw t2,t2,1656 + 80000270: 02c00193 li gp,44 + 80000274: 3c771463 bne a4,t2,8000063c + +0000000080000278 : + 80000278: fff120b7 lui ra,0xfff12 + 8000027c: 3450809b addiw ra,ra,837 + 80000280: 00c09093 slli ra,ra,0xc + 80000284: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000288: 00400113 li sp,4 + 8000028c: 4020d73b sraw a4,ra,sp + 80000290: 012343b7 lui t2,0x1234 + 80000294: 5673839b addiw t2,t2,1383 + 80000298: 02d00193 li gp,45 + 8000029c: 3a771063 bne a4,t2,8000063c + +00000000800002a0 : + 800002a0: 000920b7 lui ra,0x92 + 800002a4: 3450809b addiw ra,ra,837 + 800002a8: 00c09093 slli ra,ra,0xc + 800002ac: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800002b0: 00000113 li sp,0 + 800002b4: 4020d73b sraw a4,ra,sp + 800002b8: 923453b7 lui t2,0x92345 + 800002bc: 6783839b addiw t2,t2,1656 + 800002c0: 02e00193 li gp,46 + 800002c4: 36771c63 bne a4,t2,8000063c + +00000000800002c8 : + 800002c8: 000920b7 lui ra,0x92 + 800002cc: 3450809b addiw ra,ra,837 + 800002d0: 00c09093 slli ra,ra,0xc + 800002d4: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800002d8: 00400113 li sp,4 + 800002dc: 4020d73b sraw a4,ra,sp + 800002e0: f92343b7 lui t2,0xf9234 + 800002e4: 5673839b addiw t2,t2,1383 + 800002e8: 02f00193 li gp,47 + 800002ec: 34771863 bne a4,t2,8000063c + +00000000800002f0 : + 800002f0: 800000b7 lui ra,0x80000 + 800002f4: 00700113 li sp,7 + 800002f8: 4020d0bb sraw ra,ra,sp + 800002fc: ff0003b7 lui t2,0xff000 + 80000300: 01600193 li gp,22 + 80000304: 32709c63 bne ra,t2,8000063c + +0000000080000308 : + 80000308: 800000b7 lui ra,0x80000 + 8000030c: 00e00113 li sp,14 + 80000310: 4020d13b sraw sp,ra,sp + 80000314: fffe03b7 lui t2,0xfffe0 + 80000318: 01700193 li gp,23 + 8000031c: 32711063 bne sp,t2,8000063c + +0000000080000320 : + 80000320: 00700093 li ra,7 + 80000324: 4010d0bb sraw ra,ra,ra + 80000328: 00000393 li t2,0 + 8000032c: 01800193 li gp,24 + 80000330: 30709663 bne ra,t2,8000063c + +0000000080000334 : + 80000334: 00000213 li tp,0 + 80000338: 800000b7 lui ra,0x80000 + 8000033c: 00700113 li sp,7 + 80000340: 4020d73b sraw a4,ra,sp + 80000344: 00070313 mv t1,a4 + 80000348: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000034c: 00200293 li t0,2 + 80000350: fe5214e3 bne tp,t0,80000338 + 80000354: ff0003b7 lui t2,0xff000 + 80000358: 01900193 li gp,25 + 8000035c: 2e731063 bne t1,t2,8000063c + +0000000080000360 : + 80000360: 00000213 li tp,0 + 80000364: 800000b7 lui ra,0x80000 + 80000368: 00e00113 li sp,14 + 8000036c: 4020d73b sraw a4,ra,sp + 80000370: 00000013 nop + 80000374: 00070313 mv t1,a4 + 80000378: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000037c: 00200293 li t0,2 + 80000380: fe5212e3 bne tp,t0,80000364 + 80000384: fffe03b7 lui t2,0xfffe0 + 80000388: 01a00193 li gp,26 + 8000038c: 2a731863 bne t1,t2,8000063c + +0000000080000390 : + 80000390: 00000213 li tp,0 + 80000394: 800000b7 lui ra,0x80000 + 80000398: 01f00113 li sp,31 + 8000039c: 4020d73b sraw a4,ra,sp + 800003a0: 00000013 nop + 800003a4: 00000013 nop + 800003a8: 00070313 mv t1,a4 + 800003ac: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003b0: 00200293 li t0,2 + 800003b4: fe5210e3 bne tp,t0,80000394 + 800003b8: fff00393 li t2,-1 + 800003bc: 01b00193 li gp,27 + 800003c0: 26731e63 bne t1,t2,8000063c + +00000000800003c4 : + 800003c4: 00000213 li tp,0 + 800003c8: 800000b7 lui ra,0x80000 + 800003cc: 00700113 li sp,7 + 800003d0: 4020d73b sraw a4,ra,sp + 800003d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d8: 00200293 li t0,2 + 800003dc: fe5216e3 bne tp,t0,800003c8 + 800003e0: ff0003b7 lui t2,0xff000 + 800003e4: 01c00193 li gp,28 + 800003e8: 24771a63 bne a4,t2,8000063c + +00000000800003ec : + 800003ec: 00000213 li tp,0 + 800003f0: 800000b7 lui ra,0x80000 + 800003f4: 00e00113 li sp,14 + 800003f8: 00000013 nop + 800003fc: 4020d73b sraw a4,ra,sp + 80000400: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000404: 00200293 li t0,2 + 80000408: fe5214e3 bne tp,t0,800003f0 + 8000040c: fffe03b7 lui t2,0xfffe0 + 80000410: 01d00193 li gp,29 + 80000414: 22771463 bne a4,t2,8000063c + +0000000080000418 : + 80000418: 00000213 li tp,0 + 8000041c: 800000b7 lui ra,0x80000 + 80000420: 01f00113 li sp,31 + 80000424: 00000013 nop + 80000428: 00000013 nop + 8000042c: 4020d73b sraw a4,ra,sp + 80000430: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000434: 00200293 li t0,2 + 80000438: fe5212e3 bne tp,t0,8000041c + 8000043c: fff00393 li t2,-1 + 80000440: 01e00193 li gp,30 + 80000444: 1e771c63 bne a4,t2,8000063c + +0000000080000448 : + 80000448: 00000213 li tp,0 + 8000044c: 800000b7 lui ra,0x80000 + 80000450: 00000013 nop + 80000454: 00700113 li sp,7 + 80000458: 4020d73b sraw a4,ra,sp + 8000045c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000460: 00200293 li t0,2 + 80000464: fe5214e3 bne tp,t0,8000044c + 80000468: ff0003b7 lui t2,0xff000 + 8000046c: 01f00193 li gp,31 + 80000470: 1c771663 bne a4,t2,8000063c + +0000000080000474 : + 80000474: 00000213 li tp,0 + 80000478: 800000b7 lui ra,0x80000 + 8000047c: 00000013 nop + 80000480: 00e00113 li sp,14 + 80000484: 00000013 nop + 80000488: 4020d73b sraw a4,ra,sp + 8000048c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000490: 00200293 li t0,2 + 80000494: fe5212e3 bne tp,t0,80000478 + 80000498: fffe03b7 lui t2,0xfffe0 + 8000049c: 02000193 li gp,32 + 800004a0: 18771e63 bne a4,t2,8000063c + +00000000800004a4 : + 800004a4: 00000213 li tp,0 + 800004a8: 800000b7 lui ra,0x80000 + 800004ac: 00000013 nop + 800004b0: 00000013 nop + 800004b4: 01f00113 li sp,31 + 800004b8: 4020d73b sraw a4,ra,sp + 800004bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004c0: 00200293 li t0,2 + 800004c4: fe5212e3 bne tp,t0,800004a8 + 800004c8: fff00393 li t2,-1 + 800004cc: 02100193 li gp,33 + 800004d0: 16771663 bne a4,t2,8000063c + +00000000800004d4 : + 800004d4: 00000213 li tp,0 + 800004d8: 00700113 li sp,7 + 800004dc: 800000b7 lui ra,0x80000 + 800004e0: 4020d73b sraw a4,ra,sp + 800004e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004e8: 00200293 li t0,2 + 800004ec: fe5216e3 bne tp,t0,800004d8 + 800004f0: ff0003b7 lui t2,0xff000 + 800004f4: 02200193 li gp,34 + 800004f8: 14771263 bne a4,t2,8000063c + +00000000800004fc : + 800004fc: 00000213 li tp,0 + 80000500: 00e00113 li sp,14 + 80000504: 800000b7 lui ra,0x80000 + 80000508: 00000013 nop + 8000050c: 4020d73b sraw a4,ra,sp + 80000510: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000514: 00200293 li t0,2 + 80000518: fe5214e3 bne tp,t0,80000500 + 8000051c: fffe03b7 lui t2,0xfffe0 + 80000520: 02300193 li gp,35 + 80000524: 10771c63 bne a4,t2,8000063c + +0000000080000528 : + 80000528: 00000213 li tp,0 + 8000052c: 01f00113 li sp,31 + 80000530: 800000b7 lui ra,0x80000 + 80000534: 00000013 nop + 80000538: 00000013 nop + 8000053c: 4020d73b sraw a4,ra,sp + 80000540: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000544: 00200293 li t0,2 + 80000548: fe5212e3 bne tp,t0,8000052c + 8000054c: fff00393 li t2,-1 + 80000550: 02400193 li gp,36 + 80000554: 0e771463 bne a4,t2,8000063c + +0000000080000558 : + 80000558: 00000213 li tp,0 + 8000055c: 00700113 li sp,7 + 80000560: 00000013 nop + 80000564: 800000b7 lui ra,0x80000 + 80000568: 4020d73b sraw a4,ra,sp + 8000056c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000570: 00200293 li t0,2 + 80000574: fe5214e3 bne tp,t0,8000055c + 80000578: ff0003b7 lui t2,0xff000 + 8000057c: 02500193 li gp,37 + 80000580: 0a771e63 bne a4,t2,8000063c + +0000000080000584 : + 80000584: 00000213 li tp,0 + 80000588: 00e00113 li sp,14 + 8000058c: 00000013 nop + 80000590: 800000b7 lui ra,0x80000 + 80000594: 00000013 nop + 80000598: 4020d73b sraw a4,ra,sp + 8000059c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005a0: 00200293 li t0,2 + 800005a4: fe5212e3 bne tp,t0,80000588 + 800005a8: fffe03b7 lui t2,0xfffe0 + 800005ac: 02600193 li gp,38 + 800005b0: 08771663 bne a4,t2,8000063c + +00000000800005b4 : + 800005b4: 00000213 li tp,0 + 800005b8: 01f00113 li sp,31 + 800005bc: 00000013 nop + 800005c0: 00000013 nop + 800005c4: 800000b7 lui ra,0x80000 + 800005c8: 4020d73b sraw a4,ra,sp + 800005cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005d0: 00200293 li t0,2 + 800005d4: fe5212e3 bne tp,t0,800005b8 + 800005d8: fff00393 li t2,-1 + 800005dc: 02700193 li gp,39 + 800005e0: 04771e63 bne a4,t2,8000063c + +00000000800005e4 : + 800005e4: 00f00093 li ra,15 + 800005e8: 4010513b sraw sp,zero,ra + 800005ec: 00000393 li t2,0 + 800005f0: 02800193 li gp,40 + 800005f4: 04711463 bne sp,t2,8000063c + +00000000800005f8 : + 800005f8: 02000093 li ra,32 + 800005fc: 4000d13b sraw sp,ra,zero + 80000600: 02000393 li t2,32 + 80000604: 02900193 li gp,41 + 80000608: 02711a63 bne sp,t2,8000063c + +000000008000060c : + 8000060c: 400050bb sraw ra,zero,zero + 80000610: 00000393 li t2,0 + 80000614: 02a00193 li gp,42 + 80000618: 02709263 bne ra,t2,8000063c + +000000008000061c : + 8000061c: 40000093 li ra,1024 + 80000620: 00001137 lui sp,0x1 + 80000624: 8001011b addiw sp,sp,-2048 + 80000628: 4020d03b sraw zero,ra,sp + 8000062c: 00000393 li t2,0 + 80000630: 02b00193 li gp,43 + 80000634: 00701463 bne zero,t2,8000063c + 80000638: 00301663 bne zero,gp,80000644 + +000000008000063c : + 8000063c: 00100513 li a0,1 + 80000640: 0440006f j 80000684 + +0000000080000644 : + 80000644: 00000513 li a0,0 + 80000648: 03c0006f j 80000684 + 8000064c: c0001073 unimp + ... + +0000000080000684 : + 80000684: 00050513 mv a0,a0 + 80000688: 0000006b 0x6b + 8000068c: 0000006f j 8000068c diff --git a/bin/non-output/riscv-tests/srl-riscv-tests.bin b/bin/non-output/riscv-tests/srl-riscv-tests.bin new file mode 100755 index 0000000..c378557 Binary files /dev/null and b/bin/non-output/riscv-tests/srl-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/srl-riscv-tests.elf b/bin/non-output/riscv-tests/srl-riscv-tests.elf new file mode 100755 index 0000000..0cccf41 Binary files /dev/null and b/bin/non-output/riscv-tests/srl-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/srl-riscv-tests.txt b/bin/non-output/riscv-tests/srl-riscv-tests.txt new file mode 100755 index 0000000..aaad7ea --- /dev/null +++ b/bin/non-output/riscv-tests/srl-riscv-tests.txt @@ -0,0 +1,490 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/srl-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 00000113 li sp,0 + 80000008: 0020d733 srl a4,ra,sp + 8000000c: 800003b7 lui t2,0x80000 + 80000010: 00200193 li gp,2 + 80000014: 5e771a63 bne a4,t2,80000608 + +0000000080000018 : + 80000018: 800000b7 lui ra,0x80000 + 8000001c: 00100113 li sp,1 + 80000020: 0020d733 srl a4,ra,sp + 80000024: 0010039b addiw t2,zero,1 + 80000028: 02139393 slli t2,t2,0x21 + 8000002c: fff38393 addi t2,t2,-1 # ffffffff7fffffff <_end+0xfffffffeffff6fff> + 80000030: 01e39393 slli t2,t2,0x1e + 80000034: 00300193 li gp,3 + 80000038: 5c771863 bne a4,t2,80000608 + +000000008000003c : + 8000003c: 800000b7 lui ra,0x80000 + 80000040: 00700113 li sp,7 + 80000044: 0020d733 srl a4,ra,sp + 80000048: 0010039b addiw t2,zero,1 + 8000004c: 02139393 slli t2,t2,0x21 + 80000050: fff38393 addi t2,t2,-1 + 80000054: 01839393 slli t2,t2,0x18 + 80000058: 00400193 li gp,4 + 8000005c: 5a771663 bne a4,t2,80000608 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 00e00113 li sp,14 + 80000068: 0020d733 srl a4,ra,sp + 8000006c: 0010039b addiw t2,zero,1 + 80000070: 02139393 slli t2,t2,0x21 + 80000074: fff38393 addi t2,t2,-1 + 80000078: 01139393 slli t2,t2,0x11 + 8000007c: 00500193 li gp,5 + 80000080: 58771463 bne a4,t2,80000608 + +0000000080000084 : + 80000084: 800000b7 lui ra,0x80000 + 80000088: 0010809b addiw ra,ra,1 + 8000008c: 01f00113 li sp,31 + 80000090: 0020d733 srl a4,ra,sp + 80000094: 0010039b addiw t2,zero,1 + 80000098: 02139393 slli t2,t2,0x21 + 8000009c: fff38393 addi t2,t2,-1 + 800000a0: 00600193 li gp,6 + 800000a4: 56771263 bne a4,t2,80000608 + +00000000800000a8 : + 800000a8: fff00093 li ra,-1 + 800000ac: 00000113 li sp,0 + 800000b0: 0020d733 srl a4,ra,sp + 800000b4: fff00393 li t2,-1 + 800000b8: 00700193 li gp,7 + 800000bc: 54771663 bne a4,t2,80000608 + +00000000800000c0 : + 800000c0: fff00093 li ra,-1 + 800000c4: 00100113 li sp,1 + 800000c8: 0020d733 srl a4,ra,sp + 800000cc: fff0039b addiw t2,zero,-1 + 800000d0: 03f39393 slli t2,t2,0x3f + 800000d4: fff38393 addi t2,t2,-1 + 800000d8: 00800193 li gp,8 + 800000dc: 52771663 bne a4,t2,80000608 + +00000000800000e0 : + 800000e0: fff00093 li ra,-1 + 800000e4: 00700113 li sp,7 + 800000e8: 0020d733 srl a4,ra,sp + 800000ec: 0010039b addiw t2,zero,1 + 800000f0: 03939393 slli t2,t2,0x39 + 800000f4: fff38393 addi t2,t2,-1 + 800000f8: 00900193 li gp,9 + 800000fc: 50771663 bne a4,t2,80000608 + +0000000080000100 : + 80000100: fff00093 li ra,-1 + 80000104: 00e00113 li sp,14 + 80000108: 0020d733 srl a4,ra,sp + 8000010c: 0010039b addiw t2,zero,1 + 80000110: 03239393 slli t2,t2,0x32 + 80000114: fff38393 addi t2,t2,-1 + 80000118: 00a00193 li gp,10 + 8000011c: 4e771663 bne a4,t2,80000608 + +0000000080000120 : + 80000120: fff00093 li ra,-1 + 80000124: 01f00113 li sp,31 + 80000128: 0020d733 srl a4,ra,sp + 8000012c: 0010039b addiw t2,zero,1 + 80000130: 02139393 slli t2,t2,0x21 + 80000134: fff38393 addi t2,t2,-1 + 80000138: 00b00193 li gp,11 + 8000013c: 4c771663 bne a4,t2,80000608 + +0000000080000140 : + 80000140: 212120b7 lui ra,0x21212 + 80000144: 1210809b addiw ra,ra,289 + 80000148: 00000113 li sp,0 + 8000014c: 0020d733 srl a4,ra,sp + 80000150: 212123b7 lui t2,0x21212 + 80000154: 1213839b addiw t2,t2,289 + 80000158: 00c00193 li gp,12 + 8000015c: 4a771663 bne a4,t2,80000608 + +0000000080000160 : + 80000160: 212120b7 lui ra,0x21212 + 80000164: 1210809b addiw ra,ra,289 + 80000168: 00100113 li sp,1 + 8000016c: 0020d733 srl a4,ra,sp + 80000170: 109093b7 lui t2,0x10909 + 80000174: 0903839b addiw t2,t2,144 + 80000178: 00d00193 li gp,13 + 8000017c: 48771663 bne a4,t2,80000608 + +0000000080000180 : + 80000180: 212120b7 lui ra,0x21212 + 80000184: 1210809b addiw ra,ra,289 + 80000188: 00700113 li sp,7 + 8000018c: 0020d733 srl a4,ra,sp + 80000190: 004243b7 lui t2,0x424 + 80000194: 2423839b addiw t2,t2,578 + 80000198: 00e00193 li gp,14 + 8000019c: 46771663 bne a4,t2,80000608 + +00000000800001a0 : + 800001a0: 212120b7 lui ra,0x21212 + 800001a4: 1210809b addiw ra,ra,289 + 800001a8: 00e00113 li sp,14 + 800001ac: 0020d733 srl a4,ra,sp + 800001b0: 000083b7 lui t2,0x8 + 800001b4: 4843839b addiw t2,t2,1156 + 800001b8: 00f00193 li gp,15 + 800001bc: 44771663 bne a4,t2,80000608 + +00000000800001c0 : + 800001c0: 212120b7 lui ra,0x21212 + 800001c4: 1210809b addiw ra,ra,289 + 800001c8: 01f00113 li sp,31 + 800001cc: 0020d733 srl a4,ra,sp + 800001d0: 00000393 li t2,0 + 800001d4: 01000193 li gp,16 + 800001d8: 42771863 bne a4,t2,80000608 + +00000000800001dc : + 800001dc: 212120b7 lui ra,0x21212 + 800001e0: 1210809b addiw ra,ra,289 + 800001e4: fc000113 li sp,-64 + 800001e8: 0020d733 srl a4,ra,sp + 800001ec: 212123b7 lui t2,0x21212 + 800001f0: 1213839b addiw t2,t2,289 + 800001f4: 01100193 li gp,17 + 800001f8: 40771863 bne a4,t2,80000608 + +00000000800001fc : + 800001fc: 212120b7 lui ra,0x21212 + 80000200: 1210809b addiw ra,ra,289 + 80000204: fc100113 li sp,-63 + 80000208: 0020d733 srl a4,ra,sp + 8000020c: 109093b7 lui t2,0x10909 + 80000210: 0903839b addiw t2,t2,144 + 80000214: 01200193 li gp,18 + 80000218: 3e771863 bne a4,t2,80000608 + +000000008000021c : + 8000021c: 212120b7 lui ra,0x21212 + 80000220: 1210809b addiw ra,ra,289 + 80000224: fc700113 li sp,-57 + 80000228: 0020d733 srl a4,ra,sp + 8000022c: 004243b7 lui t2,0x424 + 80000230: 2423839b addiw t2,t2,578 + 80000234: 01300193 li gp,19 + 80000238: 3c771863 bne a4,t2,80000608 + +000000008000023c : + 8000023c: 212120b7 lui ra,0x21212 + 80000240: 1210809b addiw ra,ra,289 + 80000244: fce00113 li sp,-50 + 80000248: 0020d733 srl a4,ra,sp + 8000024c: 000083b7 lui t2,0x8 + 80000250: 4843839b addiw t2,t2,1156 + 80000254: 01400193 li gp,20 + 80000258: 3a771863 bne a4,t2,80000608 + +000000008000025c : + 8000025c: 212120b7 lui ra,0x21212 + 80000260: 1210809b addiw ra,ra,289 + 80000264: fff00113 li sp,-1 + 80000268: 0020d733 srl a4,ra,sp + 8000026c: 00000393 li t2,0 + 80000270: 01500193 li gp,21 + 80000274: 38771a63 bne a4,t2,80000608 + +0000000080000278 : + 80000278: 0010009b addiw ra,zero,1 + 8000027c: 01f09093 slli ra,ra,0x1f + 80000280: 00700113 li sp,7 + 80000284: 0020d0b3 srl ra,ra,sp + 80000288: 010003b7 lui t2,0x1000 + 8000028c: 01600193 li gp,22 + 80000290: 36709c63 bne ra,t2,80000608 + +0000000080000294 : + 80000294: 0010009b addiw ra,zero,1 + 80000298: 01f09093 slli ra,ra,0x1f + 8000029c: 00e00113 li sp,14 + 800002a0: 0020d133 srl sp,ra,sp + 800002a4: 000203b7 lui t2,0x20 + 800002a8: 01700193 li gp,23 + 800002ac: 34711e63 bne sp,t2,80000608 + +00000000800002b0 : + 800002b0: 00700093 li ra,7 + 800002b4: 0010d0b3 srl ra,ra,ra + 800002b8: 00000393 li t2,0 + 800002bc: 01800193 li gp,24 + 800002c0: 34709463 bne ra,t2,80000608 + +00000000800002c4 : + 800002c4: 00000213 li tp,0 + 800002c8: 0010009b addiw ra,zero,1 + 800002cc: 01f09093 slli ra,ra,0x1f + 800002d0: 00700113 li sp,7 + 800002d4: 0020d733 srl a4,ra,sp + 800002d8: 00070313 mv t1,a4 + 800002dc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002e0: 00200293 li t0,2 + 800002e4: fe5212e3 bne tp,t0,800002c8 + 800002e8: 010003b7 lui t2,0x1000 + 800002ec: 01900193 li gp,25 + 800002f0: 30731c63 bne t1,t2,80000608 + +00000000800002f4 : + 800002f4: 00000213 li tp,0 + 800002f8: 0010009b addiw ra,zero,1 + 800002fc: 01f09093 slli ra,ra,0x1f + 80000300: 00e00113 li sp,14 + 80000304: 0020d733 srl a4,ra,sp + 80000308: 00000013 nop + 8000030c: 00070313 mv t1,a4 + 80000310: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000314: 00200293 li t0,2 + 80000318: fe5210e3 bne tp,t0,800002f8 + 8000031c: 000203b7 lui t2,0x20 + 80000320: 01a00193 li gp,26 + 80000324: 2e731263 bne t1,t2,80000608 + +0000000080000328 : + 80000328: 00000213 li tp,0 + 8000032c: 0010009b addiw ra,zero,1 + 80000330: 01f09093 slli ra,ra,0x1f + 80000334: 01f00113 li sp,31 + 80000338: 0020d733 srl a4,ra,sp + 8000033c: 00000013 nop + 80000340: 00000013 nop + 80000344: 00070313 mv t1,a4 + 80000348: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000034c: 00200293 li t0,2 + 80000350: fc521ee3 bne tp,t0,8000032c + 80000354: 00100393 li t2,1 + 80000358: 01b00193 li gp,27 + 8000035c: 2a731663 bne t1,t2,80000608 + +0000000080000360 : + 80000360: 00000213 li tp,0 + 80000364: 0010009b addiw ra,zero,1 + 80000368: 01f09093 slli ra,ra,0x1f + 8000036c: 00700113 li sp,7 + 80000370: 0020d733 srl a4,ra,sp + 80000374: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000378: 00200293 li t0,2 + 8000037c: fe5214e3 bne tp,t0,80000364 + 80000380: 010003b7 lui t2,0x1000 + 80000384: 01c00193 li gp,28 + 80000388: 28771063 bne a4,t2,80000608 + +000000008000038c : + 8000038c: 00000213 li tp,0 + 80000390: 0010009b addiw ra,zero,1 + 80000394: 01f09093 slli ra,ra,0x1f + 80000398: 00e00113 li sp,14 + 8000039c: 00000013 nop + 800003a0: 0020d733 srl a4,ra,sp + 800003a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003a8: 00200293 li t0,2 + 800003ac: fe5212e3 bne tp,t0,80000390 + 800003b0: 000203b7 lui t2,0x20 + 800003b4: 01d00193 li gp,29 + 800003b8: 24771863 bne a4,t2,80000608 + +00000000800003bc : + 800003bc: 00000213 li tp,0 + 800003c0: 0010009b addiw ra,zero,1 + 800003c4: 01f09093 slli ra,ra,0x1f + 800003c8: 01f00113 li sp,31 + 800003cc: 00000013 nop + 800003d0: 00000013 nop + 800003d4: 0020d733 srl a4,ra,sp + 800003d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003dc: 00200293 li t0,2 + 800003e0: fe5210e3 bne tp,t0,800003c0 + 800003e4: 00100393 li t2,1 + 800003e8: 01e00193 li gp,30 + 800003ec: 20771e63 bne a4,t2,80000608 + +00000000800003f0 : + 800003f0: 00000213 li tp,0 + 800003f4: 0010009b addiw ra,zero,1 + 800003f8: 01f09093 slli ra,ra,0x1f + 800003fc: 00000013 nop + 80000400: 00700113 li sp,7 + 80000404: 0020d733 srl a4,ra,sp + 80000408: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000040c: 00200293 li t0,2 + 80000410: fe5212e3 bne tp,t0,800003f4 + 80000414: 010003b7 lui t2,0x1000 + 80000418: 01f00193 li gp,31 + 8000041c: 1e771663 bne a4,t2,80000608 + +0000000080000420 : + 80000420: 00000213 li tp,0 + 80000424: 0010009b addiw ra,zero,1 + 80000428: 01f09093 slli ra,ra,0x1f + 8000042c: 00000013 nop + 80000430: 00e00113 li sp,14 + 80000434: 00000013 nop + 80000438: 0020d733 srl a4,ra,sp + 8000043c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000440: 00200293 li t0,2 + 80000444: fe5210e3 bne tp,t0,80000424 + 80000448: 000203b7 lui t2,0x20 + 8000044c: 02000193 li gp,32 + 80000450: 1a771c63 bne a4,t2,80000608 + +0000000080000454 : + 80000454: 00000213 li tp,0 + 80000458: 0010009b addiw ra,zero,1 + 8000045c: 01f09093 slli ra,ra,0x1f + 80000460: 00000013 nop + 80000464: 00000013 nop + 80000468: 01f00113 li sp,31 + 8000046c: 0020d733 srl a4,ra,sp + 80000470: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000474: 00200293 li t0,2 + 80000478: fe5210e3 bne tp,t0,80000458 + 8000047c: 00100393 li t2,1 + 80000480: 02100193 li gp,33 + 80000484: 18771263 bne a4,t2,80000608 + +0000000080000488 : + 80000488: 00000213 li tp,0 + 8000048c: 00700113 li sp,7 + 80000490: 0010009b addiw ra,zero,1 + 80000494: 01f09093 slli ra,ra,0x1f + 80000498: 0020d733 srl a4,ra,sp + 8000049c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004a0: 00200293 li t0,2 + 800004a4: fe5214e3 bne tp,t0,8000048c + 800004a8: 010003b7 lui t2,0x1000 + 800004ac: 02200193 li gp,34 + 800004b0: 14771c63 bne a4,t2,80000608 + +00000000800004b4 : + 800004b4: 00000213 li tp,0 + 800004b8: 00e00113 li sp,14 + 800004bc: 0010009b addiw ra,zero,1 + 800004c0: 01f09093 slli ra,ra,0x1f + 800004c4: 00000013 nop + 800004c8: 0020d733 srl a4,ra,sp + 800004cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004d0: 00200293 li t0,2 + 800004d4: fe5212e3 bne tp,t0,800004b8 + 800004d8: 000203b7 lui t2,0x20 + 800004dc: 02300193 li gp,35 + 800004e0: 12771463 bne a4,t2,80000608 + +00000000800004e4 : + 800004e4: 00000213 li tp,0 + 800004e8: 01f00113 li sp,31 + 800004ec: 0010009b addiw ra,zero,1 + 800004f0: 01f09093 slli ra,ra,0x1f + 800004f4: 00000013 nop + 800004f8: 00000013 nop + 800004fc: 0020d733 srl a4,ra,sp + 80000500: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000504: 00200293 li t0,2 + 80000508: fe5210e3 bne tp,t0,800004e8 + 8000050c: 00100393 li t2,1 + 80000510: 02400193 li gp,36 + 80000514: 0e771a63 bne a4,t2,80000608 + +0000000080000518 : + 80000518: 00000213 li tp,0 + 8000051c: 00700113 li sp,7 + 80000520: 00000013 nop + 80000524: 0010009b addiw ra,zero,1 + 80000528: 01f09093 slli ra,ra,0x1f + 8000052c: 0020d733 srl a4,ra,sp + 80000530: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000534: 00200293 li t0,2 + 80000538: fe5212e3 bne tp,t0,8000051c + 8000053c: 010003b7 lui t2,0x1000 + 80000540: 02500193 li gp,37 + 80000544: 0c771263 bne a4,t2,80000608 + +0000000080000548 : + 80000548: 00000213 li tp,0 + 8000054c: 00e00113 li sp,14 + 80000550: 00000013 nop + 80000554: 0010009b addiw ra,zero,1 + 80000558: 01f09093 slli ra,ra,0x1f + 8000055c: 00000013 nop + 80000560: 0020d733 srl a4,ra,sp + 80000564: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000568: 00200293 li t0,2 + 8000056c: fe5210e3 bne tp,t0,8000054c + 80000570: 000203b7 lui t2,0x20 + 80000574: 02600193 li gp,38 + 80000578: 08771863 bne a4,t2,80000608 + +000000008000057c : + 8000057c: 00000213 li tp,0 + 80000580: 01f00113 li sp,31 + 80000584: 00000013 nop + 80000588: 00000013 nop + 8000058c: 0010009b addiw ra,zero,1 + 80000590: 01f09093 slli ra,ra,0x1f + 80000594: 0020d733 srl a4,ra,sp + 80000598: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000059c: 00200293 li t0,2 + 800005a0: fe5210e3 bne tp,t0,80000580 + 800005a4: 00100393 li t2,1 + 800005a8: 02700193 li gp,39 + 800005ac: 04771e63 bne a4,t2,80000608 + +00000000800005b0 : + 800005b0: 00f00093 li ra,15 + 800005b4: 00105133 srl sp,zero,ra + 800005b8: 00000393 li t2,0 + 800005bc: 02800193 li gp,40 + 800005c0: 04711463 bne sp,t2,80000608 + +00000000800005c4 : + 800005c4: 02000093 li ra,32 + 800005c8: 0000d133 srl sp,ra,zero + 800005cc: 02000393 li t2,32 + 800005d0: 02900193 li gp,41 + 800005d4: 02711a63 bne sp,t2,80000608 + +00000000800005d8 : + 800005d8: 000050b3 srl ra,zero,zero + 800005dc: 00000393 li t2,0 + 800005e0: 02a00193 li gp,42 + 800005e4: 02709263 bne ra,t2,80000608 + +00000000800005e8 : + 800005e8: 40000093 li ra,1024 + 800005ec: 00001137 lui sp,0x1 + 800005f0: 8001011b addiw sp,sp,-2048 + 800005f4: 0020d033 srl zero,ra,sp + 800005f8: 00000393 li t2,0 + 800005fc: 02b00193 li gp,43 + 80000600: 00701463 bne zero,t2,80000608 + 80000604: 00301663 bne zero,gp,80000610 + +0000000080000608 : + 80000608: 00100513 li a0,1 + 8000060c: 0380006f j 80000644 + +0000000080000610 : + 80000610: 00000513 li a0,0 + 80000614: 0300006f j 80000644 + 80000618: c0001073 unimp + ... + +0000000080000644 : + 80000644: 00050513 mv a0,a0 + 80000648: 0000006b 0x6b + 8000064c: 0000006f j 8000064c diff --git a/bin/non-output/riscv-tests/srli-riscv-tests.bin b/bin/non-output/riscv-tests/srli-riscv-tests.bin new file mode 100755 index 0000000..93742cf Binary files /dev/null and b/bin/non-output/riscv-tests/srli-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/srli-riscv-tests.elf b/bin/non-output/riscv-tests/srli-riscv-tests.elf new file mode 100755 index 0000000..29c1247 Binary files /dev/null and b/bin/non-output/riscv-tests/srli-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/srli-riscv-tests.txt b/bin/non-output/riscv-tests/srli-riscv-tests.txt new file mode 100755 index 0000000..0a798e1 --- /dev/null +++ b/bin/non-output/riscv-tests/srli-riscv-tests.txt @@ -0,0 +1,259 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/srli-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 0000d713 srli a4,ra,0x0 + 80000008: 800003b7 lui t2,0x80000 + 8000000c: 00200193 li gp,2 + 80000010: 2e771663 bne a4,t2,800002fc + +0000000080000014 : + 80000014: 800000b7 lui ra,0x80000 + 80000018: 0010d713 srli a4,ra,0x1 + 8000001c: 0010039b addiw t2,zero,1 + 80000020: 02139393 slli t2,t2,0x21 + 80000024: fff38393 addi t2,t2,-1 # ffffffff7fffffff <_end+0xfffffffeffff6fff> + 80000028: 01e39393 slli t2,t2,0x1e + 8000002c: 00300193 li gp,3 + 80000030: 2c771663 bne a4,t2,800002fc + +0000000080000034 : + 80000034: 800000b7 lui ra,0x80000 + 80000038: 0070d713 srli a4,ra,0x7 + 8000003c: 0010039b addiw t2,zero,1 + 80000040: 02139393 slli t2,t2,0x21 + 80000044: fff38393 addi t2,t2,-1 + 80000048: 01839393 slli t2,t2,0x18 + 8000004c: 00400193 li gp,4 + 80000050: 2a771663 bne a4,t2,800002fc + +0000000080000054 : + 80000054: 800000b7 lui ra,0x80000 + 80000058: 00e0d713 srli a4,ra,0xe + 8000005c: 0010039b addiw t2,zero,1 + 80000060: 02139393 slli t2,t2,0x21 + 80000064: fff38393 addi t2,t2,-1 + 80000068: 01139393 slli t2,t2,0x11 + 8000006c: 00500193 li gp,5 + 80000070: 28771663 bne a4,t2,800002fc + +0000000080000074 : + 80000074: 800000b7 lui ra,0x80000 + 80000078: 0010809b addiw ra,ra,1 + 8000007c: 01f0d713 srli a4,ra,0x1f + 80000080: 0010039b addiw t2,zero,1 + 80000084: 02139393 slli t2,t2,0x21 + 80000088: fff38393 addi t2,t2,-1 + 8000008c: 00600193 li gp,6 + 80000090: 26771663 bne a4,t2,800002fc + +0000000080000094 : + 80000094: fff00093 li ra,-1 + 80000098: 0000d713 srli a4,ra,0x0 + 8000009c: fff00393 li t2,-1 + 800000a0: 00700193 li gp,7 + 800000a4: 24771c63 bne a4,t2,800002fc + +00000000800000a8 : + 800000a8: fff00093 li ra,-1 + 800000ac: 0010d713 srli a4,ra,0x1 + 800000b0: fff0039b addiw t2,zero,-1 + 800000b4: 03f39393 slli t2,t2,0x3f + 800000b8: fff38393 addi t2,t2,-1 + 800000bc: 00800193 li gp,8 + 800000c0: 22771e63 bne a4,t2,800002fc + +00000000800000c4 : + 800000c4: fff00093 li ra,-1 + 800000c8: 0070d713 srli a4,ra,0x7 + 800000cc: 0010039b addiw t2,zero,1 + 800000d0: 03939393 slli t2,t2,0x39 + 800000d4: fff38393 addi t2,t2,-1 + 800000d8: 00900193 li gp,9 + 800000dc: 22771063 bne a4,t2,800002fc + +00000000800000e0 : + 800000e0: fff00093 li ra,-1 + 800000e4: 00e0d713 srli a4,ra,0xe + 800000e8: 0010039b addiw t2,zero,1 + 800000ec: 03239393 slli t2,t2,0x32 + 800000f0: fff38393 addi t2,t2,-1 + 800000f4: 00a00193 li gp,10 + 800000f8: 20771263 bne a4,t2,800002fc + +00000000800000fc : + 800000fc: fff00093 li ra,-1 + 80000100: 01f0d713 srli a4,ra,0x1f + 80000104: 0010039b addiw t2,zero,1 + 80000108: 02139393 slli t2,t2,0x21 + 8000010c: fff38393 addi t2,t2,-1 + 80000110: 00b00193 li gp,11 + 80000114: 1e771463 bne a4,t2,800002fc + +0000000080000118 : + 80000118: 212120b7 lui ra,0x21212 + 8000011c: 1210809b addiw ra,ra,289 + 80000120: 0000d713 srli a4,ra,0x0 + 80000124: 212123b7 lui t2,0x21212 + 80000128: 1213839b addiw t2,t2,289 + 8000012c: 00c00193 li gp,12 + 80000130: 1c771663 bne a4,t2,800002fc + +0000000080000134 : + 80000134: 212120b7 lui ra,0x21212 + 80000138: 1210809b addiw ra,ra,289 + 8000013c: 0010d713 srli a4,ra,0x1 + 80000140: 109093b7 lui t2,0x10909 + 80000144: 0903839b addiw t2,t2,144 + 80000148: 00d00193 li gp,13 + 8000014c: 1a771863 bne a4,t2,800002fc + +0000000080000150 : + 80000150: 212120b7 lui ra,0x21212 + 80000154: 1210809b addiw ra,ra,289 + 80000158: 0070d713 srli a4,ra,0x7 + 8000015c: 004243b7 lui t2,0x424 + 80000160: 2423839b addiw t2,t2,578 + 80000164: 00e00193 li gp,14 + 80000168: 18771a63 bne a4,t2,800002fc + +000000008000016c : + 8000016c: 212120b7 lui ra,0x21212 + 80000170: 1210809b addiw ra,ra,289 + 80000174: 00e0d713 srli a4,ra,0xe + 80000178: 000083b7 lui t2,0x8 + 8000017c: 4843839b addiw t2,t2,1156 + 80000180: 00f00193 li gp,15 + 80000184: 16771c63 bne a4,t2,800002fc + +0000000080000188 : + 80000188: 212120b7 lui ra,0x21212 + 8000018c: 1210809b addiw ra,ra,289 + 80000190: 01f0d713 srli a4,ra,0x1f + 80000194: 00000393 li t2,0 + 80000198: 01000193 li gp,16 + 8000019c: 16771063 bne a4,t2,800002fc + +00000000800001a0 : + 800001a0: 0010009b addiw ra,zero,1 + 800001a4: 01f09093 slli ra,ra,0x1f + 800001a8: 0070d093 srli ra,ra,0x7 + 800001ac: 010003b7 lui t2,0x1000 + 800001b0: 01100193 li gp,17 + 800001b4: 14709463 bne ra,t2,800002fc + +00000000800001b8 : + 800001b8: 00000213 li tp,0 + 800001bc: 0010009b addiw ra,zero,1 + 800001c0: 01f09093 slli ra,ra,0x1f + 800001c4: 0070d713 srli a4,ra,0x7 + 800001c8: 00070313 mv t1,a4 + 800001cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d0: 00200293 li t0,2 + 800001d4: fe5214e3 bne tp,t0,800001bc + 800001d8: 010003b7 lui t2,0x1000 + 800001dc: 01200193 li gp,18 + 800001e0: 10731e63 bne t1,t2,800002fc + +00000000800001e4 : + 800001e4: 00000213 li tp,0 + 800001e8: 0010009b addiw ra,zero,1 + 800001ec: 01f09093 slli ra,ra,0x1f + 800001f0: 00e0d713 srli a4,ra,0xe + 800001f4: 00000013 nop + 800001f8: 00070313 mv t1,a4 + 800001fc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000200: 00200293 li t0,2 + 80000204: fe5212e3 bne tp,t0,800001e8 + 80000208: 000203b7 lui t2,0x20 + 8000020c: 01300193 li gp,19 + 80000210: 0e731663 bne t1,t2,800002fc + +0000000080000214 : + 80000214: 00000213 li tp,0 + 80000218: 0010009b addiw ra,zero,1 + 8000021c: 01f09093 slli ra,ra,0x1f + 80000220: 00108093 addi ra,ra,1 # 21212001 <_entry_offset+0x21212001> + 80000224: 01f0d713 srli a4,ra,0x1f + 80000228: 00000013 nop + 8000022c: 00000013 nop + 80000230: 00070313 mv t1,a4 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fc521ee3 bne tp,t0,80000218 + 80000240: 00100393 li t2,1 + 80000244: 01400193 li gp,20 + 80000248: 0a731a63 bne t1,t2,800002fc + +000000008000024c : + 8000024c: 00000213 li tp,0 + 80000250: 0010009b addiw ra,zero,1 + 80000254: 01f09093 slli ra,ra,0x1f + 80000258: 0070d713 srli a4,ra,0x7 + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fe5216e3 bne tp,t0,80000250 + 80000268: 010003b7 lui t2,0x1000 + 8000026c: 01500193 li gp,21 + 80000270: 08771663 bne a4,t2,800002fc + +0000000080000274 : + 80000274: 00000213 li tp,0 + 80000278: 0010009b addiw ra,zero,1 + 8000027c: 01f09093 slli ra,ra,0x1f + 80000280: 00000013 nop + 80000284: 00e0d713 srli a4,ra,0xe + 80000288: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000028c: 00200293 li t0,2 + 80000290: fe5214e3 bne tp,t0,80000278 + 80000294: 000203b7 lui t2,0x20 + 80000298: 01600193 li gp,22 + 8000029c: 06771063 bne a4,t2,800002fc + +00000000800002a0 : + 800002a0: 00000213 li tp,0 + 800002a4: 0010009b addiw ra,zero,1 + 800002a8: 01f09093 slli ra,ra,0x1f + 800002ac: 00108093 addi ra,ra,1 + 800002b0: 00000013 nop + 800002b4: 00000013 nop + 800002b8: 01f0d713 srli a4,ra,0x1f + 800002bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c0: 00200293 li t0,2 + 800002c4: fe5210e3 bne tp,t0,800002a4 + 800002c8: 00100393 li t2,1 + 800002cc: 01700193 li gp,23 + 800002d0: 02771663 bne a4,t2,800002fc + +00000000800002d4 : + 800002d4: 00405093 srli ra,zero,0x4 + 800002d8: 00000393 li t2,0 + 800002dc: 01800193 li gp,24 + 800002e0: 00709e63 bne ra,t2,800002fc + +00000000800002e4 : + 800002e4: 02100093 li ra,33 + 800002e8: 00a0d013 srli zero,ra,0xa + 800002ec: 00000393 li t2,0 + 800002f0: 01900193 li gp,25 + 800002f4: 00701463 bne zero,t2,800002fc + 800002f8: 00301663 bne zero,gp,80000304 + +00000000800002fc : + 800002fc: 00100513 li a0,1 + 80000300: 0440006f j 80000344 + +0000000080000304 : + 80000304: 00000513 li a0,0 + 80000308: 03c0006f j 80000344 + 8000030c: c0001073 unimp + ... + +0000000080000344 : + 80000344: 00050513 mv a0,a0 + 80000348: 0000006b 0x6b + 8000034c: 0000006f j 8000034c diff --git a/bin/non-output/riscv-tests/srliw-riscv-tests.bin b/bin/non-output/riscv-tests/srliw-riscv-tests.bin new file mode 100755 index 0000000..e216745 Binary files /dev/null and b/bin/non-output/riscv-tests/srliw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/srliw-riscv-tests.elf b/bin/non-output/riscv-tests/srliw-riscv-tests.elf new file mode 100755 index 0000000..1188329 Binary files /dev/null and b/bin/non-output/riscv-tests/srliw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/srliw-riscv-tests.txt b/bin/non-output/riscv-tests/srliw-riscv-tests.txt new file mode 100755 index 0000000..6a6b0d7 --- /dev/null +++ b/bin/non-output/riscv-tests/srliw-riscv-tests.txt @@ -0,0 +1,279 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/srliw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 0000d71b srliw a4,ra,0x0 + 80000008: 800003b7 lui t2,0x80000 + 8000000c: 00200193 li gp,2 + 80000010: 32771063 bne a4,t2,80000330 + +0000000080000014 : + 80000014: 800000b7 lui ra,0x80000 + 80000018: 0010d71b srliw a4,ra,0x1 + 8000001c: 400003b7 lui t2,0x40000 + 80000020: 00300193 li gp,3 + 80000024: 30771663 bne a4,t2,80000330 + +0000000080000028 : + 80000028: 800000b7 lui ra,0x80000 + 8000002c: 0070d71b srliw a4,ra,0x7 + 80000030: 010003b7 lui t2,0x1000 + 80000034: 00400193 li gp,4 + 80000038: 2e771c63 bne a4,t2,80000330 + +000000008000003c : + 8000003c: 800000b7 lui ra,0x80000 + 80000040: 00e0d71b srliw a4,ra,0xe + 80000044: 000203b7 lui t2,0x20 + 80000048: 00500193 li gp,5 + 8000004c: 2e771263 bne a4,t2,80000330 + +0000000080000050 : + 80000050: 800000b7 lui ra,0x80000 + 80000054: 0010809b addiw ra,ra,1 + 80000058: 01f0d71b srliw a4,ra,0x1f + 8000005c: 00100393 li t2,1 + 80000060: 00600193 li gp,6 + 80000064: 2c771663 bne a4,t2,80000330 + +0000000080000068 : + 80000068: fff00093 li ra,-1 + 8000006c: 0000d71b srliw a4,ra,0x0 + 80000070: fff00393 li t2,-1 + 80000074: 00700193 li gp,7 + 80000078: 2a771c63 bne a4,t2,80000330 + +000000008000007c : + 8000007c: fff00093 li ra,-1 + 80000080: 0010d71b srliw a4,ra,0x1 + 80000084: 800003b7 lui t2,0x80000 + 80000088: fff3839b addiw t2,t2,-1 + 8000008c: 00800193 li gp,8 + 80000090: 2a771063 bne a4,t2,80000330 + +0000000080000094 : + 80000094: fff00093 li ra,-1 + 80000098: 0070d71b srliw a4,ra,0x7 + 8000009c: 020003b7 lui t2,0x2000 + 800000a0: fff3839b addiw t2,t2,-1 + 800000a4: 00900193 li gp,9 + 800000a8: 28771463 bne a4,t2,80000330 + +00000000800000ac : + 800000ac: fff00093 li ra,-1 + 800000b0: 00e0d71b srliw a4,ra,0xe + 800000b4: 000403b7 lui t2,0x40 + 800000b8: fff3839b addiw t2,t2,-1 + 800000bc: 00a00193 li gp,10 + 800000c0: 26771863 bne a4,t2,80000330 + +00000000800000c4 : + 800000c4: fff00093 li ra,-1 + 800000c8: 01f0d71b srliw a4,ra,0x1f + 800000cc: 00100393 li t2,1 + 800000d0: 00b00193 li gp,11 + 800000d4: 24771e63 bne a4,t2,80000330 + +00000000800000d8 : + 800000d8: 212120b7 lui ra,0x21212 + 800000dc: 1210809b addiw ra,ra,289 + 800000e0: 0000d71b srliw a4,ra,0x0 + 800000e4: 212123b7 lui t2,0x21212 + 800000e8: 1213839b addiw t2,t2,289 + 800000ec: 00c00193 li gp,12 + 800000f0: 24771063 bne a4,t2,80000330 + +00000000800000f4 : + 800000f4: 212120b7 lui ra,0x21212 + 800000f8: 1210809b addiw ra,ra,289 + 800000fc: 0010d71b srliw a4,ra,0x1 + 80000100: 109093b7 lui t2,0x10909 + 80000104: 0903839b addiw t2,t2,144 + 80000108: 00d00193 li gp,13 + 8000010c: 22771263 bne a4,t2,80000330 + +0000000080000110 : + 80000110: 212120b7 lui ra,0x21212 + 80000114: 1210809b addiw ra,ra,289 + 80000118: 0070d71b srliw a4,ra,0x7 + 8000011c: 004243b7 lui t2,0x424 + 80000120: 2423839b addiw t2,t2,578 + 80000124: 00e00193 li gp,14 + 80000128: 20771463 bne a4,t2,80000330 + +000000008000012c : + 8000012c: 212120b7 lui ra,0x21212 + 80000130: 1210809b addiw ra,ra,289 + 80000134: 00e0d71b srliw a4,ra,0xe + 80000138: 000083b7 lui t2,0x8 + 8000013c: 4843839b addiw t2,t2,1156 + 80000140: 00f00193 li gp,15 + 80000144: 1e771663 bne a4,t2,80000330 + +0000000080000148 : + 80000148: 212120b7 lui ra,0x21212 + 8000014c: 1210809b addiw ra,ra,289 + 80000150: 01f0d71b srliw a4,ra,0x1f + 80000154: 00000393 li t2,0 + 80000158: 01000193 li gp,16 + 8000015c: 1c771a63 bne a4,t2,80000330 + +0000000080000160 : + 80000160: fff120b7 lui ra,0xfff12 + 80000164: 3450809b addiw ra,ra,837 + 80000168: 00c09093 slli ra,ra,0xc + 8000016c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000170: 0000d71b srliw a4,ra,0x0 + 80000174: 123453b7 lui t2,0x12345 + 80000178: 6783839b addiw t2,t2,1656 + 8000017c: 02c00193 li gp,44 + 80000180: 1a771863 bne a4,t2,80000330 + +0000000080000184 : + 80000184: fff120b7 lui ra,0xfff12 + 80000188: 3450809b addiw ra,ra,837 + 8000018c: 00c09093 slli ra,ra,0xc + 80000190: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000194: 0040d71b srliw a4,ra,0x4 + 80000198: 012343b7 lui t2,0x1234 + 8000019c: 5673839b addiw t2,t2,1383 + 800001a0: 02d00193 li gp,45 + 800001a4: 18771663 bne a4,t2,80000330 + +00000000800001a8 : + 800001a8: 000920b7 lui ra,0x92 + 800001ac: 3450809b addiw ra,ra,837 + 800001b0: 00c09093 slli ra,ra,0xc + 800001b4: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800001b8: 0000d71b srliw a4,ra,0x0 + 800001bc: 923453b7 lui t2,0x92345 + 800001c0: 6783839b addiw t2,t2,1656 + 800001c4: 02e00193 li gp,46 + 800001c8: 16771463 bne a4,t2,80000330 + +00000000800001cc : + 800001cc: 000920b7 lui ra,0x92 + 800001d0: 3450809b addiw ra,ra,837 + 800001d4: 00c09093 slli ra,ra,0xc + 800001d8: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800001dc: 0040d71b srliw a4,ra,0x4 + 800001e0: 092343b7 lui t2,0x9234 + 800001e4: 5673839b addiw t2,t2,1383 + 800001e8: 02f00193 li gp,47 + 800001ec: 14771263 bne a4,t2,80000330 + +00000000800001f0 : + 800001f0: 800000b7 lui ra,0x80000 + 800001f4: 0070d09b srliw ra,ra,0x7 + 800001f8: 010003b7 lui t2,0x1000 + 800001fc: 01100193 li gp,17 + 80000200: 12709863 bne ra,t2,80000330 + +0000000080000204 : + 80000204: 00000213 li tp,0 + 80000208: 800000b7 lui ra,0x80000 + 8000020c: 0070d71b srliw a4,ra,0x7 + 80000210: 00070313 mv t1,a4 + 80000214: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000218: 00200293 li t0,2 + 8000021c: fe5216e3 bne tp,t0,80000208 + 80000220: 010003b7 lui t2,0x1000 + 80000224: 01200193 li gp,18 + 80000228: 10731463 bne t1,t2,80000330 + +000000008000022c : + 8000022c: 00000213 li tp,0 + 80000230: 800000b7 lui ra,0x80000 + 80000234: 00e0d71b srliw a4,ra,0xe + 80000238: 00000013 nop + 8000023c: 00070313 mv t1,a4 + 80000240: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000244: 00200293 li t0,2 + 80000248: fe5214e3 bne tp,t0,80000230 + 8000024c: 000203b7 lui t2,0x20 + 80000250: 01300193 li gp,19 + 80000254: 0c731e63 bne t1,t2,80000330 + +0000000080000258 : + 80000258: 00000213 li tp,0 + 8000025c: 800000b7 lui ra,0x80000 + 80000260: 0010809b addiw ra,ra,1 + 80000264: 01f0d71b srliw a4,ra,0x1f + 80000268: 00000013 nop + 8000026c: 00000013 nop + 80000270: 00070313 mv t1,a4 + 80000274: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000278: 00200293 li t0,2 + 8000027c: fe5210e3 bne tp,t0,8000025c + 80000280: 00100393 li t2,1 + 80000284: 01400193 li gp,20 + 80000288: 0a731463 bne t1,t2,80000330 + +000000008000028c : + 8000028c: 00000213 li tp,0 + 80000290: 800000b7 lui ra,0x80000 + 80000294: 0070d71b srliw a4,ra,0x7 + 80000298: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000029c: 00200293 li t0,2 + 800002a0: fe5218e3 bne tp,t0,80000290 + 800002a4: 010003b7 lui t2,0x1000 + 800002a8: 01500193 li gp,21 + 800002ac: 08771263 bne a4,t2,80000330 + +00000000800002b0 : + 800002b0: 00000213 li tp,0 + 800002b4: 800000b7 lui ra,0x80000 + 800002b8: 00000013 nop + 800002bc: 00e0d71b srliw a4,ra,0xe + 800002c0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c4: 00200293 li t0,2 + 800002c8: fe5216e3 bne tp,t0,800002b4 + 800002cc: 000203b7 lui t2,0x20 + 800002d0: 01600193 li gp,22 + 800002d4: 04771e63 bne a4,t2,80000330 + +00000000800002d8 : + 800002d8: 00000213 li tp,0 + 800002dc: 800000b7 lui ra,0x80000 + 800002e0: 0010809b addiw ra,ra,1 + 800002e4: 00000013 nop + 800002e8: 00000013 nop + 800002ec: 01f0d71b srliw a4,ra,0x1f + 800002f0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f4: 00200293 li t0,2 + 800002f8: fe5212e3 bne tp,t0,800002dc + 800002fc: 00100393 li t2,1 + 80000300: 01700193 li gp,23 + 80000304: 02771663 bne a4,t2,80000330 + +0000000080000308 : + 80000308: 01f0509b srliw ra,zero,0x1f + 8000030c: 00000393 li t2,0 + 80000310: 01800193 li gp,24 + 80000314: 00709e63 bne ra,t2,80000330 + +0000000080000318 : + 80000318: 01f00093 li ra,31 + 8000031c: 01c0d01b srliw zero,ra,0x1c + 80000320: 00000393 li t2,0 + 80000324: 01900193 li gp,25 + 80000328: 00701463 bne zero,t2,80000330 + 8000032c: 00301663 bne zero,gp,80000338 + +0000000080000330 : + 80000330: 00100513 li a0,1 + 80000334: 0100006f j 80000344 + +0000000080000338 : + 80000338: 00000513 li a0,0 + 8000033c: 0080006f j 80000344 + 80000340: c0001073 unimp + +0000000080000344 : + 80000344: 00050513 mv a0,a0 + 80000348: 0000006b 0x6b + 8000034c: 0000006f j 8000034c diff --git a/bin/non-output/riscv-tests/srlw-riscv-tests.bin b/bin/non-output/riscv-tests/srlw-riscv-tests.bin new file mode 100755 index 0000000..e4acefc Binary files /dev/null and b/bin/non-output/riscv-tests/srlw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/srlw-riscv-tests.elf b/bin/non-output/riscv-tests/srlw-riscv-tests.elf new file mode 100755 index 0000000..407a472 Binary files /dev/null and b/bin/non-output/riscv-tests/srlw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/srlw-riscv-tests.txt b/bin/non-output/riscv-tests/srlw-riscv-tests.txt new file mode 100755 index 0000000..1d91a46 --- /dev/null +++ b/bin/non-output/riscv-tests/srlw-riscv-tests.txt @@ -0,0 +1,505 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/srlw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 800000b7 lui ra,0x80000 + 80000004: 00000113 li sp,0 + 80000008: 0020d73b srlw a4,ra,sp + 8000000c: 800003b7 lui t2,0x80000 + 80000010: 00200193 li gp,2 + 80000014: 60771863 bne a4,t2,80000624 + +0000000080000018 : + 80000018: 800000b7 lui ra,0x80000 + 8000001c: 00100113 li sp,1 + 80000020: 0020d73b srlw a4,ra,sp + 80000024: 400003b7 lui t2,0x40000 + 80000028: 00300193 li gp,3 + 8000002c: 5e771c63 bne a4,t2,80000624 + +0000000080000030 : + 80000030: 800000b7 lui ra,0x80000 + 80000034: 00700113 li sp,7 + 80000038: 0020d73b srlw a4,ra,sp + 8000003c: 010003b7 lui t2,0x1000 + 80000040: 00400193 li gp,4 + 80000044: 5e771063 bne a4,t2,80000624 + +0000000080000048 : + 80000048: 800000b7 lui ra,0x80000 + 8000004c: 00e00113 li sp,14 + 80000050: 0020d73b srlw a4,ra,sp + 80000054: 000203b7 lui t2,0x20 + 80000058: 00500193 li gp,5 + 8000005c: 5c771463 bne a4,t2,80000624 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 0010809b addiw ra,ra,1 + 80000068: 01f00113 li sp,31 + 8000006c: 0020d73b srlw a4,ra,sp + 80000070: 00100393 li t2,1 + 80000074: 00600193 li gp,6 + 80000078: 5a771663 bne a4,t2,80000624 + +000000008000007c : + 8000007c: fff00093 li ra,-1 + 80000080: 00000113 li sp,0 + 80000084: 0020d73b srlw a4,ra,sp + 80000088: fff00393 li t2,-1 + 8000008c: 00700193 li gp,7 + 80000090: 58771a63 bne a4,t2,80000624 + +0000000080000094 : + 80000094: fff00093 li ra,-1 + 80000098: 00100113 li sp,1 + 8000009c: 0020d73b srlw a4,ra,sp + 800000a0: 800003b7 lui t2,0x80000 + 800000a4: fff3839b addiw t2,t2,-1 + 800000a8: 00800193 li gp,8 + 800000ac: 56771c63 bne a4,t2,80000624 + +00000000800000b0 : + 800000b0: fff00093 li ra,-1 + 800000b4: 00700113 li sp,7 + 800000b8: 0020d73b srlw a4,ra,sp + 800000bc: 020003b7 lui t2,0x2000 + 800000c0: fff3839b addiw t2,t2,-1 + 800000c4: 00900193 li gp,9 + 800000c8: 54771e63 bne a4,t2,80000624 + +00000000800000cc : + 800000cc: fff00093 li ra,-1 + 800000d0: 00e00113 li sp,14 + 800000d4: 0020d73b srlw a4,ra,sp + 800000d8: 000403b7 lui t2,0x40 + 800000dc: fff3839b addiw t2,t2,-1 + 800000e0: 00a00193 li gp,10 + 800000e4: 54771063 bne a4,t2,80000624 + +00000000800000e8 : + 800000e8: fff00093 li ra,-1 + 800000ec: 01f00113 li sp,31 + 800000f0: 0020d73b srlw a4,ra,sp + 800000f4: 00100393 li t2,1 + 800000f8: 00b00193 li gp,11 + 800000fc: 52771463 bne a4,t2,80000624 + +0000000080000100 : + 80000100: 212120b7 lui ra,0x21212 + 80000104: 1210809b addiw ra,ra,289 + 80000108: 00000113 li sp,0 + 8000010c: 0020d73b srlw a4,ra,sp + 80000110: 212123b7 lui t2,0x21212 + 80000114: 1213839b addiw t2,t2,289 + 80000118: 00c00193 li gp,12 + 8000011c: 50771463 bne a4,t2,80000624 + +0000000080000120 : + 80000120: 212120b7 lui ra,0x21212 + 80000124: 1210809b addiw ra,ra,289 + 80000128: 00100113 li sp,1 + 8000012c: 0020d73b srlw a4,ra,sp + 80000130: 109093b7 lui t2,0x10909 + 80000134: 0903839b addiw t2,t2,144 + 80000138: 00d00193 li gp,13 + 8000013c: 4e771463 bne a4,t2,80000624 + +0000000080000140 : + 80000140: 212120b7 lui ra,0x21212 + 80000144: 1210809b addiw ra,ra,289 + 80000148: 00700113 li sp,7 + 8000014c: 0020d73b srlw a4,ra,sp + 80000150: 004243b7 lui t2,0x424 + 80000154: 2423839b addiw t2,t2,578 + 80000158: 00e00193 li gp,14 + 8000015c: 4c771463 bne a4,t2,80000624 + +0000000080000160 : + 80000160: 212120b7 lui ra,0x21212 + 80000164: 1210809b addiw ra,ra,289 + 80000168: 00e00113 li sp,14 + 8000016c: 0020d73b srlw a4,ra,sp + 80000170: 000083b7 lui t2,0x8 + 80000174: 4843839b addiw t2,t2,1156 + 80000178: 00f00193 li gp,15 + 8000017c: 4a771463 bne a4,t2,80000624 + +0000000080000180 : + 80000180: 212120b7 lui ra,0x21212 + 80000184: 1210809b addiw ra,ra,289 + 80000188: 01f00113 li sp,31 + 8000018c: 0020d73b srlw a4,ra,sp + 80000190: 00000393 li t2,0 + 80000194: 01000193 li gp,16 + 80000198: 48771663 bne a4,t2,80000624 + +000000008000019c : + 8000019c: 212120b7 lui ra,0x21212 + 800001a0: 1210809b addiw ra,ra,289 + 800001a4: fe000113 li sp,-32 + 800001a8: 0020d73b srlw a4,ra,sp + 800001ac: 212123b7 lui t2,0x21212 + 800001b0: 1213839b addiw t2,t2,289 + 800001b4: 01100193 li gp,17 + 800001b8: 46771663 bne a4,t2,80000624 + +00000000800001bc : + 800001bc: 212120b7 lui ra,0x21212 + 800001c0: 1210809b addiw ra,ra,289 + 800001c4: fe100113 li sp,-31 + 800001c8: 0020d73b srlw a4,ra,sp + 800001cc: 109093b7 lui t2,0x10909 + 800001d0: 0903839b addiw t2,t2,144 + 800001d4: 01200193 li gp,18 + 800001d8: 44771663 bne a4,t2,80000624 + +00000000800001dc : + 800001dc: 212120b7 lui ra,0x21212 + 800001e0: 1210809b addiw ra,ra,289 + 800001e4: fe700113 li sp,-25 + 800001e8: 0020d73b srlw a4,ra,sp + 800001ec: 004243b7 lui t2,0x424 + 800001f0: 2423839b addiw t2,t2,578 + 800001f4: 01300193 li gp,19 + 800001f8: 42771663 bne a4,t2,80000624 + +00000000800001fc : + 800001fc: 212120b7 lui ra,0x21212 + 80000200: 1210809b addiw ra,ra,289 + 80000204: fee00113 li sp,-18 + 80000208: 0020d73b srlw a4,ra,sp + 8000020c: 000083b7 lui t2,0x8 + 80000210: 4843839b addiw t2,t2,1156 + 80000214: 01400193 li gp,20 + 80000218: 40771663 bne a4,t2,80000624 + +000000008000021c : + 8000021c: 212120b7 lui ra,0x21212 + 80000220: 1210809b addiw ra,ra,289 + 80000224: fff00113 li sp,-1 + 80000228: 0020d73b srlw a4,ra,sp + 8000022c: 00000393 li t2,0 + 80000230: 01500193 li gp,21 + 80000234: 3e771863 bne a4,t2,80000624 + +0000000080000238 : + 80000238: fff120b7 lui ra,0xfff12 + 8000023c: 3450809b addiw ra,ra,837 + 80000240: 00c09093 slli ra,ra,0xc + 80000244: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000248: 00000113 li sp,0 + 8000024c: 0020d73b srlw a4,ra,sp + 80000250: 123453b7 lui t2,0x12345 + 80000254: 6783839b addiw t2,t2,1656 + 80000258: 02c00193 li gp,44 + 8000025c: 3c771463 bne a4,t2,80000624 + +0000000080000260 : + 80000260: fff120b7 lui ra,0xfff12 + 80000264: 3450809b addiw ra,ra,837 + 80000268: 00c09093 slli ra,ra,0xc + 8000026c: 67808093 addi ra,ra,1656 # fffffffffff12678 <_end+0xffffffff7ff09678> + 80000270: 00400113 li sp,4 + 80000274: 0020d73b srlw a4,ra,sp + 80000278: 012343b7 lui t2,0x1234 + 8000027c: 5673839b addiw t2,t2,1383 + 80000280: 02d00193 li gp,45 + 80000284: 3a771063 bne a4,t2,80000624 + +0000000080000288 : + 80000288: 000920b7 lui ra,0x92 + 8000028c: 3450809b addiw ra,ra,837 + 80000290: 00c09093 slli ra,ra,0xc + 80000294: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 80000298: 00000113 li sp,0 + 8000029c: 0020d73b srlw a4,ra,sp + 800002a0: 923453b7 lui t2,0x92345 + 800002a4: 6783839b addiw t2,t2,1656 + 800002a8: 02e00193 li gp,46 + 800002ac: 36771c63 bne a4,t2,80000624 + +00000000800002b0 : + 800002b0: 000920b7 lui ra,0x92 + 800002b4: 3450809b addiw ra,ra,837 + 800002b8: 00c09093 slli ra,ra,0xc + 800002bc: 67808093 addi ra,ra,1656 # 92678 <_entry_offset+0x92678> + 800002c0: 00400113 li sp,4 + 800002c4: 0020d73b srlw a4,ra,sp + 800002c8: 092343b7 lui t2,0x9234 + 800002cc: 5673839b addiw t2,t2,1383 + 800002d0: 02f00193 li gp,47 + 800002d4: 34771863 bne a4,t2,80000624 + +00000000800002d8 : + 800002d8: 800000b7 lui ra,0x80000 + 800002dc: 00700113 li sp,7 + 800002e0: 0020d0bb srlw ra,ra,sp + 800002e4: 010003b7 lui t2,0x1000 + 800002e8: 01600193 li gp,22 + 800002ec: 32709c63 bne ra,t2,80000624 + +00000000800002f0 : + 800002f0: 800000b7 lui ra,0x80000 + 800002f4: 00e00113 li sp,14 + 800002f8: 0020d13b srlw sp,ra,sp + 800002fc: 000203b7 lui t2,0x20 + 80000300: 01700193 li gp,23 + 80000304: 32711063 bne sp,t2,80000624 + +0000000080000308 : + 80000308: 00700093 li ra,7 + 8000030c: 0010d0bb srlw ra,ra,ra + 80000310: 00000393 li t2,0 + 80000314: 01800193 li gp,24 + 80000318: 30709663 bne ra,t2,80000624 + +000000008000031c : + 8000031c: 00000213 li tp,0 + 80000320: 800000b7 lui ra,0x80000 + 80000324: 00700113 li sp,7 + 80000328: 0020d73b srlw a4,ra,sp + 8000032c: 00070313 mv t1,a4 + 80000330: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000334: 00200293 li t0,2 + 80000338: fe5214e3 bne tp,t0,80000320 + 8000033c: 010003b7 lui t2,0x1000 + 80000340: 01900193 li gp,25 + 80000344: 2e731063 bne t1,t2,80000624 + +0000000080000348 : + 80000348: 00000213 li tp,0 + 8000034c: 800000b7 lui ra,0x80000 + 80000350: 00e00113 li sp,14 + 80000354: 0020d73b srlw a4,ra,sp + 80000358: 00000013 nop + 8000035c: 00070313 mv t1,a4 + 80000360: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000364: 00200293 li t0,2 + 80000368: fe5212e3 bne tp,t0,8000034c + 8000036c: 000203b7 lui t2,0x20 + 80000370: 01a00193 li gp,26 + 80000374: 2a731863 bne t1,t2,80000624 + +0000000080000378 : + 80000378: 00000213 li tp,0 + 8000037c: 800000b7 lui ra,0x80000 + 80000380: 01f00113 li sp,31 + 80000384: 0020d73b srlw a4,ra,sp + 80000388: 00000013 nop + 8000038c: 00000013 nop + 80000390: 00070313 mv t1,a4 + 80000394: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000398: 00200293 li t0,2 + 8000039c: fe5210e3 bne tp,t0,8000037c + 800003a0: 00100393 li t2,1 + 800003a4: 01b00193 li gp,27 + 800003a8: 26731e63 bne t1,t2,80000624 + +00000000800003ac : + 800003ac: 00000213 li tp,0 + 800003b0: 800000b7 lui ra,0x80000 + 800003b4: 00700113 li sp,7 + 800003b8: 0020d73b srlw a4,ra,sp + 800003bc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003c0: 00200293 li t0,2 + 800003c4: fe5216e3 bne tp,t0,800003b0 + 800003c8: 010003b7 lui t2,0x1000 + 800003cc: 01c00193 li gp,28 + 800003d0: 24771a63 bne a4,t2,80000624 + +00000000800003d4 : + 800003d4: 00000213 li tp,0 + 800003d8: 800000b7 lui ra,0x80000 + 800003dc: 00e00113 li sp,14 + 800003e0: 00000013 nop + 800003e4: 0020d73b srlw a4,ra,sp + 800003e8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003ec: 00200293 li t0,2 + 800003f0: fe5214e3 bne tp,t0,800003d8 + 800003f4: 000203b7 lui t2,0x20 + 800003f8: 01d00193 li gp,29 + 800003fc: 22771463 bne a4,t2,80000624 + +0000000080000400 : + 80000400: 00000213 li tp,0 + 80000404: 800000b7 lui ra,0x80000 + 80000408: 01f00113 li sp,31 + 8000040c: 00000013 nop + 80000410: 00000013 nop + 80000414: 0020d73b srlw a4,ra,sp + 80000418: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000041c: 00200293 li t0,2 + 80000420: fe5212e3 bne tp,t0,80000404 + 80000424: 00100393 li t2,1 + 80000428: 01e00193 li gp,30 + 8000042c: 1e771c63 bne a4,t2,80000624 + +0000000080000430 : + 80000430: 00000213 li tp,0 + 80000434: 800000b7 lui ra,0x80000 + 80000438: 00000013 nop + 8000043c: 00700113 li sp,7 + 80000440: 0020d73b srlw a4,ra,sp + 80000444: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000448: 00200293 li t0,2 + 8000044c: fe5214e3 bne tp,t0,80000434 + 80000450: 010003b7 lui t2,0x1000 + 80000454: 01f00193 li gp,31 + 80000458: 1c771663 bne a4,t2,80000624 + +000000008000045c : + 8000045c: 00000213 li tp,0 + 80000460: 800000b7 lui ra,0x80000 + 80000464: 00000013 nop + 80000468: 00e00113 li sp,14 + 8000046c: 00000013 nop + 80000470: 0020d73b srlw a4,ra,sp + 80000474: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000478: 00200293 li t0,2 + 8000047c: fe5212e3 bne tp,t0,80000460 + 80000480: 000203b7 lui t2,0x20 + 80000484: 02000193 li gp,32 + 80000488: 18771e63 bne a4,t2,80000624 + +000000008000048c : + 8000048c: 00000213 li tp,0 + 80000490: 800000b7 lui ra,0x80000 + 80000494: 00000013 nop + 80000498: 00000013 nop + 8000049c: 01f00113 li sp,31 + 800004a0: 0020d73b srlw a4,ra,sp + 800004a4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004a8: 00200293 li t0,2 + 800004ac: fe5212e3 bne tp,t0,80000490 + 800004b0: 00100393 li t2,1 + 800004b4: 02100193 li gp,33 + 800004b8: 16771663 bne a4,t2,80000624 + +00000000800004bc : + 800004bc: 00000213 li tp,0 + 800004c0: 00700113 li sp,7 + 800004c4: 800000b7 lui ra,0x80000 + 800004c8: 0020d73b srlw a4,ra,sp + 800004cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004d0: 00200293 li t0,2 + 800004d4: fe5216e3 bne tp,t0,800004c0 + 800004d8: 010003b7 lui t2,0x1000 + 800004dc: 02200193 li gp,34 + 800004e0: 14771263 bne a4,t2,80000624 + +00000000800004e4 : + 800004e4: 00000213 li tp,0 + 800004e8: 00e00113 li sp,14 + 800004ec: 800000b7 lui ra,0x80000 + 800004f0: 00000013 nop + 800004f4: 0020d73b srlw a4,ra,sp + 800004f8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004fc: 00200293 li t0,2 + 80000500: fe5214e3 bne tp,t0,800004e8 + 80000504: 000203b7 lui t2,0x20 + 80000508: 02300193 li gp,35 + 8000050c: 10771c63 bne a4,t2,80000624 + +0000000080000510 : + 80000510: 00000213 li tp,0 + 80000514: 01f00113 li sp,31 + 80000518: 800000b7 lui ra,0x80000 + 8000051c: 00000013 nop + 80000520: 00000013 nop + 80000524: 0020d73b srlw a4,ra,sp + 80000528: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000052c: 00200293 li t0,2 + 80000530: fe5212e3 bne tp,t0,80000514 + 80000534: 00100393 li t2,1 + 80000538: 02400193 li gp,36 + 8000053c: 0e771463 bne a4,t2,80000624 + +0000000080000540 : + 80000540: 00000213 li tp,0 + 80000544: 00700113 li sp,7 + 80000548: 00000013 nop + 8000054c: 800000b7 lui ra,0x80000 + 80000550: 0020d73b srlw a4,ra,sp + 80000554: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000558: 00200293 li t0,2 + 8000055c: fe5214e3 bne tp,t0,80000544 + 80000560: 010003b7 lui t2,0x1000 + 80000564: 02500193 li gp,37 + 80000568: 0a771e63 bne a4,t2,80000624 + +000000008000056c : + 8000056c: 00000213 li tp,0 + 80000570: 00e00113 li sp,14 + 80000574: 00000013 nop + 80000578: 800000b7 lui ra,0x80000 + 8000057c: 00000013 nop + 80000580: 0020d73b srlw a4,ra,sp + 80000584: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000588: 00200293 li t0,2 + 8000058c: fe5212e3 bne tp,t0,80000570 + 80000590: 000203b7 lui t2,0x20 + 80000594: 02600193 li gp,38 + 80000598: 08771663 bne a4,t2,80000624 + +000000008000059c : + 8000059c: 00000213 li tp,0 + 800005a0: 01f00113 li sp,31 + 800005a4: 00000013 nop + 800005a8: 00000013 nop + 800005ac: 800000b7 lui ra,0x80000 + 800005b0: 0020d73b srlw a4,ra,sp + 800005b4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800005b8: 00200293 li t0,2 + 800005bc: fe5212e3 bne tp,t0,800005a0 + 800005c0: 00100393 li t2,1 + 800005c4: 02700193 li gp,39 + 800005c8: 04771e63 bne a4,t2,80000624 + +00000000800005cc : + 800005cc: 00f00093 li ra,15 + 800005d0: 0010513b srlw sp,zero,ra + 800005d4: 00000393 li t2,0 + 800005d8: 02800193 li gp,40 + 800005dc: 04711463 bne sp,t2,80000624 + +00000000800005e0 : + 800005e0: 02000093 li ra,32 + 800005e4: 0000d13b srlw sp,ra,zero + 800005e8: 02000393 li t2,32 + 800005ec: 02900193 li gp,41 + 800005f0: 02711a63 bne sp,t2,80000624 + +00000000800005f4 : + 800005f4: 000050bb srlw ra,zero,zero + 800005f8: 00000393 li t2,0 + 800005fc: 02a00193 li gp,42 + 80000600: 02709263 bne ra,t2,80000624 + +0000000080000604 : + 80000604: 40000093 li ra,1024 + 80000608: 00001137 lui sp,0x1 + 8000060c: 8001011b addiw sp,sp,-2048 + 80000610: 0020d03b srlw zero,ra,sp + 80000614: 00000393 li t2,0 + 80000618: 02b00193 li gp,43 + 8000061c: 00701463 bne zero,t2,80000624 + 80000620: 00301663 bne zero,gp,8000062c + +0000000080000624 : + 80000624: 00100513 li a0,1 + 80000628: 01c0006f j 80000644 + +000000008000062c : + 8000062c: 00000513 li a0,0 + 80000630: 0140006f j 80000644 + 80000634: c0001073 unimp + ... + +0000000080000644 : + 80000644: 00050513 mv a0,a0 + 80000648: 0000006b 0x6b + 8000064c: 0000006f j 8000064c diff --git a/bin/non-output/riscv-tests/sub-riscv-tests.bin b/bin/non-output/riscv-tests/sub-riscv-tests.bin new file mode 100755 index 0000000..4d62bf6 Binary files /dev/null and b/bin/non-output/riscv-tests/sub-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sub-riscv-tests.elf b/bin/non-output/riscv-tests/sub-riscv-tests.elf new file mode 100755 index 0000000..58c39d0 Binary files /dev/null and b/bin/non-output/riscv-tests/sub-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sub-riscv-tests.txt b/bin/non-output/riscv-tests/sub-riscv-tests.txt new file mode 100755 index 0000000..7a2f236 --- /dev/null +++ b/bin/non-output/riscv-tests/sub-riscv-tests.txt @@ -0,0 +1,400 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sub-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 40208733 sub a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4a771e63 bne a4,t2,800004d0 + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 40208733 sub a4,ra,sp + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 4a771263 bne a4,t2,800004d0 + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 40208733 sub a4,ra,sp + 8000003c: ffc00393 li t2,-4 + 80000040: 00400193 li gp,4 + 80000044: 48771663 bne a4,t2,800004d0 + +0000000080000048 : + 80000048: 00000093 li ra,0 + 8000004c: ffff8137 lui sp,0xffff8 + 80000050: 40208733 sub a4,ra,sp + 80000054: 000083b7 lui t2,0x8 + 80000058: 00500193 li gp,5 + 8000005c: 46771a63 bne a4,t2,800004d0 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 00000113 li sp,0 + 80000068: 40208733 sub a4,ra,sp + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 00600193 li gp,6 + 80000074: 44771e63 bne a4,t2,800004d0 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: ffff8137 lui sp,0xffff8 + 80000080: 40208733 sub a4,ra,sp + 80000084: 800083b7 lui t2,0x80008 + 80000088: 00700193 li gp,7 + 8000008c: 44771263 bne a4,t2,800004d0 + +0000000080000090 : + 80000090: 00000093 li ra,0 + 80000094: 00008137 lui sp,0x8 + 80000098: fff1011b addiw sp,sp,-1 + 8000009c: 40208733 sub a4,ra,sp + 800000a0: ffff83b7 lui t2,0xffff8 + 800000a4: 0013839b addiw t2,t2,1 + 800000a8: 00800193 li gp,8 + 800000ac: 42771263 bne a4,t2,800004d0 + +00000000800000b0 : + 800000b0: 800000b7 lui ra,0x80000 + 800000b4: fff0809b addiw ra,ra,-1 + 800000b8: 00000113 li sp,0 + 800000bc: 40208733 sub a4,ra,sp + 800000c0: 800003b7 lui t2,0x80000 + 800000c4: fff3839b addiw t2,t2,-1 + 800000c8: 00900193 li gp,9 + 800000cc: 40771263 bne a4,t2,800004d0 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: fff0809b addiw ra,ra,-1 + 800000d8: 00008137 lui sp,0x8 + 800000dc: fff1011b addiw sp,sp,-1 + 800000e0: 40208733 sub a4,ra,sp + 800000e4: 7fff83b7 lui t2,0x7fff8 + 800000e8: 00a00193 li gp,10 + 800000ec: 3e771263 bne a4,t2,800004d0 + +00000000800000f0 : + 800000f0: 800000b7 lui ra,0x80000 + 800000f4: 00008137 lui sp,0x8 + 800000f8: fff1011b addiw sp,sp,-1 + 800000fc: 40208733 sub a4,ra,sp + 80000100: ffff03b7 lui t2,0xffff0 + 80000104: fff3839b addiw t2,t2,-1 + 80000108: 00f39393 slli t2,t2,0xf + 8000010c: 00138393 addi t2,t2,1 # ffffffffffff0001 <_end+0xffffffff7ffe7001> + 80000110: 00b00193 li gp,11 + 80000114: 3a771e63 bne a4,t2,800004d0 + +0000000080000118 : + 80000118: 800000b7 lui ra,0x80000 + 8000011c: fff0809b addiw ra,ra,-1 + 80000120: ffff8137 lui sp,0xffff8 + 80000124: 40208733 sub a4,ra,sp + 80000128: 000103b7 lui t2,0x10 + 8000012c: 0013839b addiw t2,t2,1 + 80000130: 00f39393 slli t2,t2,0xf + 80000134: fff38393 addi t2,t2,-1 # ffff <_entry_offset+0xffff> + 80000138: 00c00193 li gp,12 + 8000013c: 38771a63 bne a4,t2,800004d0 + +0000000080000140 : + 80000140: 00000093 li ra,0 + 80000144: fff00113 li sp,-1 + 80000148: 40208733 sub a4,ra,sp + 8000014c: 00100393 li t2,1 + 80000150: 00d00193 li gp,13 + 80000154: 36771e63 bne a4,t2,800004d0 + +0000000080000158 : + 80000158: fff00093 li ra,-1 + 8000015c: 00100113 li sp,1 + 80000160: 40208733 sub a4,ra,sp + 80000164: ffe00393 li t2,-2 + 80000168: 00e00193 li gp,14 + 8000016c: 36771263 bne a4,t2,800004d0 + +0000000080000170 : + 80000170: fff00093 li ra,-1 + 80000174: fff00113 li sp,-1 + 80000178: 40208733 sub a4,ra,sp + 8000017c: 00000393 li t2,0 + 80000180: 00f00193 li gp,15 + 80000184: 34771663 bne a4,t2,800004d0 + +0000000080000188 : + 80000188: 00d00093 li ra,13 + 8000018c: 00b00113 li sp,11 + 80000190: 402080b3 sub ra,ra,sp + 80000194: 00200393 li t2,2 + 80000198: 01000193 li gp,16 + 8000019c: 32709a63 bne ra,t2,800004d0 + +00000000800001a0 : + 800001a0: 00e00093 li ra,14 + 800001a4: 00b00113 li sp,11 + 800001a8: 40208133 sub sp,ra,sp + 800001ac: 00300393 li t2,3 + 800001b0: 01100193 li gp,17 + 800001b4: 30711e63 bne sp,t2,800004d0 + +00000000800001b8 : + 800001b8: 00d00093 li ra,13 + 800001bc: 401080b3 sub ra,ra,ra + 800001c0: 00000393 li t2,0 + 800001c4: 01200193 li gp,18 + 800001c8: 30709463 bne ra,t2,800004d0 + +00000000800001cc : + 800001cc: 00000213 li tp,0 + 800001d0: 00d00093 li ra,13 + 800001d4: 00b00113 li sp,11 + 800001d8: 40208733 sub a4,ra,sp + 800001dc: 00070313 mv t1,a4 + 800001e0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001e4: 00200293 li t0,2 + 800001e8: fe5214e3 bne tp,t0,800001d0 + 800001ec: 00200393 li t2,2 + 800001f0: 01300193 li gp,19 + 800001f4: 2c731e63 bne t1,t2,800004d0 + +00000000800001f8 : + 800001f8: 00000213 li tp,0 + 800001fc: 00e00093 li ra,14 + 80000200: 00b00113 li sp,11 + 80000204: 40208733 sub a4,ra,sp + 80000208: 00000013 nop + 8000020c: 00070313 mv t1,a4 + 80000210: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000214: 00200293 li t0,2 + 80000218: fe5212e3 bne tp,t0,800001fc + 8000021c: 00300393 li t2,3 + 80000220: 01400193 li gp,20 + 80000224: 2a731663 bne t1,t2,800004d0 + +0000000080000228 : + 80000228: 00000213 li tp,0 + 8000022c: 00f00093 li ra,15 + 80000230: 00b00113 li sp,11 + 80000234: 40208733 sub a4,ra,sp + 80000238: 00000013 nop + 8000023c: 00000013 nop + 80000240: 00070313 mv t1,a4 + 80000244: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000248: 00200293 li t0,2 + 8000024c: fe5210e3 bne tp,t0,8000022c + 80000250: 00400393 li t2,4 + 80000254: 01500193 li gp,21 + 80000258: 26731c63 bne t1,t2,800004d0 + +000000008000025c : + 8000025c: 00000213 li tp,0 + 80000260: 00d00093 li ra,13 + 80000264: 00b00113 li sp,11 + 80000268: 40208733 sub a4,ra,sp + 8000026c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000270: 00200293 li t0,2 + 80000274: fe5216e3 bne tp,t0,80000260 + 80000278: 00200393 li t2,2 + 8000027c: 01600193 li gp,22 + 80000280: 24771863 bne a4,t2,800004d0 + +0000000080000284 : + 80000284: 00000213 li tp,0 + 80000288: 00e00093 li ra,14 + 8000028c: 00b00113 li sp,11 + 80000290: 00000013 nop + 80000294: 40208733 sub a4,ra,sp + 80000298: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000029c: 00200293 li t0,2 + 800002a0: fe5214e3 bne tp,t0,80000288 + 800002a4: 00300393 li t2,3 + 800002a8: 01700193 li gp,23 + 800002ac: 22771263 bne a4,t2,800004d0 + +00000000800002b0 : + 800002b0: 00000213 li tp,0 + 800002b4: 00f00093 li ra,15 + 800002b8: 00b00113 li sp,11 + 800002bc: 00000013 nop + 800002c0: 00000013 nop + 800002c4: 40208733 sub a4,ra,sp + 800002c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002cc: 00200293 li t0,2 + 800002d0: fe5212e3 bne tp,t0,800002b4 + 800002d4: 00400393 li t2,4 + 800002d8: 01800193 li gp,24 + 800002dc: 1e771a63 bne a4,t2,800004d0 + +00000000800002e0 : + 800002e0: 00000213 li tp,0 + 800002e4: 00d00093 li ra,13 + 800002e8: 00000013 nop + 800002ec: 00b00113 li sp,11 + 800002f0: 40208733 sub a4,ra,sp + 800002f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002f8: 00200293 li t0,2 + 800002fc: fe5214e3 bne tp,t0,800002e4 + 80000300: 00200393 li t2,2 + 80000304: 01900193 li gp,25 + 80000308: 1c771463 bne a4,t2,800004d0 + +000000008000030c : + 8000030c: 00000213 li tp,0 + 80000310: 00e00093 li ra,14 + 80000314: 00000013 nop + 80000318: 00b00113 li sp,11 + 8000031c: 00000013 nop + 80000320: 40208733 sub a4,ra,sp + 80000324: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000328: 00200293 li t0,2 + 8000032c: fe5212e3 bne tp,t0,80000310 + 80000330: 00300393 li t2,3 + 80000334: 01a00193 li gp,26 + 80000338: 18771c63 bne a4,t2,800004d0 + +000000008000033c : + 8000033c: 00000213 li tp,0 + 80000340: 00f00093 li ra,15 + 80000344: 00000013 nop + 80000348: 00000013 nop + 8000034c: 00b00113 li sp,11 + 80000350: 40208733 sub a4,ra,sp + 80000354: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000358: 00200293 li t0,2 + 8000035c: fe5212e3 bne tp,t0,80000340 + 80000360: 00400393 li t2,4 + 80000364: 01b00193 li gp,27 + 80000368: 16771463 bne a4,t2,800004d0 + +000000008000036c : + 8000036c: 00000213 li tp,0 + 80000370: 00b00113 li sp,11 + 80000374: 00d00093 li ra,13 + 80000378: 40208733 sub a4,ra,sp + 8000037c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000380: 00200293 li t0,2 + 80000384: fe5216e3 bne tp,t0,80000370 + 80000388: 00200393 li t2,2 + 8000038c: 01c00193 li gp,28 + 80000390: 14771063 bne a4,t2,800004d0 + +0000000080000394 : + 80000394: 00000213 li tp,0 + 80000398: 00b00113 li sp,11 + 8000039c: 00e00093 li ra,14 + 800003a0: 00000013 nop + 800003a4: 40208733 sub a4,ra,sp + 800003a8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003ac: 00200293 li t0,2 + 800003b0: fe5214e3 bne tp,t0,80000398 + 800003b4: 00300393 li t2,3 + 800003b8: 01d00193 li gp,29 + 800003bc: 10771a63 bne a4,t2,800004d0 + +00000000800003c0 : + 800003c0: 00000213 li tp,0 + 800003c4: 00b00113 li sp,11 + 800003c8: 00f00093 li ra,15 + 800003cc: 00000013 nop + 800003d0: 00000013 nop + 800003d4: 40208733 sub a4,ra,sp + 800003d8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003dc: 00200293 li t0,2 + 800003e0: fe5212e3 bne tp,t0,800003c4 + 800003e4: 00400393 li t2,4 + 800003e8: 01e00193 li gp,30 + 800003ec: 0e771263 bne a4,t2,800004d0 + +00000000800003f0 : + 800003f0: 00000213 li tp,0 + 800003f4: 00b00113 li sp,11 + 800003f8: 00000013 nop + 800003fc: 00d00093 li ra,13 + 80000400: 40208733 sub a4,ra,sp + 80000404: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000408: 00200293 li t0,2 + 8000040c: fe5214e3 bne tp,t0,800003f4 + 80000410: 00200393 li t2,2 + 80000414: 01f00193 li gp,31 + 80000418: 0a771c63 bne a4,t2,800004d0 + +000000008000041c : + 8000041c: 00000213 li tp,0 + 80000420: 00b00113 li sp,11 + 80000424: 00000013 nop + 80000428: 00e00093 li ra,14 + 8000042c: 00000013 nop + 80000430: 40208733 sub a4,ra,sp + 80000434: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000438: 00200293 li t0,2 + 8000043c: fe5212e3 bne tp,t0,80000420 + 80000440: 00300393 li t2,3 + 80000444: 02000193 li gp,32 + 80000448: 08771463 bne a4,t2,800004d0 + +000000008000044c : + 8000044c: 00000213 li tp,0 + 80000450: 00b00113 li sp,11 + 80000454: 00000013 nop + 80000458: 00000013 nop + 8000045c: 00f00093 li ra,15 + 80000460: 40208733 sub a4,ra,sp + 80000464: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000468: 00200293 li t0,2 + 8000046c: fe5212e3 bne tp,t0,80000450 + 80000470: 00400393 li t2,4 + 80000474: 02100193 li gp,33 + 80000478: 04771c63 bne a4,t2,800004d0 + +000000008000047c : + 8000047c: ff100093 li ra,-15 + 80000480: 40100133 neg sp,ra + 80000484: 00f00393 li t2,15 + 80000488: 02200193 li gp,34 + 8000048c: 04711263 bne sp,t2,800004d0 + +0000000080000490 : + 80000490: 02000093 li ra,32 + 80000494: 40008133 sub sp,ra,zero + 80000498: 02000393 li t2,32 + 8000049c: 02300193 li gp,35 + 800004a0: 02711863 bne sp,t2,800004d0 + +00000000800004a4 : + 800004a4: 400000b3 neg ra,zero + 800004a8: 00000393 li t2,0 + 800004ac: 02400193 li gp,36 + 800004b0: 02709063 bne ra,t2,800004d0 + +00000000800004b4 : + 800004b4: 01000093 li ra,16 + 800004b8: 01e00113 li sp,30 + 800004bc: 40208033 sub zero,ra,sp + 800004c0: 00000393 li t2,0 + 800004c4: 02500193 li gp,37 + 800004c8: 00701463 bne zero,t2,800004d0 + 800004cc: 00301663 bne zero,gp,800004d8 + +00000000800004d0 : + 800004d0: 00100513 li a0,1 + 800004d4: 0300006f j 80000504 + +00000000800004d8 : + 800004d8: 00000513 li a0,0 + 800004dc: 0280006f j 80000504 + 800004e0: c0001073 unimp + ... + +0000000080000504 : + 80000504: 00050513 mv a0,a0 + 80000508: 0000006b 0x6b + 8000050c: 0000006f j 8000050c diff --git a/bin/non-output/riscv-tests/subw-riscv-tests.bin b/bin/non-output/riscv-tests/subw-riscv-tests.bin new file mode 100755 index 0000000..f738c43 Binary files /dev/null and b/bin/non-output/riscv-tests/subw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/subw-riscv-tests.elf b/bin/non-output/riscv-tests/subw-riscv-tests.elf new file mode 100755 index 0000000..8d4bf04 Binary files /dev/null and b/bin/non-output/riscv-tests/subw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/subw-riscv-tests.txt b/bin/non-output/riscv-tests/subw-riscv-tests.txt new file mode 100755 index 0000000..3f1105e --- /dev/null +++ b/bin/non-output/riscv-tests/subw-riscv-tests.txt @@ -0,0 +1,396 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/subw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000093 li ra,0 + 80000004: 00000113 li sp,0 + 80000008: 4020873b subw a4,ra,sp + 8000000c: 00000393 li t2,0 + 80000010: 00200193 li gp,2 + 80000014: 4a771663 bne a4,t2,800004c0 + +0000000080000018 : + 80000018: 00100093 li ra,1 + 8000001c: 00100113 li sp,1 + 80000020: 4020873b subw a4,ra,sp + 80000024: 00000393 li t2,0 + 80000028: 00300193 li gp,3 + 8000002c: 48771a63 bne a4,t2,800004c0 + +0000000080000030 : + 80000030: 00300093 li ra,3 + 80000034: 00700113 li sp,7 + 80000038: 4020873b subw a4,ra,sp + 8000003c: ffc00393 li t2,-4 + 80000040: 00400193 li gp,4 + 80000044: 46771e63 bne a4,t2,800004c0 + +0000000080000048 : + 80000048: 00000093 li ra,0 + 8000004c: ffff8137 lui sp,0xffff8 + 80000050: 4020873b subw a4,ra,sp + 80000054: 000083b7 lui t2,0x8 + 80000058: 00500193 li gp,5 + 8000005c: 46771263 bne a4,t2,800004c0 + +0000000080000060 : + 80000060: 800000b7 lui ra,0x80000 + 80000064: 00000113 li sp,0 + 80000068: 4020873b subw a4,ra,sp + 8000006c: 800003b7 lui t2,0x80000 + 80000070: 00600193 li gp,6 + 80000074: 44771663 bne a4,t2,800004c0 + +0000000080000078 : + 80000078: 800000b7 lui ra,0x80000 + 8000007c: ffff8137 lui sp,0xffff8 + 80000080: 4020873b subw a4,ra,sp + 80000084: 800083b7 lui t2,0x80008 + 80000088: 00700193 li gp,7 + 8000008c: 42771a63 bne a4,t2,800004c0 + +0000000080000090 : + 80000090: 00000093 li ra,0 + 80000094: 00008137 lui sp,0x8 + 80000098: fff1011b addiw sp,sp,-1 + 8000009c: 4020873b subw a4,ra,sp + 800000a0: ffff83b7 lui t2,0xffff8 + 800000a4: 0013839b addiw t2,t2,1 + 800000a8: 00800193 li gp,8 + 800000ac: 40771a63 bne a4,t2,800004c0 + +00000000800000b0 : + 800000b0: 800000b7 lui ra,0x80000 + 800000b4: fff0809b addiw ra,ra,-1 + 800000b8: 00000113 li sp,0 + 800000bc: 4020873b subw a4,ra,sp + 800000c0: 800003b7 lui t2,0x80000 + 800000c4: fff3839b addiw t2,t2,-1 + 800000c8: 00900193 li gp,9 + 800000cc: 3e771a63 bne a4,t2,800004c0 + +00000000800000d0 : + 800000d0: 800000b7 lui ra,0x80000 + 800000d4: fff0809b addiw ra,ra,-1 + 800000d8: 00008137 lui sp,0x8 + 800000dc: fff1011b addiw sp,sp,-1 + 800000e0: 4020873b subw a4,ra,sp + 800000e4: 7fff83b7 lui t2,0x7fff8 + 800000e8: 00a00193 li gp,10 + 800000ec: 3c771a63 bne a4,t2,800004c0 + +00000000800000f0 : + 800000f0: 800000b7 lui ra,0x80000 + 800000f4: 00008137 lui sp,0x8 + 800000f8: fff1011b addiw sp,sp,-1 + 800000fc: 4020873b subw a4,ra,sp + 80000100: 7fff83b7 lui t2,0x7fff8 + 80000104: 0013839b addiw t2,t2,1 + 80000108: 00b00193 li gp,11 + 8000010c: 3a771a63 bne a4,t2,800004c0 + +0000000080000110 : + 80000110: 800000b7 lui ra,0x80000 + 80000114: fff0809b addiw ra,ra,-1 + 80000118: ffff8137 lui sp,0xffff8 + 8000011c: 4020873b subw a4,ra,sp + 80000120: 800083b7 lui t2,0x80008 + 80000124: fff3839b addiw t2,t2,-1 + 80000128: 00c00193 li gp,12 + 8000012c: 38771a63 bne a4,t2,800004c0 + +0000000080000130 : + 80000130: 00000093 li ra,0 + 80000134: fff00113 li sp,-1 + 80000138: 4020873b subw a4,ra,sp + 8000013c: 00100393 li t2,1 + 80000140: 00d00193 li gp,13 + 80000144: 36771e63 bne a4,t2,800004c0 + +0000000080000148 : + 80000148: fff00093 li ra,-1 + 8000014c: 00100113 li sp,1 + 80000150: 4020873b subw a4,ra,sp + 80000154: ffe00393 li t2,-2 + 80000158: 00e00193 li gp,14 + 8000015c: 36771263 bne a4,t2,800004c0 + +0000000080000160 : + 80000160: fff00093 li ra,-1 + 80000164: fff00113 li sp,-1 + 80000168: 4020873b subw a4,ra,sp + 8000016c: 00000393 li t2,0 + 80000170: 00f00193 li gp,15 + 80000174: 34771663 bne a4,t2,800004c0 + +0000000080000178 : + 80000178: 00d00093 li ra,13 + 8000017c: 00b00113 li sp,11 + 80000180: 402080bb subw ra,ra,sp + 80000184: 00200393 li t2,2 + 80000188: 01000193 li gp,16 + 8000018c: 32709a63 bne ra,t2,800004c0 + +0000000080000190 : + 80000190: 00e00093 li ra,14 + 80000194: 00b00113 li sp,11 + 80000198: 4020813b subw sp,ra,sp + 8000019c: 00300393 li t2,3 + 800001a0: 01100193 li gp,17 + 800001a4: 30711e63 bne sp,t2,800004c0 + +00000000800001a8 : + 800001a8: 00d00093 li ra,13 + 800001ac: 401080bb subw ra,ra,ra + 800001b0: 00000393 li t2,0 + 800001b4: 01200193 li gp,18 + 800001b8: 30709463 bne ra,t2,800004c0 + +00000000800001bc : + 800001bc: 00000213 li tp,0 + 800001c0: 00d00093 li ra,13 + 800001c4: 00b00113 li sp,11 + 800001c8: 4020873b subw a4,ra,sp + 800001cc: 00070313 mv t1,a4 + 800001d0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d4: 00200293 li t0,2 + 800001d8: fe5214e3 bne tp,t0,800001c0 + 800001dc: 00200393 li t2,2 + 800001e0: 01300193 li gp,19 + 800001e4: 2c731e63 bne t1,t2,800004c0 + +00000000800001e8 : + 800001e8: 00000213 li tp,0 + 800001ec: 00e00093 li ra,14 + 800001f0: 00b00113 li sp,11 + 800001f4: 4020873b subw a4,ra,sp + 800001f8: 00000013 nop + 800001fc: 00070313 mv t1,a4 + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fe5212e3 bne tp,t0,800001ec + 8000020c: 00300393 li t2,3 + 80000210: 01400193 li gp,20 + 80000214: 2a731663 bne t1,t2,800004c0 + +0000000080000218 : + 80000218: 00000213 li tp,0 + 8000021c: 00f00093 li ra,15 + 80000220: 00b00113 li sp,11 + 80000224: 4020873b subw a4,ra,sp + 80000228: 00000013 nop + 8000022c: 00000013 nop + 80000230: 00070313 mv t1,a4 + 80000234: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000238: 00200293 li t0,2 + 8000023c: fe5210e3 bne tp,t0,8000021c + 80000240: 00400393 li t2,4 + 80000244: 01500193 li gp,21 + 80000248: 26731c63 bne t1,t2,800004c0 + +000000008000024c : + 8000024c: 00000213 li tp,0 + 80000250: 00d00093 li ra,13 + 80000254: 00b00113 li sp,11 + 80000258: 4020873b subw a4,ra,sp + 8000025c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000260: 00200293 li t0,2 + 80000264: fe5216e3 bne tp,t0,80000250 + 80000268: 00200393 li t2,2 + 8000026c: 01600193 li gp,22 + 80000270: 24771863 bne a4,t2,800004c0 + +0000000080000274 : + 80000274: 00000213 li tp,0 + 80000278: 00e00093 li ra,14 + 8000027c: 00b00113 li sp,11 + 80000280: 00000013 nop + 80000284: 4020873b subw a4,ra,sp + 80000288: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000028c: 00200293 li t0,2 + 80000290: fe5214e3 bne tp,t0,80000278 + 80000294: 00300393 li t2,3 + 80000298: 01700193 li gp,23 + 8000029c: 22771263 bne a4,t2,800004c0 + +00000000800002a0 : + 800002a0: 00000213 li tp,0 + 800002a4: 00f00093 li ra,15 + 800002a8: 00b00113 li sp,11 + 800002ac: 00000013 nop + 800002b0: 00000013 nop + 800002b4: 4020873b subw a4,ra,sp + 800002b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002bc: 00200293 li t0,2 + 800002c0: fe5212e3 bne tp,t0,800002a4 + 800002c4: 00400393 li t2,4 + 800002c8: 01800193 li gp,24 + 800002cc: 1e771a63 bne a4,t2,800004c0 + +00000000800002d0 : + 800002d0: 00000213 li tp,0 + 800002d4: 00d00093 li ra,13 + 800002d8: 00000013 nop + 800002dc: 00b00113 li sp,11 + 800002e0: 4020873b subw a4,ra,sp + 800002e4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002e8: 00200293 li t0,2 + 800002ec: fe5214e3 bne tp,t0,800002d4 + 800002f0: 00200393 li t2,2 + 800002f4: 01900193 li gp,25 + 800002f8: 1c771463 bne a4,t2,800004c0 + +00000000800002fc : + 800002fc: 00000213 li tp,0 + 80000300: 00e00093 li ra,14 + 80000304: 00000013 nop + 80000308: 00b00113 li sp,11 + 8000030c: 00000013 nop + 80000310: 4020873b subw a4,ra,sp + 80000314: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000318: 00200293 li t0,2 + 8000031c: fe5212e3 bne tp,t0,80000300 + 80000320: 00300393 li t2,3 + 80000324: 01a00193 li gp,26 + 80000328: 18771c63 bne a4,t2,800004c0 + +000000008000032c : + 8000032c: 00000213 li tp,0 + 80000330: 00f00093 li ra,15 + 80000334: 00000013 nop + 80000338: 00000013 nop + 8000033c: 00b00113 li sp,11 + 80000340: 4020873b subw a4,ra,sp + 80000344: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000348: 00200293 li t0,2 + 8000034c: fe5212e3 bne tp,t0,80000330 + 80000350: 00400393 li t2,4 + 80000354: 01b00193 li gp,27 + 80000358: 16771463 bne a4,t2,800004c0 + +000000008000035c : + 8000035c: 00000213 li tp,0 + 80000360: 00b00113 li sp,11 + 80000364: 00d00093 li ra,13 + 80000368: 4020873b subw a4,ra,sp + 8000036c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000370: 00200293 li t0,2 + 80000374: fe5216e3 bne tp,t0,80000360 + 80000378: 00200393 li t2,2 + 8000037c: 01c00193 li gp,28 + 80000380: 14771063 bne a4,t2,800004c0 + +0000000080000384 : + 80000384: 00000213 li tp,0 + 80000388: 00b00113 li sp,11 + 8000038c: 00e00093 li ra,14 + 80000390: 00000013 nop + 80000394: 4020873b subw a4,ra,sp + 80000398: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000039c: 00200293 li t0,2 + 800003a0: fe5214e3 bne tp,t0,80000388 + 800003a4: 00300393 li t2,3 + 800003a8: 01d00193 li gp,29 + 800003ac: 10771a63 bne a4,t2,800004c0 + +00000000800003b0 : + 800003b0: 00000213 li tp,0 + 800003b4: 00b00113 li sp,11 + 800003b8: 00f00093 li ra,15 + 800003bc: 00000013 nop + 800003c0: 00000013 nop + 800003c4: 4020873b subw a4,ra,sp + 800003c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003cc: 00200293 li t0,2 + 800003d0: fe5212e3 bne tp,t0,800003b4 + 800003d4: 00400393 li t2,4 + 800003d8: 01e00193 li gp,30 + 800003dc: 0e771263 bne a4,t2,800004c0 + +00000000800003e0 : + 800003e0: 00000213 li tp,0 + 800003e4: 00b00113 li sp,11 + 800003e8: 00000013 nop + 800003ec: 00d00093 li ra,13 + 800003f0: 4020873b subw a4,ra,sp + 800003f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003f8: 00200293 li t0,2 + 800003fc: fe5214e3 bne tp,t0,800003e4 + 80000400: 00200393 li t2,2 + 80000404: 01f00193 li gp,31 + 80000408: 0a771c63 bne a4,t2,800004c0 + +000000008000040c : + 8000040c: 00000213 li tp,0 + 80000410: 00b00113 li sp,11 + 80000414: 00000013 nop + 80000418: 00e00093 li ra,14 + 8000041c: 00000013 nop + 80000420: 4020873b subw a4,ra,sp + 80000424: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000428: 00200293 li t0,2 + 8000042c: fe5212e3 bne tp,t0,80000410 + 80000430: 00300393 li t2,3 + 80000434: 02000193 li gp,32 + 80000438: 08771463 bne a4,t2,800004c0 + +000000008000043c : + 8000043c: 00000213 li tp,0 + 80000440: 00b00113 li sp,11 + 80000444: 00000013 nop + 80000448: 00000013 nop + 8000044c: 00f00093 li ra,15 + 80000450: 4020873b subw a4,ra,sp + 80000454: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000458: 00200293 li t0,2 + 8000045c: fe5212e3 bne tp,t0,80000440 + 80000460: 00400393 li t2,4 + 80000464: 02100193 li gp,33 + 80000468: 04771c63 bne a4,t2,800004c0 + +000000008000046c : + 8000046c: ff100093 li ra,-15 + 80000470: 4010013b negw sp,ra + 80000474: 00f00393 li t2,15 + 80000478: 02200193 li gp,34 + 8000047c: 04711263 bne sp,t2,800004c0 + +0000000080000480 : + 80000480: 02000093 li ra,32 + 80000484: 4000813b subw sp,ra,zero + 80000488: 02000393 li t2,32 + 8000048c: 02300193 li gp,35 + 80000490: 02711863 bne sp,t2,800004c0 + +0000000080000494 : + 80000494: 400000bb negw ra,zero + 80000498: 00000393 li t2,0 + 8000049c: 02400193 li gp,36 + 800004a0: 02709063 bne ra,t2,800004c0 + +00000000800004a4 : + 800004a4: 01000093 li ra,16 + 800004a8: 01e00113 li sp,30 + 800004ac: 4020803b subw zero,ra,sp + 800004b0: 00000393 li t2,0 + 800004b4: 02500193 li gp,37 + 800004b8: 00701463 bne zero,t2,800004c0 + 800004bc: 00301663 bne zero,gp,800004c8 + +00000000800004c0 : + 800004c0: 00100513 li a0,1 + 800004c4: 0400006f j 80000504 + +00000000800004c8 : + 800004c8: 00000513 li a0,0 + 800004cc: 0380006f j 80000504 + 800004d0: c0001073 unimp + ... + +0000000080000504 : + 80000504: 00050513 mv a0,a0 + 80000508: 0000006b 0x6b + 8000050c: 0000006f j 8000050c diff --git a/bin/non-output/riscv-tests/sw-riscv-tests.bin b/bin/non-output/riscv-tests/sw-riscv-tests.bin new file mode 100755 index 0000000..98d32b3 Binary files /dev/null and b/bin/non-output/riscv-tests/sw-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/sw-riscv-tests.elf b/bin/non-output/riscv-tests/sw-riscv-tests.elf new file mode 100755 index 0000000..8d15f45 Binary files /dev/null and b/bin/non-output/riscv-tests/sw-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/sw-riscv-tests.txt b/bin/non-output/riscv-tests/sw-riscv-tests.txt new file mode 100755 index 0000000..dfe96b2 --- /dev/null +++ b/bin/non-output/riscv-tests/sw-riscv-tests.txt @@ -0,0 +1,353 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/sw-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00000097 auipc ra,0x0 + 80000004: 4d008093 addi ra,ra,1232 # 800004d0 <_etext> + 80000008: 00aa0137 lui sp,0xaa0 + 8000000c: 0aa1011b addiw sp,sp,170 + 80000010: 0020a023 sw sp,0(ra) + 80000014: 0000a703 lw a4,0(ra) + 80000018: 00aa03b7 lui t2,0xaa0 + 8000001c: 0aa3839b addiw t2,t2,170 + 80000020: 00200193 li gp,2 + 80000024: 46771063 bne a4,t2,80000484 + +0000000080000028 : + 80000028: 00000097 auipc ra,0x0 + 8000002c: 4a808093 addi ra,ra,1192 # 800004d0 <_etext> + 80000030: aa00b137 lui sp,0xaa00b + 80000034: a001011b addiw sp,sp,-1536 + 80000038: 0020a223 sw sp,4(ra) + 8000003c: 0040a703 lw a4,4(ra) + 80000040: aa00b3b7 lui t2,0xaa00b + 80000044: a003839b addiw t2,t2,-1536 + 80000048: 00300193 li gp,3 + 8000004c: 42771c63 bne a4,t2,80000484 + +0000000080000050 : + 80000050: 00000097 auipc ra,0x0 + 80000054: 48008093 addi ra,ra,1152 # 800004d0 <_etext> + 80000058: 0aa01137 lui sp,0xaa01 + 8000005c: aa01011b addiw sp,sp,-1376 + 80000060: 0020a423 sw sp,8(ra) + 80000064: 0080a703 lw a4,8(ra) + 80000068: 0aa013b7 lui t2,0xaa01 + 8000006c: aa03839b addiw t2,t2,-1376 + 80000070: 00400193 li gp,4 + 80000074: 40771863 bne a4,t2,80000484 + +0000000080000078 : + 80000078: 00000097 auipc ra,0x0 + 8000007c: 45808093 addi ra,ra,1112 # 800004d0 <_etext> + 80000080: a00aa137 lui sp,0xa00aa + 80000084: 00a1011b addiw sp,sp,10 + 80000088: 0020a623 sw sp,12(ra) + 8000008c: 00c0a703 lw a4,12(ra) + 80000090: a00aa3b7 lui t2,0xa00aa + 80000094: 00a3839b addiw t2,t2,10 + 80000098: 00500193 li gp,5 + 8000009c: 3e771463 bne a4,t2,80000484 + +00000000800000a0 : + 800000a0: 00000097 auipc ra,0x0 + 800000a4: 44c08093 addi ra,ra,1100 # 800004ec + 800000a8: 00aa0137 lui sp,0xaa0 + 800000ac: 0aa1011b addiw sp,sp,170 + 800000b0: fe20aa23 sw sp,-12(ra) + 800000b4: ff40a703 lw a4,-12(ra) + 800000b8: 00aa03b7 lui t2,0xaa0 + 800000bc: 0aa3839b addiw t2,t2,170 + 800000c0: 00600193 li gp,6 + 800000c4: 3c771063 bne a4,t2,80000484 + +00000000800000c8 : + 800000c8: 00000097 auipc ra,0x0 + 800000cc: 42408093 addi ra,ra,1060 # 800004ec + 800000d0: aa00b137 lui sp,0xaa00b + 800000d4: a001011b addiw sp,sp,-1536 + 800000d8: fe20ac23 sw sp,-8(ra) + 800000dc: ff80a703 lw a4,-8(ra) + 800000e0: aa00b3b7 lui t2,0xaa00b + 800000e4: a003839b addiw t2,t2,-1536 + 800000e8: 00700193 li gp,7 + 800000ec: 38771c63 bne a4,t2,80000484 + +00000000800000f0 : + 800000f0: 00000097 auipc ra,0x0 + 800000f4: 3fc08093 addi ra,ra,1020 # 800004ec + 800000f8: 0aa01137 lui sp,0xaa01 + 800000fc: aa01011b addiw sp,sp,-1376 + 80000100: fe20ae23 sw sp,-4(ra) + 80000104: ffc0a703 lw a4,-4(ra) + 80000108: 0aa013b7 lui t2,0xaa01 + 8000010c: aa03839b addiw t2,t2,-1376 + 80000110: 00800193 li gp,8 + 80000114: 36771863 bne a4,t2,80000484 + +0000000080000118 : + 80000118: 00000097 auipc ra,0x0 + 8000011c: 3d408093 addi ra,ra,980 # 800004ec + 80000120: a00aa137 lui sp,0xa00aa + 80000124: 00a1011b addiw sp,sp,10 + 80000128: 0020a023 sw sp,0(ra) + 8000012c: 0000a703 lw a4,0(ra) + 80000130: a00aa3b7 lui t2,0xa00aa + 80000134: 00a3839b addiw t2,t2,10 + 80000138: 00900193 li gp,9 + 8000013c: 34771463 bne a4,t2,80000484 + +0000000080000140 : + 80000140: 00000097 auipc ra,0x0 + 80000144: 3b008093 addi ra,ra,944 # 800004f0 + 80000148: 12345137 lui sp,0x12345 + 8000014c: 6781011b addiw sp,sp,1656 + 80000150: fe008213 addi tp,ra,-32 + 80000154: 02222023 sw sp,32(tp) # 20 <_entry_offset+0x20> + 80000158: 0000a283 lw t0,0(ra) + 8000015c: 123453b7 lui t2,0x12345 + 80000160: 6783839b addiw t2,t2,1656 + 80000164: 00a00193 li gp,10 + 80000168: 30729e63 bne t0,t2,80000484 + +000000008000016c : + 8000016c: 00000097 auipc ra,0x0 + 80000170: 38408093 addi ra,ra,900 # 800004f0 + 80000174: 58213137 lui sp,0x58213 + 80000178: 0981011b addiw sp,sp,152 + 8000017c: ffd08093 addi ra,ra,-3 + 80000180: 0020a3a3 sw sp,7(ra) + 80000184: 00000217 auipc tp,0x0 + 80000188: 37020213 addi tp,tp,880 # 800004f4 + 8000018c: 00022283 lw t0,0(tp) # 0 <_entry_offset> + 80000190: 582133b7 lui t2,0x58213 + 80000194: 0983839b addiw t2,t2,152 + 80000198: 00b00193 li gp,11 + 8000019c: 2e729463 bne t0,t2,80000484 + +00000000800001a0 : + 800001a0: 00c00193 li gp,12 + 800001a4: 00000213 li tp,0 + 800001a8: aabbd0b7 lui ra,0xaabbd + 800001ac: cdd0809b addiw ra,ra,-803 + 800001b0: 00000117 auipc sp,0x0 + 800001b4: 32010113 addi sp,sp,800 # 800004d0 <_etext> + 800001b8: 00112023 sw ra,0(sp) + 800001bc: 00012703 lw a4,0(sp) + 800001c0: aabbd3b7 lui t2,0xaabbd + 800001c4: cdd3839b addiw t2,t2,-803 + 800001c8: 2a771e63 bne a4,t2,80000484 + 800001cc: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001d0: 00200293 li t0,2 + 800001d4: fc521ae3 bne tp,t0,800001a8 + +00000000800001d8 : + 800001d8: 00d00193 li gp,13 + 800001dc: 00000213 li tp,0 + 800001e0: daabc0b7 lui ra,0xdaabc + 800001e4: ccd0809b addiw ra,ra,-819 + 800001e8: 00000117 auipc sp,0x0 + 800001ec: 2e810113 addi sp,sp,744 # 800004d0 <_etext> + 800001f0: 00000013 nop + 800001f4: 00112223 sw ra,4(sp) + 800001f8: 00412703 lw a4,4(sp) + 800001fc: daabc3b7 lui t2,0xdaabc + 80000200: ccd3839b addiw t2,t2,-819 + 80000204: 28771063 bne a4,t2,80000484 + 80000208: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000020c: 00200293 li t0,2 + 80000210: fc5218e3 bne tp,t0,800001e0 + +0000000080000214 : + 80000214: 00e00193 li gp,14 + 80000218: 00000213 li tp,0 + 8000021c: ddaac0b7 lui ra,0xddaac + 80000220: bcc0809b addiw ra,ra,-1076 + 80000224: 00000117 auipc sp,0x0 + 80000228: 2ac10113 addi sp,sp,684 # 800004d0 <_etext> + 8000022c: 00000013 nop + 80000230: 00000013 nop + 80000234: 00112423 sw ra,8(sp) + 80000238: 00812703 lw a4,8(sp) + 8000023c: ddaac3b7 lui t2,0xddaac + 80000240: bcc3839b addiw t2,t2,-1076 + 80000244: 24771063 bne a4,t2,80000484 + 80000248: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000024c: 00200293 li t0,2 + 80000250: fc5216e3 bne tp,t0,8000021c + +0000000080000254 : + 80000254: 00f00193 li gp,15 + 80000258: 00000213 li tp,0 + 8000025c: cddab0b7 lui ra,0xcddab + 80000260: bbc0809b addiw ra,ra,-1092 + 80000264: 00000013 nop + 80000268: 00000117 auipc sp,0x0 + 8000026c: 26810113 addi sp,sp,616 # 800004d0 <_etext> + 80000270: 00112623 sw ra,12(sp) + 80000274: 00c12703 lw a4,12(sp) + 80000278: cddab3b7 lui t2,0xcddab + 8000027c: bbc3839b addiw t2,t2,-1092 + 80000280: 20771263 bne a4,t2,80000484 + 80000284: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000288: 00200293 li t0,2 + 8000028c: fc5218e3 bne tp,t0,8000025c + +0000000080000290 : + 80000290: 01000193 li gp,16 + 80000294: 00000213 li tp,0 + 80000298: ccddb0b7 lui ra,0xccddb + 8000029c: abb0809b addiw ra,ra,-1349 + 800002a0: 00000013 nop + 800002a4: 00000117 auipc sp,0x0 + 800002a8: 22c10113 addi sp,sp,556 # 800004d0 <_etext> + 800002ac: 00000013 nop + 800002b0: 00112823 sw ra,16(sp) + 800002b4: 01012703 lw a4,16(sp) + 800002b8: ccddb3b7 lui t2,0xccddb + 800002bc: abb3839b addiw t2,t2,-1349 + 800002c0: 1c771263 bne a4,t2,80000484 + 800002c4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002c8: 00200293 li t0,2 + 800002cc: fc5216e3 bne tp,t0,80000298 + +00000000800002d0 : + 800002d0: 01100193 li gp,17 + 800002d4: 00000213 li tp,0 + 800002d8: bccde0b7 lui ra,0xbccde + 800002dc: aab0809b addiw ra,ra,-1365 + 800002e0: 00000013 nop + 800002e4: 00000013 nop + 800002e8: 00000117 auipc sp,0x0 + 800002ec: 1e810113 addi sp,sp,488 # 800004d0 <_etext> + 800002f0: 00112a23 sw ra,20(sp) + 800002f4: 01412703 lw a4,20(sp) + 800002f8: bccde3b7 lui t2,0xbccde + 800002fc: aab3839b addiw t2,t2,-1365 + 80000300: 18771263 bne a4,t2,80000484 + 80000304: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000308: 00200293 li t0,2 + 8000030c: fc5216e3 bne tp,t0,800002d8 + +0000000080000310 : + 80000310: 01200193 li gp,18 + 80000314: 00000213 li tp,0 + 80000318: 00000117 auipc sp,0x0 + 8000031c: 1b810113 addi sp,sp,440 # 800004d0 <_etext> + 80000320: 001120b7 lui ra,0x112 + 80000324: 2330809b addiw ra,ra,563 + 80000328: 00112023 sw ra,0(sp) + 8000032c: 00012703 lw a4,0(sp) + 80000330: 001123b7 lui t2,0x112 + 80000334: 2333839b addiw t2,t2,563 + 80000338: 14771663 bne a4,t2,80000484 + 8000033c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000340: 00200293 li t0,2 + 80000344: fc521ae3 bne tp,t0,80000318 + +0000000080000348 : + 80000348: 01300193 li gp,19 + 8000034c: 00000213 li tp,0 + 80000350: 00000117 auipc sp,0x0 + 80000354: 18010113 addi sp,sp,384 # 800004d0 <_etext> + 80000358: 300110b7 lui ra,0x30011 + 8000035c: 2230809b addiw ra,ra,547 + 80000360: 00000013 nop + 80000364: 00112223 sw ra,4(sp) + 80000368: 00412703 lw a4,4(sp) + 8000036c: 300113b7 lui t2,0x30011 + 80000370: 2233839b addiw t2,t2,547 + 80000374: 10771863 bne a4,t2,80000484 + 80000378: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000037c: 00200293 li t0,2 + 80000380: fc5218e3 bne tp,t0,80000350 + +0000000080000384 : + 80000384: 01400193 li gp,20 + 80000388: 00000213 li tp,0 + 8000038c: 00000117 auipc sp,0x0 + 80000390: 14410113 addi sp,sp,324 # 800004d0 <_etext> + 80000394: 330010b7 lui ra,0x33001 + 80000398: 1220809b addiw ra,ra,290 + 8000039c: 00000013 nop + 800003a0: 00000013 nop + 800003a4: 00112423 sw ra,8(sp) + 800003a8: 00812703 lw a4,8(sp) + 800003ac: 330013b7 lui t2,0x33001 + 800003b0: 1223839b addiw t2,t2,290 + 800003b4: 0c771863 bne a4,t2,80000484 + 800003b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003bc: 00200293 li t0,2 + 800003c0: fc5216e3 bne tp,t0,8000038c + +00000000800003c4 : + 800003c4: 01500193 li gp,21 + 800003c8: 00000213 li tp,0 + 800003cc: 00000117 auipc sp,0x0 + 800003d0: 10410113 addi sp,sp,260 # 800004d0 <_etext> + 800003d4: 00000013 nop + 800003d8: 233000b7 lui ra,0x23300 + 800003dc: 1120809b addiw ra,ra,274 + 800003e0: 00112623 sw ra,12(sp) + 800003e4: 00c12703 lw a4,12(sp) + 800003e8: 233003b7 lui t2,0x23300 + 800003ec: 1123839b addiw t2,t2,274 + 800003f0: 08771a63 bne a4,t2,80000484 + 800003f4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003f8: 00200293 li t0,2 + 800003fc: fc5218e3 bne tp,t0,800003cc + +0000000080000400 : + 80000400: 01600193 li gp,22 + 80000404: 00000213 li tp,0 + 80000408: 00000117 auipc sp,0x0 + 8000040c: 0c810113 addi sp,sp,200 # 800004d0 <_etext> + 80000410: 00000013 nop + 80000414: 223300b7 lui ra,0x22330 + 80000418: 0110809b addiw ra,ra,17 + 8000041c: 00000013 nop + 80000420: 00112823 sw ra,16(sp) + 80000424: 01012703 lw a4,16(sp) + 80000428: 223303b7 lui t2,0x22330 + 8000042c: 0113839b addiw t2,t2,17 + 80000430: 04771a63 bne a4,t2,80000484 + 80000434: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000438: 00200293 li t0,2 + 8000043c: fc5216e3 bne tp,t0,80000408 + +0000000080000440 : + 80000440: 01700193 li gp,23 + 80000444: 00000213 li tp,0 + 80000448: 00000117 auipc sp,0x0 + 8000044c: 08810113 addi sp,sp,136 # 800004d0 <_etext> + 80000450: 00000013 nop + 80000454: 00000013 nop + 80000458: 122330b7 lui ra,0x12233 + 8000045c: 0010809b addiw ra,ra,1 + 80000460: 00112a23 sw ra,20(sp) + 80000464: 01412703 lw a4,20(sp) + 80000468: 122333b7 lui t2,0x12233 + 8000046c: 0013839b addiw t2,t2,1 + 80000470: 00771a63 bne a4,t2,80000484 + 80000474: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000478: 00200293 li t0,2 + 8000047c: fc5216e3 bne tp,t0,80000448 + 80000480: 00301663 bne zero,gp,8000048c + +0000000080000484 : + 80000484: 00100513 li a0,1 + 80000488: 03c0006f j 800004c4 + +000000008000048c : + 8000048c: 00000513 li a0,0 + 80000490: 0340006f j 800004c4 + 80000494: c0001073 unimp + ... + +00000000800004c4 : + 800004c4: 00050513 mv a0,a0 + 800004c8: 0000006b 0x6b + 800004cc: 0000006f j 800004cc diff --git a/bin/non-output/riscv-tests/xor-riscv-tests.bin b/bin/non-output/riscv-tests/xor-riscv-tests.bin new file mode 100755 index 0000000..ec73270 Binary files /dev/null and b/bin/non-output/riscv-tests/xor-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/xor-riscv-tests.elf b/bin/non-output/riscv-tests/xor-riscv-tests.elf new file mode 100755 index 0000000..eb8ec23 Binary files /dev/null and b/bin/non-output/riscv-tests/xor-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/xor-riscv-tests.txt b/bin/non-output/riscv-tests/xor-riscv-tests.txt new file mode 100755 index 0000000..a5bf1fc --- /dev/null +++ b/bin/non-output/riscv-tests/xor-riscv-tests.txt @@ -0,0 +1,442 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/xor-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 000100b7 lui ra,0x10 + 80000004: f010809b addiw ra,ra,-255 + 80000008: 01009093 slli ra,ra,0x10 + 8000000c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000010: 0f0f1137 lui sp,0xf0f1 + 80000014: f0f1011b addiw sp,sp,-241 + 80000018: 0020c733 xor a4,ra,sp + 8000001c: 000f03b7 lui t2,0xf0 + 80000020: 0ff3839b addiw t2,t2,255 + 80000024: 00c39393 slli t2,t2,0xc + 80000028: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 8000002c: 00200193 li gp,2 + 80000030: 58771c63 bne a4,t2,800005c8 + +0000000080000034 : + 80000034: 0ff010b7 lui ra,0xff01 + 80000038: ff00809b addiw ra,ra,-16 + 8000003c: 000f1137 lui sp,0xf1 + 80000040: f0f1011b addiw sp,sp,-241 + 80000044: 00c11113 slli sp,sp,0xc + 80000048: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000004c: 0020c733 xor a4,ra,sp + 80000050: 000103b7 lui t2,0x10 + 80000054: f013839b addiw t2,t2,-255 + 80000058: 01039393 slli t2,t2,0x10 + 8000005c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000060: 00300193 li gp,3 + 80000064: 56771263 bne a4,t2,800005c8 + +0000000080000068 : + 80000068: 00ff00b7 lui ra,0xff0 + 8000006c: 0ff0809b addiw ra,ra,255 + 80000070: 0f0f1137 lui sp,0xf0f1 + 80000074: f0f1011b addiw sp,sp,-241 + 80000078: 0020c733 xor a4,ra,sp + 8000007c: 0ff013b7 lui t2,0xff01 + 80000080: ff03839b addiw t2,t2,-16 + 80000084: 00400193 li gp,4 + 80000088: 54771063 bne a4,t2,800005c8 + +000000008000008c : + 8000008c: 000f00b7 lui ra,0xf0 + 80000090: 0ff0809b addiw ra,ra,255 + 80000094: 00c09093 slli ra,ra,0xc + 80000098: 00f08093 addi ra,ra,15 # f000f <_entry_offset+0xf000f> + 8000009c: 000f1137 lui sp,0xf1 + 800000a0: f0f1011b addiw sp,sp,-241 + 800000a4: 00c11113 slli sp,sp,0xc + 800000a8: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800000ac: 0020c733 xor a4,ra,sp + 800000b0: 00ff03b7 lui t2,0xff0 + 800000b4: 0ff3839b addiw t2,t2,255 + 800000b8: 00500193 li gp,5 + 800000bc: 50771663 bne a4,t2,800005c8 + +00000000800000c0 : + 800000c0: 000100b7 lui ra,0x10 + 800000c4: f010809b addiw ra,ra,-255 + 800000c8: 01009093 slli ra,ra,0x10 + 800000cc: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800000d0: 0f0f1137 lui sp,0xf0f1 + 800000d4: f0f1011b addiw sp,sp,-241 + 800000d8: 0020c0b3 xor ra,ra,sp + 800000dc: 000f03b7 lui t2,0xf0 + 800000e0: 0ff3839b addiw t2,t2,255 + 800000e4: 00c39393 slli t2,t2,0xc + 800000e8: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 800000ec: 00600193 li gp,6 + 800000f0: 4c709c63 bne ra,t2,800005c8 + +00000000800000f4 : + 800000f4: 000100b7 lui ra,0x10 + 800000f8: f010809b addiw ra,ra,-255 + 800000fc: 01009093 slli ra,ra,0x10 + 80000100: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000104: 0f0f1137 lui sp,0xf0f1 + 80000108: f0f1011b addiw sp,sp,-241 + 8000010c: 0020c133 xor sp,ra,sp + 80000110: 000f03b7 lui t2,0xf0 + 80000114: 0ff3839b addiw t2,t2,255 + 80000118: 00c39393 slli t2,t2,0xc + 8000011c: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000120: 00700193 li gp,7 + 80000124: 4a711263 bne sp,t2,800005c8 + +0000000080000128 : + 80000128: 000100b7 lui ra,0x10 + 8000012c: f010809b addiw ra,ra,-255 + 80000130: 01009093 slli ra,ra,0x10 + 80000134: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000138: 0010c0b3 xor ra,ra,ra + 8000013c: 00000393 li t2,0 + 80000140: 00800193 li gp,8 + 80000144: 48709263 bne ra,t2,800005c8 + +0000000080000148 : + 80000148: 00000213 li tp,0 + 8000014c: 000100b7 lui ra,0x10 + 80000150: f010809b addiw ra,ra,-255 + 80000154: 01009093 slli ra,ra,0x10 + 80000158: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000015c: 0f0f1137 lui sp,0xf0f1 + 80000160: f0f1011b addiw sp,sp,-241 + 80000164: 0020c733 xor a4,ra,sp + 80000168: 00070313 mv t1,a4 + 8000016c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000170: 00200293 li t0,2 + 80000174: fc521ce3 bne tp,t0,8000014c + 80000178: 000f03b7 lui t2,0xf0 + 8000017c: 0ff3839b addiw t2,t2,255 + 80000180: 00c39393 slli t2,t2,0xc + 80000184: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000188: 00900193 li gp,9 + 8000018c: 42731e63 bne t1,t2,800005c8 + +0000000080000190 : + 80000190: 00000213 li tp,0 + 80000194: 0ff010b7 lui ra,0xff01 + 80000198: ff00809b addiw ra,ra,-16 + 8000019c: 000f1137 lui sp,0xf1 + 800001a0: f0f1011b addiw sp,sp,-241 + 800001a4: 00c11113 slli sp,sp,0xc + 800001a8: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800001ac: 0020c733 xor a4,ra,sp + 800001b0: 00000013 nop + 800001b4: 00070313 mv t1,a4 + 800001b8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001bc: 00200293 li t0,2 + 800001c0: fc521ae3 bne tp,t0,80000194 + 800001c4: 000103b7 lui t2,0x10 + 800001c8: f013839b addiw t2,t2,-255 + 800001cc: 01039393 slli t2,t2,0x10 + 800001d0: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 800001d4: 00a00193 li gp,10 + 800001d8: 3e731863 bne t1,t2,800005c8 + +00000000800001dc : + 800001dc: 00000213 li tp,0 + 800001e0: 00ff00b7 lui ra,0xff0 + 800001e4: 0ff0809b addiw ra,ra,255 + 800001e8: 0f0f1137 lui sp,0xf0f1 + 800001ec: f0f1011b addiw sp,sp,-241 + 800001f0: 0020c733 xor a4,ra,sp + 800001f4: 00000013 nop + 800001f8: 00000013 nop + 800001fc: 00070313 mv t1,a4 + 80000200: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000204: 00200293 li t0,2 + 80000208: fc521ce3 bne tp,t0,800001e0 + 8000020c: 0ff013b7 lui t2,0xff01 + 80000210: ff03839b addiw t2,t2,-16 + 80000214: 00b00193 li gp,11 + 80000218: 3a731863 bne t1,t2,800005c8 + +000000008000021c : + 8000021c: 00000213 li tp,0 + 80000220: 000100b7 lui ra,0x10 + 80000224: f010809b addiw ra,ra,-255 + 80000228: 01009093 slli ra,ra,0x10 + 8000022c: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 80000230: 0f0f1137 lui sp,0xf0f1 + 80000234: f0f1011b addiw sp,sp,-241 + 80000238: 0020c733 xor a4,ra,sp + 8000023c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000240: 00200293 li t0,2 + 80000244: fc521ee3 bne tp,t0,80000220 + 80000248: 000f03b7 lui t2,0xf0 + 8000024c: 0ff3839b addiw t2,t2,255 + 80000250: 00c39393 slli t2,t2,0xc + 80000254: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000258: 00c00193 li gp,12 + 8000025c: 36771663 bne a4,t2,800005c8 + +0000000080000260 : + 80000260: 00000213 li tp,0 + 80000264: 0ff010b7 lui ra,0xff01 + 80000268: ff00809b addiw ra,ra,-16 + 8000026c: 000f1137 lui sp,0xf1 + 80000270: f0f1011b addiw sp,sp,-241 + 80000274: 00c11113 slli sp,sp,0xc + 80000278: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000027c: 00000013 nop + 80000280: 0020c733 xor a4,ra,sp + 80000284: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000288: 00200293 li t0,2 + 8000028c: fc521ce3 bne tp,t0,80000264 + 80000290: 000103b7 lui t2,0x10 + 80000294: f013839b addiw t2,t2,-255 + 80000298: 01039393 slli t2,t2,0x10 + 8000029c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 800002a0: 00d00193 li gp,13 + 800002a4: 32771263 bne a4,t2,800005c8 + +00000000800002a8 : + 800002a8: 00000213 li tp,0 + 800002ac: 00ff00b7 lui ra,0xff0 + 800002b0: 0ff0809b addiw ra,ra,255 + 800002b4: 0f0f1137 lui sp,0xf0f1 + 800002b8: f0f1011b addiw sp,sp,-241 + 800002bc: 00000013 nop + 800002c0: 00000013 nop + 800002c4: 0020c733 xor a4,ra,sp + 800002c8: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800002cc: 00200293 li t0,2 + 800002d0: fc521ee3 bne tp,t0,800002ac + 800002d4: 0ff013b7 lui t2,0xff01 + 800002d8: ff03839b addiw t2,t2,-16 + 800002dc: 00e00193 li gp,14 + 800002e0: 2e771463 bne a4,t2,800005c8 + +00000000800002e4 : + 800002e4: 00000213 li tp,0 + 800002e8: 000100b7 lui ra,0x10 + 800002ec: f010809b addiw ra,ra,-255 + 800002f0: 01009093 slli ra,ra,0x10 + 800002f4: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800002f8: 00000013 nop + 800002fc: 0f0f1137 lui sp,0xf0f1 + 80000300: f0f1011b addiw sp,sp,-241 + 80000304: 0020c733 xor a4,ra,sp + 80000308: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000030c: 00200293 li t0,2 + 80000310: fc521ce3 bne tp,t0,800002e8 + 80000314: 000f03b7 lui t2,0xf0 + 80000318: 0ff3839b addiw t2,t2,255 + 8000031c: 00c39393 slli t2,t2,0xc + 80000320: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 80000324: 00f00193 li gp,15 + 80000328: 2a771063 bne a4,t2,800005c8 + +000000008000032c : + 8000032c: 00000213 li tp,0 + 80000330: 0ff010b7 lui ra,0xff01 + 80000334: ff00809b addiw ra,ra,-16 + 80000338: 00000013 nop + 8000033c: 000f1137 lui sp,0xf1 + 80000340: f0f1011b addiw sp,sp,-241 + 80000344: 00c11113 slli sp,sp,0xc + 80000348: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000034c: 00000013 nop + 80000350: 0020c733 xor a4,ra,sp + 80000354: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000358: 00200293 li t0,2 + 8000035c: fc521ae3 bne tp,t0,80000330 + 80000360: 000103b7 lui t2,0x10 + 80000364: f013839b addiw t2,t2,-255 + 80000368: 01039393 slli t2,t2,0x10 + 8000036c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000370: 01000193 li gp,16 + 80000374: 24771a63 bne a4,t2,800005c8 + +0000000080000378 : + 80000378: 00000213 li tp,0 + 8000037c: 00ff00b7 lui ra,0xff0 + 80000380: 0ff0809b addiw ra,ra,255 + 80000384: 00000013 nop + 80000388: 00000013 nop + 8000038c: 0f0f1137 lui sp,0xf0f1 + 80000390: f0f1011b addiw sp,sp,-241 + 80000394: 0020c733 xor a4,ra,sp + 80000398: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000039c: 00200293 li t0,2 + 800003a0: fc521ee3 bne tp,t0,8000037c + 800003a4: 0ff013b7 lui t2,0xff01 + 800003a8: ff03839b addiw t2,t2,-16 + 800003ac: 01100193 li gp,17 + 800003b0: 20771c63 bne a4,t2,800005c8 + +00000000800003b4 : + 800003b4: 00000213 li tp,0 + 800003b8: 0f0f1137 lui sp,0xf0f1 + 800003bc: f0f1011b addiw sp,sp,-241 + 800003c0: 000100b7 lui ra,0x10 + 800003c4: f010809b addiw ra,ra,-255 + 800003c8: 01009093 slli ra,ra,0x10 + 800003cc: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 800003d0: 0020c733 xor a4,ra,sp + 800003d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800003d8: 00200293 li t0,2 + 800003dc: fc521ee3 bne tp,t0,800003b8 + 800003e0: 000f03b7 lui t2,0xf0 + 800003e4: 0ff3839b addiw t2,t2,255 + 800003e8: 00c39393 slli t2,t2,0xc + 800003ec: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 800003f0: 01200193 li gp,18 + 800003f4: 1c771a63 bne a4,t2,800005c8 + +00000000800003f8 : + 800003f8: 00000213 li tp,0 + 800003fc: 000f1137 lui sp,0xf1 + 80000400: f0f1011b addiw sp,sp,-241 + 80000404: 00c11113 slli sp,sp,0xc + 80000408: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 8000040c: 0ff010b7 lui ra,0xff01 + 80000410: ff00809b addiw ra,ra,-16 + 80000414: 00000013 nop + 80000418: 0020c733 xor a4,ra,sp + 8000041c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000420: 00200293 li t0,2 + 80000424: fc521ce3 bne tp,t0,800003fc + 80000428: 000103b7 lui t2,0x10 + 8000042c: f013839b addiw t2,t2,-255 + 80000430: 01039393 slli t2,t2,0x10 + 80000434: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000438: 01300193 li gp,19 + 8000043c: 18771663 bne a4,t2,800005c8 + +0000000080000440 : + 80000440: 00000213 li tp,0 + 80000444: 0f0f1137 lui sp,0xf0f1 + 80000448: f0f1011b addiw sp,sp,-241 + 8000044c: 00ff00b7 lui ra,0xff0 + 80000450: 0ff0809b addiw ra,ra,255 + 80000454: 00000013 nop + 80000458: 00000013 nop + 8000045c: 0020c733 xor a4,ra,sp + 80000460: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000464: 00200293 li t0,2 + 80000468: fc521ee3 bne tp,t0,80000444 + 8000046c: 0ff013b7 lui t2,0xff01 + 80000470: ff03839b addiw t2,t2,-16 + 80000474: 01400193 li gp,20 + 80000478: 14771863 bne a4,t2,800005c8 + +000000008000047c : + 8000047c: 00000213 li tp,0 + 80000480: 0f0f1137 lui sp,0xf0f1 + 80000484: f0f1011b addiw sp,sp,-241 + 80000488: 00000013 nop + 8000048c: 000100b7 lui ra,0x10 + 80000490: f010809b addiw ra,ra,-255 + 80000494: 01009093 slli ra,ra,0x10 + 80000498: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000049c: 0020c733 xor a4,ra,sp + 800004a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004a4: 00200293 li t0,2 + 800004a8: fc521ce3 bne tp,t0,80000480 + 800004ac: 000f03b7 lui t2,0xf0 + 800004b0: 0ff3839b addiw t2,t2,255 + 800004b4: 00c39393 slli t2,t2,0xc + 800004b8: 00f38393 addi t2,t2,15 # f000f <_entry_offset+0xf000f> + 800004bc: 01500193 li gp,21 + 800004c0: 10771463 bne a4,t2,800005c8 + +00000000800004c4 : + 800004c4: 00000213 li tp,0 + 800004c8: 000f1137 lui sp,0xf1 + 800004cc: f0f1011b addiw sp,sp,-241 + 800004d0: 00c11113 slli sp,sp,0xc + 800004d4: 0f010113 addi sp,sp,240 # f10f0 <_entry_offset+0xf10f0> + 800004d8: 00000013 nop + 800004dc: 0ff010b7 lui ra,0xff01 + 800004e0: ff00809b addiw ra,ra,-16 + 800004e4: 00000013 nop + 800004e8: 0020c733 xor a4,ra,sp + 800004ec: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800004f0: 00200293 li t0,2 + 800004f4: fc521ae3 bne tp,t0,800004c8 + 800004f8: 000103b7 lui t2,0x10 + 800004fc: f013839b addiw t2,t2,-255 + 80000500: 01039393 slli t2,t2,0x10 + 80000504: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000508: 01600193 li gp,22 + 8000050c: 0a771e63 bne a4,t2,800005c8 + +0000000080000510 : + 80000510: 00000213 li tp,0 + 80000514: 0f0f1137 lui sp,0xf0f1 + 80000518: f0f1011b addiw sp,sp,-241 + 8000051c: 00000013 nop + 80000520: 00000013 nop + 80000524: 00ff00b7 lui ra,0xff0 + 80000528: 0ff0809b addiw ra,ra,255 + 8000052c: 0020c733 xor a4,ra,sp + 80000530: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000534: 00200293 li t0,2 + 80000538: fc521ee3 bne tp,t0,80000514 + 8000053c: 0ff013b7 lui t2,0xff01 + 80000540: ff03839b addiw t2,t2,-16 + 80000544: 01700193 li gp,23 + 80000548: 08771063 bne a4,t2,800005c8 + +000000008000054c : + 8000054c: 000100b7 lui ra,0x10 + 80000550: f010809b addiw ra,ra,-255 + 80000554: 01009093 slli ra,ra,0x10 + 80000558: f0008093 addi ra,ra,-256 # ff00 <_entry_offset+0xff00> + 8000055c: 00104133 xor sp,zero,ra + 80000560: 000103b7 lui t2,0x10 + 80000564: f013839b addiw t2,t2,-255 + 80000568: 01039393 slli t2,t2,0x10 + 8000056c: f0038393 addi t2,t2,-256 # ff00 <_entry_offset+0xff00> + 80000570: 01800193 li gp,24 + 80000574: 04711a63 bne sp,t2,800005c8 + +0000000080000578 : + 80000578: 00ff00b7 lui ra,0xff0 + 8000057c: 0ff0809b addiw ra,ra,255 + 80000580: 0000c133 xor sp,ra,zero + 80000584: 00ff03b7 lui t2,0xff0 + 80000588: 0ff3839b addiw t2,t2,255 + 8000058c: 01900193 li gp,25 + 80000590: 02711c63 bne sp,t2,800005c8 + +0000000080000594 : + 80000594: 000040b3 xor ra,zero,zero + 80000598: 00000393 li t2,0 + 8000059c: 01a00193 li gp,26 + 800005a0: 02709463 bne ra,t2,800005c8 + +00000000800005a4 : + 800005a4: 111110b7 lui ra,0x11111 + 800005a8: 1110809b addiw ra,ra,273 + 800005ac: 22222137 lui sp,0x22222 + 800005b0: 2221011b addiw sp,sp,546 + 800005b4: 0020c033 xor zero,ra,sp + 800005b8: 00000393 li t2,0 + 800005bc: 01b00193 li gp,27 + 800005c0: 00701463 bne zero,t2,800005c8 + 800005c4: 00301663 bne zero,gp,800005d0 + +00000000800005c8 : + 800005c8: 00100513 li a0,1 + 800005cc: 0380006f j 80000604 + +00000000800005d0 : + 800005d0: 00000513 li a0,0 + 800005d4: 0300006f j 80000604 + 800005d8: c0001073 unimp + ... + +0000000080000604 : + 80000604: 00050513 mv a0,a0 + 80000608: 0000006b 0x6b + 8000060c: 0000006f j 8000060c diff --git a/bin/non-output/riscv-tests/xori-riscv-tests.bin b/bin/non-output/riscv-tests/xori-riscv-tests.bin new file mode 100755 index 0000000..4cbdb9d Binary files /dev/null and b/bin/non-output/riscv-tests/xori-riscv-tests.bin differ diff --git a/bin/non-output/riscv-tests/xori-riscv-tests.elf b/bin/non-output/riscv-tests/xori-riscv-tests.elf new file mode 100755 index 0000000..0439746 Binary files /dev/null and b/bin/non-output/riscv-tests/xori-riscv-tests.elf differ diff --git a/bin/non-output/riscv-tests/xori-riscv-tests.txt b/bin/non-output/riscv-tests/xori-riscv-tests.txt new file mode 100755 index 0000000..bcbcb70 --- /dev/null +++ b/bin/non-output/riscv-tests/xori-riscv-tests.txt @@ -0,0 +1,167 @@ + +/mnt/d/verilog/difftest/riscv-tests/build/xori-riscv64-mycpu.elf: file format elf64-littleriscv + + +Disassembly of section .text: + +0000000080000000 <_start>: + 80000000: 00ff10b7 lui ra,0xff1 + 80000004: f000809b addiw ra,ra,-256 + 80000008: f0f0c713 xori a4,ra,-241 + 8000000c: ff00f3b7 lui t2,0xff00f + 80000010: 00f3839b addiw t2,t2,15 + 80000014: 00200193 li gp,2 + 80000018: 1c771663 bne a4,t2,800001e4 + +000000008000001c : + 8000001c: 0ff010b7 lui ra,0xff01 + 80000020: ff00809b addiw ra,ra,-16 + 80000024: 0f00c713 xori a4,ra,240 + 80000028: 0ff013b7 lui t2,0xff01 + 8000002c: f003839b addiw t2,t2,-256 + 80000030: 00300193 li gp,3 + 80000034: 1a771863 bne a4,t2,800001e4 + +0000000080000038 : + 80000038: 00ff10b7 lui ra,0xff1 + 8000003c: 8ff0809b addiw ra,ra,-1793 + 80000040: 70f0c713 xori a4,ra,1807 + 80000044: 00ff13b7 lui t2,0xff1 + 80000048: ff03839b addiw t2,t2,-16 + 8000004c: 00400193 li gp,4 + 80000050: 18771a63 bne a4,t2,800001e4 + +0000000080000054 : + 80000054: f00ff0b7 lui ra,0xf00ff + 80000058: 00f0809b addiw ra,ra,15 + 8000005c: 0f00c713 xori a4,ra,240 + 80000060: f00ff3b7 lui t2,0xf00ff + 80000064: 0ff3839b addiw t2,t2,255 + 80000068: 00500193 li gp,5 + 8000006c: 16771c63 bne a4,t2,800001e4 + +0000000080000070 : + 80000070: ff00f0b7 lui ra,0xff00f + 80000074: 7000809b addiw ra,ra,1792 + 80000078: 70f0c093 xori ra,ra,1807 + 8000007c: ff00f3b7 lui t2,0xff00f + 80000080: 00f3839b addiw t2,t2,15 + 80000084: 00600193 li gp,6 + 80000088: 14709e63 bne ra,t2,800001e4 + +000000008000008c : + 8000008c: 00000213 li tp,0 + 80000090: 0ff010b7 lui ra,0xff01 + 80000094: ff00809b addiw ra,ra,-16 + 80000098: 0f00c713 xori a4,ra,240 + 8000009c: 00070313 mv t1,a4 + 800000a0: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000a4: 00200293 li t0,2 + 800000a8: fe5214e3 bne tp,t0,80000090 + 800000ac: 0ff013b7 lui t2,0xff01 + 800000b0: f003839b addiw t2,t2,-256 + 800000b4: 00700193 li gp,7 + 800000b8: 12731663 bne t1,t2,800001e4 + +00000000800000bc : + 800000bc: 00000213 li tp,0 + 800000c0: 00ff10b7 lui ra,0xff1 + 800000c4: 8ff0809b addiw ra,ra,-1793 + 800000c8: 70f0c713 xori a4,ra,1807 + 800000cc: 00000013 nop + 800000d0: 00070313 mv t1,a4 + 800000d4: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800000d8: 00200293 li t0,2 + 800000dc: fe5212e3 bne tp,t0,800000c0 + 800000e0: 00ff13b7 lui t2,0xff1 + 800000e4: ff03839b addiw t2,t2,-16 + 800000e8: 00800193 li gp,8 + 800000ec: 0e731c63 bne t1,t2,800001e4 + +00000000800000f0 : + 800000f0: 00000213 li tp,0 + 800000f4: f00ff0b7 lui ra,0xf00ff + 800000f8: 00f0809b addiw ra,ra,15 + 800000fc: 0f00c713 xori a4,ra,240 + 80000100: 00000013 nop + 80000104: 00000013 nop + 80000108: 00070313 mv t1,a4 + 8000010c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 80000110: 00200293 li t0,2 + 80000114: fe5210e3 bne tp,t0,800000f4 + 80000118: f00ff3b7 lui t2,0xf00ff + 8000011c: 0ff3839b addiw t2,t2,255 + 80000120: 00900193 li gp,9 + 80000124: 0c731063 bne t1,t2,800001e4 + +0000000080000128 : + 80000128: 00000213 li tp,0 + 8000012c: 0ff010b7 lui ra,0xff01 + 80000130: ff00809b addiw ra,ra,-16 + 80000134: 0f00c713 xori a4,ra,240 + 80000138: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000013c: 00200293 li t0,2 + 80000140: fe5216e3 bne tp,t0,8000012c + 80000144: 0ff013b7 lui t2,0xff01 + 80000148: f003839b addiw t2,t2,-256 + 8000014c: 00a00193 li gp,10 + 80000150: 08771a63 bne a4,t2,800001e4 + +0000000080000154 : + 80000154: 00000213 li tp,0 + 80000158: 00ff10b7 lui ra,0xff1 + 8000015c: fff0809b addiw ra,ra,-1 + 80000160: 00000013 nop + 80000164: 00f0c713 xori a4,ra,15 + 80000168: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 8000016c: 00200293 li t0,2 + 80000170: fe5214e3 bne tp,t0,80000158 + 80000174: 00ff13b7 lui t2,0xff1 + 80000178: ff03839b addiw t2,t2,-16 + 8000017c: 00b00193 li gp,11 + 80000180: 06771263 bne a4,t2,800001e4 + +0000000080000184 : + 80000184: 00000213 li tp,0 + 80000188: f00ff0b7 lui ra,0xf00ff + 8000018c: 00f0809b addiw ra,ra,15 + 80000190: 00000013 nop + 80000194: 00000013 nop + 80000198: 0f00c713 xori a4,ra,240 + 8000019c: 00120213 addi tp,tp,1 # 1 <_entry_offset+0x1> + 800001a0: 00200293 li t0,2 + 800001a4: fe5212e3 bne tp,t0,80000188 + 800001a8: f00ff3b7 lui t2,0xf00ff + 800001ac: 0ff3839b addiw t2,t2,255 + 800001b0: 00c00193 li gp,12 + 800001b4: 02771863 bne a4,t2,800001e4 + +00000000800001b8 : + 800001b8: 0f004093 xori ra,zero,240 + 800001bc: 0f000393 li t2,240 + 800001c0: 00d00193 li gp,13 + 800001c4: 02709063 bne ra,t2,800001e4 + +00000000800001c8 : + 800001c8: 00ff00b7 lui ra,0xff0 + 800001cc: 0ff0809b addiw ra,ra,255 + 800001d0: 70f0c013 xori zero,ra,1807 + 800001d4: 00000393 li t2,0 + 800001d8: 00e00193 li gp,14 + 800001dc: 00701463 bne zero,t2,800001e4 + 800001e0: 00301663 bne zero,gp,800001ec + +00000000800001e4 : + 800001e4: 00100513 li a0,1 + 800001e8: 01c0006f j 80000204 + +00000000800001ec : + 800001ec: 00000513 li a0,0 + 800001f0: 0140006f j 80000204 + 800001f4: c0001073 unimp + ... + +0000000080000204 : + 80000204: 00050513 mv a0,a0 + 80000208: 0000006b 0x6b + 8000020c: 0000006f j 8000020c diff --git a/build.sh b/build.sh index db77c23..8218a9d 100755 --- a/build.sh +++ b/build.sh @@ -1,11 +1,11 @@ #!/bin/bash -VERSION="1.9" +VERSION="1.23" help() { echo "Version v"$VERSION echo "Usage:" - echo "build.sh [-e project_name] [-b] [-t top_file] [-s] [-a parameters_list] [-f] [-l] [-g] [-w] [-c] [-d] [-m]" + echo "build.sh [-e project_name] [-b] [-t top_file] [-s] [-a parameters_list] [-f] [-l] [-g] [-w] [-c] [-d] [-m] [-r test_cases] [-v parameters_list] [-y]" echo "Description:" echo "-e: Specify a example project. For example: -e counter. If not specified, the default directory \"cpu\" will be used." echo "-b: Build project using verilator and make tools automatically. It will generate the \"build\"(difftest) or \"build_test\" subfolder under the project directory." @@ -14,66 +14,162 @@ help() { echo "-a: Parameters passed to the simulation program. For example: -a \"1 2 3 ......\". Multiple parameters require double quotes." echo "-f: C++ compiler arguments for makefile. For example: -f \"-DGLOBAL_DEFINE=1 -ggdb3\". Multiple parameters require double quotes. This option is invalid when connected difftest." echo "-l: C++ linker arguments for makefile. For example: -l \"-ldl -lm\". Multiple parameters require double quotes. This option is invalid when connected difftest." - echo "-g: Debug the simulation program with GDB." + echo "-g: Debug the simulation program with GDB. This option is invalid when connected difftest." echo "-w: Open the latest waveform file(.vcd) using gtkwave under work path. Use the \"build_test\" or \"build\"(difftest) folder as work path." echo "-c: Delete \"build\" and \"build_test\" folders under the project directory." echo "-d: Connect to XiangShan difftest framework." echo "-m: Parameters passed to the difftest makefile. For example: -m \"EMU_TRACE=1 EMU_THREADS=4\". Multiple parameters require double quotes." - echo "-r: Run all test cases in the \"bin\" directory. This option requires the project to be able to connect to difftest." + echo "-r: Run all test cases of the specified directory in the \"bin\" directory. For example: -r \"case1 case2\". This option requires the project to be able to connect to difftest." + echo "-v: Parameters passed to verilator. For example: -v '--timescale \"1ns/1ns\"'" + echo "-y: Connect to ysyx SoC." exit 0 } create_soft_link() { mkdir ${1} 1>/dev/null 2>&1 find -L ${1} -type l -delete - FILES=`eval "find ${2} -name ${3}"` + FILES=`eval "find ${2} -mindepth ${4} -maxdepth ${5} -name ${3}"` for FILE in ${FILES[@]} do eval "ln -s \"`realpath --relative-to="${1}" "$FILE"`\" \"${1}/${FILE##*/}\" 1>/dev/null 2>&1" done } +create_bin_soft_link() { + find -L $BUILD_PATH -maxdepth 1 -type l -delete + FOLDERS=`find bin -mindepth 1 -maxdepth 1 -type d` + for FOLDER in ${FOLDERS[@]} + do + SUBFOLDER=${FOLDER##*/} + eval "ln -s \"`realpath --relative-to="$BUILD_PATH" "$OSCPU_PATH/$FOLDER"`\" \"$BUILD_PATH/${FOLDER##*/}\" 1>/dev/null 2>&1" + done + + # create soft link ($BUILD_PATH/*.bin -> $OSCPU_PATH/$BIN_FOLDER/*.bin). Why? Because of laziness! + create_soft_link $BUILD_PATH $OSCPU_PATH/$BIN_FOLDER \"*.bin\" 1 1 +} + +compile_dramsim3() { + if [[ ! -f $OSCPU_PATH/$DRAMSIM3_FOLDER/build/libdramsim3.a ]]; then + [[ ! `dpkg -l | grep cmake` ]] && sudo apt-get --yes install cmake + mkdir $OSCPU_PATH/$DRAMSIM3_FOLDER/build + cd $OSCPU_PATH/$DRAMSIM3_FOLDER/build + cmake -D COSIM=1 .. + make + if [ $? -ne 0 ]; then + echo "Failed to compile dramsim3!!!" + exit 1 + fi + cd $OSCPU_PATH + fi +} + +compile_nemu() { + if [[ ! -f $NEMU_HOME/build/riscv64-nemu-interpreter-so ]]; then + cd $NEMU_HOME + make riscv64-ysyx-ref_defconfig + make + if [ $? -ne 0 ]; then + echo "Failed to compile nemu!!!" + exit 1 + fi + cd $OSCPU_PATH + fi +} + +compile_chisel() { + if [[ -f $PROJECT_PATH/build.sc ]]; then + # create soft link ($PROJECT_PATH/difftest -> $LIBRARIES_HOME/difftest) + if [[ ! -L $PROJECT_PATH/$DIFFTEST_FOLDER ]]; then + eval "ln -s \"`realpath --relative-to="$PROJECT_PATH" "$LIBRARIES_HOME"`/$DIFFTEST_FOLDER\" \"$PROJECT_PATH/$DIFFTEST_FOLDER\" 1>/dev/null 2>&1" + fi + + cd $PROJECT_PATH + mkdir vsrc 1>/dev/null 2>&1 + mill -i oscpu.runMain TopMain -td vsrc + if [ $? -ne 0 ]; then + echo "Failed to compile chisel!!!" + exit 1 + fi + cd $OSCPU_PATH + fi +} + +compile_difftest() { + cd $DIFFTEST_HOME + make DESIGN_DIR=$PROJECT_PATH $DIFFTEST_PARAM + if [ $? -ne 0 ]; then + echo "Failed to compile difftest!!!" + exit 1 + fi + cd $OSCPU_PATH +} + build_diff_proj() { + compile_dramsim3 + compile_nemu + compile_chisel + # Refresh the modification time of the top file, otherwise some changes to the RTL source code will not take effect in next compilation. - touch -m `find $BUILD_PATH -name $DIFFTEST_TOP_FILE` 1>/dev/null 2>&1 + touch -m `find $PROJECT_PATH/$VSRC_FOLDER -name $DIFFTEST_TOP_FILE` 1>/dev/null 2>&1 # create soft link ($BUILD_PATH/*.v -> $PROJECT_PATH/$VSRC_FOLDER/*.v) - create_soft_link $BUILD_PATH $PROJECT_PATH/$VSRC_FOLDER \"*.v\" - # create soft link ($PROJECT_PATH/difftest -> $OSCPU_PATH/difftest) - eval "ln -s \"`realpath --relative-to="$OSCPU_PATH/$DIFFTEST_FOLDER" "$PROJECT_PATH"`/$DIFFTEST_FOLDER\" \"$PROJECT_PATH/$DIFFTEST_FOLDER\" 1>/dev/null 2>&1" + create_soft_link $BUILD_PATH $PROJECT_PATH/$VSRC_FOLDER \"*.v\" 1 10 + # create soft link ($BUILD_PATH/*.v -> $PROJECT_PATH/ysyx/ram/*.v) + create_soft_link $BUILD_PATH $YSYXSOC_HOME/ysyx/ram \"*.v\" 1 1 - cd $OSCPU_PATH/$DIFFTEST_FOLDER - # compile nemu - make $OSCPU_PATH/$NEMU_FOLDER/build/riscv64-nemu-interpreter-so - if [ $? -ne 0 ]; then - echo "Failed to build nemu!!!" + compile_difftest +} + +build_soc_proj() { + mkdir -p $BUILD_PATH/vsrc $BUILD_PATH/csrc + + if [[ ! -f "$PROJECT_PATH/$VSRC_FOLDER/ysyx_${ID:0-6}.v" ]]; then + echo "$VSRC_FOLDER/ysyx_${ID:0-6}.v not detected. Please follow the README of ysyxSoC to get this file." exit 1 fi - # compile difftest - make DESIGN_DIR=$PROJECT_PATH $DIFFTEST_PARAM - if [ $? -ne 0 ]; then - echo "Failed to build difftest!!!" + + [[ -f $BUILD_PATH/vsrc/cpu-check.py ]] || cp $YSYXSOC_HOME/ysyx/soc/cpu-check.py $BUILD_PATH/ + sed -i -e "s/input(.*)/\"${ID:0-4}\"/g" $BUILD_PATH/cpu-check.py + eval "cd $PROJECT_PATH/$VSRC_FOLDER && python3 $BUILD_PATH/cpu-check.py 1> /dev/null && mv -f cpu-check.log $BUILD_PATH" + grep 'fine' $BUILD_PATH/cpu-check.log 1> /dev/null 2>&1 + if [[ $? -ne 0 ]]; then + echo "Interface check failed. Check $BUILD_FOLDER/cpu-check.log for more details." exit 1 fi - cd $OSCPU_PATH + + if [[ ! -f $BUILD_PATH/vsrc/ysyxSoCFull.v ]]; then + cp $YSYXSOC_HOME/ysyx/soc/ysyxSoCFull.v $BUILD_PATH/vsrc/ + sed -i -e "s/ysyx_000000/ysyx_${ID:0-6}/g" $BUILD_PATH/vsrc/ysyxSoCFull.v + fi + + ln -s $YSYXSOC_HOME/ysyx/peripheral $BUILD_PATH/vsrc/ + ln -s $YSYXSOC_HOME/ysyx/ram $BUILD_PATH/vsrc/ + ln -s $YSYXSOC_HOME/ysyx/peripheral/spiFlash $BUILD_PATH/csrc/ + VSRC_FOLDER+=" $BUILD_PATH/vsrc" + CSRC_FOLDER+=" $BUILD_PATH/csrc" + + ln -s $YSYXSOC_HOME/ysyx/program/bin $BUILD_PATH/ysyxSoC } build_proj() { cd $PROJECT_PATH # get all .cpp files - CSRC_LIST=`find $PROJECT_PATH/$CSRC_FOLDER -name "*.cpp"` + CSRC_LIST=`find -L $PROJECT_PATH/$CSRC_FOLDER -name "*.cpp"` for CSRC_FILE in ${CSRC_LIST[@]} do CSRC_FILES="$CSRC_FILES $CSRC_FILE" done + # get all vsrc subfolders - VSRC_SUB_FOLDER=`find $VSRC_FOLDER -type d` + VSRC_SUB_FOLDER=`find -L $VSRC_FOLDER -type d` for SUBFOLDER in ${VSRC_SUB_FOLDER[@]} do INCLUDE_VSRC_FOLDERS="$INCLUDE_VSRC_FOLDERS -I$SUBFOLDER" done + INCLUDE_VSRC_FOLDERS="$INCLUDE_VSRC_FOLDERS -I$YSYXSOC_HOME/ysyx/ram" + # get all csrc subfolders - CSRC_SUB_FOLDER=`find $PROJECT_PATH/$CSRC_FOLDER -type d` + CSRC_SUB_FOLDER=`find -L $PROJECT_PATH/$CSRC_FOLDER -type d` for SUBFOLDER in ${CSRC_SUB_FOLDER[@]} do INCLUDE_CSRC_FOLDERS="$INCLUDE_CSRC_FOLDERS -I$SUBFOLDER" @@ -81,7 +177,7 @@ build_proj() { # compile mkdir $BUILD_FOLDER 1>/dev/null 2>&1 - eval "verilator --cc --exe --trace --assert -O3 -CFLAGS \"-std=c++11 -Wall $INCLUDE_CSRC_FOLDERS $CFLAGS\" $LDFLAGS -o $PROJECT_PATH/$BUILD_FOLDER/$EMU_FILE \ + eval "verilator --x-assign unique --cc --exe --trace --assert -O3 $VERILATORFLAGS -CFLAGS \"-std=c++11 -Wall $INCLUDE_CSRC_FOLDERS $CFLAGS\" $LDFLAGS -o $PROJECT_PATH/$BUILD_FOLDER/$EMU_FILE \ -Mdir $PROJECT_PATH/$BUILD_FOLDER/emu-compile $INCLUDE_VSRC_FOLDERS --build $V_TOP_FILE $CSRC_FILES" if [ $? -ne 0 ]; then echo "Failed to run verilator!!!" @@ -110,16 +206,22 @@ PARAMETERS= CFLAGS= LDFLAGS= GDB="false" +LIBRARIES_FOLDER="libraries" DIFFTEST="false" DIFFTEST_FOLDER="difftest" +DIFFTEST_PATH=$LIBRARIES_FOLDER/$DIFFTEST_FOLDER DIFFTEST_TOP_FILE="SimTop.v" -NEMU_FOLDER="NEMU" +NEMU_PATH=$LIBRARIES_FOLDER"/NEMU" DIFFTEST_HELPER_PATH="src/test/vsrc/common" DIFFTEST_PARAM= -RUNALL="false" +DRAMSIM3_FOLDER="libraries/DRAMsim3" +TEST_CASES= +YSYXSOC="false" +YSYXSOC_FOLDER="libraries/ysyxSoC" +VERILATORFLAGS= # Check parameters -while getopts 'he:bt:sa:f:l:gwcdm:r' OPT; do +while getopts 'he:bt:sa:f:l:gwcdm:r:yv:' OPT; do case $OPT in h) help;; e) PROJECT_FOLDER="$OPTARG";; @@ -134,26 +236,26 @@ while getopts 'he:bt:sa:f:l:gwcdm:r' OPT; do c) CLEAN="true";; d) DIFFTEST="true";; m) DIFFTEST_PARAM="$OPTARG";; - r) RUNALL="true";; + r) TEST_CASES="$OPTARG"; DIFFTEST="true";; + y) YSYXSOC="true"; V_TOP_FILE="ysyxSoCFull.v";; + v) VERILATORFLAGS="$OPTARG";; ?) help;; esac done -if [[ $RUNALL == "true" ]]; then - DIFFTEST="true" -fi - -if [[ $LDFLAGS ]]; then - LDFLAGS="-LDFLAGS "\"$LDFLAGS\" -fi +[[ $LDFLAGS ]] && LDFLAGS="-LDFLAGS "\"$LDFLAGS\" PROJECT_PATH=$OSCPU_PATH/projects/$PROJECT_FOLDER [[ "$DIFFTEST" == "true" ]] && BUILD_PATH=$PROJECT_PATH/$DIFF_BUILD_FOLDER || BUILD_PATH=$PROJECT_PATH/$BUILD_FOLDER -if [[ "$DIFFTEST" == "true" ]]; then - V_TOP_FILE=$DIFFTEST_TOP_FILE - export NEMU_HOME=$OSCPU_PATH/$NEMU_FOLDER - export NOOP_HOME=$PROJECT_PATH -fi +[[ "$DIFFTEST" == "true" ]] && V_TOP_FILE=$DIFFTEST_TOP_FILE +NEMU_HOME=$OSCPU_PATH/$NEMU_PATH +DIFFTEST_HOME=$OSCPU_PATH/$DIFFTEST_PATH +DRAMSIM3_HOME=$OSCPU_PATH/$DRAMSIM3_FOLDER +LIBRARIES_HOME=$OSCPU_PATH/$LIBRARIES_FOLDER +YSYXSOC_HOME=$OSCPU_PATH/$YSYXSOC_FOLDER +export NEMU_HOME=$NEMU_HOME +export NOOP_HOME=$PROJECT_PATH +export DRAMSIM3_HOME=$DRAMSIM3_HOME # Get id and name ID=`sed '/^ID=/!d;s/.*=//' $MYINFO_FILE` @@ -167,37 +269,34 @@ NAME="${NAME##*\r}" # Clean if [[ "$CLEAN" == "true" ]]; then - rm -rf $BUILD_PATH - if [[ "$DIFFTEST" == "true" ]]; then - unlink $PROJECT_PATH/$DIFFTEST_FOLDER 1>/dev/null 2>&1 - fi + rm -rf $PROJECT_PATH/$BUILD_FOLDER $PROJECT_PATH/$DIFF_BUILD_FOLDER $PROJECT_PATH/out + unlink $PROJECT_PATH/$DIFFTEST_FOLDER 1>/dev/null 2>&1 exit 0 fi # Build project if [[ "$BUILD" == "true" ]]; then + [[ -d $BUILD_PATH ]] && find $BUILD_PATH -type l -delete + [[ "$YSYXSOC" == "true" ]] && build_soc_proj [[ "$DIFFTEST" == "true" ]] && build_diff_proj || build_proj #git commit - git add . -A --ignore-errors - (echo $NAME && echo $ID && hostnamectl && uptime) | git commit -F - -q --author='tracer-oscpu2021 ' --no-verify --allow-empty 1>/dev/null 2>&1 - sync + if [[ ! -f $OSCPU_PATH/.no_commit ]]; then + git add . -A --ignore-errors + (echo $NAME && echo $ID && hostnamectl && uptime) | git commit -F - -q --author='tracer-oscpu2021 ' --no-verify --allow-empty 1>/dev/null 2>&1 + sync + fi fi # Simulate if [[ "$SIMULATE" == "true" ]]; then - cd $BUILD_PATH - - # create soft link ($BUILD_PATH/*.bin -> $OSCPU_PATH/$BIN_FOLDER/*.bin). Why? Because of laziness! - create_soft_link $BUILD_PATH $OSCPU_PATH/$BIN_FOLDER \"*.bin\" + create_bin_soft_link + cd $BUILD_PATH + # run simulation program echo "Simulating..." - if [[ "$GDB" == "true" ]]; then - gdb -s $EMU_FILE --args ./$EMU_FILE $PARAMETERS - else - ./$EMU_FILE $PARAMETERS - fi + [[ "$GDB" == "true" ]] && gdb -s $EMU_FILE --args ./$EMU_FILE $PARAMETERS || ./$EMU_FILE $PARAMETERS if [ $? -ne 0 ]; then echo "Failed to simulate!!!" @@ -210,38 +309,44 @@ fi # Check waveform if [[ "$CHECK_WAVE" == "true" ]]; then cd $BUILD_PATH - gtkwave `ls -t | grep .vcd | head -n 1` - if [ $? -ne 0 ]; then - echo "Failed to run gtkwave!!!" - exit 1 + WAVE_FILE=`ls -t | grep .vcd | head -n 1` + if [ -n "$WAVE_FILE" ]; then + gtkwave $WAVE_FILE + if [ $? -ne 0 ]; then + echo "Failed to run gtkwave!!!" + exit 1 + fi + else + echo "*.vcd file does not exist!!!" fi + cd $OSCPU_PATH fi -if [[ "$FAILED" == "true" ]]; then - exit 1 -fi +[[ "$FAILED" == "true" ]] && exit 1 # Run all -if [[ $RUNALL == "true" ]]; then - cd $BUILD_PATH +if [[ -n $TEST_CASES ]]; then + create_bin_soft_link - create_soft_link $BUILD_PATH $OSCPU_PATH/$BIN_FOLDER \"*.bin\" + cd $BUILD_PATH mkdir log 1>/dev/null 2>&1 - BIN_FILES=`ls *.bin` - - for BIN_FILE in $BIN_FILES; do - FILE_NAME=${BIN_FILE%.*} - printf "[%30s] " $FILE_NAME - LOG_FILE=log/$FILE_NAME-log.txt - ./$EMU_FILE -i $BIN_FILE &> $LOG_FILE - if (grep 'HIT GOOD TRAP' $LOG_FILE > /dev/null) then - echo -e "\033[1;32mPASS!\033[0m" - rm $LOG_FILE - else - echo -e "\033[1;31mFAIL!\033[0m see $BUILD_PATH/$LOG_FILE for more information" - fi + for FOLDER in ${TEST_CASES[@]} + do + BIN_FILES=`eval "find $FOLDER -mindepth 1 -maxdepth 1 -regex \".*\.\(bin\)\""` + for BIN_FILE in $BIN_FILES; do + FILE_NAME=`basename ${BIN_FILE%.*}` + printf "[%30s] " $FILE_NAME + LOG_FILE=log/$FILE_NAME-log.txt + ./$EMU_FILE -i $BIN_FILE &> $LOG_FILE + if (grep 'HIT GOOD TRAP' $LOG_FILE > /dev/null) then + echo -e "\033[1;32mPASS!\033[0m" + rm $LOG_FILE + else + echo -e "\033[1;31mFAIL!\033[0m see $BUILD_PATH/$LOG_FILE for more information" + fi + done done cd $OSCPU_PATH diff --git a/difftest b/difftest deleted file mode 160000 index 086c891..0000000 --- a/difftest +++ /dev/null @@ -1 +0,0 @@ -Subproject commit 086c891828d1f8a1a2738c90e0b10c1f98cc61e0 diff --git "a/doc/cache\350\247\204\346\240\274.xlsx" "b/doc/cache\350\247\204\346\240\274.xlsx" new file mode 100755 index 0000000..cf8bf03 Binary files /dev/null and "b/doc/cache\350\247\204\346\240\274.xlsx" differ diff --git a/doc/chisel_difftest.md b/doc/chisel_difftest.md new file mode 100755 index 0000000..dc9fd22 --- /dev/null +++ b/doc/chisel_difftest.md @@ -0,0 +1,143 @@ +# Difftest 使用指南 + +## 编写顶层模块 `TopMain.scala` + +`TopMain` 是Scala程序的入口,类似于C/C++中的main函数,可以直接参考本框架中的代码,不需要做任何修改。 + +```scala +object TopMain extends App { + (new chisel3.stage.ChiselStage).execute(args, Seq(chisel3.stage.ChiselGeneratorAnnotation(() => new SimTop()))) +} +``` + +## 修改 `SimTop.scala` + +此文件是处理器的仿真顶层。按照Difftest框架的要求,CPU的顶层模块必须命名为 `SimTop`。在这一模块中,添加上Difftest需要的IO接口,如下所示。所有非必要的接口直接留空或者赋值为0或false即可。 + +```scala +import chisel3._ +import difftest._ + +class SimTop extends Module { + val io = IO(new Bundle { + val logCtrl = new LogCtrlIO + val perfInfo = new PerfInfoIO + val uart = new UARTIO + }) + + ... + + io.uart.out.valid := false.B + io.uart.out.ch := 0.U + io.uart.in.valid := false.B +} +``` + +## 修改 `Core.scala` + +此文件是处理器本体的顶层。在我们给出的框架中,在 `Core.scala` 中包含了四个Difftest模块(`DifftestInstrCommit`, `DifftestArchEvent`, `DifftestTrapEvent`, `DifftestCSRState`),但是在进一步完善处理器时,可能需要将其中一些模块迁移到其他部分(如:把 `DifftestCSRState` 迁移到单独编写的CSR处理单元)。同上,没有完善的部分如CSR寄存器的值,可以暂时全部赋值为0。 + +```scala +import chisel3._ +import chisel3.util.experimental._ +... +import difftest._ + +class Core extends Module { + val io = IO(new Bundle { + ... + }) + + ... + + val dt_ic = Module(new DifftestInstrCommit) + dt_ic.io.clock := clock + dt_ic.io.coreid := 0.U + dt_ic.io.index := 0.U + dt_ic.io.valid := true.B // 提交指令是否有效 + dt_ic.io.pc := fetch.io.pc + dt_ic.io.instr := fetch.io.inst + dt_ic.io.skip := false.B // 是否需要跳过本条指令,按需设置 + dt_ic.io.isRVC := false.B // 是否是C扩展16位指令,设为false即可 + dt_ic.io.scFailed := false.B // A扩展sc指令是否失败,设为false即可 + dt_ic.io.wen := decode.io.rd_en + dt_ic.io.wdata := execution.io.out + dt_ic.io.wdest := decode.io.rd_addr + + val dt_ae = Module(new DifftestArchEvent) + dt_ae.io.clock := clock + dt_ae.io.coreid := 0.U + dt_ae.io.intrNO := 0.U // 外部中断使用 + dt_ae.io.cause := 0.U + dt_ae.io.exceptionPC := 0.U + + val cycle_cnt = RegInit(0.U(64.W)) + val instr_cnt = RegInit(0.U(64.W)) + + cycle_cnt := cycle_cnt + 1.U + instr_cnt := instr_cnt + 1.U + + val rf_a0 = WireInit(0.U(64.W)) + BoringUtils.addSink(rf_a0, "rf_a0") + + val dt_te = Module(new DifftestTrapEvent) + dt_te.io.clock := clock + dt_te.io.coreid := 0.U + dt_te.io.valid := (fetch.io.inst === "h0000006b".U) + // 0x6b是NEMU中定义的HALT指令 + dt_te.io.code := rf_a0(2, 0) // 读取a0的值判断程序是否正确执行并退出 + dt_te.io.pc := fetch.io.pc + dt_te.io.cycleCnt := cycle_cnt // cycle计数器 + dt_te.io.instrCnt := instr_cnt // 指令计数器 + + val dt_cs = Module(new DifftestCSRState) + dt_cs.io.clock := clock + dt_cs.io.coreid := 0.U + dt_cs.io.priviledgeMode := 3.U // Machine mode + dt_cs.io.mstatus := 0.U + dt_cs.io.sstatus := 0.U + dt_cs.io.mepc := 0.U + dt_cs.io.sepc := 0.U + dt_cs.io.mtval := 0.U + dt_cs.io.stval := 0.U + dt_cs.io.mtvec := 0.U + dt_cs.io.stvec := 0.U + dt_cs.io.mcause := 0.U + dt_cs.io.scause := 0.U + dt_cs.io.satp := 0.U + dt_cs.io.mip := 0.U + dt_cs.io.mie := 0.U + dt_cs.io.mscratch := 0.U + dt_cs.io.sscratch := 0.U + dt_cs.io.mideleg := 0.U + dt_cs.io.medeleg := 0.U +} +``` + +## 修改 `RegFile.scala` + +在 `RegFile.scala` 中包含了 `DifftestArchIntRegState` 模块。 + +```scala +import chisel3._ +import chisel3.util.experimental._ +import difftest._ + +class RegFile extends Module { + val io = IO(new Bundle { + ... + }) + + // 如果用Mem类型,则需要手动转换成Vec类型后提交给Difftest + val rf = RegInit(VecInit(Seq.fill(32)(0.U(64.W)))) + + ... + + val dt_ar = Module(new DifftestArchIntRegState) + dt_ar.io.clock := clock + dt_ar.io.coreid := 0.U + dt_ar.io.gpr := rf + + BoringUtils.addSource(rf(10), "rf_a0") +} +``` diff --git a/doc/difftest_api.md b/doc/difftest_api.md new file mode 100755 index 0000000..1606026 --- /dev/null +++ b/doc/difftest_api.md @@ -0,0 +1,105 @@ +# difftest接口说明 + +`香山difftest框架`使用`verilator`提供的[DPI-C](https://verilator.org/guide/latest/connecting.html#direct-programming-interface-dpi)功能实现指令执行结果提交至`difftest`。用法可参考`cpu_diff`和`chisel_cpu_diff`例程。以下对`一生一芯`[基础任务](https://oscpu.github.io/ysyx/wiki/tasks/basic.html)中用到的`verilog difftest`接口进行说明,`chisel difftest`接口用法类似,可参考[chisel_difftest.md](./chisel_difftest.md)。 + +```verilog +// 触发异常 +module DifftestArchEvent ( + input clock, // 时钟 + input [ 7:0] coreid, // cpu id,单核时固定为0 + input [31:0] intrNO, // 中断号,非0时产生中断。产生中断的时钟周期中,DifftestInstrCommit提交的valid需为0 + input [31:0] cause, // 异常号,ecall时不需要考虑 + input [63:0] exceptionPC, // 产生异常时的PC + input [31:0] exceptionInst // 产生异常时的指令 +); + +// 提交指令 +module DifftestInstrCommit ( + input clock, + input [ 7:0] coreid, + input [ 7:0] index, + input valid, // 是否提交指令 + input [63:0] pc, // 当前PC + input [31:0] instr, // 当前指令 + input skip, // 跳过当前指令的对比 + input isRVC, // 压缩指令 + input scFailed, // SC指令执行失败 + input wen, // 写回 + input [ 7:0] wdest, // 写回寄存器堆索引 + input [63:0] wdata // 写回值 +); + +// Trap事件,用于告知difftest程序执行结束 +module DifftestTrapEvent ( + input clock, + input [ 7:0] coreid, + input valid, // 执行结束 + input [ 2:0] code, // 执行结果 + input [63:0] pc, // 当前PC + input [63:0] cycleCnt, // 时钟周期数 + input [63:0] instrCnt // 指令数 +); + +// 提交CSR寄存器 +module DifftestCSRState ( + input clock, + input [ 7:0] coreid, + input [ 1:0] priviledgeMode,// 特权模式 + input [63:0] mstatus, + input [63:0] sstatus, + input [63:0] mepc, + input [63:0] sepc, + input [63:0] mtval, + input [63:0] stval, + input [63:0] mtvec, + input [63:0] stvec, + input [63:0] mcause, + input [63:0] scause, + input [63:0] satp, + input [63:0] mip, + input [63:0] mie, + input [63:0] mscratch, + input [63:0] sscratch, + input [63:0] mideleg, + input [63:0] medeleg +); + +// 提交通用寄存器 +module DifftestArchFpRegState ( + input clock, + input [ 7:0] coreid, + input [63:0] gpr_0, + input [63:0] gpr_1, + input [63:0] gpr_2, + input [63:0] gpr_3, + input [63:0] gpr_4, + input [63:0] gpr_5, + input [63:0] gpr_6, + input [63:0] gpr_7, + input [63:0] gpr_8, + input [63:0] gpr_9, + input [63:0] gpr_10, + input [63:0] gpr_11, + input [63:0] gpr_12, + input [63:0] gpr_13, + input [63:0] gpr_14, + input [63:0] gpr_15, + input [63:0] gpr_16, + input [63:0] gpr_17, + input [63:0] gpr_18, + input [63:0] gpr_19, + input [63:0] gpr_20, + input [63:0] gpr_21, + input [63:0] gpr_22, + input [63:0] gpr_23, + input [63:0] gpr_24, + input [63:0] gpr_25, + input [63:0] gpr_26, + input [63:0] gpr_27, + input [63:0] gpr_28, + input [63:0] gpr_29, + input [63:0] gpr_30, + input [63:0] gpr_31 +); +``` + diff --git a/libraries/DRAMsim3 b/libraries/DRAMsim3 new file mode 160000 index 0000000..5723f6b --- /dev/null +++ b/libraries/DRAMsim3 @@ -0,0 +1 @@ +Subproject commit 5723f6b1cc157ac2d7b4154b50fd1799c9cf54aa diff --git a/libraries/NEMU b/libraries/NEMU new file mode 160000 index 0000000..e402575 --- /dev/null +++ b/libraries/NEMU @@ -0,0 +1 @@ +Subproject commit e402575a33b6bb910a40f5114e53b1dd55b72da2 diff --git a/libraries/difftest b/libraries/difftest new file mode 160000 index 0000000..56d947b --- /dev/null +++ b/libraries/difftest @@ -0,0 +1 @@ +Subproject commit 56d947bbfd420a0ee3f5794ad2ac4b1525892540 diff --git a/libraries/ysyxSoC b/libraries/ysyxSoC new file mode 160000 index 0000000..53540d0 --- /dev/null +++ b/libraries/ysyxSoC @@ -0,0 +1 @@ +Subproject commit 53540d038ed567fcf5e10d3fb3c4069922735ead diff --git a/myinfo.txt b/myinfo.txt index 6e51232..3833aa0 100755 --- a/myinfo.txt +++ b/myinfo.txt @@ -1,2 +1,2 @@ -ID= -Name= +ID= +Name= diff --git a/projects/chisel_cpu_diff/build.sc b/projects/chisel_cpu_diff/build.sc new file mode 100644 index 0000000..420030f --- /dev/null +++ b/projects/chisel_cpu_diff/build.sc @@ -0,0 +1,48 @@ +// import Mill dependency +import mill._ +import mill.scalalib._ +import mill.scalalib.TestModule.Utest +// support BSP +import mill.bsp._ + +object difftest extends SbtModule { + override def millSourcePath = os.pwd / "difftest" + override def scalaVersion = "2.12.13" + override def ivyDeps = Agg( + ivy"edu.berkeley.cs::chisel3:3.4.3", + ) + override def scalacPluginIvyDeps = Agg( + ivy"edu.berkeley.cs:::chisel3-plugin:3.4.3", + ivy"org.scalamacros:::paradise:2.1.1" + ) +} + +object oscpu extends SbtModule { m => + override def millSourcePath = os.pwd + override def scalaVersion = "2.12.13" + override def scalacOptions = Seq( + "-Xsource:2.11", + "-language:reflectiveCalls", + "-deprecation", + "-feature", + "-Xcheckinit", + // Enables autoclonetype2 in 3.4.x (on by default in 3.5) + "-P:chiselplugin:useBundlePlugin" + ) + override def ivyDeps = Agg( + ivy"edu.berkeley.cs::chisel3:3.4.3", + ) + override def scalacPluginIvyDeps = Agg( + ivy"edu.berkeley.cs:::chisel3-plugin:3.4.3", + ivy"org.scalamacros:::paradise:2.1.1" + ) + object test extends Tests with Utest { + override def ivyDeps = m.ivyDeps() ++ Agg( + ivy"com.lihaoyi::utest:0.7.10", + ivy"edu.berkeley.cs::chiseltest:0.3.3", + ) + } + override def moduleDeps = super.moduleDeps ++ Seq( + difftest + ) +} diff --git a/projects/chisel_cpu_diff/src/main/resources/vsrc/ram_2r1w.v b/projects/chisel_cpu_diff/src/main/resources/vsrc/ram_2r1w.v new file mode 100755 index 0000000..9aab271 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/resources/vsrc/ram_2r1w.v @@ -0,0 +1,58 @@ +/*************************************************************************************** +* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences +* Copyright (c) 2020-2021 Peng Cheng Laboratory +* +* XiangShan is licensed under Mulan PSL v2. +* You can use this software according to the terms and conditions of the Mulan PSL v2. +* You may obtain a copy of Mulan PSL v2 at: +* http://license.coscl.org.cn/MulanPSL2 +* +* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, +* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, +* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. +* +* See the Mulan PSL v2 for more details. +***************************************************************************************/ + +// Already defined in ram.v +// import "DPI-C" function void ram_write_helper +// ( +// input longint wIdx, +// input longint wdata, +// input longint wmask, +// input bit wen +// ); + +// import "DPI-C" function longint ram_read_helper +// ( +// input bit en, +// input longint rIdx +// ); + +// ref: https://github.com/OSCPU/ysyx/issues/9 +module ram_2r1w ( + input clk, + + input imem_en, + input [63:0] imem_addr, + output [63:0] imem_data, + + input dmem_en, + input [63:0] dmem_addr, + output [63:0] dmem_rdata, + input [63:0] dmem_wdata, + input [63:0] dmem_wmask, + input dmem_wen +); + + wire [63:0] imem_data_0 = ram_read_helper(imem_en, {3'b000, (imem_addr - 64'h0000_0000_8000_0000) >> 3}); + + assign imem_data = {32'b0000_0000_0000_0000, (imem_addr[2] ? imem_data_0[63:32] : imem_data_0[31:0])}; + + assign dmem_rdata = ram_read_helper(dmem_en, {3'b000, (dmem_addr-64'h0000_0000_8000_0000) >> 3}); + + always @(posedge clk) begin + ram_write_helper((dmem_addr - 64'h0000_0000_8000_0000) >> 3, dmem_wdata, dmem_wmask, dmem_en & dmem_wen); + end + +endmodule diff --git a/projects/chisel_cpu_diff/src/main/scala/Core.scala b/projects/chisel_cpu_diff/src/main/scala/Core.scala new file mode 100755 index 0000000..084d040 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/Core.scala @@ -0,0 +1,93 @@ +import chisel3._ +import chisel3.util.experimental._ +import difftest._ + +class Core extends Module { + val io = IO(new Bundle { + val imem = new RomIO + val dmem = new RamIO + }) + + val fetch = Module(new InstFetch) + fetch.io.imem <> io.imem + + val decode = Module(new Decode) + decode.io.inst := fetch.io.inst + + val rf = Module(new RegFile) + rf.io.rs1_addr := decode.io.rs1_addr + rf.io.rs2_addr := decode.io.rs2_addr + rf.io.rd_addr := decode.io.rd_addr + rf.io.rd_en := decode.io.rd_en + + val execution = Module(new Execution) + execution.io.opcode := decode.io.opcode + execution.io.in1 := Mux(decode.io.rs1_en, rf.io.rs1_data, 0.U) + execution.io.in2 := Mux(decode.io.rs2_en, rf.io.rs2_data, decode.io.imm) + execution.io.dmem <> io.dmem + rf.io.rd_data := execution.io.out + + /* ----- Difftest ------------------------------ */ + + val dt_ic = Module(new DifftestInstrCommit) + dt_ic.io.clock := clock + dt_ic.io.coreid := 0.U + dt_ic.io.index := 0.U + dt_ic.io.valid := true.B + dt_ic.io.pc := RegNext(fetch.io.pc) + dt_ic.io.instr := RegNext(fetch.io.inst) + dt_ic.io.special := 0.U + dt_ic.io.skip := false.B + dt_ic.io.isRVC := false.B + dt_ic.io.scFailed := false.B + dt_ic.io.wen := RegNext(decode.io.rd_en) + dt_ic.io.wdata := RegNext(execution.io.out) + dt_ic.io.wdest := RegNext(decode.io.rd_addr) + + val dt_ae = Module(new DifftestArchEvent) + dt_ae.io.clock := clock + dt_ae.io.coreid := 0.U + dt_ae.io.intrNO := 0.U + dt_ae.io.cause := 0.U + dt_ae.io.exceptionPC := 0.U + + val cycle_cnt = RegInit(0.U(64.W)) + val instr_cnt = RegInit(0.U(64.W)) + + cycle_cnt := cycle_cnt + 1.U + instr_cnt := instr_cnt + 1.U + + val rf_a0 = WireInit(0.U(64.W)) + BoringUtils.addSink(rf_a0, "rf_a0") + + val dt_te = Module(new DifftestTrapEvent) + dt_te.io.clock := clock + dt_te.io.coreid := 0.U + dt_te.io.valid := (fetch.io.inst === "h0000006b".U) + dt_te.io.code := rf_a0(2, 0) + dt_te.io.pc := fetch.io.pc + dt_te.io.cycleCnt := cycle_cnt + dt_te.io.instrCnt := instr_cnt + + val dt_cs = Module(new DifftestCSRState) + dt_cs.io.clock := clock + dt_cs.io.coreid := 0.U + dt_cs.io.priviledgeMode := 3.U // Machine mode + dt_cs.io.mstatus := 0.U + dt_cs.io.sstatus := 0.U + dt_cs.io.mepc := 0.U + dt_cs.io.sepc := 0.U + dt_cs.io.mtval := 0.U + dt_cs.io.stval := 0.U + dt_cs.io.mtvec := 0.U + dt_cs.io.stvec := 0.U + dt_cs.io.mcause := 0.U + dt_cs.io.scause := 0.U + dt_cs.io.satp := 0.U + dt_cs.io.mip := 0.U + dt_cs.io.mie := 0.U + dt_cs.io.mscratch := 0.U + dt_cs.io.sscratch := 0.U + dt_cs.io.mideleg := 0.U + dt_cs.io.medeleg := 0.U +} diff --git a/projects/chisel_cpu_diff/src/main/scala/Decode.scala b/projects/chisel_cpu_diff/src/main/scala/Decode.scala new file mode 100755 index 0000000..52dd7cb --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/Decode.scala @@ -0,0 +1,43 @@ +import chisel3._ +import chisel3.util._ +import Instructions._ + +class Decode extends Module { + val io = IO(new Bundle { + val inst = Input(UInt(32.W)) + val rs1_addr = Output(UInt(5.W)) + val rs1_en = Output(Bool()) + val rs2_addr = Output(UInt(5.W)) + val rs2_en = Output(Bool()) + val rd_addr = Output(UInt(5.W)) + val rd_en = Output(Bool()) + val opcode = Output(UInt(8.W)) + val imm = Output(UInt(64.W)) + }) + + val inst = io.inst + val opcode = WireInit(UInt(8.W), 0.U) + val imm_i = Cat(Fill(53, inst(31)), inst(30, 20)) + + // Only example here, use your own control flow! + when (inst === ADDI) { + opcode := 1.U + } + + io.rs1_addr := inst(19, 15) + io.rs2_addr := inst(24, 20) + io.rd_addr := inst(11, 7) + + io.rs1_en := false.B + io.rs2_en := false.B + io.rd_en := false.B + + when (inst === ADDI) { + io.rs1_en := true.B + io.rs2_en := false.B + io.rd_en := true.B + } + + io.opcode := opcode + io.imm := imm_i +} diff --git a/projects/chisel_cpu_diff/src/main/scala/Execution.scala b/projects/chisel_cpu_diff/src/main/scala/Execution.scala new file mode 100755 index 0000000..1b67eba --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/Execution.scala @@ -0,0 +1,26 @@ +import chisel3._ +import chisel3.util._ + +class Execution extends Module { + val io = IO(new Bundle { + val opcode = Input(UInt(8.W)) + val in1 = Input(UInt(64.W)) + val in2 = Input(UInt(64.W)) + val out = Output(UInt(64.W)) + val dmem = new RamIO + }) + + io.out := 0.U + + // ADDI + when (io.opcode === 1.U) { + io.out := io.in1 + io.in2 + } + + io.dmem.en := false.B + io.dmem.addr := 0.U + io.dmem.wen := false.B + io.dmem.wdata := 0.U + io.dmem.wmask := 0.U + +} diff --git a/projects/chisel_cpu_diff/src/main/scala/InstFetch.scala b/projects/chisel_cpu_diff/src/main/scala/InstFetch.scala new file mode 100755 index 0000000..2ccae02 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/InstFetch.scala @@ -0,0 +1,22 @@ +import chisel3._ +import chisel3.util._ + +class InstFetch extends Module { + val io = IO(new Bundle { + val imem = new RomIO + val pc = Output(UInt(32.W)) + val inst = Output(UInt(32.W)) + }) + + val pc_en = RegInit(false.B) + pc_en := true.B + + val pc = RegInit("h80000000".U(32.W)) + pc := pc + 4.U + + io.imem.en := true.B + io.imem.addr := pc.asUInt() + + io.pc := Mux(pc_en, pc, 0.U) + io.inst := Mux(pc_en, io.imem.rdata(31, 0), 0.U) +} diff --git a/projects/chisel_cpu_diff/src/main/scala/Instructions.scala b/projects/chisel_cpu_diff/src/main/scala/Instructions.scala new file mode 100755 index 0000000..65d91e2 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/Instructions.scala @@ -0,0 +1,6 @@ +import chisel3._ +import chisel3.util._ + +object Instructions { + def ADDI = BitPat("b?????????????????000?????0010011") +} diff --git a/projects/chisel_cpu_diff/src/main/scala/Ram.scala b/projects/chisel_cpu_diff/src/main/scala/Ram.scala new file mode 100755 index 0000000..aa7cb0d --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/Ram.scala @@ -0,0 +1,48 @@ +import chisel3._ +import chisel3.util._ + +class RomIO extends Bundle { + val en = Output(Bool()) + val addr = Output(UInt(64.W)) + val rdata = Input(UInt(64.W)) +} + +class RamIO extends RomIO { + val wdata = Output(UInt(64.W)) + val wmask = Output(UInt(64.W)) + val wen = Output(Bool()) +} + +class ram_2r1w extends BlackBox with HasBlackBoxResource { + val io = IO(new Bundle { + val clk = Input(Clock()) + val imem_en = Input(Bool()) + val imem_addr = Input(UInt(64.W)) + val imem_data = Output(UInt(32.W)) + val dmem_en = Input(Bool()) + val dmem_addr = Input(UInt(64.W)) + val dmem_rdata = Output(UInt(64.W)) + val dmem_wdata = Input(UInt(64.W)) + val dmem_wmask = Input(UInt(64.W)) + val dmem_wen = Input(Bool()) + }) + addResource("/vsrc/ram_2r1w.v") +} + +class Ram2r1w extends Module { + val io = IO(new Bundle { + val imem = Flipped(new RomIO) + val dmem = Flipped(new RamIO) + }) + val mem = Module(new ram_2r1w) + mem.io.clk := clock + mem.io.imem_en := io.imem.en + mem.io.imem_addr := io.imem.addr + io.imem.rdata := mem.io.imem_data + mem.io.dmem_en := io.dmem.en + mem.io.dmem_addr := io.dmem.addr + io.dmem.rdata := mem.io.dmem_rdata + mem.io.dmem_wdata := io.dmem.wdata + mem.io.dmem_wmask := io.dmem.wmask + mem.io.dmem_wen := io.dmem.wen +} diff --git a/projects/chisel_cpu_diff/src/main/scala/RegFile.scala b/projects/chisel_cpu_diff/src/main/scala/RegFile.scala new file mode 100755 index 0000000..5e24904 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/RegFile.scala @@ -0,0 +1,31 @@ +import chisel3._ +import chisel3.util.experimental._ +import difftest._ + +class RegFile extends Module { + val io = IO(new Bundle { + val rs1_addr = Input(UInt(5.W)) + val rs2_addr = Input(UInt(5.W)) + val rs1_data = Output(UInt(64.W)) + val rs2_data = Output(UInt(64.W)) + val rd_addr = Input(UInt(5.W)) + val rd_data = Input(UInt(64.W)) + val rd_en = Input(Bool()) + }) + + val rf = RegInit(VecInit(Seq.fill(32)(0.U(64.W)))) + + when (io.rd_en && (io.rd_addr =/= 0.U)) { + rf(io.rd_addr) := io.rd_data; + } + + io.rs1_data := Mux((io.rs1_addr =/= 0.U), rf(io.rs1_addr), 0.U) + io.rs2_data := Mux((io.rs2_addr =/= 0.U), rf(io.rs2_addr), 0.U) + + val dt_ar = Module(new DifftestArchIntRegState) + dt_ar.io.clock := clock + dt_ar.io.coreid := 0.U + dt_ar.io.gpr := rf + + BoringUtils.addSource(rf(10), "rf_a0") +} diff --git a/projects/chisel_cpu_diff/src/main/scala/SimTop.scala b/projects/chisel_cpu_diff/src/main/scala/SimTop.scala new file mode 100755 index 0000000..a454992 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/SimTop.scala @@ -0,0 +1,22 @@ +import chisel3._ +import chisel3.util._ +import difftest._ + +class SimTop extends Module { + val io = IO(new Bundle { + val logCtrl = new LogCtrlIO + val perfInfo = new PerfInfoIO + val uart = new UARTIO + }) + + val core = Module(new Core) + + val mem = Module(new Ram2r1w) + mem.io.imem <> core.io.imem + mem.io.dmem <> core.io.dmem + + io.uart.out.valid := false.B + io.uart.out.ch := 0.U + io.uart.in.valid := false.B + +} diff --git a/projects/chisel_cpu_diff/src/main/scala/TopMain.scala b/projects/chisel_cpu_diff/src/main/scala/TopMain.scala new file mode 100755 index 0000000..62b4675 --- /dev/null +++ b/projects/chisel_cpu_diff/src/main/scala/TopMain.scala @@ -0,0 +1,3 @@ +object TopMain extends App { + (new chisel3.stage.ChiselStage).execute(args, Seq(chisel3.stage.ChiselGeneratorAnnotation(() => new SimTop()))) +} diff --git a/projects/cpu_axi_diff/vsrc/SimTop.v b/projects/cpu_axi_diff/vsrc/SimTop.v new file mode 100755 index 0000000..54d4b05 --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/SimTop.v @@ -0,0 +1,222 @@ + +`include "defines.v" +`define AXI_TOP_INTERFACE(name) io_memAXI_0_``name + +module SimTop( + input clock, + input reset, + + input [63:0] io_logCtrl_log_begin, + input [63:0] io_logCtrl_log_end, + input [63:0] io_logCtrl_log_level, + input io_perfInfo_clean, + input io_perfInfo_dump, + + output io_uart_out_valid, + output [7:0] io_uart_out_ch, + output io_uart_in_valid, + input [7:0] io_uart_in_ch, + + input `AXI_TOP_INTERFACE(aw_ready), + output `AXI_TOP_INTERFACE(aw_valid), + output [`AXI_ADDR_WIDTH-1:0] `AXI_TOP_INTERFACE(aw_bits_addr), + output [2:0] `AXI_TOP_INTERFACE(aw_bits_prot), + output [`AXI_ID_WIDTH-1:0] `AXI_TOP_INTERFACE(aw_bits_id), + output [`AXI_USER_WIDTH-1:0] `AXI_TOP_INTERFACE(aw_bits_user), + output [7:0] `AXI_TOP_INTERFACE(aw_bits_len), + output [2:0] `AXI_TOP_INTERFACE(aw_bits_size), + output [1:0] `AXI_TOP_INTERFACE(aw_bits_burst), + output `AXI_TOP_INTERFACE(aw_bits_lock), + output [3:0] `AXI_TOP_INTERFACE(aw_bits_cache), + output [3:0] `AXI_TOP_INTERFACE(aw_bits_qos), + + input `AXI_TOP_INTERFACE(w_ready), + output `AXI_TOP_INTERFACE(w_valid), + output [`AXI_DATA_WIDTH-1:0] `AXI_TOP_INTERFACE(w_bits_data) [3:0], + output [`AXI_DATA_WIDTH/8-1:0] `AXI_TOP_INTERFACE(w_bits_strb), + output `AXI_TOP_INTERFACE(w_bits_last), + + output `AXI_TOP_INTERFACE(b_ready), + input `AXI_TOP_INTERFACE(b_valid), + input [1:0] `AXI_TOP_INTERFACE(b_bits_resp), + input [`AXI_ID_WIDTH-1:0] `AXI_TOP_INTERFACE(b_bits_id), + input [`AXI_USER_WIDTH-1:0] `AXI_TOP_INTERFACE(b_bits_user), + + input `AXI_TOP_INTERFACE(ar_ready), + output `AXI_TOP_INTERFACE(ar_valid), + output [`AXI_ADDR_WIDTH-1:0] `AXI_TOP_INTERFACE(ar_bits_addr), + output [2:0] `AXI_TOP_INTERFACE(ar_bits_prot), + output [`AXI_ID_WIDTH-1:0] `AXI_TOP_INTERFACE(ar_bits_id), + output [`AXI_USER_WIDTH-1:0] `AXI_TOP_INTERFACE(ar_bits_user), + output [7:0] `AXI_TOP_INTERFACE(ar_bits_len), + output [2:0] `AXI_TOP_INTERFACE(ar_bits_size), + output [1:0] `AXI_TOP_INTERFACE(ar_bits_burst), + output `AXI_TOP_INTERFACE(ar_bits_lock), + output [3:0] `AXI_TOP_INTERFACE(ar_bits_cache), + output [3:0] `AXI_TOP_INTERFACE(ar_bits_qos), + + output `AXI_TOP_INTERFACE(r_ready), + input `AXI_TOP_INTERFACE(r_valid), + input [1:0] `AXI_TOP_INTERFACE(r_bits_resp), + input [`AXI_DATA_WIDTH-1:0] `AXI_TOP_INTERFACE(r_bits_data) [3:0], + input `AXI_TOP_INTERFACE(r_bits_last), + input [`AXI_ID_WIDTH-1:0] `AXI_TOP_INTERFACE(r_bits_id), + input [`AXI_USER_WIDTH-1:0] `AXI_TOP_INTERFACE(r_bits_user) +); + + wire aw_ready; + wire aw_valid; + wire [`AXI_ADDR_WIDTH-1:0] aw_addr; + wire [2:0] aw_prot; + wire [`AXI_ID_WIDTH-1:0] aw_id; + wire [`AXI_USER_WIDTH-1:0] aw_user; + wire [7:0] aw_len; + wire [2:0] aw_size; + wire [1:0] aw_burst; + wire aw_lock; + wire [3:0] aw_cache; + wire [3:0] aw_qos; + wire [3:0] aw_region; + + wire w_ready; + wire w_valid; + wire [`AXI_DATA_WIDTH-1:0] w_data; + wire [`AXI_DATA_WIDTH/8-1:0] w_strb; + wire w_last; + wire [`AXI_USER_WIDTH-1:0] w_user; + + wire b_ready; + wire b_valid; + wire [1:0] b_resp; + wire [`AXI_ID_WIDTH-1:0] b_id; + wire [`AXI_USER_WIDTH-1:0] b_user; + + wire ar_ready; + wire ar_valid; + wire [`AXI_ADDR_WIDTH-1:0] ar_addr; + wire [2:0] ar_prot; + wire [`AXI_ID_WIDTH-1:0] ar_id; + wire [`AXI_USER_WIDTH-1:0] ar_user; + wire [7:0] ar_len; + wire [2:0] ar_size; + wire [1:0] ar_burst; + wire ar_lock; + wire [3:0] ar_cache; + wire [3:0] ar_qos; + wire [3:0] ar_region; + + wire r_ready; + wire r_valid; + wire [1:0] r_resp; + wire [`AXI_DATA_WIDTH-1:0] r_data; + wire r_last; + wire [`AXI_ID_WIDTH-1:0] r_id; + wire [`AXI_USER_WIDTH-1:0] r_user; + + assign ar_ready = `AXI_TOP_INTERFACE(ar_ready); + assign `AXI_TOP_INTERFACE(ar_valid) = ar_valid; + assign `AXI_TOP_INTERFACE(ar_bits_addr) = ar_addr; + assign `AXI_TOP_INTERFACE(ar_bits_prot) = ar_prot; + assign `AXI_TOP_INTERFACE(ar_bits_id) = ar_id; + assign `AXI_TOP_INTERFACE(ar_bits_user) = ar_user; + assign `AXI_TOP_INTERFACE(ar_bits_len) = ar_len; + assign `AXI_TOP_INTERFACE(ar_bits_size) = ar_size; + assign `AXI_TOP_INTERFACE(ar_bits_burst) = ar_burst; + assign `AXI_TOP_INTERFACE(ar_bits_lock) = ar_lock; + assign `AXI_TOP_INTERFACE(ar_bits_cache) = ar_cache; + assign `AXI_TOP_INTERFACE(ar_bits_qos) = ar_qos; + + assign `AXI_TOP_INTERFACE(r_ready) = r_ready; + assign r_valid = `AXI_TOP_INTERFACE(r_valid); + assign r_resp = `AXI_TOP_INTERFACE(r_bits_resp); + assign r_data = `AXI_TOP_INTERFACE(r_bits_data)[0]; + assign r_last = `AXI_TOP_INTERFACE(r_bits_last); + assign r_id = `AXI_TOP_INTERFACE(r_bits_id); + assign r_user = `AXI_TOP_INTERFACE(r_bits_user); + + axi_rw u_axi_rw ( + .clock (clock), + .reset (reset), + + .rw_valid_i (if_valid), + .rw_ready_o (if_ready), + .rw_req_i (req), + .data_read_o (if_data_read), + .data_write_i (data_write), + .rw_addr_i (if_addr), + .rw_size_i (if_size), + .rw_resp_o (if_resp), + + .axi_aw_ready_i (aw_ready), + .axi_aw_valid_o (aw_valid), + .axi_aw_addr_o (aw_addr), + .axi_aw_prot_o (aw_prot), + .axi_aw_id_o (aw_id), + .axi_aw_user_o (aw_user), + .axi_aw_len_o (aw_len), + .axi_aw_size_o (aw_size), + .axi_aw_burst_o (aw_burst), + .axi_aw_lock_o (aw_lock), + .axi_aw_cache_o (aw_cache), + .axi_aw_qos_o (aw_qos), + .axi_aw_region_o (aw_region), + + .axi_w_ready_i (w_ready), + .axi_w_valid_o (w_valid), + .axi_w_data_o (w_data), + .axi_w_strb_o (w_strb), + .axi_w_last_o (w_last), + .axi_w_user_o (w_user), + + .axi_b_ready_o (b_ready), + .axi_b_valid_i (b_valid), + .axi_b_resp_i (b_resp), + .axi_b_id_i (b_id), + .axi_b_user_i (b_user), + + .axi_ar_ready_i (ar_ready), + .axi_ar_valid_o (ar_valid), + .axi_ar_addr_o (ar_addr), + .axi_ar_prot_o (ar_prot), + .axi_ar_id_o (ar_id), + .axi_ar_user_o (ar_user), + .axi_ar_len_o (ar_len), + .axi_ar_size_o (ar_size), + .axi_ar_burst_o (ar_burst), + .axi_ar_lock_o (ar_lock), + .axi_ar_cache_o (ar_cache), + .axi_ar_qos_o (ar_qos), + .axi_ar_region_o (ar_region), + + .axi_r_ready_o (r_ready), + .axi_r_valid_i (r_valid), + .axi_r_resp_i (r_resp), + .axi_r_data_i (r_data), + .axi_r_last_i (r_last), + .axi_r_id_i (r_id), + .axi_r_user_i (r_user) + ); + + wire if_valid; + wire if_ready; + wire req = `REQ_READ; + wire [63:0] if_data_read; + wire [63:0] data_write; + wire [63:0] if_addr; + wire [1:0] if_size; + wire [1:0] if_resp; + + cpu u_cpu( + .clock (clock), + .reset (reset), + + .if_valid (if_valid), + .if_ready (if_ready), + .if_data_read (if_data_read), + .if_addr (if_addr), + .if_size (if_size), + .if_resp (if_resp) + ); + + +endmodule \ No newline at end of file diff --git a/projects/cpu_axi_diff/vsrc/axi_rw.v b/projects/cpu_axi_diff/vsrc/axi_rw.v new file mode 100755 index 0000000..e572329 --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/axi_rw.v @@ -0,0 +1,311 @@ + +`include "defines.v" + +// Burst types +`define AXI_BURST_TYPE_FIXED 2'b00 +`define AXI_BURST_TYPE_INCR 2'b01 +`define AXI_BURST_TYPE_WRAP 2'b10 +// Access permissions +`define AXI_PROT_UNPRIVILEGED_ACCESS 3'b000 +`define AXI_PROT_PRIVILEGED_ACCESS 3'b001 +`define AXI_PROT_SECURE_ACCESS 3'b000 +`define AXI_PROT_NON_SECURE_ACCESS 3'b010 +`define AXI_PROT_DATA_ACCESS 3'b000 +`define AXI_PROT_INSTRUCTION_ACCESS 3'b100 +// Memory types (AR) +`define AXI_ARCACHE_DEVICE_NON_BUFFERABLE 4'b0000 +`define AXI_ARCACHE_DEVICE_BUFFERABLE 4'b0001 +`define AXI_ARCACHE_NORMAL_NON_CACHEABLE_NON_BUFFERABLE 4'b0010 +`define AXI_ARCACHE_NORMAL_NON_CACHEABLE_BUFFERABLE 4'b0011 +`define AXI_ARCACHE_WRITE_THROUGH_NO_ALLOCATE 4'b1010 +`define AXI_ARCACHE_WRITE_THROUGH_READ_ALLOCATE 4'b1110 +`define AXI_ARCACHE_WRITE_THROUGH_WRITE_ALLOCATE 4'b1010 +`define AXI_ARCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE 4'b1110 +`define AXI_ARCACHE_WRITE_BACK_NO_ALLOCATE 4'b1011 +`define AXI_ARCACHE_WRITE_BACK_READ_ALLOCATE 4'b1111 +`define AXI_ARCACHE_WRITE_BACK_WRITE_ALLOCATE 4'b1011 +`define AXI_ARCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE 4'b1111 +// Memory types (AW) +`define AXI_AWCACHE_DEVICE_NON_BUFFERABLE 4'b0000 +`define AXI_AWCACHE_DEVICE_BUFFERABLE 4'b0001 +`define AXI_AWCACHE_NORMAL_NON_CACHEABLE_NON_BUFFERABLE 4'b0010 +`define AXI_AWCACHE_NORMAL_NON_CACHEABLE_BUFFERABLE 4'b0011 +`define AXI_AWCACHE_WRITE_THROUGH_NO_ALLOCATE 4'b0110 +`define AXI_AWCACHE_WRITE_THROUGH_READ_ALLOCATE 4'b0110 +`define AXI_AWCACHE_WRITE_THROUGH_WRITE_ALLOCATE 4'b1110 +`define AXI_AWCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE 4'b1110 +`define AXI_AWCACHE_WRITE_BACK_NO_ALLOCATE 4'b0111 +`define AXI_AWCACHE_WRITE_BACK_READ_ALLOCATE 4'b0111 +`define AXI_AWCACHE_WRITE_BACK_WRITE_ALLOCATE 4'b1111 +`define AXI_AWCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE 4'b1111 + +`define AXI_SIZE_BYTES_1 3'b000 +`define AXI_SIZE_BYTES_2 3'b001 +`define AXI_SIZE_BYTES_4 3'b010 +`define AXI_SIZE_BYTES_8 3'b011 +`define AXI_SIZE_BYTES_16 3'b100 +`define AXI_SIZE_BYTES_32 3'b101 +`define AXI_SIZE_BYTES_64 3'b110 +`define AXI_SIZE_BYTES_128 3'b111 + + +module axi_rw # ( + parameter RW_DATA_WIDTH = 64, + parameter RW_ADDR_WIDTH = 64, + parameter AXI_DATA_WIDTH = 64, + parameter AXI_ADDR_WIDTH = 64, + parameter AXI_ID_WIDTH = 4, + parameter AXI_USER_WIDTH = 1 +)( + input clock, + input reset, + + input rw_valid_i, + output rw_ready_o, + input rw_req_i, + output reg [RW_DATA_WIDTH:0] data_read_o, + input [RW_DATA_WIDTH:0] data_write_i, + input [AXI_DATA_WIDTH:0] rw_addr_i, + input [1:0] rw_size_i, + output [1:0] rw_resp_o, + + // Advanced eXtensible Interface + input axi_aw_ready_i, + output axi_aw_valid_o, + output [AXI_ADDR_WIDTH-1:0] axi_aw_addr_o, + output [2:0] axi_aw_prot_o, + output [AXI_ID_WIDTH-1:0] axi_aw_id_o, + output [AXI_USER_WIDTH-1:0] axi_aw_user_o, + output [7:0] axi_aw_len_o, + output [2:0] axi_aw_size_o, + output [1:0] axi_aw_burst_o, + output axi_aw_lock_o, + output [3:0] axi_aw_cache_o, + output [3:0] axi_aw_qos_o, + output [3:0] axi_aw_region_o, + + input axi_w_ready_i, + output axi_w_valid_o, + output [AXI_DATA_WIDTH-1:0] axi_w_data_o, + output [AXI_DATA_WIDTH/8-1:0] axi_w_strb_o, + output axi_w_last_o, + output [AXI_USER_WIDTH-1:0] axi_w_user_o, + + output axi_b_ready_o, + input axi_b_valid_i, + input [1:0] axi_b_resp_i, + input [AXI_ID_WIDTH-1:0] axi_b_id_i, + input [AXI_USER_WIDTH-1:0] axi_b_user_i, + + input axi_ar_ready_i, + output axi_ar_valid_o, + output [AXI_ADDR_WIDTH-1:0] axi_ar_addr_o, + output [2:0] axi_ar_prot_o, + output [AXI_ID_WIDTH-1:0] axi_ar_id_o, + output [AXI_USER_WIDTH-1:0] axi_ar_user_o, + output [7:0] axi_ar_len_o, + output [2:0] axi_ar_size_o, + output [1:0] axi_ar_burst_o, + output axi_ar_lock_o, + output [3:0] axi_ar_cache_o, + output [3:0] axi_ar_qos_o, + output [3:0] axi_ar_region_o, + + output axi_r_ready_o, + input axi_r_valid_i, + input [1:0] axi_r_resp_i, + input [AXI_DATA_WIDTH-1:0] axi_r_data_i, + input axi_r_last_i, + input [AXI_ID_WIDTH-1:0] axi_r_id_i, + input [AXI_USER_WIDTH-1:0] axi_r_user_i +); + + wire w_trans = rw_req_i == `REQ_WRITE; + wire r_trans = rw_req_i == `REQ_READ; + wire w_valid = rw_valid_i & w_trans; + wire r_valid = rw_valid_i & r_trans; + + // handshake + wire aw_hs = axi_aw_ready_i & axi_aw_valid_o; + wire w_hs = axi_w_ready_i & axi_w_valid_o; + wire b_hs = axi_b_ready_o & axi_b_valid_i; + wire ar_hs = axi_ar_ready_i & axi_ar_valid_o; + wire r_hs = axi_r_ready_o & axi_r_valid_i; + + wire w_done = w_hs & axi_w_last_o; + wire r_done = r_hs & axi_r_last_i; + wire trans_done = w_trans ? b_hs : r_done; + + + // ------------------State Machine------------------ + parameter [1:0] W_STATE_IDLE = 2'b00, W_STATE_ADDR = 2'b01, W_STATE_WRITE = 2'b10, W_STATE_RESP = 2'b11; + parameter [1:0] R_STATE_IDLE = 2'b00, R_STATE_ADDR = 2'b01, R_STATE_READ = 2'b10; + + reg [1:0] w_state, r_state; + wire w_state_idle = w_state == W_STATE_IDLE, w_state_addr = w_state == W_STATE_ADDR, w_state_write = w_state == W_STATE_WRITE, w_state_resp = w_state == W_STATE_RESP; + wire r_state_idle = r_state == R_STATE_IDLE, r_state_addr = r_state == R_STATE_ADDR, r_state_read = r_state == R_STATE_READ; + + // Wirte State Machine + always @(posedge clock) begin + if (reset) begin + w_state <= W_STATE_IDLE; + end + else begin + if (w_valid) begin + case (w_state) + W_STATE_IDLE: w_state <= W_STATE_ADDR; + W_STATE_ADDR: if (aw_hs) w_state <= W_STATE_WRITE; + W_STATE_WRITE: if (w_done) w_state <= W_STATE_RESP; + W_STATE_RESP: if (b_hs) w_state <= W_STATE_IDLE; + endcase + end + end + end + + // Read State Machine + always @(posedge clock) begin + if (reset) begin + r_state <= R_STATE_IDLE; + end + else begin + if (r_valid) begin + case (r_state) + R_STATE_IDLE: r_state <= R_STATE_ADDR; + R_STATE_ADDR: if (ar_hs) r_state <= R_STATE_READ; + R_STATE_READ: if (r_done) r_state <= R_STATE_IDLE; + default:; + endcase + end + end + end + + + // ------------------Number of transmission------------------ + reg [7:0] len; + wire len_reset = reset | (w_trans & w_state_idle) | (r_trans & r_state_idle); + wire len_incr_en = (len != axi_len) & (w_hs | r_hs); + always @(posedge clock) begin + if (len_reset) begin + len <= 0; + end + else if (len_incr_en) begin + len <= len + 1; + end + end + + + // ------------------Process Data------------------ + parameter ALIGNED_WIDTH = $clog2(AXI_DATA_WIDTH / 8); + parameter OFFSET_WIDTH = $clog2(AXI_DATA_WIDTH); + parameter AXI_SIZE = $clog2(AXI_DATA_WIDTH / 8); + parameter MASK_WIDTH = AXI_DATA_WIDTH * 2; + parameter TRANS_LEN = RW_DATA_WIDTH / AXI_DATA_WIDTH; + parameter BLOCK_TRANS = TRANS_LEN > 1 ? 1'b1 : 1'b0; + + wire aligned = BLOCK_TRANS | rw_addr_i[ALIGNED_WIDTH-1:0] == 0; + wire size_b = rw_size_i == `SIZE_B; + wire size_h = rw_size_i == `SIZE_H; + wire size_w = rw_size_i == `SIZE_W; + wire size_d = rw_size_i == `SIZE_D; + wire [3:0] addr_op1 = {{4-ALIGNED_WIDTH{1'b0}}, rw_addr_i[ALIGNED_WIDTH-1:0]}; + wire [3:0] addr_op2 = ({4{size_b}} & {4'b0}) + | ({4{size_h}} & {4'b1}) + | ({4{size_w}} & {4'b11}) + | ({4{size_d}} & {4'b111}) + ; + wire [3:0] addr_end = addr_op1 + addr_op2; + wire overstep = addr_end[3:ALIGNED_WIDTH] != 0; + + wire [7:0] axi_len = aligned ? TRANS_LEN - 1 : {{7{1'b0}}, overstep}; + wire [2:0] axi_size = AXI_SIZE[2:0]; + + wire [AXI_ADDR_WIDTH-1:0] axi_addr = {rw_addr_i[AXI_ADDR_WIDTH-1:ALIGNED_WIDTH], {ALIGNED_WIDTH{1'b0}}}; + wire [OFFSET_WIDTH-1:0] aligned_offset_l = {{OFFSET_WIDTH-ALIGNED_WIDTH{1'b0}}, {rw_addr_i[ALIGNED_WIDTH-1:0]}} << 3; + wire [OFFSET_WIDTH-1:0] aligned_offset_h = AXI_DATA_WIDTH - aligned_offset_l; + wire [MASK_WIDTH-1:0] mask = (({MASK_WIDTH{size_b}} & {{MASK_WIDTH-8{1'b0}}, 8'hff}) + | ({MASK_WIDTH{size_h}} & {{MASK_WIDTH-16{1'b0}}, 16'hffff}) + | ({MASK_WIDTH{size_w}} & {{MASK_WIDTH-32{1'b0}}, 32'hffffffff}) + | ({MASK_WIDTH{size_d}} & {{MASK_WIDTH-64{1'b0}}, 64'hffffffff_ffffffff}) + ) << aligned_offset_l; + wire [AXI_DATA_WIDTH-1:0] mask_l = mask[AXI_DATA_WIDTH-1:0]; + wire [AXI_DATA_WIDTH-1:0] mask_h = mask[MASK_WIDTH-1:AXI_DATA_WIDTH]; + + wire [AXI_ID_WIDTH-1:0] axi_id = {AXI_ID_WIDTH{1'b0}}; + wire [AXI_USER_WIDTH-1:0] axi_user = {AXI_USER_WIDTH{1'b0}}; + + reg rw_ready; + wire rw_ready_nxt = trans_done; + wire rw_ready_en = trans_done | rw_ready; + always @(posedge clock) begin + if (reset) begin + rw_ready <= 0; + end + else if (rw_ready_en) begin + rw_ready <= rw_ready_nxt; + end + end + assign rw_ready_o = rw_ready; + + reg [1:0] rw_resp; + wire rw_resp_nxt = w_trans ? axi_b_resp_i : axi_r_resp_i; + wire resp_en = trans_done; + always @(posedge clock) begin + if (reset) begin + rw_resp <= 0; + end + else if (resp_en) begin + rw_resp <= rw_resp_nxt; + end + end + assign rw_resp_o = rw_resp; + + + // ------------------Write Transaction------------------ + + + + // ------------------Read Transaction------------------ + + // Read address channel signals + assign axi_ar_valid_o = r_state_addr; + assign axi_ar_addr_o = axi_addr; + assign axi_ar_prot_o = `AXI_PROT_UNPRIVILEGED_ACCESS | `AXI_PROT_SECURE_ACCESS | `AXI_PROT_DATA_ACCESS; + assign axi_ar_id_o = axi_id; + assign axi_ar_user_o = axi_user; + assign axi_ar_len_o = axi_len; + assign axi_ar_size_o = axi_size; + assign axi_ar_burst_o = `AXI_BURST_TYPE_INCR; + assign axi_ar_lock_o = 1'b0; + assign axi_ar_cache_o = `AXI_ARCACHE_NORMAL_NON_CACHEABLE_NON_BUFFERABLE; + assign axi_ar_qos_o = 4'h0; + + // Read data channel signals + assign axi_r_ready_o = r_state_read; + + wire [AXI_DATA_WIDTH-1:0] axi_r_data_l = (axi_r_data_i & mask_l) >> aligned_offset_l; + wire [AXI_DATA_WIDTH-1:0] axi_r_data_h = (axi_r_data_i & mask_h) << aligned_offset_h; + + generate + for (genvar i = 0; i < TRANS_LEN; i += 1) begin + always @(posedge clock) begin + if (reset) begin + data_read_o[i*AXI_DATA_WIDTH+:AXI_DATA_WIDTH] <= 0; + end + else if (axi_r_ready_o & axi_r_valid_i) begin + if (~aligned & overstep) begin + if (len[0]) begin + data_read_o[AXI_DATA_WIDTH-1:0] <= data_read_o[AXI_DATA_WIDTH-1:0] | axi_r_data_h; + end + else begin + data_read_o[AXI_DATA_WIDTH-1:0] <= axi_r_data_l; + end + end + else if (len == i) begin + data_read_o[i*AXI_DATA_WIDTH+:AXI_DATA_WIDTH] <= axi_r_data_l; + end + end + end + end + endgenerate + +endmodule diff --git a/projects/cpu_axi_diff/vsrc/cpu.v b/projects/cpu_axi_diff/vsrc/cpu.v new file mode 100755 index 0000000..bc9c766 --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/cpu.v @@ -0,0 +1,277 @@ + +//--xuezhen-- + +`include "defines.v" + +module cpu( + input clock, + input reset, + + output if_valid, + input if_ready, + input [63:0] if_data_read, + output [63:0] if_addr, + output [1:0] if_size, + input [1:0] if_resp +); + +// if_stage +wire [63 : 0] pc; +wire [31 : 0] inst; + +// id_stage +// id_stage -> regfile +wire rs1_r_ena; +wire [4 : 0]rs1_r_addr; +wire rs2_r_ena; +wire [4 : 0]rs2_r_addr; +wire rd_w_ena; +wire [4 : 0]rd_w_addr; +// id_stage -> exe_stage +wire [4 : 0]inst_type; +wire [7 : 0]inst_opcode; +wire [`REG_BUS]op1; +wire [`REG_BUS]op2; + +// regfile -> id_stage +wire [`REG_BUS] r_data1; +wire [`REG_BUS] r_data2; +// regfile -> difftest +wire [`REG_BUS] regs[0 : 31]; + +// exe_stage +// exe_stage -> other stage +wire [4 : 0]inst_type_o; +// exe_stage -> regfile +wire [`REG_BUS]rd_data; + + +wire fetched; + +if_stage If_stage( + .clk (clock), + .rst (reset), + + .pc (pc), + .inst (inst), + + .if_valid (if_valid), + .if_ready (if_ready), + .if_data_read (if_data_read), + .if_addr (if_addr), + .if_size (if_size), + .if_resp (if_resp), + + .fetched (fetched) +); + +id_stage Id_stage( + .rst (reset), + .inst (inst), + .rs1_data (r_data1), + .rs2_data (r_data2), + + .rs1_r_ena (rs1_r_ena), + .rs1_r_addr (rs1_r_addr), + .rs2_r_ena (rs2_r_ena), + .rs2_r_addr (rs2_r_addr), + .rd_w_ena (rd_w_ena), + .rd_w_addr (rd_w_addr), + .inst_type (inst_type), + .inst_opcode (inst_opcode), + .op1 (op1), + .op2 (op2) +); + +exe_stage Exe_stage( + .rst (reset), + .inst_type_i (inst_type), + .inst_opcode (inst_opcode), + .op1 (op1), + .op2 (op2), + + .inst_type_o (inst_type_o), + .rd_data (rd_data) +); + + +wire w_ena = rd_w_ena & fetched; + +regfile Regfile( + .clk (clock), + .rst (reset), + .w_addr (rd_w_addr), + .w_data (rd_data), + .w_ena (w_ena), + + .r_addr1 (rs1_r_addr), + .r_data1 (r_data1), + .r_ena1 (rs1_r_ena), + .r_addr2 (rs2_r_addr), + .r_data2 (r_data2), + .r_ena2 (rs2_r_ena), + + .regs_o (regs) +); + + +// Difftest +reg cmt_wen; +reg [7:0] cmt_wdest; +reg [`REG_BUS] cmt_wdata; +reg [`REG_BUS] cmt_pc; +reg [31:0] cmt_inst; +reg cmt_valid; +reg trap; +reg [7:0] trap_code; +reg [63:0] cycleCnt; +reg [63:0] instrCnt; +reg [`REG_BUS] regs_diff [0 : 31]; + +wire inst_valid = fetched; + +always @(negedge clock) begin + if (reset) begin + {cmt_wen, cmt_wdest, cmt_wdata, cmt_pc, cmt_inst, cmt_valid, trap, trap_code, cycleCnt, instrCnt} <= 0; + end + else if (~trap) begin + cmt_wen <= rd_w_ena; + cmt_wdest <= {3'd0, rd_w_addr}; + cmt_wdata <= rd_data; + cmt_pc <= pc; + cmt_inst <= inst; + cmt_valid <= inst_valid; + + regs_diff <= regs; + + trap <= inst[6:0] == 7'h6b; + trap_code <= regs[10][7:0]; + cycleCnt <= cycleCnt + 1; + instrCnt <= instrCnt + inst_valid; + end +end + +DifftestInstrCommit DifftestInstrCommit( + .clock (clock), + .coreid (0), + .index (0), + .valid (cmt_valid), + .pc (cmt_pc), + .instr (cmt_inst), + .special (0), + .skip (0), + .isRVC (0), + .scFailed (0), + .wen (cmt_wen), + .wdest (cmt_wdest), + .wdata (cmt_wdata) +); + +DifftestArchIntRegState DifftestArchIntRegState ( + .clock (clock), + .coreid (0), + .gpr_0 (regs_diff[0]), + .gpr_1 (regs_diff[1]), + .gpr_2 (regs_diff[2]), + .gpr_3 (regs_diff[3]), + .gpr_4 (regs_diff[4]), + .gpr_5 (regs_diff[5]), + .gpr_6 (regs_diff[6]), + .gpr_7 (regs_diff[7]), + .gpr_8 (regs_diff[8]), + .gpr_9 (regs_diff[9]), + .gpr_10 (regs_diff[10]), + .gpr_11 (regs_diff[11]), + .gpr_12 (regs_diff[12]), + .gpr_13 (regs_diff[13]), + .gpr_14 (regs_diff[14]), + .gpr_15 (regs_diff[15]), + .gpr_16 (regs_diff[16]), + .gpr_17 (regs_diff[17]), + .gpr_18 (regs_diff[18]), + .gpr_19 (regs_diff[19]), + .gpr_20 (regs_diff[20]), + .gpr_21 (regs_diff[21]), + .gpr_22 (regs_diff[22]), + .gpr_23 (regs_diff[23]), + .gpr_24 (regs_diff[24]), + .gpr_25 (regs_diff[25]), + .gpr_26 (regs_diff[26]), + .gpr_27 (regs_diff[27]), + .gpr_28 (regs_diff[28]), + .gpr_29 (regs_diff[29]), + .gpr_30 (regs_diff[30]), + .gpr_31 (regs_diff[31]) +); + +DifftestTrapEvent DifftestTrapEvent( + .clock (clock), + .coreid (0), + .valid (trap), + .code (trap_code), + .pc (cmt_pc), + .cycleCnt (cycleCnt), + .instrCnt (instrCnt) +); + +DifftestCSRState DifftestCSRState( + .clock (clock), + .coreid (0), + .priviledgeMode (`RISCV_PRIV_MODE_M), + .mstatus (0), + .sstatus (0), + .mepc (0), + .sepc (0), + .mtval (0), + .stval (0), + .mtvec (0), + .stvec (0), + .mcause (0), + .scause (0), + .satp (0), + .mip (0), + .mie (0), + .mscratch (0), + .sscratch (0), + .mideleg (0), + .medeleg (0) +); + +DifftestArchFpRegState DifftestArchFpRegState( + .clock (clock), + .coreid (0), + .fpr_0 (0), + .fpr_1 (0), + .fpr_2 (0), + .fpr_3 (0), + .fpr_4 (0), + .fpr_5 (0), + .fpr_6 (0), + .fpr_7 (0), + .fpr_8 (0), + .fpr_9 (0), + .fpr_10 (0), + .fpr_11 (0), + .fpr_12 (0), + .fpr_13 (0), + .fpr_14 (0), + .fpr_15 (0), + .fpr_16 (0), + .fpr_17 (0), + .fpr_18 (0), + .fpr_19 (0), + .fpr_20 (0), + .fpr_21 (0), + .fpr_22 (0), + .fpr_23 (0), + .fpr_24 (0), + .fpr_25 (0), + .fpr_26 (0), + .fpr_27 (0), + .fpr_28 (0), + .fpr_29 (0), + .fpr_30 (0), + .fpr_31 (0) +); + +endmodule \ No newline at end of file diff --git a/projects/cpu_axi_diff/vsrc/defines.v b/projects/cpu_axi_diff/vsrc/defines.v new file mode 100755 index 0000000..a96d66b --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/defines.v @@ -0,0 +1,25 @@ + +`timescale 1ns / 1ps + +`define ZERO_WORD 64'h00000000_00000000 +`define PC_START 64'h00000000_80000000 +`define REG_BUS 63 : 0 +`define INST_ADD 8'h11 + +`define AXI_ADDR_WIDTH 64 +`define AXI_DATA_WIDTH 64 +`define AXI_ID_WIDTH 4 +`define AXI_USER_WIDTH 1 + +`define SIZE_B 2'b00 +`define SIZE_H 2'b01 +`define SIZE_W 2'b10 +`define SIZE_D 2'b11 + +`define REQ_READ 1'b0 +`define REQ_WRITE 1'b1 + +`define RISCV_PRIV_MODE_U 0 +`define RISCV_PRIV_MODE_S 1 +`define RISCV_PRIV_MODE_M 3 + diff --git a/projects/cpu_axi_diff/vsrc/exe_stage.v b/projects/cpu_axi_diff/vsrc/exe_stage.v new file mode 100755 index 0000000..d263cf8 --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/exe_stage.v @@ -0,0 +1,35 @@ + +//--xuezhen-- + +`include "defines.v" + +module exe_stage( + input wire rst, + input wire [4 : 0]inst_type_i, + input wire [7 : 0]inst_opcode, + input wire [`REG_BUS]op1, + input wire [`REG_BUS]op2, + + output wire [4 : 0]inst_type_o, + output reg [`REG_BUS]rd_data +); + +assign inst_type_o = inst_type_i; + +always@( * ) +begin + if( rst == 1'b1 ) + begin + rd_data = `ZERO_WORD; + end + else + begin + case( inst_opcode ) + `INST_ADD: begin rd_data = op1 + op2; end + default: begin rd_data = `ZERO_WORD; end + endcase + end +end + + +endmodule diff --git a/projects/cpu_axi_diff/vsrc/id_stage.v b/projects/cpu_axi_diff/vsrc/id_stage.v new file mode 100755 index 0000000..dc19f67 --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/id_stage.v @@ -0,0 +1,68 @@ + +//--xuezhen-- + +`include "defines.v" + +module id_stage( + input wire rst, + input wire [31 : 0]inst, + input wire [`REG_BUS]rs1_data, + input wire [`REG_BUS]rs2_data, + + + output wire rs1_r_ena, + output wire [4 : 0]rs1_r_addr, + output wire rs2_r_ena, + output wire [4 : 0]rs2_r_addr, + output wire rd_w_ena, + output wire [4 : 0]rd_w_addr, + + output wire [4 : 0]inst_type, + output wire [7 : 0]inst_opcode, + output wire [`REG_BUS]op1, + output wire [`REG_BUS]op2 +); + + +wire inst_addi = ~opcode[2] & ~opcode[3] & opcode[4] & ~opcode[5] & ~opcode[5] + & ~func3[0] & ~func3[1] & ~func3[2]; + +// arith inst: 10000; logic: 01000; +// load-store: 00100; j: 00010; sys: 000001 +assign inst_type[4] = ( rst == 1'b1 ) ? 0 : inst_addi; + +assign inst_opcode[0] = ( rst == 1'b1 ) ? 0 : inst_addi; +assign inst_opcode[1] = ( rst == 1'b1 ) ? 0 : 0; +assign inst_opcode[2] = ( rst == 1'b1 ) ? 0 : 0; +assign inst_opcode[3] = ( rst == 1'b1 ) ? 0 : 0; +assign inst_opcode[4] = ( rst == 1'b1 ) ? 0 : inst_addi; +assign inst_opcode[5] = ( rst == 1'b1 ) ? 0 : 0; +assign inst_opcode[6] = ( rst == 1'b1 ) ? 0 : 0; +assign inst_opcode[7] = ( rst == 1'b1 ) ? 0 : 0; + +// I-type +wire [6 : 0]opcode; +wire [4 : 0]rd; +wire [2 : 0]func3; +wire [4 : 0]rs1; +wire [11 : 0]imm; +assign opcode = inst[6 : 0]; +assign rd = inst[11 : 7]; +assign func3 = inst[14 : 12]; +assign rs1 = inst[19 : 15]; +assign imm = inst[31 : 20]; + + +assign rs1_r_ena = ( rst == 1'b1 ) ? 0 : inst_type[4]; +assign rs1_r_addr = ( rst == 1'b1 ) ? 0 : ( inst_type[4] == 1'b1 ? rs1 : 0 ); +assign rs2_r_ena = 0; +assign rs2_r_addr = 0; + +assign rd_w_ena = ( rst == 1'b1 ) ? 0 : inst_type[4]; +assign rd_w_addr = ( rst == 1'b1 ) ? 0 : ( inst_type[4] == 1'b1 ? rd : 0 ); + +assign op1 = ( rst == 1'b1 ) ? 0 : ( inst_type[4] == 1'b1 ? rs1_data : 0 ); +assign op2 = ( rst == 1'b1 ) ? 0 : ( inst_type[4] == 1'b1 ? { {52{imm[11]}}, imm } : 0 ); + + +endmodule diff --git a/projects/cpu_axi_diff/vsrc/if_stage.v b/projects/cpu_axi_diff/vsrc/if_stage.v new file mode 100755 index 0000000..f626a7d --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/if_stage.v @@ -0,0 +1,48 @@ + +//--xuezhen-- + +`include "defines.v" + + +module if_stage( + input wire clk, + input wire rst, + + output reg [63:0] pc, + output reg [31:0] inst, + + output if_valid, + input if_ready, + input [63:0] if_data_read, + output reg [63:0] if_addr, + output [1:0] if_size, + input [1:0] if_resp, + + output reg fetched +); + +wire handshake_done = if_valid & if_ready; +reg [63:0] addr; + +// fetch an instruction +always @( posedge clk ) begin + if (rst) begin + pc <= `PC_START; + if_addr <= `PC_START; + fetched <= 0; + end + else if ( handshake_done ) begin + pc <= if_addr; + if_addr <= if_addr + 4; + fetched <= 1; + inst <= if_data_read[31:0]; + end + else begin + fetched <= 0; + end +end + +assign if_valid = 1'b1; +assign if_size = `SIZE_W; + +endmodule diff --git a/projects/cpu_axi_diff/vsrc/regfile.v b/projects/cpu_axi_diff/vsrc/regfile.v new file mode 100755 index 0000000..8c4f92f --- /dev/null +++ b/projects/cpu_axi_diff/vsrc/regfile.v @@ -0,0 +1,95 @@ + +`include "defines.v" + +module regfile( + input wire clk, + input wire rst, + + input wire [4 : 0] w_addr, + input wire [`REG_BUS] w_data, + input wire w_ena, + + input wire [4 : 0] r_addr1, + output reg [`REG_BUS] r_data1, + input wire r_ena1, + + input wire [4 : 0] r_addr2, + output reg [`REG_BUS] r_data2, + input wire r_ena2, + + output wire [`REG_BUS] regs_o[0 : 31] // difftest + ); + + // 32 registers + reg [`REG_BUS] regs[0 : 31]; + + always @(posedge clk) + begin + if ( rst == 1'b1 ) + begin + regs[ 0] <= `ZERO_WORD; + regs[ 1] <= `ZERO_WORD; + regs[ 2] <= `ZERO_WORD; + regs[ 3] <= `ZERO_WORD; + regs[ 4] <= `ZERO_WORD; + regs[ 5] <= `ZERO_WORD; + regs[ 6] <= `ZERO_WORD; + regs[ 7] <= `ZERO_WORD; + regs[ 8] <= `ZERO_WORD; + regs[ 9] <= `ZERO_WORD; + regs[10] <= `ZERO_WORD; + regs[11] <= `ZERO_WORD; + regs[12] <= `ZERO_WORD; + regs[13] <= `ZERO_WORD; + regs[14] <= `ZERO_WORD; + regs[15] <= `ZERO_WORD; + regs[16] <= `ZERO_WORD; + regs[17] <= `ZERO_WORD; + regs[18] <= `ZERO_WORD; + regs[19] <= `ZERO_WORD; + regs[20] <= `ZERO_WORD; + regs[21] <= `ZERO_WORD; + regs[22] <= `ZERO_WORD; + regs[23] <= `ZERO_WORD; + regs[24] <= `ZERO_WORD; + regs[25] <= `ZERO_WORD; + regs[26] <= `ZERO_WORD; + regs[27] <= `ZERO_WORD; + regs[28] <= `ZERO_WORD; + regs[29] <= `ZERO_WORD; + regs[30] <= `ZERO_WORD; + regs[31] <= `ZERO_WORD; + end + else + begin + if ((w_ena == 1'b1) && (w_addr != 5'h00)) + regs[w_addr] <= w_data; + end + end + + always @(*) begin + if (rst == 1'b1) + r_data1 = `ZERO_WORD; + else if (r_ena1 == 1'b1) + r_data1 = regs[r_addr1]; + else + r_data1 = `ZERO_WORD; + end + + always @(*) begin + if (rst == 1'b1) + r_data2 = `ZERO_WORD; + else if (r_ena2 == 1'b1) + r_data2 = regs[r_addr2]; + else + r_data2 = `ZERO_WORD; + end + + genvar i; + generate + for (i = 0; i < 32; i = i + 1) begin + assign regs_o[i] = (w_ena & w_addr == i & i != 0) ? w_data : regs[i]; + end + endgenerate + +endmodule diff --git a/projects/cpu_diff/vsrc/SimTop.v b/projects/cpu_diff/vsrc/SimTop.v index 8f892c4..f126ecd 100755 --- a/projects/cpu_diff/vsrc/SimTop.v +++ b/projects/cpu_diff/vsrc/SimTop.v @@ -148,6 +148,7 @@ DifftestInstrCommit DifftestInstrCommit( .valid (cmt_valid), .pc (cmt_pc), .instr (cmt_inst), + .special (0), .skip (0), .isRVC (0), .scFailed (0), @@ -206,7 +207,7 @@ DifftestTrapEvent DifftestTrapEvent( DifftestCSRState DifftestCSRState( .clock (clock), .coreid (0), - .priviledgeMode (0), + .priviledgeMode (`RISCV_PRIV_MODE_M), .mstatus (0), .sstatus (0), .mepc (0), diff --git a/projects/cpu_diff/vsrc/defines.v b/projects/cpu_diff/vsrc/defines.v index b98132d..d5ac14a 100755 --- a/projects/cpu_diff/vsrc/defines.v +++ b/projects/cpu_diff/vsrc/defines.v @@ -5,3 +5,7 @@ `define PC_START 64'h00000000_80000000 `define REG_BUS 63 : 0 `define INST_ADD 8'h11 + +`define RISCV_PRIV_MODE_U 0 +`define RISCV_PRIV_MODE_S 1 +`define RISCV_PRIV_MODE_M 3 diff --git a/projects/soc/csrc/emu.h b/projects/soc/csrc/emu.h new file mode 100644 index 0000000..e611e15 --- /dev/null +++ b/projects/soc/csrc/emu.h @@ -0,0 +1,146 @@ +#include +#include + +#include +#include +#include + +extern "C" +{ + void flash_init(const char *img); +} + +class Emulator +{ +public: + Emulator(int argc, char *argv[]) + { + parseArgs(argc, argv); + + if (args.image == nullptr) + { + printf("Image file unspecified. Use -i to provide the image of flash"); + exit(1); + } + printf("Initializing flash with \"%s\" ...\n", args.image); + flash_init(args.image); + + printf("Initializing and resetting DUT ...\n"); + dut_ptr = new VysyxSoCFull; + dut_ptr->reset = 1; + for (int i = 0; i < 10; i++) + { + dut_ptr->clock = 0; + dut_ptr->eval(); + dut_ptr->clock = 1; + dut_ptr->eval(); + } + dut_ptr->clock = 0; + dut_ptr->reset = 0; + dut_ptr->eval(); + + if (args.dump_wave) + { + Verilated::traceEverOn(true); + printf("`dump-wave` enabled, waves will be written to \"vlt_dump.vcd\".\n"); + fp = new VerilatedVcdC; + dut_ptr->trace(fp, 1); + fp->open("vlt_dump.vcd"); + fp->dump(0); + } + } + ~Emulator() + { + if (args.dump_wave) + { + fp->close(); + delete fp; + } + } + + void step() + { + dut_ptr->clock = 1; + dut_ptr->eval(); + cycle++; + if (args.dump_wave && args.dump_begin <= cycle && cycle <= args.dump_end) + fp->dump((vluint64_t)cycle); + dut_ptr->clock = 0; + dut_ptr->eval(); + } + + unsigned long long get_cycle() + { + return cycle; + } + +private: + void parseArgs(int argc, char *argv[]) + { + + int long_index; + const struct option long_options[] = { + {"dump-wave", 0, NULL, 0}, + {"log-begin", 1, NULL, 'b'}, + {"log-end", 1, NULL, 'e'}, + {"image", 1, NULL, 'i'}, + {"help", 0, NULL, 'h'}, + {0, 0, NULL, 0}}; + + int o; + while ((o = getopt_long(argc, const_cast(argv), + "-hi:b:e:", long_options, &long_index)) != -1) + { + switch (o) + { + case 0: + switch (long_index) + { + case 0: + args.dump_wave = true; + continue; + } + // fall through + default: + print_help(argv[0]); + exit(0); + case 'i': + args.image = optarg; + break; + case 'b': + args.dump_begin = atoll(optarg); + break; + case 'e': + args.dump_end = atoll(optarg); + break; + } + } + + Verilated::commandArgs(argc, argv); + } + + static inline void print_help(const char *file) + { + printf("Usage: %s [OPTION...]\n", file); + printf("\n"); + printf(" -i, --image=FILE run with this image file\n"); + printf(" --dump-wave dump waveform when log is enabled\n"); + printf(" -b, --log-begin=NUM display log from NUM th cycle\n"); + printf(" -e, --log-end=NUM stop display log at NUM th cycle\n"); + printf(" -h, --help print program help info\n"); + printf("\n"); + } + + unsigned long long cycle = 0; + + struct Args + { + bool dump_wave = false; + unsigned long dump_begin = 0; + unsigned long dump_end = -1; + const char *image = nullptr; + } args; + + VysyxSoCFull *dut_ptr = nullptr; + VerilatedVcdC *fp = nullptr; +}; diff --git a/projects/soc/csrc/main.cpp b/projects/soc/csrc/main.cpp new file mode 100755 index 0000000..838224b --- /dev/null +++ b/projects/soc/csrc/main.cpp @@ -0,0 +1,57 @@ +#include +#include +#include +namespace chrono = std::chrono; + +#include "verilated.h" //Defines common routines +#include "VysyxSoCFull.h" + +#include + +static int signal_received = 0; + +void sig_handler(int signo) +{ + if (signal_received != 0) + { + puts("SIGINT received, forcely shutting down.\n"); + exit(0); + } + puts("SIGINT received, gracefully shutting down... Type Ctrl+C again to stop forcely.\n"); + signal_received = signo; +} + +static Emulator *emu = nullptr; +chrono::system_clock::time_point sim_start_time; +void release() +{ + if (emu != nullptr) + { + auto elapsed = chrono::duration_cast(chrono::system_clock::now() - sim_start_time); + printf("Simulated %llu cycles in %lds\n", + emu->get_cycle(), + elapsed.count()); + delete emu; + } +} + +int main(int argc, char *argv[]) +{ + printf("Emu compiled at %s, %s\n", __DATE__, __TIME__); + + if (signal(SIGINT, sig_handler) == SIG_ERR) + { + printf("can't catch SIGINT\n"); + } + atexit(release); + + emu = new Emulator(argc, argv); + printf("Start simulating ...\n"); + sim_start_time = chrono::system_clock::now(); + while (!Verilated::gotFinish() && signal_received == 0) + { + emu->step(); + } + + return 0; +} diff --git a/projects/soc/vsrc/ysyx_210000.v b/projects/soc/vsrc/ysyx_210000.v new file mode 100644 index 0000000..4ffa80a --- /dev/null +++ b/projects/soc/vsrc/ysyx_210000.v @@ -0,0 +1,65 @@ +module ysyx_210000( + input clock, + input reset, + input io_interrupt, + input io_master_awready, + output io_master_awvalid, + output [31:0] io_master_awaddr, + output [3:0] io_master_awid, + output [7:0] io_master_awlen, + output [2:0] io_master_awsize, + output [1:0] io_master_awburst, + input io_master_wready, + output io_master_wvalid, + output [63:0] io_master_wdata, + output [7:0] io_master_wstrb, + output io_master_wlast, + output io_master_bready, + input io_master_bvalid, + input [1:0] io_master_bresp, + input [3:0] io_master_bid, + input io_master_arready, + output io_master_arvalid, + output [31:0] io_master_araddr, + output [3:0] io_master_arid, + output [7:0] io_master_arlen, + output [2:0] io_master_arsize, + output [1:0] io_master_arburst, + output io_master_rready, + input io_master_rvalid, + input [1:0] io_master_rresp, + input [63:0] io_master_rdata, + input io_master_rlast, + input [3:0] io_master_rid, + output io_slave_awready, + input io_slave_awvalid, + input [31:0] io_slave_awaddr, + input [3:0] io_slave_awid, + input [7:0] io_slave_awlen, + input [2:0] io_slave_awsize, + input [1:0] io_slave_awburst, + output io_slave_wready, + input io_slave_wvalid, + input [63:0] io_slave_wdata, + input [7:0] io_slave_wstrb, + input io_slave_wlast, + input io_slave_bready, + output io_slave_bvalid, + output [1:0] io_slave_bresp, + output [3:0] io_slave_bid, + output io_slave_arready, + input io_slave_arvalid, + input [31:0] io_slave_araddr, + input [3:0] io_slave_arid, + input [7:0] io_slave_arlen, + input [2:0] io_slave_arsize, + input [1:0] io_slave_arburst, + input io_slave_rready, + output io_slave_rvalid, + output [1:0] io_slave_rresp, + output [63:0] io_slave_rdata, + output io_slave_rlast, + output [3:0] io_slave_rid +); + +endmodule; diff --git a/submit.sh b/submit.sh new file mode 100755 index 0000000..86685a0 --- /dev/null +++ b/submit.sh @@ -0,0 +1,105 @@ +#!/bin/bash + +get_id() { + # Get id and name + ID=`sed '/^ID=/!d;s/.*=//' $MYINFO_FILE` + NAME=`sed '/^Name=/!d;s/.*=//' $MYINFO_FILE` + if [[ ${#ID} -le 7 ]]; then + echo "Please fill your information in myinfo.txt!!!" + exit 1 + fi + ID="${ID##*\r}" +} + +copy_src_file() { + for FILE in $* + do + if [[ -f $OSCPU_PATH/projects/soc/vsrc/$FILE ]]; then + cp $OSCPU_PATH/projects/soc/vsrc/$FILE $SUBMIT_FLODER/ + return + fi + done + + printf "Please place \e[1;31m%s\e[0m in \e[1;31m%s\e[0m.\n" ${1} $OSCPU_PATH/projects/soc/vsrc/ + exit 1 +} + +check_file() { + if [[ ! -f $SUBMIT_HOME/${1} ]]; then + printf "Please place \e[1;31m%s\e[0m in \e[1;31m%s\e[0m.\n" ${1} $OSCPU_PATH/$SUBMIT_FLODER + exit 1 + fi +} + +cpu_check() { + cd $SUBMIT_HOME + OUTPUT=$(echo ${ID:0-4} | python3 $OSCPU_PATH/libraries/ysyxSoC/ysyx/soc/cpu-check.py) + if [[ ! $OUTPUT =~ "Your core is fine in module name and signal interface" ]]; then + printf "Failed to check your module name and signal interface!!! Please modify your code according to the requirements in \e[1;31mhttps://github.com/OSCPU/ysyxSoC\e[0m.\n" + exit 1 + fi + rm cpu-check.log + cd $OSCPU_PATH +} + +get_default_url() { + git remote -v | while read line; do + if [[ $line =~ "origin" ]] && [[ $line =~ "push" ]]; then + echo $1 + echo $line | grep -o '\ .*\ ' + return + fi + done +} + +add_remote_url() { + git remote add origin $1 +} + +push_repo() { + URL="$(get_default_url $1)" + + if [[ ! -n "$URL" ]]; then + add_remote_url https://gitee.com/oscpu/oscpu-framework.git + URL="https://gitee.com/oscpu/oscpu-framework.git" + fi + + printf "Enter a new URL to replace the default push URL(\e[1;34m%s\e[0m) or leave a blank to skip.\n" $URL + read -p "Enter your new push URL: " -e INPUT + if [[ -n "$INPUT" ]] && [[ ! $INPUT == $URL ]]; then + git remote set-url --push origin $INPUT + else + INPUT=$URL + fi + + git add . + git commit -m "dc & vcs" --no-verify --allow-empty 1>/dev/null 2>&1 + + git push origin + if [ $? -ne 0 ]; then + printf "\e[1;31mFailed to push!!!\e[0m\n" + exit 1 + fi + + printf "You repo has been pushed to \e[1;32m%s\e[0m.\n" $INPUT +} + + +OSCPU_PATH=$(dirname $(readlink -f "$0")) +SUBMIT_FLODER="submit" +SUBMIT_HOME=$OSCPU_PATH/$SUBMIT_FLODER +WARNGING_FILE="Verilator中Warning无法清理说明.xlsx" +MYINFO_FILE=$OSCPU_PATH"/myinfo.txt" + +get_id +printf "Read ID \e[1;32m%s\e[0m from myinfo.txt\n" $ID + +PREFIX="ysyx_${ID:0-6}" +PDF_FILE=$PREFIX".pdf" + +check_file $WARNGING_FILE +check_file $PDF_FILE +copy_src_file $PREFIX".v" $PREFIX".sv" + +cpu_check +push_repo