File tree Expand file tree Collapse file tree 3 files changed +12
-10
lines changed Expand file tree Collapse file tree 3 files changed +12
-10
lines changed Original file line number Diff line number Diff line change 1- # vga_module
1+ # VGA Module
2+
23VHDL VGA-Display Module
Original file line number Diff line number Diff line change @@ -4,8 +4,7 @@ use ieee.numeric_std.all;
44
55entity vga is
66 generic (
7- COLOR_IN_WIDTH : natural := 2 ;
8- COLOR_OUT_WIDTH : natural := 2 ;
7+ COLOR_WIDTH : natural := 2 ;
98
109 -- tinyvga.com/vga-timing
1110 -- Default - 1024 x 768 @ 60Hz
@@ -30,18 +29,18 @@ entity vga is
3029 vsync : out std_logic ;
3130
3231 -- Input colors
33- i_red : in std_logic_vector (COLOR_IN_WIDTH - 1 downto 0 );
34- i_blue : in std_logic_vector (COLOR_IN_WIDTH - 1 downto 0 );
35- i_green : in std_logic_vector (COLOR_IN_WIDTH - 1 downto 0 );
32+ i_red : in std_logic_vector (COLOR_WIDTH - 1 downto 0 );
33+ i_blue : in std_logic_vector (COLOR_WIDTH - 1 downto 0 );
34+ i_green : in std_logic_vector (COLOR_WIDTH - 1 downto 0 );
3635
3736 -- '1' if ready for pixel else '0'
3837 pxl_rdy : out std_logic ;
3938
4039 -- Output colors
4140 -- 4 x 4 x 4 yields 64 different colors
42- o_red : out std_logic_vector (COLOR_OUT_WIDTH - 1 downto 0 );
43- o_blue : out std_logic_vector (COLOR_OUT_WIDTH - 1 downto 0 );
44- o_green : out std_logic_vector (COLOR_OUT_WIDTH - 1 downto 0 )
41+ o_red : out std_logic_vector (COLOR_WIDTH - 1 downto 0 );
42+ o_blue : out std_logic_vector (COLOR_WIDTH - 1 downto 0 );
43+ o_green : out std_logic_vector (COLOR_WIDTH - 1 downto 0 )
4544 );
4645end entity vga;
4746
Original file line number Diff line number Diff line change @@ -4,7 +4,9 @@ name : copl:display:vga_module:0.1
44filesets:
55 src:
66 files:
7- - src/vga.vhd : {file_type : vhdlSource-93}
7+ - src/vga.vhd
8+ logical_name: display
9+ file_type: vhdlSource-93
810
911 test:
1012 files:
You can’t perform that action at this time.
0 commit comments