Skip to content

Commit 0238e5f

Browse files
committed
bug fix
1 parent 5053506 commit 0238e5f

File tree

1 file changed

+3
-3
lines changed

1 file changed

+3
-3
lines changed

rtl/axis_gmii_rx.v

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -125,7 +125,7 @@ reg gmii_rx_er_d2 = 1'b0;
125125
reg gmii_rx_er_d3 = 1'b0;
126126
reg gmii_rx_er_d4 = 1'b0;
127127

128-
reg [DATA_WIDTH-1:0] m_axis_tdata_reg [4:0];
128+
reg [(5*DATA_WIDTH)-1:0] m_axis_tdata_reg;
129129
reg [DATA_WIDTH-1:0] m_axis_tdata_next;
130130
reg [4:0] m_axis_tvalid_reg = 5'b0;
131131
reg m_axis_tvalid_next;
@@ -144,7 +144,7 @@ reg [PTP_TS_WIDTH-1:0] ptp_ts_reg = 0;
144144
reg [31:0] crc_state = 32'hFFFFFFFF;
145145
wire [31:0] crc_next;
146146

147-
assign m_axis_tdata = m_axis_tdata_reg[4];
147+
assign m_axis_tdata = m_axis_tdata_reg[(5*DATA_WIDTH)-1:4*DATA_WIDTH];
148148
assign m_axis_tvalid = m_axis_tvalid_reg[4] & ~(|m_axis_tlast_reg[4:1]);
149149
assign m_axis_tlast = m_axis_tlast_reg[0];
150150
assign m_axis_tuser = PTP_TS_ENABLE ? {ptp_ts_reg, m_axis_tuser_reg[4]} : m_axis_tuser_reg[4];
@@ -251,7 +251,7 @@ end
251251
always @(posedge clk) begin
252252
state_reg <= state_next;
253253

254-
m_axis_tdata_reg <= {m_axis_tdata_reg[3:0],m_axis_tdata_next};
254+
m_axis_tdata_reg <= {m_axis_tdata_reg[(4*DATA_WIDTH)-1:0],m_axis_tdata_next};
255255
m_axis_tvalid_reg <= {m_axis_tvalid_reg[3:0],m_axis_tvalid_next};
256256
m_axis_tlast_reg <= {m_axis_tlast_reg[3:0],m_axis_tlast_next};
257257
m_axis_tuser_reg <= {m_axis_tuser_reg[3:0],m_axis_tuser_next};

0 commit comments

Comments
 (0)