Skip to content

Commit 5ad7807

Browse files
committed
syntax fix
1 parent 932b6dc commit 5ad7807

File tree

1 file changed

+9
-9
lines changed

1 file changed

+9
-9
lines changed

rtl/eth_mac_1g_gmii.v

Lines changed: 9 additions & 9 deletions
Original file line numberDiff line numberDiff line change
@@ -96,11 +96,11 @@ module eth_mac_1g_gmii #
9696
output wire mii_odd_out,
9797
output wire in_frame_out,
9898

99-
output wire [DATA_WIDTH-1:0] gmii_rxd_d0_out,
100-
output wire [DATA_WIDTH-1:0] gmii_rxd_d1_out,
101-
output wire [DATA_WIDTH-1:0] gmii_rxd_d2_out,
102-
output wire [DATA_WIDTH-1:0] gmii_rxd_d3_out,
103-
output wire [DATA_WIDTH-1:0] gmii_rxd_d4_out,
99+
output wire [7:0] gmii_rxd_d0_out,
100+
output wire [7:0] gmii_rxd_d1_out,
101+
output wire [7:0] gmii_rxd_d2_out,
102+
output wire [7:0] gmii_rxd_d3_out,
103+
output wire [7:0] gmii_rxd_d4_out,
104104

105105
output wire gmii_rx_dv_d0_out,
106106
output wire gmii_rx_dv_d1_out,
@@ -114,23 +114,23 @@ module eth_mac_1g_gmii #
114114
output wire gmii_rx_er_d3_out,
115115
output wire gmii_rx_er_d4_out,
116116

117-
output wire [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
118-
output wire [DATA_WIDTH-1:0] m_axis_tdata_next_out,
117+
output wire [7:0] m_axis_tdata_reg_out,
118+
output wire [7:0] m_axis_tdata_next_out,
119119
output wire m_axis_tvalid_reg_out,
120120
output wire m_axis_tvalid_next_out,
121121
output wire m_axis_tlast_reg_out,
122122
output wire m_axis_tlast_next_out,
123123
output wire m_axis_tuser_reg_out,
124124
output wire m_axis_tuser_next_out,
125125

126-
output wire tart_packet_int_reg_out,
126+
output wire start_packet_int_reg_out,
127127
output wire start_packet_reg_out,
128128
output wire error_bad_frame_reg_out,
129129
output wire error_bad_frame_next_out,
130130
output wire error_bad_fcs_reg_out,
131131
output wire error_bad_fcs_next_out,
132132

133-
output wire [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
133+
output wire [31-1:0] ptp_ts_reg_out,
134134

135135
output wire [31:0] crc_state_out,
136136
output wire [31:0] crc_next_out,

0 commit comments

Comments
 (0)