Skip to content

Commit 5f8ece0

Browse files
committed
tx debug signals
1 parent 8610835 commit 5f8ece0

File tree

2 files changed

+74
-3
lines changed

2 files changed

+74
-3
lines changed

rtl/axis_gmii_tx.v

Lines changed: 40 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -87,7 +87,27 @@ module axis_gmii_tx #
8787
* Status
8888
*/
8989
output wire start_packet,
90-
output wire error_underflow
90+
output wire error_underflow,
91+
92+
93+
// Debug ports
94+
95+
output clk_out,
96+
output wire [DATA_WIDTH-1:0] s_axis_tdata_out,
97+
output wire s_axis_tvalid_out,
98+
output wire s_axis_tready_out,
99+
output wire s_axis_tlast_out,
100+
output wire clk_enable_out,
101+
output wire mii_select_out,
102+
output wire cfg_tx_enable_out,
103+
output wire start_packet_out,
104+
output wire error_underflow_out,
105+
output [2:0] state_reg_out,
106+
107+
output wire [DATA_WIDTH-1:0] gmii_txd_out,
108+
output wire gmii_tx_en_out,
109+
output wire gmii_tx_er_out
110+
91111
);
92112

93113
localparam MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-1+1);
@@ -159,6 +179,25 @@ assign m_axis_ptp_ts_valid = PTP_TS_ENABLE || PTP_TAG_ENABLE ? m_axis_ptp_ts_val
159179
assign start_packet = start_packet_reg;
160180
assign error_underflow = error_underflow_reg;
161181

182+
183+
// debug
184+
185+
assign clk_out =clk;
186+
assign s_axis_tdata_out=s_axis_tdata;
187+
assign s_axis_tvalid_out=s_axis_tvalid;
188+
assign s_axis_tready_out=s_axis_tready;
189+
assign s_axis_tlast_out=s_axis_tlast;
190+
assign clk_enable_out=clk_enable;
191+
assign mii_select_out=mii_select;
192+
assign cfg_tx_enable_out=cfg_tx_enable;
193+
assign start_packet_out=start_packet;
194+
assign error_underflow_out=error_underflow;
195+
assign state_reg_out=state_reg;
196+
197+
assign gmii_txd_out=gmii_txd;
198+
assign gmii_tx_en_out = gmii_tx_en;
199+
assign gmii_tx_er_out = gmii_tx_er;
200+
162201
lfsr #(
163202
.LFSR_WIDTH(32),
164203
.LFSR_POLY(32'h4c11db7),

rtl/eth_mac_1g.v

Lines changed: 34 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -192,7 +192,24 @@ module eth_mac_1g #
192192
input wire [15:0] cfg_rx_lfc_opcode,
193193
input wire cfg_rx_lfc_en,
194194
input wire [15:0] cfg_rx_pfc_opcode,
195-
input wire cfg_rx_pfc_en
195+
input wire cfg_rx_pfc_en,
196+
197+
// debug
198+
output clk_out,
199+
output wire [DATA_WIDTH-1:0] s_axis_tdata_out,
200+
output wire s_axis_tvalid_out,
201+
output wire s_axis_tready_out,
202+
output wire s_axis_tlast_out,
203+
output wire clk_enable_out,
204+
output wire mii_select_out,
205+
output wire cfg_tx_enable_out,
206+
output wire start_packet_out,
207+
output wire error_underflow_out,
208+
output [2:0] state_reg_out,
209+
210+
output wire [DATA_WIDTH-1:0] gmii_txd_out,
211+
output wire gmii_tx_en_out,
212+
output wire gmii_tx_er_out
196213
);
197214

198215
localparam MAC_CTRL_ENABLE = PAUSE_ENABLE || PFC_ENABLE;
@@ -268,7 +285,22 @@ axis_gmii_tx_inst (
268285
.cfg_ifg(cfg_ifg),
269286
.cfg_tx_enable(cfg_tx_enable),
270287
.start_packet(tx_start_packet),
271-
.error_underflow(tx_error_underflow)
288+
.error_underflow(tx_error_underflow),
289+
.clk_out(clk_out),
290+
.s_axis_tdata_out(s_axis_tdata_out),
291+
.s_axis_tvalid_out(s_axis_tvalid_out),
292+
.s_axis_tready_out(s_axis_tready_out),
293+
.s_axis_tlast_out(s_axis_tlast_out),
294+
.clk_enable_out(clk_enable_out),
295+
.mii_select_out(mii_select_out),
296+
.cfg_tx_enable_out(cfg_tx_enable_out),
297+
.start_packet_out(start_packet_out),
298+
.error_underflow_out(error_underflow_out),
299+
.state_reg_out(state_reg_out),
300+
301+
.gmii_txd_out(gmii_txd_out),
302+
.gmii_tx_en_out(gmii_tx_en_out),
303+
.gmii_tx_er_out(gmii_tx_er_out)
272304
);
273305

274306
generate

0 commit comments

Comments
 (0)