Skip to content

Commit c4d5536

Browse files
committed
rx debug
1 parent cf2c114 commit c4d5536

File tree

2 files changed

+191
-3
lines changed

2 files changed

+191
-3
lines changed

rtl/axis_gmii_rx.v

Lines changed: 96 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -78,8 +78,103 @@ module axis_gmii_rx #
7878
*/
7979
output wire start_packet,
8080
output wire error_bad_frame,
81-
output wire error_bad_fcs
81+
output wire error_bad_fcs,
82+
83+
// debug
84+
output wire [2:0] state_reg_out,
85+
output wire [2:0] state_next_out,
86+
output wire reset_crc_out,
87+
output wire update_crc_out,
88+
output wire mii_odd_out,
89+
output wire in_frame_out,
90+
91+
output wire [DATA_WIDTH-1:0] gmii_rxd_d0_out,
92+
output wire [DATA_WIDTH-1:0] gmii_rxd_d1_out,
93+
output wire [DATA_WIDTH-1:0] gmii_rxd_d2_out,
94+
output wire [DATA_WIDTH-1:0] gmii_rxd_d3_out,
95+
output wire [DATA_WIDTH-1:0] gmii_rxd_d4_out,
96+
97+
output wire gmii_rx_dv_d0_out,
98+
output wire gmii_rx_dv_d1_out,
99+
output wire gmii_rx_dv_d2_out,
100+
output wire gmii_rx_dv_d3_out,
101+
output wire gmii_rx_dv_d4_out,
102+
103+
output wire gmii_rx_er_d0_out,
104+
output wire gmii_rx_er_d1_out,
105+
output wire gmii_rx_er_d2_out,
106+
output wire gmii_rx_er_d3_out,
107+
output wire gmii_rx_er_d4_out,
108+
109+
output wire [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
110+
output wire [DATA_WIDTH-1:0] m_axis_tdata_next_out,
111+
output wire m_axis_tvalid_reg_out,
112+
output wire m_axis_tvalid_next_out,
113+
output wire m_axis_tlast_reg_out,
114+
output wire m_axis_tlast_next_out,
115+
output wire m_axis_tuser_reg_out,
116+
output wire m_axis_tuser_next_out,
117+
118+
output wire start_packet_int_reg_out,
119+
output wire start_packet_reg_out,
120+
output wire error_bad_frame_reg_out,
121+
output wire error_bad_frame_next_out,
122+
output wire error_bad_fcs_reg_out,
123+
output wire error_bad_fcs_next_out,
124+
125+
output wire [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
126+
127+
output wire [31:0] crc_state_out,
128+
output wire [31:0] crc_next_out
82129
);
130+
// debug assign
131+
132+
assign state_reg_out <= state_reg;
133+
assign state_next_out <= state_next;
134+
assign reset_crc_out <= reset_crc;
135+
assign update_crc_out <= update_crc;
136+
assign mii_odd_out <= mii_odd;
137+
assign in_frame_out <= in_frame;
138+
139+
assign gmii_rxd_d0_out <= gmii_rxd_d0;
140+
assign gmii_rxd_d1_out <= gmii_rxd_d1;
141+
assign gmii_rxd_d2_out <= gmii_rxd_d2;
142+
assign gmii_rxd_d3_out <= gmii_rxd_d3;
143+
assign gmii_rxd_d4_out <= gmii_rxd_d4;
144+
145+
assign gmii_rx_dv_d0_out <= gmii_rx_dv_d0;
146+
assign gmii_rx_dv_d1_out <= gmii_rx_dv_d1;
147+
assign gmii_rx_dv_d2_out <= gmii_rx_dv_d2;
148+
assign gmii_rx_dv_d3_out <= gmii_rx_dv_d3;
149+
assign gmii_rx_dv_d4_out <= gmii_rx_dv_d4;
150+
151+
assign gmii_rx_er_d0_out <= gmii_rx_er_d0;
152+
assign gmii_rx_er_d1_out <= gmii_rx_er_d1;
153+
assign gmii_rx_er_d2_out <= gmii_rx_er_d2;
154+
assign gmii_rx_er_d3_out <= gmii_rx_er_d3;
155+
assign gmii_rx_er_d4_out <= gmii_rx_er_d4;
156+
157+
assign m_axis_tdata_reg_out <= m_axis_tdata_reg;
158+
assign m_axis_tdata_next_out <= m_axis_tdata_next;
159+
assign m_axis_tvalid_reg_out <= m_axis_tvalid_reg;
160+
assign m_axis_tvalid_next_out <= m_axis_tvalid_next;
161+
assign m_axis_tlast_reg_out <= m_axis_tlast_reg;
162+
assign m_axis_tlast_next_out <= m_axis_tlast_next;
163+
assign m_axis_tuser_reg_out <= m_axis_tuser_reg;
164+
assign m_axis_tuser_next_out <= m_axis_tuser_next;
165+
166+
assign start_packet_int_reg_out <= start_packet_int_reg;
167+
assign start_packet_reg_out <= start_packet_reg;
168+
assign error_bad_frame_reg_out <= error_bad_frame_reg;
169+
assign error_bad_frame_next_out <= error_bad_frame_next;
170+
assign error_bad_fcs_reg_out <= error_bad_fcs_reg;
171+
assign error_bad_fcs_next_out <= error_bad_fcs_next;
172+
173+
assign [PTP_TS_WIDTH-1:0] ptp_ts_reg_out <= ptp_ts_reg;
174+
175+
assign [31:0] crc_state_out <= crc_state;
176+
assign [31:0] crc_next_out <= crc_next;
177+
83178

84179
// bus width assertions
85180
initial begin

rtl/eth_mac_1g.v

Lines changed: 95 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -208,7 +208,55 @@ module eth_mac_1g #
208208

209209
output wire [DATA_WIDTH-1:0] gmii_txd_out,
210210
output wire gmii_tx_en_out,
211-
output wire gmii_tx_er_out
211+
output wire gmii_tx_er_out,
212+
213+
// debug rx
214+
215+
output wire [2:0] state_reg_out,
216+
output wire [2:0] state_next_out,
217+
output wire reset_crc_out,
218+
output wire update_crc_out,
219+
output wire mii_odd_out,
220+
output wire in_frame_out,
221+
222+
output wire [DATA_WIDTH-1:0] gmii_rxd_d0_out,
223+
output wire [DATA_WIDTH-1:0] gmii_rxd_d1_out,
224+
output wire [DATA_WIDTH-1:0] gmii_rxd_d2_out,
225+
output wire [DATA_WIDTH-1:0] gmii_rxd_d3_out,
226+
output wire [DATA_WIDTH-1:0] gmii_rxd_d4_out,
227+
228+
output wire gmii_rx_dv_d0_out,
229+
output wire gmii_rx_dv_d1_out,
230+
output wire gmii_rx_dv_d2_out,
231+
output wire gmii_rx_dv_d3_out,
232+
output wire gmii_rx_dv_d4_out,
233+
234+
output wire gmii_rx_er_d0_out,
235+
output wire gmii_rx_er_d1_out,
236+
output wire gmii_rx_er_d2_out,
237+
output wire gmii_rx_er_d3_out,
238+
output wire gmii_rx_er_d4_out,
239+
240+
output wire [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
241+
output wire [DATA_WIDTH-1:0] m_axis_tdata_next_out,
242+
output wire m_axis_tvalid_reg_out,
243+
output wire m_axis_tvalid_next_out,
244+
output wire m_axis_tlast_reg_out,
245+
output wire m_axis_tlast_next_out,
246+
output wire m_axis_tuser_reg_out,
247+
output wire m_axis_tuser_next_out,
248+
249+
output wire start_packet_int_reg_out,
250+
output wire start_packet_reg_out,
251+
output wire error_bad_frame_reg_out,
252+
output wire error_bad_frame_next_out,
253+
output wire error_bad_fcs_reg_out,
254+
output wire error_bad_fcs_next_out,
255+
256+
output wire [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
257+
258+
output wire [31:0] crc_state_out,
259+
output wire [31:0] crc_next_out
212260
);
213261

214262
localparam MAC_CTRL_ENABLE = PAUSE_ENABLE || PFC_ENABLE;
@@ -250,7 +298,52 @@ axis_gmii_rx_inst (
250298
.cfg_rx_enable(cfg_rx_enable),
251299
.start_packet(rx_start_packet),
252300
.error_bad_frame(rx_error_bad_frame),
253-
.error_bad_fcs(rx_error_bad_fcs)
301+
.error_bad_fcs(rx_error_bad_fcs),
302+
.state_reg_out(state_reg_out),
303+
.state_next_out(state_next_out),
304+
.reset_crc_out(reset_crc_out),
305+
.update_crc_out(update_crc_out),
306+
.mii_odd_out(mii_odd_out),
307+
.in_frame_out(in_frame_out),
308+
309+
.gmii_rxd_d0_out(gmii_rxd_d0_out),
310+
.gmii_rxd_d1_out(gmii_rxd_d1_out),
311+
.gmii_rxd_d2_out(gmii_rxd_d2_out),
312+
.gmii_rxd_d3_out(gmii_rxd_d3_out),
313+
.gmii_rxd_d4_out(gmii_rxd_d4_out),
314+
315+
.gmii_rx_dv_d0_out(gmii_rx_dv_d0_out),
316+
.gmii_rx_dv_d1_out(gmii_rx_dv_d1_out),
317+
.gmii_rx_dv_d2_out(gmii_rx_dv_d2_out),
318+
.gmii_rx_dv_d3_out(gmii_rx_dv_d3_out),
319+
.gmii_rx_dv_d4_out(gmii_rx_dv_d4_out),
320+
321+
.gmii_rx_er_d0_out(gmii_rx_er_d0_out),
322+
.gmii_rx_er_d1_out(gmii_rx_er_d1_out),
323+
.gmii_rx_er_d2_out(gmii_rx_er_d2_out),
324+
.gmii_rx_er_d3_out(gmii_rx_er_d3_out),
325+
.gmii_rx_er_d4_out(gmii_rx_er_d4_out),
326+
327+
.m_axis_tdata_reg_out(m_axis_tdata_reg_out),
328+
.m_axis_tdata_next_out(m_axis_tdata_next_out),
329+
.m_axis_tvalid_reg_out( m_axis_tvalid_reg_out),
330+
.m_axis_tvalid_next_out( m_axis_tvalid_next_out),
331+
.m_axis_tlast_reg_out( m_axis_tlast_reg_out),
332+
.m_axis_tlast_next_out( m_axis_tlast_next_out),
333+
.m_axis_tuser_reg_out( m_axis_tuser_reg_out),
334+
.m_axis_tuser_next_out( m_axis_tuser_next_out),
335+
336+
.start_packet_int_reg_out(start_packet_int_reg_out),
337+
.start_packet_reg_out(start_packet_reg_out),
338+
.error_bad_frame_reg_out(error_bad_frame_reg_out),
339+
.error_bad_frame_next_out(error_bad_frame_next_out),
340+
.error_bad_fcs_reg_out(error_bad_fcs_reg_out),
341+
.error_bad_fcs_next_out(error_bad_fcs_next_out),
342+
343+
.ptp_ts_reg_out(ptp_ts_reg_out),
344+
345+
.crc_state_out(crc_state_out),
346+
.crc_next_out(crc_next_out)
254347
);
255348

256349
axis_gmii_tx #(

0 commit comments

Comments
 (0)