Skip to content

Commit d6fa52a

Browse files
authored
Update to compile (#1)
1 parent baac5f8 commit d6fa52a

29 files changed

+60
-61
lines changed

rtl/arp_eth_rx.v

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -86,15 +86,15 @@ module arp_eth_rx #
8686
output wire error_invalid_header
8787
);
8888

89-
parameter BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
89+
localparam BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
9090

91-
parameter HDR_SIZE = 28;
91+
localparam HDR_SIZE = 28;
9292

93-
parameter CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
93+
localparam CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
9494

95-
parameter PTR_WIDTH = $clog2(CYCLE_COUNT);
95+
localparam PTR_WIDTH = $clog2(CYCLE_COUNT);
9696

97-
parameter OFFSET = HDR_SIZE % BYTE_LANES;
97+
localparam OFFSET = HDR_SIZE % BYTE_LANES;
9898

9999
// bus width assertions
100100
initial begin

rtl/arp_eth_tx.v

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -82,15 +82,15 @@ module arp_eth_tx #
8282
output wire busy
8383
);
8484

85-
parameter BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
85+
localparam BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
8686

87-
parameter HDR_SIZE = 28;
87+
localparam HDR_SIZE = 28;
8888

89-
parameter CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
89+
localparam CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
9090

91-
parameter PTR_WIDTH = $clog2(CYCLE_COUNT);
91+
localparam PTR_WIDTH = $clog2(CYCLE_COUNT);
9292

93-
parameter OFFSET = HDR_SIZE % BYTE_LANES;
93+
localparam OFFSET = HDR_SIZE % BYTE_LANES;
9494

9595
// bus width assertions
9696
initial begin

rtl/axis_baser_rx_64.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -406,7 +406,7 @@ always @(posedge clk) begin
406406
ptp_ts_adj_reg[15:0] <= ptp_ts_reg[15:0];
407407
{ptp_ts_borrow_reg, ptp_ts_adj_reg[45:16]} <= $signed({1'b0, ptp_ts_reg[45:16]}) - $signed(31'd1000000000);
408408
ptp_ts_adj_reg[47:46] <= 0;
409-
ptp_ts_adj_reg[95:48] <= ptp_ts_reg[95:48] + 1;
409+
ptp_ts_adj_reg[PTP_TS_WIDTH-1:48] <= ptp_ts_reg[PTP_TS_WIDTH-1:48] + 1;
410410
end
411411

412412
if (encoded_rx_hdr == SYNC_CTRL && encoded_rx_data[7:0] == BLOCK_TYPE_START_0) begin
@@ -507,8 +507,8 @@ always @(posedge clk) begin
507507
if (delay_type == INPUT_TYPE_START_0 && delay_type_valid) begin
508508
start_packet_reg <= 2'b10;
509509
if (PTP_TS_FMT_TOD) begin
510+
ptp_ts_reg <= ptp_ts;
510511
ptp_ts_reg[45:0] <= ptp_ts[45:0] + (ts_inc_reg >> 1);
511-
ptp_ts_reg[95:48] <= ptp_ts[95:48];
512512
end else begin
513513
ptp_ts_reg <= ptp_ts + (ts_inc_reg >> 1);
514514
end

rtl/axis_baser_tx_64.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -88,8 +88,8 @@ module axis_baser_tx_64 #
8888
output wire error_underflow
8989
);
9090

91-
parameter EMPTY_WIDTH = $clog2(KEEP_WIDTH);
92-
parameter MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-KEEP_WIDTH+1);
91+
localparam EMPTY_WIDTH = $clog2(KEEP_WIDTH);
92+
localparam MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-KEEP_WIDTH+1);
9393

9494
// bus width assertions
9595
initial begin

rtl/axis_gmii_tx.v

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -90,7 +90,7 @@ module axis_gmii_tx #
9090
output wire error_underflow
9191
);
9292

93-
parameter MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-1+1);
93+
localparam MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-1+1);
9494

9595
// bus width assertions
9696
initial begin

rtl/axis_xgmii_tx_32.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -87,8 +87,8 @@ module axis_xgmii_tx_32 #
8787
output wire error_underflow
8888
);
8989

90-
parameter EMPTY_WIDTH = $clog2(KEEP_WIDTH);
91-
parameter MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-CTRL_WIDTH+1);
90+
localparam EMPTY_WIDTH = $clog2(KEEP_WIDTH);
91+
localparam MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-CTRL_WIDTH+1);
9292

9393
// bus width assertions
9494
initial begin

rtl/axis_xgmii_tx_64.v

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -88,8 +88,8 @@ module axis_xgmii_tx_64 #
8888
output wire error_underflow
8989
);
9090

91-
parameter EMPTY_WIDTH = $clog2(KEEP_WIDTH);
92-
parameter MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-CTRL_WIDTH+1);
91+
localparam EMPTY_WIDTH = $clog2(KEEP_WIDTH);
92+
localparam MIN_LEN_WIDTH = $clog2(MIN_FRAME_LENGTH-4-CTRL_WIDTH+1);
9393

9494
// bus width assertions
9595
initial begin
@@ -171,7 +171,7 @@ reg [4+16-1:0] ts_inc_reg = 0;
171171
reg [DATA_WIDTH-1:0] xgmii_txd_reg = {CTRL_WIDTH{XGMII_IDLE}}, xgmii_txd_next;
172172
reg [CTRL_WIDTH-1:0] xgmii_txc_reg = {CTRL_WIDTH{1'b1}}, xgmii_txc_next;
173173

174-
reg start_packet_reg = 2'b00;
174+
reg [1:0] start_packet_reg = 2'b00;
175175
reg error_underflow_reg = 1'b0, error_underflow_next;
176176

177177
assign s_axis_tready = s_axis_tready_reg;

rtl/eth_arb_mux.v

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -87,7 +87,7 @@ module eth_arb_mux #
8787
output wire [USER_WIDTH-1:0] m_eth_payload_axis_tuser
8888
);
8989

90-
parameter CL_S_COUNT = $clog2(S_COUNT);
90+
localparam CL_S_COUNT = $clog2(S_COUNT);
9191

9292
reg frame_reg = 1'b0, frame_next;
9393

rtl/eth_axis_rx.v

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -77,15 +77,15 @@ module eth_axis_rx #
7777
output wire error_header_early_termination
7878
);
7979

80-
parameter BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
80+
localparam BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
8181

82-
parameter HDR_SIZE = 14;
82+
localparam HDR_SIZE = 14;
8383

84-
parameter CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
84+
localparam CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
8585

86-
parameter PTR_WIDTH = $clog2(CYCLE_COUNT);
86+
localparam PTR_WIDTH = $clog2(CYCLE_COUNT);
8787

88-
parameter OFFSET = HDR_SIZE % BYTE_LANES;
88+
localparam OFFSET = HDR_SIZE % BYTE_LANES;
8989

9090
// bus width assertions
9191
initial begin

rtl/eth_axis_tx.v

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -76,15 +76,15 @@ module eth_axis_tx #
7676
output wire busy
7777
);
7878

79-
parameter BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
79+
localparam BYTE_LANES = KEEP_ENABLE ? KEEP_WIDTH : 1;
8080

81-
parameter HDR_SIZE = 14;
81+
localparam HDR_SIZE = 14;
8282

83-
parameter CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
83+
localparam CYCLE_COUNT = (HDR_SIZE+BYTE_LANES-1)/BYTE_LANES;
8484

85-
parameter PTR_WIDTH = $clog2(CYCLE_COUNT);
85+
localparam PTR_WIDTH = $clog2(CYCLE_COUNT);
8686

87-
parameter OFFSET = HDR_SIZE % BYTE_LANES;
87+
localparam OFFSET = HDR_SIZE % BYTE_LANES;
8888

8989
// bus width assertions
9090
initial begin

0 commit comments

Comments
 (0)