Skip to content

Commit d71e232

Browse files
committed
debug signals
1 parent df79ad7 commit d71e232

File tree

2 files changed

+23
-19
lines changed

2 files changed

+23
-19
lines changed

rtl/eth_mac_1g.v

Lines changed: 4 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -192,7 +192,9 @@ module eth_mac_1g #
192192
input wire [15:0] cfg_rx_lfc_opcode,
193193
input wire cfg_rx_lfc_en,
194194
input wire [15:0] cfg_rx_pfc_opcode,
195-
input wire cfg_rx_pfc_en
195+
input wire cfg_rx_pfc_en,
196+
197+
output wire [31:0] debug
196198
);
197199

198200
localparam MAC_CTRL_ENABLE = PAUSE_ENABLE || PFC_ENABLE;
@@ -211,6 +213,7 @@ wire [RX_USER_WIDTH-1:0] rx_axis_tuser_int;
211213

212214
assign gmii_gtx_clk = tx_clk;
213215
assign rx_axis_clk = gmii_rx_clk;
216+
assign debug = {rx_axis_tdata,rx_axis_tvalid,rx_axis_tlast,rx_axis_tuser,gmii_rxd,gmii_rx_dv,gmii_rx_er};
214217

215218
axis_gmii_rx #(
216219
.DATA_WIDTH(DATA_WIDTH),

rtl/rgmii_phy_if.v

Lines changed: 19 additions & 18 deletions
Original file line numberDiff line numberDiff line change
@@ -88,28 +88,28 @@ module rgmii_phy_if #
8888
// 2'b01: 100M
8989
// 2'b00: 10M
9090
input wire [1:0] speed,
91+
output wire [47:0] debug_rgmii
9192

92-
// Debug _signal
93-
output wire rgmii_rxc_debug,
94-
output wire [3:0] rgmii_rd_debug,
95-
output wire rgmii_rx_ctl_debug,
96-
output wire rgmii_txc_debug,
97-
output wire [3:0] rgmii_td_debug,
98-
output wire rgmii_tx_ctl_debug,
99-
100-
output wire gmii_rx_clk_debug,
101-
output wire [7:0] gmii_rxd_debug,
102-
output wire gmii_rx_dv_debug,
103-
output wire gmii_rx_er_debug,
104-
105-
output wire gmii_gtx_clk_debug,
106-
output wire [7:0] gmii_txd_debug,
107-
output wire gmii_tx_en_debug,
108-
output wire gmii_tx_er_debug
10993

11094

11195
);
112-
96+
// Debug _signal
97+
wire rgmii_rxc_debug;
98+
wire [3:0] rgmii_rd_debug;
99+
wire rgmii_rx_ctl_debug;
100+
wire rgmii_txc_debug;
101+
wire [3:0] rgmii_td_debug;
102+
wire rgmii_tx_ctl_debug;
103+
104+
wire gmii_rx_clk_debug;
105+
wire [7:0] gmii_rxd_debug;
106+
wire gmii_rx_dv_debug;
107+
wire gmii_rx_er_debug;
108+
109+
wire gmii_gtx_clk_debug;
110+
wire [7:0] gmii_txd_debug;
111+
wire gmii_tx_en_debug;
112+
wire gmii_tx_er_debug;
113113
assign rgmii_rxc_debug = rgmii_rxc;
114114
assign rgmii_rd_debug = rgmii_rd;
115115
assign rgmii_rx_ctl_debug = rgmii_rx_ctl;
@@ -126,6 +126,7 @@ module rgmii_phy_if #
126126
assign gmii_txd_debug= gmii_txd;
127127
assign gmii_tx_en_debug= gmii_tx_en;
128128
assign gmii_tx_er_debug= gmii_tx_er;
129+
assign debug = {rgmii_rxc_debug,rgmii_rd_debug,rgmii_rx_ctl_debug,rgmii_txc_debug,rgmii_td_debug,rgmii_tx_ctl_debug,gmii_rx_clk_debug,gmii_rxd_debug,gmii_rx_dv_debug,gmii_rx_er_debug,gmii_gtx_clk_debug,gmii_txd_debug,gmii_tx_en_debug,gmii_tx_er_debug};
129130
wire clk;
130131

131132
// receive

0 commit comments

Comments
 (0)