|
20 | 20 | onerror="alert('Error: failed to load ' + this.src)"></script> |
21 | 21 | <script src="../src/lang-wiki.js" type="text/javascript" |
22 | 22 | onerror="alert('Error: failed to load ' + this.src)"></script> |
| 23 | +<script src="../src/lang-vhdl.js" type="text/javascript" |
| 24 | + onerror="alert('Error: failed to load ' + this.src)"></script> |
23 | 25 | <script src="../src/lang-vb.js" type="text/javascript" |
24 | 26 | onerror="alert('Error: failed to load ' + this.src)"></script> |
25 | 27 | <script src="test_base.js" type="text/javascript" |
@@ -1075,6 +1077,46 @@ <h1>Issue 93 -- C# verbatim strings</h1> |
1075 | 1077 | // is equivalent to a verbatim string |
1076 | 1078 | string b = @"C:\"; |
1077 | 1079 | </pre> |
| 1080 | + |
| 1081 | +<h1>VHDL mode</h1> |
| 1082 | +<pre class="prettyprint lang-vhdl" id="vhdl"> |
| 1083 | +library ieee; |
| 1084 | +use ieee.std_logic_1164.all; |
| 1085 | +use ieee.numeric_std.all; |
| 1086 | + |
| 1087 | +-- A line comment |
| 1088 | +entity foo_entity is |
| 1089 | + |
| 1090 | + generic (-- comment after punc |
| 1091 | + a : natural := 42; |
| 1092 | + x : real := 16#ab.cd#-3 |
| 1093 | + ); |
| 1094 | + port ( |
| 1095 | + clk_i : in std_logic; |
| 1096 | + b_i : in natural range 0 to 100; |
| 1097 | + c_o : out std_logic_vector(5 downto 0); |
| 1098 | + \a "name"\ : out integer -- extended identifier |
| 1099 | + ); |
| 1100 | + |
| 1101 | +end entity foo_entity; |
| 1102 | + |
| 1103 | +architecture foo_architecture of foo_entity is |
| 1104 | + signal bar_s : std_logic_vector(2 downto 0); |
| 1105 | +begin |
| 1106 | + |
| 1107 | + bar_s <= b"101"; |
| 1108 | + |
| 1109 | + dummy_p : process (clk_i) |
| 1110 | + begin |
| 1111 | + if b_i = 1 then |
| 1112 | + c_o <= (others => '0'); |
| 1113 | + elsif rising_edge(clk_i) then |
| 1114 | + c_o <= "1011" & bar_s(1 downto 0); |
| 1115 | + end if; |
| 1116 | + end process dummy_p; |
| 1117 | + |
| 1118 | +end architecture foo_architecture; |
| 1119 | +</pre> |
1078 | 1120 | </body> |
1079 | 1121 |
|
1080 | 1122 | <script type="text/javascript"> |
@@ -2474,7 +2516,45 @@ <h1>Issue 93 -- C# verbatim strings</h1> |
2474 | 2516 | issue93: '`COM// The normal string syntax`END`PLN<br>' + |
2475 | 2517 | '`END`KWDstring`END`PLN a `END`PUN=`END`PLN `END`STR"C:\\\\"`END`PUN;`END`PLN<br>' + |
2476 | 2518 | '`END`COM// is equivalent to a verbatim string`END`PLN<br>' + |
2477 | | - '`END`KWDstring`END`PLN b `END`PUN=`END`PLN `END`STR@"C:\\"`END`PUN;`END' |
| 2519 | + '`END`KWDstring`END`PLN b `END`PUN=`END`PLN `END`STR@"C:\\"`END`PUN;`END', |
| 2520 | + vhdl: '`KWDlibrary`END`PLN ieee`END`PUN;`END`PLN<br>' + |
| 2521 | + '`END`KWDuse`END`PLN ieee`END`PUN.`END`PLNstd_logic_1164`END`PUN.`END`KWDall`END`PUN;`END`PLN<br>' + |
| 2522 | + '`END`KWDuse`END`PLN ieee`END`PUN.`END`PLNnumeric_std`END`PUN.`END`KWDall`END`PUN;`END`PLN<br>' + |
| 2523 | + '<br>' + |
| 2524 | + '`END`COM-- A line comment`END`PLN<br>' + |
| 2525 | + '`END`KWDentity`END`PLN foo_entity `END`KWDis`END`PLN<br>' + |
| 2526 | + '<br>' + |
| 2527 | + ' `END`KWDgeneric`END`PLN `END`PUN(`END`COM-- comment after punc`END`PLN<br>' + |
| 2528 | + ' a `END`PUN:`END`PLN `END`TYPnatural`END`PLN `END`PUN:=`END' + |
| 2529 | + '`PLN `END`LIT42`END`PUN;`END`PLN<br>' + |
| 2530 | + ' x `END`PUN:`END`PLN `END`TYPreal`END`PLN `END' + |
| 2531 | + '`PUN:=`END`PLN `END`LIT16#ab.cd#-3`END`PLN<br>' + |
| 2532 | + ' `END`PUN);`END`PLN<br>' + |
| 2533 | + ' `END`KWDport`END`PLN `END`PUN(`END`PLN<br>' + |
| 2534 | + ' clk_i `END`PUN:`END`PLN `END`KWDin`END`PLN `END`TYPstd_logic`END`PUN;`END`PLN<br>' + |
| 2535 | + ' b_i `END`PUN:`END`PLN `END`KWDin`END`PLN `END`TYPnatural`END`PLN `END`KWDrange`END`PLN `END`LIT0`END`PLN `END`KWDto`END`PLN `END`LIT100`END`PUN;`END`PLN<br>' + |
| 2536 | + ' c_o `END`PUN:`END`PLN `END`KWDout`END`PLN `END`TYPstd_logic_vector`END`PUN(`END`LIT5`END`PLN `END`KWDdownto`END`PLN `END`LIT0`END`PUN);`END`PLN<br>' + |
| 2537 | + ' \\a "name"\\ `END`PUN:`END`PLN `END`KWDout`END`PLN `END`TYPinteger`END`PLN `END`COM-- extended identifier`END`PLN<br>' + |
| 2538 | + ' `END`PUN);`END`PLN<br>' + |
| 2539 | + '<br>' + |
| 2540 | + '`END`KWDend`END`PLN `END`KWDentity`END`PLN foo_entity`END`PUN;`END`PLN<br>' + |
| 2541 | + '<br>' + |
| 2542 | + '`END`KWDarchitecture`END`PLN foo_architecture `END`KWDof`END`PLN foo_entity `END`KWDis`END`PLN<br>' + |
| 2543 | + ' `END`KWDsignal`END`PLN bar_s `END`PUN:`END`PLN `END`TYPstd_logic_vector`END`PUN(`END`LIT2`END`PLN `END`KWDdownto`END`PLN `END`LIT0`END`PUN);`END`PLN<br>' + |
| 2544 | + '`END`KWDbegin`END`PLN<br>' + |
| 2545 | + ' <br>' + |
| 2546 | + ' bar_s `END`PUN<=`END`PLN `END`STRb"101"`END`PUN;`END`PLN<br>' + |
| 2547 | + '<br>' + |
| 2548 | + ' dummy_p `END`PUN:`END`PLN `END`KWDprocess`END`PLN `END`PUN(`END`PLNclk_i`END`PUN)`END`PLN<br>' + |
| 2549 | + ' `END`KWDbegin`END`PLN<br>' + |
| 2550 | + ' `END`KWDif`END`PLN b_i `END`PUN=`END`PLN `END`LIT1`END`PLN `END`KWDthen`END`PLN<br>' + |
| 2551 | + ' c_o `END`PUN<=`END`PLN `END`PUN(`END`KWDothers`END`PLN `END`PUN=>`END`PLN `END`STR\'0\'`END`PUN);`END`PLN<br>' + |
| 2552 | + ' `END`KWDelsif`END`PLN rising_edge`END`PUN(`END`PLNclk_i`END`PUN)`END`PLN `END`KWDthen`END`PLN<br>' + |
| 2553 | + ' c_o `END`PUN<=`END`PLN `END`STR"1011"`END`PLN `END`PUN&`END`PLN bar_s`END`PUN(`END`LIT1`END`PLN `END`KWDdownto`END`PLN `END`LIT0`END`PUN);`END`PLN<br>' + |
| 2554 | + ' `END`KWDend`END`PLN `END`KWDif`END`PUN;`END`PLN<br>' + |
| 2555 | + ' `END`KWDend`END`PLN `END`KWDprocess`END`PLN dummy_p`END`PUN;`END`PLN<br>' + |
| 2556 | + '<br>' + |
| 2557 | + '`END`KWDend`END`PLN `END`KWDarchitecture`END`PLN foo_architecture`END`PUN;`END' |
2478 | 2558 | }; |
2479 | 2559 | </script> |
2480 | 2560 |
|
|
0 commit comments