Skip to content

Commit e6466db

Browse files
authored
Merge pull request #131 from os-fpga/fail_sim_1.5.7
Fail sim 1.5.7
2 parents c2a0c86 + eb0b0e4 commit e6466db

File tree

4 files changed

+129
-4
lines changed

4 files changed

+129
-4
lines changed

blackbox_models/cell_sim_blackbox.v

Lines changed: 36 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -118,6 +118,42 @@ module DLY_SEL_DCODER (
118118
endmodule
119119
`endcelldefine
120120
//
121+
// DLY_SEL_DECODER black box model
122+
// Address Decoder
123+
//
124+
// Copyright (c) 2024 Rapid Silicon, Inc. All rights reserved.
125+
//
126+
`celldefine
127+
(* blackbox *)
128+
module DLY_SEL_DECODER (
129+
input logic DLY_LOAD,
130+
input logic DLY_ADJ,
131+
input logic DLY_INCDEC,
132+
input logic [4:0] DLY_ADDR,
133+
output reg [2:0] DLY0_CNTRL,
134+
output reg [2:0] DLY1_CNTRL,
135+
output reg [2:0] DLY2_CNTRL,
136+
output reg [2:0] DLY3_CNTRL,
137+
output reg [2:0] DLY4_CNTRL,
138+
output reg [2:0] DLY5_CNTRL,
139+
output reg [2:0] DLY6_CNTRL,
140+
output reg [2:0] DLY7_CNTRL,
141+
output reg [2:0] DLY8_CNTRL,
142+
output reg [2:0] DLY9_CNTRL,
143+
output reg [2:0] DLY10_CNTRL,
144+
output reg [2:0] DLY11_CNTRL,
145+
output reg [2:0] DLY12_CNTRL,
146+
output reg [2:0] DLY13_CNTRL,
147+
output reg [2:0] DLY14_CNTRL,
148+
output reg [2:0] DLY15_CNTRL,
149+
output reg [2:0] DLY16_CNTRL,
150+
output reg [2:0] DLY17_CNTRL,
151+
output reg [2:0] DLY18_CNTRL,
152+
output reg [2:0] DLY19_CNTRL
153+
);
154+
endmodule
155+
`endcelldefine
156+
//
121157
// DLY_VALUE_MUX black box model
122158
// Multiplexer
123159
//

sim_models/tb/DLY_SEL_DECODER_tb.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
11

2-
module DLY_SEL_DCODER_tb;
2+
module DLY_SEL_DECODER_tb;
33

44
// Parameters
55

@@ -31,7 +31,7 @@ module DLY_SEL_DCODER_tb;
3131

3232
integer error=0;
3333

34-
DLY_SEL_DCODER DLY_SEL_DCODER_inst (
34+
DLY_SEL_DECODER DLY_SEL_DECODER_inst (
3535
.DLY_LOAD(DLY_LOAD),
3636
.DLY_ADJ(DLY_ADJ),
3737
.DLY_INCDEC(DLY_INCDEC),
Lines changed: 89 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,89 @@
1+
`timescale 1ps/1ps
2+
`celldefine
3+
//
4+
// DLY_SEL_DECODER simulation model
5+
// Address Decoder
6+
//
7+
// Copyright (c) 2023 Rapid Silicon, Inc. All rights reserved.
8+
//
9+
10+
module DLY_SEL_DECODER (
11+
input DLY_LOAD, // Delay load input
12+
input DLY_ADJ, // Delay adjust input
13+
input DLY_INCDEC, // Delay increment / decrement input
14+
input [4:0] DLY_ADDR, // Input Address
15+
output reg [2:0] DLY0_CNTRL, // Output Bus
16+
output reg [2:0] DLY1_CNTRL, // Output Bus
17+
output reg [2:0] DLY2_CNTRL, // Output Bus
18+
output reg [2:0] DLY3_CNTRL, // Output Bus
19+
output reg [2:0] DLY4_CNTRL, // Output Bus
20+
output reg [2:0] DLY5_CNTRL, // Output Bus
21+
output reg [2:0] DLY6_CNTRL, // Output Bus
22+
output reg [2:0] DLY7_CNTRL, // Output Bus
23+
output reg [2:0] DLY8_CNTRL, // Output Bus
24+
output reg [2:0] DLY9_CNTRL, // Output Bus
25+
output reg [2:0] DLY10_CNTRL, // Output Bus
26+
output reg [2:0] DLY11_CNTRL, // Output Bus
27+
output reg [2:0] DLY12_CNTRL, // Output Bus
28+
output reg [2:0] DLY13_CNTRL, // Output Bus
29+
output reg [2:0] DLY14_CNTRL, // Output Bus
30+
output reg [2:0] DLY15_CNTRL, // Output Bus
31+
output reg [2:0] DLY16_CNTRL, // Output Bus
32+
output reg [2:0] DLY17_CNTRL, // Output Bus
33+
output reg [2:0] DLY18_CNTRL, // Output Bus
34+
output reg [2:0] DLY19_CNTRL // Output Bus
35+
);
36+
37+
38+
always @(*)
39+
begin
40+
DLY0_CNTRL = 3'b000;
41+
DLY1_CNTRL = 3'b000;
42+
DLY2_CNTRL = 3'b000;
43+
DLY3_CNTRL = 3'b000;
44+
DLY4_CNTRL = 3'b000;
45+
DLY5_CNTRL = 3'b000;
46+
DLY6_CNTRL = 3'b000;
47+
DLY7_CNTRL = 3'b000;
48+
DLY8_CNTRL = 3'b000;
49+
DLY9_CNTRL = 3'b000;
50+
DLY10_CNTRL = 3'b000;
51+
DLY11_CNTRL = 3'b000;
52+
DLY12_CNTRL = 3'b000;
53+
DLY13_CNTRL = 3'b000;
54+
DLY14_CNTRL = 3'b000;
55+
DLY15_CNTRL = 3'b000;
56+
DLY16_CNTRL = 3'b000;
57+
DLY17_CNTRL = 3'b000;
58+
DLY18_CNTRL = 3'b000;
59+
DLY19_CNTRL = 3'b000;
60+
61+
case(DLY_ADDR)
62+
5'd0: DLY0_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
63+
5'd1: DLY1_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
64+
5'd2: DLY2_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
65+
5'd3: DLY3_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
66+
5'd4: DLY4_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
67+
5'd5: DLY5_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
68+
5'd6: DLY6_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
69+
5'd7: DLY7_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
70+
5'd8: DLY8_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
71+
5'd9: DLY9_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
72+
5'd10: DLY10_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
73+
5'd11: DLY11_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
74+
5'd12: DLY12_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
75+
5'd13: DLY13_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
76+
5'd14: DLY14_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
77+
5'd15: DLY15_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
78+
5'd16: DLY16_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
79+
5'd17: DLY17_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
80+
5'd18: DLY18_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
81+
5'd19: DLY19_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC};
82+
83+
endcase
84+
85+
end
86+
87+
88+
endmodule
89+
`endcelldefine

tb/DLY_SEL_DECODER/DLY_SEL_DECODER_tb.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
11

2-
module DLY_SEL_DCODER_tb;
2+
module DLY_SEL_DECODER_tb;
33

44
// Parameters
55

@@ -31,7 +31,7 @@ module DLY_SEL_DCODER_tb;
3131

3232
integer error=0;
3333

34-
DLY_SEL_DCODER DLY_SEL_DCODER_inst (
34+
DLY_SEL_DECODER DLY_SEL_DECODER_inst (
3535
.DLY_LOAD(DLY_LOAD),
3636
.DLY_ADJ(DLY_ADJ),
3737
.DLY_INCDEC(DLY_INCDEC),

0 commit comments

Comments
 (0)