|
| 1 | +`timescale 1ps/1ps |
| 2 | +`celldefine |
| 3 | +// |
| 4 | +// DLY_SEL_DECODER simulation model |
| 5 | +// Address Decoder |
| 6 | +// |
| 7 | +// Copyright (c) 2023 Rapid Silicon, Inc. All rights reserved. |
| 8 | +// |
| 9 | + |
| 10 | +module DLY_SEL_DECODER ( |
| 11 | + input DLY_LOAD, // Delay load input |
| 12 | + input DLY_ADJ, // Delay adjust input |
| 13 | + input DLY_INCDEC, // Delay increment / decrement input |
| 14 | + input [4:0] DLY_ADDR, // Input Address |
| 15 | + output reg [2:0] DLY0_CNTRL, // Output Bus |
| 16 | + output reg [2:0] DLY1_CNTRL, // Output Bus |
| 17 | + output reg [2:0] DLY2_CNTRL, // Output Bus |
| 18 | + output reg [2:0] DLY3_CNTRL, // Output Bus |
| 19 | + output reg [2:0] DLY4_CNTRL, // Output Bus |
| 20 | + output reg [2:0] DLY5_CNTRL, // Output Bus |
| 21 | + output reg [2:0] DLY6_CNTRL, // Output Bus |
| 22 | + output reg [2:0] DLY7_CNTRL, // Output Bus |
| 23 | + output reg [2:0] DLY8_CNTRL, // Output Bus |
| 24 | + output reg [2:0] DLY9_CNTRL, // Output Bus |
| 25 | + output reg [2:0] DLY10_CNTRL, // Output Bus |
| 26 | + output reg [2:0] DLY11_CNTRL, // Output Bus |
| 27 | + output reg [2:0] DLY12_CNTRL, // Output Bus |
| 28 | + output reg [2:0] DLY13_CNTRL, // Output Bus |
| 29 | + output reg [2:0] DLY14_CNTRL, // Output Bus |
| 30 | + output reg [2:0] DLY15_CNTRL, // Output Bus |
| 31 | + output reg [2:0] DLY16_CNTRL, // Output Bus |
| 32 | + output reg [2:0] DLY17_CNTRL, // Output Bus |
| 33 | + output reg [2:0] DLY18_CNTRL, // Output Bus |
| 34 | + output reg [2:0] DLY19_CNTRL // Output Bus |
| 35 | +); |
| 36 | + |
| 37 | + |
| 38 | +always @(*) |
| 39 | +begin |
| 40 | + DLY0_CNTRL = 3'b000; |
| 41 | + DLY1_CNTRL = 3'b000; |
| 42 | + DLY2_CNTRL = 3'b000; |
| 43 | + DLY3_CNTRL = 3'b000; |
| 44 | + DLY4_CNTRL = 3'b000; |
| 45 | + DLY5_CNTRL = 3'b000; |
| 46 | + DLY6_CNTRL = 3'b000; |
| 47 | + DLY7_CNTRL = 3'b000; |
| 48 | + DLY8_CNTRL = 3'b000; |
| 49 | + DLY9_CNTRL = 3'b000; |
| 50 | + DLY10_CNTRL = 3'b000; |
| 51 | + DLY11_CNTRL = 3'b000; |
| 52 | + DLY12_CNTRL = 3'b000; |
| 53 | + DLY13_CNTRL = 3'b000; |
| 54 | + DLY14_CNTRL = 3'b000; |
| 55 | + DLY15_CNTRL = 3'b000; |
| 56 | + DLY16_CNTRL = 3'b000; |
| 57 | + DLY17_CNTRL = 3'b000; |
| 58 | + DLY18_CNTRL = 3'b000; |
| 59 | + DLY19_CNTRL = 3'b000; |
| 60 | + |
| 61 | + case(DLY_ADDR) |
| 62 | + 5'd0: DLY0_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 63 | + 5'd1: DLY1_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 64 | + 5'd2: DLY2_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 65 | + 5'd3: DLY3_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 66 | + 5'd4: DLY4_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 67 | + 5'd5: DLY5_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 68 | + 5'd6: DLY6_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 69 | + 5'd7: DLY7_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 70 | + 5'd8: DLY8_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 71 | + 5'd9: DLY9_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 72 | + 5'd10: DLY10_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 73 | + 5'd11: DLY11_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 74 | + 5'd12: DLY12_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 75 | + 5'd13: DLY13_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 76 | + 5'd14: DLY14_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 77 | + 5'd15: DLY15_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 78 | + 5'd16: DLY16_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 79 | + 5'd17: DLY17_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 80 | + 5'd18: DLY18_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 81 | + 5'd19: DLY19_CNTRL = {DLY_LOAD, DLY_ADJ, DLY_INCDEC}; |
| 82 | + |
| 83 | + endcase |
| 84 | + |
| 85 | +end |
| 86 | + |
| 87 | + |
| 88 | +endmodule |
| 89 | +`endcelldefine |
0 commit comments