@@ -9,23 +9,28 @@ create_clock -name vclk -period 1000
99
1010# Test filters for each SDC command
1111puts " get_cells"
12- puts [ report_object_full_names [get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *] ]
12+ report_object_full_names [get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]
1313puts " get_clocks"
14- puts [report_object_full_names [get_clocks -filter is_virtual==0 *]]
15- puts [report_object_full_names [get_clocks -filter is_virtual==1 *]]
14+ report_object_full_names [get_clocks -filter is_virtual==0 *]
15+ puts " get_clocks 2"
16+ report_object_full_names [get_clocks -filter is_virtual==1 *]
1617puts " get_lib_cells"
17- puts [report_object_full_names [get_lib_cells -filter is_buffer==1 *]]
18- puts [report_object_full_names [get_lib_cells -filter is_inverter==1 *]]
18+ report_object_full_names [get_lib_cells -filter is_buffer==1 *]
19+ puts " get_lib_cells 2"
20+ report_object_full_names [get_lib_cells -filter is_inverter==1 *]
1921puts " get_lib_pins"
20- puts [report_object_full_names [get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]]
21- puts [report_object_full_names [get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]]
22+ report_object_full_names [get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]
23+ puts " get_lib_pins 2"
24+ report_object_full_names [get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]
2225puts " get_libs"
23- puts [ report_object_full_names [get_libs -filter name==asap7sc7p5t_INVBUF_RVT_TT_ccs_211120 *] ]
26+ report_object_full_names [get_libs -filter name==asap7sc7p5t_INVBUF_RVT_TT_ccs_211120 *]
2427puts " get_nets"
25- puts [ report_object_full_names [get_nets -filter name=~*q *] ]
28+ report_object_full_names [get_nets -filter name=~*q *]
2629puts " get_pins"
27- puts [report_object_full_names [get_pins -filter direction==input *]]
28- puts [report_object_full_names [get_pins -filter direction==output *]]
30+ report_object_full_names [get_pins -filter direction==input *]
31+ puts " get_pins 2"
32+ report_object_full_names [get_pins -filter direction==output *]
2933puts " get_ports"
30- puts [report_object_full_names [get_ports -filter direction==input *]]
31- puts [report_object_full_names [get_ports -filter direction==output *]]
34+ report_object_full_names [get_ports -filter direction==input *]
35+ puts " get_ports 2"
36+ report_object_full_names [get_ports -filter direction==output *]
0 commit comments