@@ -6,29 +6,39 @@ create_clock -name clk -period 500 {clk1 clk2 clk3}
66create_clock -name vclk -period 1000
77
88# Test filters for each SDC command
9- puts " get_cells"
9+ puts {[ get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]}
1010report_object_full_names [get_cells -filter liberty_cell==BUFx2_ASAP7_75t_R *]
11- puts " get_clocks"
11+ puts {[ get_clocks -filter is_virtual==0 *]}
1212report_object_full_names [get_clocks -filter is_virtual==0 *]
13- puts " get_clocks 2 "
13+ puts {[ get_clocks -filter is_virtual==1 *]}
1414report_object_full_names [get_clocks -filter is_virtual==1 *]
15- puts " get_lib_cells"
15+ puts {[get_clocks -filter is_virtual *]}
16+ report_object_full_names [get_clocks -filter is_virtual *]
17+ puts {[get_clocks -filter is_virtual&&is_generated *]}
18+ report_object_full_names [get_clocks -filter is_virtual&&is_generated *]
19+ puts {[get_clocks -filter is_virtual&&is_generated==0 *]}
20+ report_object_full_names [get_clocks -filter is_virtual&&is_generated==0 *]
21+ puts {[get_clocks -filter is_virtual||is_generated *]}
22+ report_object_full_names [get_clocks -filter is_virtual||is_generated *]
23+ puts {[get_clocks -filter is_virtual==0||is_generated *]}
24+ report_object_full_names [get_clocks -filter is_virtual==0||is_generated *]
25+ puts {[get_lib_cells -filter is_buffer==1 *]}
1626report_object_full_names [get_lib_cells -filter is_buffer==1 *]
17- puts " get_lib_cells 2 "
27+ puts {[ get_lib_cells -filter is_inverter==0 *]}
1828report_object_full_names [get_lib_cells -filter is_inverter==0 *]
19- puts " get_lib_pins"
29+ puts {[ get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]}
2030report_object_full_names [get_lib_pins -filter direction==input BUFx2_ASAP7_75t_R/*]
21- puts " get_lib_pins 2 "
31+ puts {[ get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]}
2232report_object_full_names [get_lib_pins -filter direction==output BUFx2_ASAP7_75t_R/*]
23- puts " get_libs"
33+ puts {[ get_libs -filter name==asap7_small *]}
2434report_object_full_names [get_libs -filter name==asap7_small *]
25- puts " get_nets"
35+ puts {[ get_nets -filter name=~*q *]}
2636report_object_full_names [get_nets -filter name=~*q *]
27- puts " get_pins"
37+ puts {[ get_pins -filter direction==input *]}
2838report_object_full_names [get_pins -filter direction==input *]
29- puts " get_pins 2 "
39+ puts {[ get_pins -filter direction==output *]}
3040report_object_full_names [get_pins -filter direction==output *]
31- puts " get_ports"
41+ puts {[ get_ports -filter direction==input *]}
3242report_object_full_names [get_ports -filter direction==input *]
33- puts " get_ports 2 "
43+ puts {[ get_ports -filter direction==output *]}
3444report_object_full_names [get_ports -filter direction==output *]
0 commit comments