Skip to content

Commit a66efbd

Browse files
Cast reset values to data type (#227)
1 parent c27bce3 commit a66efbd

File tree

7 files changed

+10
-10
lines changed

7 files changed

+10
-10
lines changed

src/cdc_2phase.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -111,7 +111,7 @@ module cdc_2phase_src #(
111111
always_ff @(posedge clk_i or negedge rst_ni) begin
112112
if (!rst_ni) begin
113113
req_src_q <= 0;
114-
data_src_q <= '0;
114+
data_src_q <= T'('0);
115115
end else if (valid_i && ready_o) begin
116116
req_src_q <= ~req_src_q;
117117
data_src_q <= data_i;
@@ -171,7 +171,7 @@ module cdc_2phase_dst #(
171171
// indicated by the async_req line changing levels.
172172
always_ff @(posedge clk_i or negedge rst_ni) begin
173173
if (!rst_ni) begin
174-
data_dst_q <= '0;
174+
data_dst_q <= T'('0);
175175
end else if (req_q0 != req_q1 && !valid_o) begin
176176
data_dst_q <= async_data_i;
177177
end

src/cdc_fifo_2phase.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -90,7 +90,7 @@ module cdc_fifo_2phase #(
9090
for (genvar i = 0; i < 2**LOG_DEPTH; i++) begin : g_word
9191
always_ff @(posedge src_clk_i, negedge src_rst_ni) begin
9292
if (!src_rst_ni)
93-
fifo_data_q[i] <= '0;
93+
fifo_data_q[i] <= T'('0);
9494
else if (fifo_write && fifo_widx == i)
9595
fifo_data_q[i] <= fifo_wdata;
9696
end

src/fifo_v3.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -131,7 +131,7 @@ module fifo_v3 #(
131131

132132
always_ff @(posedge clk_i or negedge rst_ni) begin
133133
if(~rst_ni) begin
134-
mem_q <= '0;
134+
mem_q <= {FifoDepth{dtype'('0)}};
135135
end else if (!gate_clock) begin
136136
mem_q <= mem_n;
137137
end

src/lossy_valid_to_stream.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -125,7 +125,7 @@ module lossy_valid_to_stream #(
125125
read_ptr_q <= '0;
126126
write_ptr_q <= '0;
127127
pending_tx_counter_q <= '0;
128-
mem_q <= '0;
128+
mem_q <= {2{T'('0)}};
129129
end else begin
130130
read_ptr_q <= read_ptr_d;
131131
write_ptr_q <= write_ptr_d;

src/shift_reg_gated.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -53,7 +53,7 @@ module shift_reg_gated #(
5353

5454
// Gate each shift register with a valid flag to enable the synthsis tools to insert ICG for
5555
// better power comsumption.
56-
`FFL(data_q[i], data_d[i], valid_d[i], '0, clk_i, rst_ni)
56+
`FFL(data_q[i], data_d[i], valid_d[i], dtype'('0), clk_i, rst_ni)
5757
end
5858

5959
// Output the shifted result.

src/spill_register_flushable.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -41,7 +41,7 @@ module spill_register_flushable #(
4141

4242
always_ff @(posedge clk_i or negedge rst_ni) begin : ps_a_data
4343
if (!rst_ni)
44-
a_data_q <= '0;
44+
a_data_q <= T'('0);
4545
else if (a_fill)
4646
a_data_q <= data_i;
4747
end
@@ -60,7 +60,7 @@ module spill_register_flushable #(
6060

6161
always_ff @(posedge clk_i or negedge rst_ni) begin : ps_b_data
6262
if (!rst_ni)
63-
b_data_q <= '0;
63+
b_data_q <= T'('0);
6464
else if (b_fill)
6565
b_data_q <= a_data_q;
6666
end

src/stream_register.sv

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -34,7 +34,7 @@ module stream_register #(
3434
assign ready_o = ready_i | ~valid_o;
3535
assign reg_ena = valid_i & ready_o;
3636
// Load-enable FFs with synch clear
37-
`FFLARNC(valid_o, valid_i, ready_o, clr_i, 1'b0, clk_i, rst_ni)
38-
`FFLARNC(data_o, data_i, reg_ena, clr_i, '0, clk_i, rst_ni)
37+
`FFLARNC(valid_o, valid_i, ready_o, clr_i, 1'b0 , clk_i, rst_ni)
38+
`FFLARNC(data_o, data_i, reg_ena, clr_i, T'('0), clk_i, rst_ni)
3939

4040
endmodule

0 commit comments

Comments
 (0)