Skip to content

Commit f2522c6

Browse files
committed
misc: minor update
1 parent 81c9389 commit f2522c6

File tree

9 files changed

+29
-30
lines changed

9 files changed

+29
-30
lines changed

README.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -120,7 +120,7 @@ git pull
120120

121121
## Building
122122

123-
* Quartus Prime 20.1.0 Lite Edition
123+
* Quartus Prime 21.1.0 Lite Edition
124124

125125
## Music Light Cube
126126

ip/pll/pll.qip

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
11
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
2-
set_global_assignment -name IP_TOOL_VERSION "20.1"
2+
set_global_assignment -name IP_TOOL_VERSION "21.1"
33
set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}"
44
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"]
55
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]

ip/pll/pll.v

Lines changed: 3 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -9,16 +9,16 @@
99
// altpll
1010
//
1111
// Simulation Library Files(s):
12-
// altera_mf
12+
//
1313
// ============================================================
1414
// ************************************************************
1515
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
1616
//
17-
// 20.1.0 Build 711 06/05/2020 SJ Lite Edition
17+
// 21.1.0 Build 842 10/21/2021 SJ Lite Edition
1818
// ************************************************************
1919

2020

21-
//Copyright (C) 2020 Intel Corporation. All rights reserved.
21+
//Copyright (C) 2021 Intel Corporation. All rights reserved.
2222
//Your use of Intel Corporation's design tools, logic functions
2323
//and other software and tools, and any partner logic
2424
//functions, and any output files from any of the foregoing
@@ -321,5 +321,4 @@ endmodule
321321
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
322322
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE
323323
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE
324-
// Retrieval info: LIB_FILE: altera_mf
325324
// Retrieval info: CBX_MODULE_PREFIX: ON

ip/ram/ram256.qip

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,5 @@
11
set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT"
2-
set_global_assignment -name IP_TOOL_VERSION "20.1"
2+
set_global_assignment -name IP_TOOL_VERSION "21.1"
33
set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}"
44
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "ram256.v"]
55
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "ram256_syn.v"]

ip/ram/ram256.v

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -14,11 +14,11 @@
1414
// ************************************************************
1515
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
1616
//
17-
// 20.1.0 Build 711 06/05/2020 SJ Lite Edition
17+
// 21.1.0 Build 842 10/21/2021 SJ Lite Edition
1818
// ************************************************************
1919

2020

21-
//Copyright (C) 2020 Intel Corporation. All rights reserved.
21+
//Copyright (C) 2021 Intel Corporation. All rights reserved.
2222
//Your use of Intel Corporation's design tools, logic functions
2323
//and other software and tools, and any partner logic
2424
//functions, and any output files from any of the foregoing

ip/ram/ram256_syn.v

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -14,11 +14,11 @@
1414
// ************************************************************
1515
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
1616
//
17-
// 20.1.0 Build 711 06/05/2020 SJ Lite Edition
17+
// 21.1.0 Build 842 10/21/2021 SJ Lite Edition
1818
// ************************************************************
1919

2020

21-
//Copyright (C) 2020 Intel Corporation. All rights reserved.
21+
//Copyright (C) 2021 Intel Corporation. All rights reserved.
2222
//Your use of Intel Corporation's design tools, logic functions
2323
//and other software and tools, and any partner logic
2424
//functions, and any output files from any of the foregoing
@@ -35,7 +35,7 @@
3535

3636

3737
//altsyncram ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" BYTE_SIZE=8 CLOCK_ENABLE_INPUT_A="BYPASS" CLOCK_ENABLE_INPUT_B="BYPASS" CLOCK_ENABLE_OUTPUT_B="BYPASS" DEVICE_FAMILY="MAX 10" NUMWORDS_A=256 NUMWORDS_B=256 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="UNREGISTERED" POWER_UP_UNINITIALIZED="TRUE" READ_DURING_WRITE_MODE_MIXED_PORTS="OLD_DATA" WIDTH_A=32 WIDTH_B=32 WIDTH_BYTEENA_A=4 WIDTHAD_A=8 WIDTHAD_B=8 address_a address_b byteena_a clock0 data_a q_b wren_a
38-
//VERSION_BEGIN 20.1 cbx_altera_syncram_nd_impl 2020:06:05:12:04:24:SJ cbx_altsyncram 2020:06:05:12:04:24:SJ cbx_cycloneii 2020:06:05:12:04:24:SJ cbx_lpm_add_sub 2020:06:05:12:04:24:SJ cbx_lpm_compare 2020:06:05:12:04:24:SJ cbx_lpm_decode 2020:06:05:12:04:24:SJ cbx_lpm_mux 2020:06:05:12:04:24:SJ cbx_mgl 2020:06:05:13:25:21:SJ cbx_nadder 2020:06:05:12:04:24:SJ cbx_stratix 2020:06:05:12:04:24:SJ cbx_stratixii 2020:06:05:12:04:24:SJ cbx_stratixiii 2020:06:05:12:04:24:SJ cbx_stratixv 2020:06:05:12:04:24:SJ cbx_util_mgl 2020:06:05:12:04:24:SJ VERSION_END
38+
//VERSION_BEGIN 21.1 cbx_altera_syncram_nd_impl 2021:10:21:11:02:24:SJ cbx_altsyncram 2021:10:21:11:02:24:SJ cbx_cycloneii 2021:10:21:11:02:24:SJ cbx_lpm_add_sub 2021:10:21:11:02:24:SJ cbx_lpm_compare 2021:10:21:11:02:24:SJ cbx_lpm_decode 2021:10:21:11:02:24:SJ cbx_lpm_mux 2021:10:21:11:02:24:SJ cbx_mgl 2021:10:21:11:11:47:SJ cbx_nadder 2021:10:21:11:02:24:SJ cbx_stratix 2021:10:21:11:02:24:SJ cbx_stratixii 2021:10:21:11:02:24:SJ cbx_stratixiii 2021:10:21:11:02:24:SJ cbx_stratixv 2021:10:21:11:02:24:SJ cbx_util_mgl 2021:10:21:11:02:24:SJ VERSION_END
3939
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4040
// altera message_off 10463
4141

neopixel_led_controller.qsf

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -1,6 +1,6 @@
11
# -------------------------------------------------------------------------- #
22
#
3-
# Copyright (C) 2020 Intel Corporation. All rights reserved.
3+
# Copyright (C) 2021 Intel Corporation. All rights reserved.
44
# Your use of Intel Corporation's design tools, logic functions
55
# and other software and tools, and any partner logic
66
# functions, and any output files from any of the foregoing
@@ -18,8 +18,8 @@
1818
# -------------------------------------------------------------------------- #
1919
#
2020
# Quartus Prime
21-
# Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
22-
# Date created = 18:52:25 July 18, 2020
21+
# Version 21.1.0 Build 842 10/21/2021 SJ Lite Edition
22+
# Date created = 10:33:17 December 02, 2021
2323
#
2424
# -------------------------------------------------------------------------- #
2525
#
@@ -30,7 +30,7 @@
3030
# If this file doesn't exist, see file:
3131
# assignment_defaults.qdf
3232
#
33-
# 2) Altera recommends that you do not modify this file. This
33+
# 2) Intel recommends that you do not modify this file. This
3434
# file is updated automatically by the Quartus Prime software
3535
# and any changes you make may be lost or overwritten.
3636
#
@@ -42,7 +42,7 @@
4242
# ========================
4343
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
4444
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:11:22 MARCH 25, 2018"
45-
set_global_assignment -name LAST_QUARTUS_VERSION "20.1.0 Lite Edition"
45+
set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition"
4646
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
4747
set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER ON
4848
set_global_assignment -name SYSTEMVERILOG_FILE rtl/top.sv
@@ -146,7 +146,7 @@ set_global_assignment -name AUTO_GLOBAL_CLOCK ON
146146

147147
# EDA Netlist Writer Assignments
148148
# ==============================
149-
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)"
149+
set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (SystemVerilog)"
150150

151151
# Power Estimation Assignments
152152
# ============================

rtl/regfile.sv

Lines changed: 11 additions & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -31,23 +31,23 @@ module regfile(
3131
logic [7:0] regs[5:0];
3232
logic [7:0] data[7:0];
3333

34-
genvar i;
35-
generate
36-
assign data[0] = 8'h00;
37-
assign data[1] = {RTL_REVISION_MAJOR, RTL_REVISION_MINOR};
34+
assign data[0] = 8'h00;
35+
assign data[1] = {RTL_REVISION_MAJOR, RTL_REVISION_MINOR};
3836

37+
generate
38+
genvar i;
3939
for (i = 0; i < 6; i++) begin: rd_data
4040
assign data[i + 2] = regs[i];
4141
end
42+
endgenerate
4243

43-
assign reg_t0h_time_o = regs[0];
44-
assign reg_t0s_time_o = regs[0] + regs[1];
45-
assign reg_t1h_time_o = regs[2];
46-
assign reg_t1s_time_o = regs[2] + regs[3];
44+
assign reg_t0h_time_o = regs[0];
45+
assign reg_t0s_time_o = regs[0] + regs[1];
46+
assign reg_t1h_time_o = regs[2];
47+
assign reg_t1s_time_o = regs[2] + regs[3];
4748

48-
assign reg_chan_len_o = regs[4];
49-
assign reg_chan_cnt_o = regs[5];
50-
endgenerate
49+
assign reg_chan_len_o = regs[4];
50+
assign reg_chan_cnt_o = regs[5];
5151

5252
assign reg_rd_data_o = data[reg_rd_addr_i];
5353

rtl/top.sv

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -95,8 +95,8 @@ channel_ctl channel_ctl(
9595
.ram_wr_byte_en_o(ram_wr_byte_en)
9696
);
9797

98-
genvar i;
9998
generate
99+
genvar i;
100100
for (i = 0; i < 16; i++) begin: channel
101101
channel_out out(
102102
.clk_i(sys_clk),

0 commit comments

Comments
 (0)