We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 2afc0c9 commit 86043abCopy full SHA for 86043ab
tang20k/scr1/tang20k_scr1.sdc
@@ -0,0 +1,9 @@
1
+//Copyright (C)2014-2025 GOWIN Semiconductor Corporation.
2
+//All rights reserved.
3
+//File Title: Timing Constraints file
4
+//Tool Version: V1.9.10.03 Education
5
+//Created Time: 2025-02-19 13:51:59
6
+create_clock -name CLK -period 37.037 -waveform {0 18.518} [get_ports {CLK}] -add
7
+create_clock -name JTAG_TCK -period 1000 -waveform {0 125} [get_ports {JTAG_TCK}] -add
8
+set_input_delay -clock JTAG_TCK 6.6 -add_delay [get_ports {JTAG_TMS JTAG_TDI}]
9
+set_output_delay -clock JTAG_TCK 3.3 -add_delay [get_ports {JTAG_TDO}]
0 commit comments