-
Notifications
You must be signed in to change notification settings - Fork 56
Example: UART Loopback
This is a break down of a uart loopback design example to show the PipelineC tool flow.
This example is from a series of examples designed for the Arty Board.
More concise/hierarchical PipelineC source for this design can be found here. A C test program for exercising the loopback can be found here.
Below is a flattened 'everything in uart loopback in one file' version of the code:
// Loopback UART with just enough buffering
// as to never overflow with balanced I/O bandwidth
#include "uintN_t.h"
// Each main function is a clock domain
// Only one clock in the design for now 'sys_clk' @ 100MHz
#define SYS_CLK_MHZ 100.0
#define CLKS_PER_SEC (SYS_CLK_MHZ*1000000.0)
#define SEC_PER_CLK (1.0/CLKS_PER_SEC)
#pragma MAIN_MHZ sys_clk_main 100.0
#pragma PART "xc7a35ticsg324-1l" // xc7a35ticsg324-1l = Arty, xcvu9p-flgb2104-2-i = AWS F1
// UART PHY?MAC?(de)serialize? logic
#define UART_BAUD 115200
#define UART_WORD_BITS 8
#define uart_word_t uint8_t
#define uart_bit_count_t uint4_t
#define uart_word_from_bits uint1_array8_le // PipelineC built in func
#define UART_SEC_PER_BIT (1.0/UART_BAUD)
#define UART_CLKS_PER_BIT_FLOAT (UART_SEC_PER_BIT/SEC_PER_CLK)
#define UART_CLKS_PER_BIT ((uart_clk_count_t)UART_CLKS_PER_BIT_FLOAT)
#define UART_CLKS_PER_BIT_DIV2 ((uart_clk_count_t)(UART_CLKS_PER_BIT_FLOAT/2.0))
#define uart_clk_count_t uint16_t
#define UART_IDLE 1
#define UART_START 0
#define UART_STOP UART_IDLE
// Convert framed async serial data to sync data+valid word stream
// rule of thumb name "_s" 'stream' if has .valid and .data
typedef struct uart_mac_s
{
uart_word_t data;
uint1_t valid;
}uart_mac_s;
// RX side
// Global regs
typedef enum uart_rx_mac_state_t
{
IDLE,
WAIT_START,
RECEIVE
}uart_rx_mac_state_t;
uart_rx_mac_state_t uart_rx_mac_state;
uart_clk_count_t uart_rx_clk_counter;
uart_bit_count_t uart_rx_bit_counter;
uint1_t uart_rx_bit_buffer[UART_WORD_BITS];
// RX logic
uart_mac_s uart_rx_mac(uint1_t data_in)
{
// Default no output
uart_mac_s output;
output.data = 0;
output.valid = 0;
// State machine for receiving
if(uart_rx_mac_state==IDLE)
{
// Wait for line to be high, idle, powered, etc
if(data_in==UART_IDLE)
{
// Then wait for the start bit
uart_rx_mac_state = WAIT_START;
uart_rx_clk_counter = 0;
}
}
else if(uart_rx_mac_state==WAIT_START)
{
// Wait for the start bit=0
if(data_in==UART_START)
{
// Wait half a bit period to align to center of clk period
uart_rx_clk_counter += 1;
if(uart_rx_clk_counter >= UART_CLKS_PER_BIT_DIV2)
{
// Begin loop of sampling each bit
uart_rx_mac_state = RECEIVE;
uart_rx_clk_counter = 0;
uart_rx_bit_counter = 0;
}
}
}
else if(uart_rx_mac_state==RECEIVE)
{
// Count a full bit period and then sample
uart_rx_clk_counter += 1;
if(uart_rx_clk_counter >= UART_CLKS_PER_BIT)
{
// Reset counter for next bit
uart_rx_clk_counter = 0;
// Shift bit buffer to make room for incoming bit
uint32_t i;
for(i=0;i<(UART_WORD_BITS-1);i=i+1)
{
uart_rx_bit_buffer[i] = uart_rx_bit_buffer[i+1];
}
// Sample current bit into back of shift buffer
uart_rx_bit_buffer[UART_WORD_BITS-1] = data_in;
uart_rx_bit_counter += 1;
// Last bit of word?
if(uart_rx_bit_counter==UART_WORD_BITS)
{
// Output the full valid word
output.data = uart_word_from_bits(uart_rx_bit_buffer);
output.valid = 1;
// Back to idle waiting for next word
uart_rx_mac_state = IDLE;
}
}
}
return output;
}
// TX side
// Slight clock differences between RX and TX sides can occur.
// Do a hacky off by one fewer clock cycles to ensure TX bandwidth
// is always slighty greater than RX bandwidth to avoid overflow
#define TX_CHEAT_CYCLES 1
// Global regs
typedef enum uart_tx_mac_state_t
{
IDLE,
SEND_START,
TRANSMIT,
SEND_STOP
}uart_tx_mac_state_t;
uart_tx_mac_state_t uart_tx_mac_state;
uart_clk_count_t uart_tx_clk_counter;
uart_bit_count_t uart_tx_bit_counter;
uart_mac_s uart_tx_word_in_buffer;
uint1_t uart_tx_bit_buffer[UART_WORD_BITS];
// Output type
typedef struct uart_tx_mac_o_t
{
uint1_t word_in_ready;
uint1_t data_out;
uint1_t overflow;
}uart_tx_mac_o_t;
// TX logic
uart_tx_mac_o_t uart_tx_mac(uart_mac_s word_in)
{
// Default no output
uart_tx_mac_o_t output;
output.word_in_ready = 0;
output.data_out = UART_IDLE; // UART high==idle
uint32_t i = 0;
// Ready for an incoming word to send
// if dont have valid word_in already (i.e. input buffer empty)
output.word_in_ready = !uart_tx_word_in_buffer.valid;
output.overflow = !output.word_in_ready & word_in.valid;
// Input registers
if(output.word_in_ready)
{
uart_tx_word_in_buffer = word_in;
}
// State machine for transmitting
if(uart_tx_mac_state==IDLE)
{
// Wait for valid bits in input buffer
if(uart_tx_word_in_buffer.valid)
{
// Save the bits of the word into shift buffer
for(i=0;i<UART_WORD_BITS;i=i+1)
{
uart_tx_bit_buffer[i] = uart_tx_word_in_buffer.data >> i;
}
// Start transmitting start bit
uart_tx_mac_state = SEND_START;
uart_tx_clk_counter = 0;
// No longer need data in input buffer
uart_tx_word_in_buffer.valid = 0;
}
}
// Pass through single cycle low latency from IDLE to SEND_START since if()
if(uart_tx_mac_state==SEND_START)
{
// Output start bit for one bit period
output.data_out = UART_START;
uart_tx_clk_counter += 1;
if(uart_tx_clk_counter >= (UART_CLKS_PER_BIT-TX_CHEAT_CYCLES))
{
// Then move onto transmitting word bits
uart_tx_mac_state = TRANSMIT;
uart_tx_clk_counter = 0;
uart_tx_bit_counter = 0;
}
}
else if(uart_tx_mac_state==TRANSMIT)
{
// Output from front of shift buffer for one bit period
output.data_out = uart_tx_bit_buffer[0];
uart_tx_clk_counter += 1;
if(uart_tx_clk_counter >= (UART_CLKS_PER_BIT-TX_CHEAT_CYCLES))
{
// Reset counter for next bit
uart_tx_clk_counter = 0;
// Shift bit buffer to bring next bit to front
for(i=0;i<(UART_WORD_BITS-1);i=i+1)
{
uart_tx_bit_buffer[i] = uart_tx_bit_buffer[i+1];
}
uart_tx_bit_counter += 1;
// Last bit of word?
if(uart_tx_bit_counter==UART_WORD_BITS)
{
// Send the final stop bit
uart_tx_mac_state = SEND_STOP;
uart_tx_clk_counter = 0;
}
}
}
else if(uart_tx_mac_state==SEND_STOP)
{
// Output stop bit for one bit period
output.data_out = UART_STOP;
uart_tx_clk_counter += 1;
if(uart_tx_clk_counter>=(UART_CLKS_PER_BIT-TX_CHEAT_CYCLES))
{
// Then back to idle
uart_tx_mac_state = IDLE;
}
}
return output;
}
// Make structs that wrap up the inputs and outputs
typedef struct sys_clk_main_inputs_t
{
// UART Input
uint1_t uart_txd_in;
} sys_clk_main_inputs_t;
typedef struct sys_clk_main_outputs_t
{
// UART Output
uint1_t uart_rxd_out;
// LEDs
uint1_t led[4];
} sys_clk_main_outputs_t;
// Sticky save overflow bit
uint1_t overflow;
// Break path from rx->tx in one clock by having buffer reg
uart_mac_s rx_word_buffer;
// The sys_clk_main function
sys_clk_main_outputs_t sys_clk_main(sys_clk_main_inputs_t inputs)
{
// Loopback RX to TX without connecting backwards facing flow control/ready
uart_mac_s rx_word = uart_rx_mac(inputs.uart_txd_in);
uart_tx_mac_o_t uart_tx_mac_output = uart_tx_mac(rx_word_buffer);
// Break path from rx->tx in one clock by having buffer reg
rx_word_buffer = rx_word;
sys_clk_main_outputs_t outputs;
outputs.uart_rxd_out = uart_tx_mac_output.data_out;
// Light up all four leds if overflow occurs
overflow = overflow | uart_tx_mac_output.overflow; // Sticky
outputs.led[0] = overflow;
outputs.led[1] = overflow;
outputs.led[2] = overflow;
outputs.led[3] = overflow;
return outputs;
}The compiler produces a text representation of what operations occur at which point during during each function's pipeline (i.e. how long each operation takes and when). Inputs flow from top to bottom. Functions listed on the same lines are occurring in parallel.
Synthesizing function: uart_rx_mac
Pipeline Map:
0: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
1: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
2: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
3: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
4: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
5: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
6: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
7: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
8: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
9: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
10: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
11: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
12: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l61_c8_8758]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l71_c8_4b41]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]']
13: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
14: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
15: ['BIN_OP_EQ[uart_loopback_no_fc_c_l58_c6_ec6a]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l68_c11_29e8]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l84_c11_bef0]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
16: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l102_c7_27a4]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
17: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
18: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
19: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
20: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
21: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
22: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
23: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
24: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
25: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
26: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
27: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
28: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l74_c7_af8c]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l87_c5_af8c]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l61_c5_6315]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l61_c5_6315]']
29: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
30: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
31: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
32: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
33: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
34: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
35: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
36: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
37: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
38: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
39: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
40: ['BIN_OP_EQ[uart_loopback_no_fc_c_l105_c10_b846]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]']
41: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
42: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
43: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
44: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
45: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
46: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
47: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
48: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
49: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
50: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
51: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
52: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
53: ['BIN_OP_GTE[uart_loopback_no_fc_c_l75_c10_1b4e]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l88_c8_4973]', 'output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]']
54: ['output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]']
55: ['output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]']
56: ['output_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l105_c7_7c8b]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]']
57: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
58: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
59: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
60: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
61: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
62: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
63: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
64: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
65: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
66: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
67: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
68: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
69: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l75_c7_1ee7]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
70: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
71: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
72: ['output_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l88_c5_d9f6]']
73: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
74: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
75: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
76: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
77: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
78: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
79: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
80: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
81: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
82: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
83: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
84: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
85: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l71_c5_235e]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
86: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
87: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
88: ['output_MUX[uart_loopback_no_fc_c_l84_c8_6235]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l84_c8_6235]']
89: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
90: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
91: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
92: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
93: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
94: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
95: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
96: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
97: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
98: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
99: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
100: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
101: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
102: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
103: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
104: ['output_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l68_c8_f3e4]']
105: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
106: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
107: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
108: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
109: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
110: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
111: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
112: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
113: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
114: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
115: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
116: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
117: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_buffer_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_bit_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_clk_counter_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
118: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
119: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
120: ['output_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]', 'uart_rx_mac_state_MUX[uart_loopback_no_fc_c_l58_c3_d8ed]']
Synthesizing function: uart_tx_mac
Pipeline Map:
0: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
1: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
2: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
3: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
4: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
5: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
6: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
7: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
8: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
9: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
10: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
11: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l155_c27_2253]']
12: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
13: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
14: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
15: ['BIN_OP_EQ[uart_loopback_no_fc_c_l164_c6_8762]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
16: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l210_c7_be48]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
17: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
18: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
19: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
20: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
21: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
22: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
23: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'UNARY_OP_NOT[uart_loopback_no_fc_c_l156_c22_a978]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
24: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
25: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
26: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
27: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_word_in_buffer_MUX[uart_loopback_no_fc_c_l158_c3_0291]']
28: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
29: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
30: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
31: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
32: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
33: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
34: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
35: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
36: ['BIN_OP_AND[uart_loopback_no_fc_c_l156_c22_6e1d]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
37: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
38: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
39: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
40: ['BIN_OP_EQ[uart_loopback_no_fc_c_l213_c10_d02f]', 'uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
41: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
42: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
43: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l167_c5_2631]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l167_c5_2631]']
44: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
45: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
46: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
47: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
48: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
49: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
50: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
51: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
52: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
53: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
54: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
55: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
56: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l213_c7_76dd]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
57: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
58: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
59: ['uart_tx_bit_buffer_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]', 'uart_tx_word_in_buffer_valid_MUX[uart_loopback_no_fc_c_l164_c3_e0c2]']
60: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
61: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
62: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
63: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
64: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
65: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
66: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
67: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
68: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
69: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
70: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
71: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
72: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
73: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
74: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
75: ['BIN_OP_EQ[uart_loopback_no_fc_c_l182_c6_e73f]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l195_c11_28cb]', 'BIN_OP_EQ[uart_loopback_no_fc_c_l221_c11_05a6]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l213_c7_76dd]']
76: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
77: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
78: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
79: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
80: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
81: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
82: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
83: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
84: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
85: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
86: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
87: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
88: ['BIN_OP_PLUS[uart_loopback_no_fc_c_l186_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l199_c5_b57b]', 'BIN_OP_PLUS[uart_loopback_no_fc_c_l225_c5_b57b]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
89: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
90: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
91: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l221_c8_0b94]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
92: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
93: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
94: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
95: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
96: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
97: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
98: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
99: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
100: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
101: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
102: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
103: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
104: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
105: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
106: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
107: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
108: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
109: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
110: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
111: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
112: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
113: ['BIN_OP_GTE[uart_loopback_no_fc_c_l187_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l200_c8_9114]', 'BIN_OP_GTE[uart_loopback_no_fc_c_l226_c8_9114]', 'output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
114: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
115: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
116: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
117: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
118: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
119: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
120: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
121: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
122: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
123: ['output_data_out_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
124: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
125: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
126: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
127: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
128: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
129: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l187_c5_b4fb]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l200_c5_c59d]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l226_c5_ee8d]']
130: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
131: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
132: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
133: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
134: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
135: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
136: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
137: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
138: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
139: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
140: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
141: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
142: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
143: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
144: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
145: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l195_c8_207a]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l221_c8_0b94]']
146: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
147: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
148: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
149: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
150: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
151: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
152: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
153: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
154: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
155: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
156: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
157: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
158: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
159: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
160: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
161: ['uart_tx_bit_buffer_0_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_1_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_2_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_3_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_4_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_5_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_buffer_6_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_bit_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_clk_counter_MUX[uart_loopback_no_fc_c_l182_c3_206c]', 'uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l195_c8_207a]']
162: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
163: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
164: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
165: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
166: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
167: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
168: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
169: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
170: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
171: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
172: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
173: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
174: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
175: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
176: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
177: ['uart_tx_mac_state_MUX[uart_loopback_no_fc_c_l182_c3_206c]']
Synthesizing function: sys_clk_main
Pipeline Map:
0: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
1: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
2: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
3: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
4: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
5: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
6: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
7: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
8: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
9: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
10: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
11: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
12: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
13: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
14: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
15: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
16: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
17: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
18: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
19: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
20: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
21: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
22: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
23: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
24: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
25: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
26: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
27: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
28: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
29: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
30: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
31: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
32: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
33: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
34: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
35: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
36: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
37: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
38: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
39: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
40: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
41: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
42: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
43: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
44: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
45: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
46: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
47: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
48: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
49: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
50: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
51: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
52: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
53: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
54: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
55: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
56: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
57: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
58: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
59: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
60: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
61: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
62: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
63: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
64: ['uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]', 'uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
65: ['uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
66: ['uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
67: ['uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
68: ['uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
69: ['uart_tx_mac[uart_loopback_no_fc_c_l260_c40_ec1c]']
70: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
71: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
72: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
73: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
74: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
75: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
76: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
77: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
78: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
79: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
80: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
81: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
82: ['BIN_OP_OR[uart_loopback_no_fc_c_l267_c14_1b53]']
In more advanced examples you will want to use the auto-pipelining features of PipelineC. This global-variable-using example cannot be further pipelined, no further latency can be traded off for throughput. Luckily as-written it is expected to run at a maximum of ~140MHz, plenty of margin to meet timing on the 100MHz system clock, no further design changes are needed.
================== Beginning Throughput Sweep ================================
Function: sys_clk_main Target MHz: 100.0
Starting with blank sweep state...
...determining slicing information for each main function...
sys_clk_main : currently is 0 clocks latency...
sys_clk_main current slices: []
Running: /media/1TB/Programs/Linux/Xilinx/Vivado/2019.2/bin/vivado -journal /home/julian/pipelinec_syn_output/top/vivado.jou -log /home/julian/pipelinec_syn_output/top/vivado_d751.log -mode batch -source "/home/julian/pipelinec_syn_output/top/top_d751.tcl"
Unclear stages from register names...
Start?: 0 sys_clk_main/uart_rx_mac_uart_loopback_no_fc_c_l259_c24_af40/registers_r_reg[global_regs][uart_rx_clk_counter][4]
sys_clk_main/uart_rx_mac[uart_loopback_no_fc_c_l259_c24_af40]
End?: 0 sys_clk_main/registers_r_reg[global_regs][rx_word_buffer][data][0]
sys_clk_main
Really unclear regs?
MHz: 147.23203769140164
Found maximum pipeline latency...
================== Writing Results of Throughput Sweep ================================
Done.
-- Top level file connecting to PipelineC generated code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
-- PipelineC packages
use work.c_structs_pkg.all;
entity board is
port (
CLK100MHZ : in std_logic;
sw : in std_logic_vector(3 downto 0);
led : out std_logic_vector(3 downto 0);
uart_rxd_out : out std_logic;
uart_txd_in : in std_logic
);
end board;
architecture arch of board is
-- Sync inputs to CLK100MHZ
signal sw_r, sw_rr : std_logic_vector(3 downto 0);
signal uart_txd_in_r, uart_txd_in_rr : std_logic;
-- IO type conversion
signal sys_clk_main_inputs : sys_clk_main_inputs_t;
signal sys_clk_main_outputs : sys_clk_main_outputs_t;
begin
-- Sync inputs to CLK100MHZ
process(CLK100MHZ) begin
if rising_edge(CLK100MHZ) then
sw_r <= sw;
sw_rr <= sw_r;
uart_txd_in_r <= uart_txd_in;
uart_txd_in_rr <= uart_txd_in_r;
end if;
end process;
-- IO type conversion
process(
-- Inputs to module
sw_rr,
uart_txd_in_rr,
-- Outputs from PipelineC
sys_clk_main_outputs
) begin
-- Input
--sys_clk_main_inputs.sw(0) <= sw_rr(0);
--sys_clk_main_inputs.sw(1) <= sw_rr(1);
--sys_clk_main_inputs.sw(2) <= sw_rr(2);
--sys_clk_main_inputs.sw(3) <= sw_rr(3);
sys_clk_main_inputs.uart_txd_in(0) <= uart_txd_in_rr;
-- Outputs
led(0) <= sys_clk_main_outputs.led(0)(0);
led(1) <= sys_clk_main_outputs.led(1)(0);
led(2) <= sys_clk_main_outputs.led(2)(0);
led(3) <= sys_clk_main_outputs.led(3)(0);
uart_rxd_out <= sys_clk_main_outputs.uart_rxd_out(0);
end process;
-- The PipelineC generated entity
top_inst : entity work.top port map (
clk_sys_clk_main => CLK100MHZ,
sys_clk_main_inputs => sys_clk_main_inputs,
sys_clk_main_return_output => sys_clk_main_outputs
);
end arch;Function elaboration to combinatorial logic:
Top level containing the two MAC modules and sticky overflow bit.
The RX and TX modules are best viewed by opening the Vivado project and using elaborated RTL schematic viewer as they have quite a few cells.
Resource usage:

