Skip to content

Commit c9bcc97

Browse files
committed
Nexys4 XDC
1 parent 01717d6 commit c9bcc97

File tree

1 file changed

+16
-16
lines changed

1 file changed

+16
-16
lines changed

examples/thread_uart_nexys4/Nexys4_Master.xdc

Lines changed: 16 additions & 16 deletions
Original file line numberDiff line numberDiff line change
@@ -188,23 +188,23 @@ set_property PACKAGE_PIN P2 [get_ports {led[15]}]
188188

189189
##Buttons
190190
#Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15, Sch name = CPU_RESET
191-
set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
192-
set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
191+
#set_property PACKAGE_PIN C12 [get_ports btnCpuReset]
192+
#set_property IOSTANDARD LVCMOS33 [get_ports btnCpuReset]
193193
#Bank = 15, Pin name = IO_L11N_T1_SRCC_15, Sch name = BTNC
194-
set_property PACKAGE_PIN E16 [get_ports btnC]
195-
set_property IOSTANDARD LVCMOS33 [get_ports btnC]
194+
#set_property PACKAGE_PIN E16 [get_ports btnC]
195+
#set_property IOSTANDARD LVCMOS33 [get_ports btnC]
196196
#Bank = 15, Pin name = IO_L14P_T2_SRCC_15, Sch name = BTNU
197-
set_property PACKAGE_PIN F15 [get_ports btnU]
198-
set_property IOSTANDARD LVCMOS33 [get_ports btnU]
197+
#set_property PACKAGE_PIN F15 [get_ports btnU]
198+
#set_property IOSTANDARD LVCMOS33 [get_ports btnU]
199199
#Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14, Sch name = BTNL
200-
set_property PACKAGE_PIN T16 [get_ports btnL]
201-
set_property IOSTANDARD LVCMOS33 [get_ports btnL]
200+
#set_property PACKAGE_PIN T16 [get_ports btnL]
201+
#set_property IOSTANDARD LVCMOS33 [get_ports btnL]
202202
#Bank = 14, Pin name = IO_25_14, Sch name = BTNR
203-
set_property PACKAGE_PIN R10 [get_ports btnR]
204-
set_property IOSTANDARD LVCMOS33 [get_ports btnR]
203+
#set_property PACKAGE_PIN R10 [get_ports btnR]
204+
#set_property IOSTANDARD LVCMOS33 [get_ports btnR]
205205
#Bank = 14, Pin name = IO_L21P_T3_DQS_14, Sch name = BTND
206-
set_property PACKAGE_PIN V10 [get_ports btnD]
207-
set_property IOSTANDARD LVCMOS33 [get_ports btnD]
206+
#set_property PACKAGE_PIN V10 [get_ports btnD]
207+
#set_property IOSTANDARD LVCMOS33 [get_ports btnD]
208208

209209

210210

@@ -490,11 +490,11 @@ set_property PACKAGE_PIN C4 [get_ports RsRx]
490490
set_property PACKAGE_PIN D4 [get_ports RsTx]
491491
set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
492492
##Bank = 35, Pin name = IO_L12N_T1_MRCC_35, Sch name = UART_CTS
493-
#set_property PACKAGE_PIN D3 [get_ports RsCts]
494-
#set_property IOSTANDARD LVCMOS33 [get_ports RsCts]
493+
set_property PACKAGE_PIN D3 [get_ports RsCts]
494+
set_property IOSTANDARD LVCMOS33 [get_ports RsCts]
495495
##Bank = 35, Pin name = IO_L5N_T0_AD13N_35, Sch name = UART_RTS
496-
#set_property PACKAGE_PIN E5 [get_ports RsRts]
497-
#set_property IOSTANDARD LVCMOS33 [get_ports RsRts]
496+
set_property PACKAGE_PIN E5 [get_ports RsRts]
497+
set_property IOSTANDARD LVCMOS33 [get_ports RsRts]
498498

499499

500500

0 commit comments

Comments
 (0)