Skip to content

Commit 30d2df6

Browse files
committed
mock-array-big: Set clock uncertainty
The value chosen here is from other asap7 designs. Signed-off-by: Jake Taylor <[email protected]>
1 parent 2e73222 commit 30d2df6

File tree

1 file changed

+2
-0
lines changed

1 file changed

+2
-0
lines changed

flow/designs/asap7/mock-array-big/Element/constraints.sdc

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -8,6 +8,8 @@ set clk_period 8000
88

99
set clk_port [get_ports $clk_port_name]
1010
create_clock -period $clk_period -waveform [list 0 [expr $clk_period / 2]] -name $clk_name $clk_port
11+
set_clock_uncertainty -setup 20.0 [get_clocks $clk_name]
12+
set_clock_uncertainty -hold 20.0 [get_clocks $clk_name]
1113

1214
# io_ins_x -> REG_x
1315
set_input_delay -clock $clk_name -min [expr $clk_period / 2] [get_ports {io_ins_*}]

0 commit comments

Comments
 (0)