Skip to content

Commit 7585802

Browse files
committed
fix PR merge mistake
Signed-off-by: Tom Spyrou <[email protected]>
1 parent 16438b1 commit 7585802

File tree

1 file changed

+1
-0
lines changed

1 file changed

+1
-0
lines changed

flow/designs/asap7/mock-array/constraints.sdc

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -13,6 +13,7 @@ set_clock_uncertainty 10 [get_clocks $clk_name]
1313

1414
create_clock -name ${clk_name}_vir -period $clk_period -waveform [list 0 [expr $clk_period/2]]
1515
set_clock_uncertainty 10 [get_clocks ${clk_name}_vir]
16+
set_clock_latency 380 [get_clocks ${clk_name}_vir] ;# Matching real clock latency
1617

1718
set clk_port [get_ports $clk_port_name]
1819
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]

0 commit comments

Comments
 (0)