We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 16438b1 commit 7585802Copy full SHA for 7585802
flow/designs/asap7/mock-array/constraints.sdc
@@ -13,6 +13,7 @@ set_clock_uncertainty 10 [get_clocks $clk_name]
13
14
create_clock -name ${clk_name}_vir -period $clk_period -waveform [list 0 [expr $clk_period/2]]
15
set_clock_uncertainty 10 [get_clocks ${clk_name}_vir]
16
+set_clock_latency 380 [get_clocks ${clk_name}_vir] ;# Matching real clock latency
17
18
set clk_port [get_ports $clk_port_name]
19
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
0 commit comments