Skip to content

Commit 9c91625

Browse files
committed
docs: Update for yosys-slang
Signed-off-by: Martin Povišer <[email protected]>
1 parent 3ff5c07 commit 9c91625

File tree

4 files changed

+6
-3
lines changed

4 files changed

+6
-3
lines changed

docs/tutorials/FlowTutorial.md

Lines changed: 3 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -187,11 +187,11 @@ minimum required timing constraint.
187187
create_clock -name core_clock -period 17.4 [get_ports {clk_i}]
188188
```
189189

190-
### Design Input Verilog
190+
### Design Input SystemVerilog
191191

192-
The Verilog input files are located in `./designs/src/ibex/`
192+
The SystemVerilog input files are located in `./designs/src/ibex/`
193193

194-
The design is defined in `ibex_core.v` available
194+
The design is defined in `ibex_core.sv` available
195195
[here](https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts/blob/master/flow/designs/src/ibex/ibex_core.v).
196196

197197
Refer to the `ibex` design `README.md`

docs/user/BuildLocally.md

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -32,6 +32,7 @@ up the environment. The `make` command runs from RTL-GDSII generation for defaul
3232
``` shell
3333
source ./env.sh
3434
yosys -help
35+
yosys -m slang -p "slang_version"
3536
openroad -help
3637
cd flow
3738
make

docs/user/BuildWithDocker.md

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -79,6 +79,7 @@ Then, inside docker:
7979
``` shell
8080
source ./env.sh
8181
yosys -help
82+
yosys -m slang -p "slang_version"
8283
openroad -help
8384
cd flow
8485
make

docs/user/BuildWithPrebuilt.md

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -63,6 +63,7 @@ export YOSYS_EXE=$(command -v yosys)
6363
export LD_LIBRARY_PATH="<klayout_location>/bin:$PATH"
6464
6565
yosys -help
66+
yosys -m slang -p "slang_version"
6667
openroad -help
6768
cd flow
6869
make

0 commit comments

Comments
 (0)